[click to login]
WSEMI


TAGS > new

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: NEW Aera FC-980C MFC, 1.5 SLM, N2O gas, novellus 22-278256-00
usedeqsales
[view on eBay]
Used 3
in stock
$212.11
Description: Lam Research 740-0035-01 G8 Graphite Gasket Lot of 2 New Surplus
usedeqsales
[view on eBay]
Used 9
in stock
$456.12
Description: AMAT Applied Materials 0240-30959 300mm Chamber Controller Cover Kit New
usedeqsales
[view on eBay]
Used 64
in stock
$812.11
Description: M.E.C. Tech MEC50005-534-1 Tapered Finger Oxide Clamp Ring AMAT 0020-33739 New
usedeqsales
[view on eBay]
Used 8
in stock
$162.11
Description: Lam Research 715-028615-001 Upper Baffle Plate 8" New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$300.57
Description: AMAT Applied Materials 0225-34794 Gas Distribution Sputter Plate New
usedeqsales
[view on eBay]
Used 2
in stock
$450.57
Description: AMAT Applied Materials 0041-00186 300mm Gas Ring New Surplus
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: 4 NEW COSEL UAW125S Power supply 52V, 125W, Novellus 27-143752-00
farmoninc
[view on eBay]
Used 2
in stock
$275.00
Description: NEW AMAT 0200-09065 Support, 100mm suspector, TEOS-OX
farmoninc
[view on eBay]
NEW 5
in stock
$950.00
Description: NEW Brooks 002-8904-02 End effector assy, 2 piece, 13.08, Novellus 20-155073-00
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: NEW Novellus 60-183488-00 assy, Pneumatic bank #1, Festo, C3 SPD
farmoninc
[view on eBay]
Used 1
in stock
$425.00
Description: 2 NEW VAT 78175 -R1 KIT, Gate, with seal, Novellus 63-254381-00
farmoninc
[view on eBay]
NEW 2
in stock
$150.00
Description: NEW AMAT 0040-38981 Universal shipping plug, producer
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: NEW AMAT 0021-03480 Bracket #3, RFPP generator, DPS
farmoninc
[view on eBay]
NEW 6
in stock
$75.00
Description: NEW AMAT 0021-24382 Y-Block
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0150-13128 Cable assy,Flat, ACPS signal, VDI control 3
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: NEW AMAT 0200-00216 Quartz Rings
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0200-01345 Quartz ring, 200mm, Emax
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 4 NEW AMAT 0200-40002 Quartz nut cover, HDP etch chamber
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: NEW AMAT 0150-77288 cable assy, remote start stop controller, MF bulkhead
farmoninc
[view on eBay]
Used 6
in stock
$100.00
Description: NEW AMAT 0010-04000 KIT, flow booster, regulater and fittings and bracket RG0005
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: NEW AMAT 0020-24313 Clamp plate, Gate valve - Q2
farmoninc
[view on eBay]
NEW 2
in stock
$950.00
Description: NEW AMAT 0020-07080 Seat, bellows, rotatry head, R6.0, ECP 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0021-36897 Ring, purge reflector, 300mm, RTP
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0150-10227 Cable, vacuum line heater chamber D
farmoninc
[view on eBay]
Used 3
in stock
$20.00
Description: NEW AMAT 0150-05133 Cable, Ethernet, 12 inch Hub 1, port 5 to J3
farmoninc
[view on eBay]
NEW 2
in stock
$150.00
Description: NEW AMAT 0150-18073 Cable assy, Bias, ETO RF generator control
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: NEW AMAT 0150-00799 Cable assy, DI water heater control
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0240-93217 Retrofit Kit, 125mm Heat sink insert, includes 0240-92741
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0240-92741 125mm Heat sink insert, 0 deg
farmoninc
[view on eBay]
Used 2
in stock
$650.00
Description: NEW AMAT 0100-01004 Universal address PCB board assy, EEPROM ADRS board Roms
farmoninc
[view on eBay]
Used 3
in stock
$950.00
Description: NEW Millipore FC-260KZ, AMAT 0190-00029 MFC with AFC, 200 SCCM, BCl3 gas
farmoninc
[view on eBay]
Used 2
in stock
$150.00
Description: NEW AMAT 0020-30507 Painted panel, Rear, heat exchanger
farmoninc
[view on eBay]
Used 3
in stock
$950.00
Description: NEW LAM 716-028739-001 Gas ring protection sleeve
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: NEW AMAT 0010-40162 coolant hose, heat exchanger / chiller
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: NEW AMAT 0020-29322 shield, 8 inch, clamped, vectra imp
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: NEW AMAT 3380-90029 Heat exchanger, UX008JF33
farmoninc
[view on eBay]
NEW 6
in stock
$225.00
Description: AMAT 0021-02200 Manifold Port, KF 25, Microwave, 200 mm, St, New
farmoninc
[view on eBay]
NEW 3
in stock
$500.00
Description: 2 new AMAT 0090-03292 Sensor Assy, Megasonic, Hi Level Sensor
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: NEW AMAT 0200-01878 Insulator
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: NEW AMAT 3300-90963 Centering ring with seal, ISO 160
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: NEW AMAT 0240-92571 Kit, CGA pigtail
farmoninc
[view on eBay]
Used 6
in stock
$1,100.00
Description: NEW LAM 715-011639-008 Cap electrode thin edge, cleaned
farmoninc
[view on eBay]
NEW 3
in stock
$100.00
Description: NEW AMAT 0240-89075 Kit, temp cal rotation lock, 0240-89452 and mounting screw
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: NEW AMAT 0240-19130 Kit, Cleancup, REFLEXION
farmoninc
[view on eBay]
NEW 1
in stock
$900.00
Description: NEW AMAT 0010-06073 PC head module with AGT universal
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0010-25424 I Set of water supply and return hoses
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: NEW AMAT 0020-26846 Clamp ring, 6 inch, SMOMF, Ti, E/E 3.404
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0150-76626 cable assembly, MFCA to interlock junction box, 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: NEW AMAT 0240-78566 keyswitch option, ISRM
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: 3 NEW AMAT 0020-20663 Terminal brackets
farmoninc
[view on eBay]
NEW 2
in stock
$150.00
Description: 6 NEW AMAT 1400-01338 leak cap sensor cover
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: NEW AMAT 0020-23070 Upper shield
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 NEW AMAT 0020-33052 Bearing retainer
surplusssam
[view on eBay]
Used 1
in stock
$17.99
Description: NEW AMAT APPLIED MATERIALS 3790-90250 SPACER M4 X 50 M/F NYLON FOR 9240-02245ITL
surplusssam
[view on eBay]
Used 1
in stock
$23.99
Description: New Amat Applied Materials 3790-90247 spacer m4 x 65 m/f ptfe for 9240-02245itl
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: 20 NEW AMAT 3790-01374 Standoff, spacers
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: NEW AMAT 0150-76652 Cable assy F-F RS 232 PC interconnect
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: NEW AMAT 3700-01686 Oring ID 49.5 MM x 3 MM CSD FKM
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: NEW AMAT 0010-13806 AC power box assy orienter OCR
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0040-13848 Cover OCR controller orienter
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0040-13845 Cover OCR camera access orienter
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0040-13844 Bracket OCR camera chassis orienter
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: NEW AMAT 0040-13278 Cover, OCR stages orienter
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0021-76454 Bracket, OCR camera mount, orienter
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 15 NEW AMAT 0020-78712 performance polymer technologies 8103048, upper seal
usedeqsales
[view on eBay]
Used 1
in stock
$151.57
Description: AMAT Applied Materials 0020-26723 Ball Transfer Load Distribution Plate New
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: LAM 839-360162-001 Gas Manifold, looks new, sell as used
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0050-36488 Gas manifold, looks new, sell as used
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0021-39792 New Perf Plate, Shower Head, OX, 200mm, TEOS, LC
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: NEW AMAT 0150-90669 F/O, T3, 260MM, A1.Rx/23D. RX, 31B8
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: NEW PORTER LCB-002, AMAT 0190-35928 LMFC 1.2 l/min, CUPRA 2504 fluid, 322342
farmoninc
[view on eBay]
NEW 2
in stock
$450.00
Description: NEW AMAT 1400-01034 Sensor, Cont, resistivity, 3/4 MPT, 0.1/cm CO
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: NEW AMAT 0021-01646 Latch, safety, MW clean lid, producer
farmoninc
[view on eBay]
NEW 6
in stock
$2,200.00
Description: NEW AMAT 0190-09348 P5000 CVD Chamber susceptor assy. 200mm, with certificate
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 1360-90058 Transformer, 3ph, SCE suppr
logansemi
[view on eBay]
Used 1
in stock
$650.00
Description: Lam Research Ceramic Gas Ring 716-330068-001 NEW
farmoninc
[view on eBay]
NEW 1
in stock
$190.00
Description: 2 NEW AMAT 0040-95129 Extraction pipe assy, Cryo exhaust
farmoninc
[view on eBay]
NEW 4
in stock
$145.00
Description: NEW AMAT 0021-00629 Bracket, Endpoint poly, DPS
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: NEW AMAT 0021-18327 Clamp, PVDF, Spindle DI, 300MM, IBC, IECP
farmoninc
[view on eBay]
NEW 2
in stock
$140.00
Description: NEW AMAT 0020-33064 Flag ring extention sensor, P5000, MC Robot
farmoninc
[view on eBay]
NEW 1
in stock
$45.00
Description: NEW AMAT 0020-17508 Locating pin, Quartz liners, Tectra
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 0020-28225 shuttle shaft rotation assemblies
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: NEW AMAT 0020-27142 Weight, 8 inch clamp ring, reduced weight
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0021-00407 Collar Ring, Showerhead, 200mm double
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: NEW AMAT 0020-80168 Cooling Plate
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 1270-90160 Flow Switch 100L/H, 3/4 BSP, male and meter
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0150-05469 Cable assy, computer interface, EVC, MM
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0020-22153 Counter balance, magnet source
farmoninc
[view on eBay]
NEW 1
in stock
$15.00
Description: AMAT 3690-04108 8 new Shoulder Screw, 5/16-18 x 3.5OD x 1/2L x 7/16L-Thd
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 3970-01767 50 New SST Hex Standoffs 3/4" long, looks to be #10 threads
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 3790-01509 100 new SST Hex standoffs, 3/8' long, look to be #4 threads
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 3690-02896 95 New Silver Plated Hex Bolt. A2-70
farmoninc
[view on eBay]
NEW 1
in stock
$270.00
Description: AMAT 3690-01146 90 New Hex Bolts 1.5" long 5/16 Diameter
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 3760-01176 100 New hex standoffs, 3/8' long, looks to be #8 Threads
farmoninc
[view on eBay]
Used 1
in stock
$15.00
Description: AMAT 3690-01879 6 new silver plated soc Hd Cap screw 1/4-20 x 3/4, 323040
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 200 AMAT 3790-01370 new SST Hex standoffs 3.5" long.
farmoninc
[view on eBay]
Used 1
in stock
$90.00
Description: AMAT 3690-01244 90 New Button head screws hex, SST, 3/4" long
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: New Novellus 03-322451-00 New cable, ESC supply to Seal plate
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: 2 New AMAT 0140-78192 Cable HD SWP 1,2,3,4 encoder MTR pane
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: New AMAT 0140-70143 assy. harness, omron sensors 24v supply
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: New AMAT 0150-02632 cable assy. N(M), R/A to N(M), STR RG-21
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: New AMAT 0100-09220 assy gate valve intercon PCB
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: New AMAT 0020-19477 top mounting block, LLA, Photomask PRESE
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: New AMAT 0140-03724 harn assy. dual HTD baratron overtemp 3
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: New AMAT 0150-01496 cable assy. SMIF par port 1 wafer LDR DC
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 3 New AMAT 0150-40191 EMO jumper P1, EMO interlock
farmoninc
[view on eBay]
NEW 1
in stock
$900.00
Description: New AMAT 0150-01039
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: New AMAT 0040-46894 bracket cable strain relief 3-port UPA R
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 New, AMAT 0150-01125 cable assy. cell cont./plating PS INTC
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 New, AMAT 0150-03730 cable assy. RF rack intergrated system, RTP
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: New, AMAT 0090-35127 K TEC electronics HTR cable ASP, POS centura common FRA
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: New AMAT 0150-07235 cable assy. W58 rack box/rack CTRLS, 30
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: New AMAT 0021-08526 BRCKT sensor WXZ 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: New in OEM packaging , AMAT 0140-75285 Harness ASSY. SCRA
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0620-00812 Dry nova cable CUFC-6C, New in OEM package
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 2 new AMAT 0040-20285 Adapter, press gauges, wide body chamber
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 2 AMAT 0140-05866 Producer E left chamber cable harness assy, new
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 New AMAT 0150-20778 harness cable KTEC electronics
farmoninc
[view on eBay]
NEW 240
in stock
$49.00
Description: AMAT 0021-11901 post wedge, post tall SRD New
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: 2 New AMAT 0150-35641 DPS centura cable Assy, 24VAC rear lamp
farmoninc
[view on eBay]
Used 1
in stock
$170.00
Description: 2 New AMAT 0020-28341 Clamp plate, 300MM PC XT hinge assembly
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 5 new AMAT 0140-76488 Harness , EMO 300MM MF Cent
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: New AMAT 0660-01813 PCB gespac intrf RS232
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: new AMAT 0090-90434 assy. HV, I/F switch
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: new AMAT 0090-90435 assy. transformer and fuse
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: New AMAT 0150-92906 cable assy RES BOX/PSU high voltage cable
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: new AMAT 0021-01646 producer latch, safety, MW clean lid
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: new AMAT 0010-38608 ASSY, SIDE DOOR COVER 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 New AMAT 0020-76313 Guard, ENP Chamber lid lift
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: new AMAT 0040-33385 injector supply manifold
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: new AMAT 0240-92075 gas panel tool kit
farmoninc
[view on eBay]
NEW 1
in stock
$700.00
Description: new AMAT 0240-91958 KIT, R FIT, Argon drier
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: New AMAT 0140-77739 harness, AC power, cleaner
surplusssam
[view on eBay]
NEW 2
in stock
$19.99
Description: NEW LAM RESEARCH 715-012025-001 1"ID SPACER O-RING IN CLEAN ROOM READY BAG
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 New AMAT 0150-00595 Cable Assy. Cell B INTLKS
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: New AMAT 0190-01045 heater jacket, dump/final element, txz, ch
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: New AMAT 0040-41662 Bracket, mounting, EMO switch
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 New AMAT 0150-02415 cable assy. pump AC power, anneal control, Farmon 323210
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: New AMAT 0140-75285 harness assy. SCRA
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: New AMAT 0150-09724 SPOT K TEC electronics, cable
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: New AMAT 0150-02774 SPOT K TEC electronics, cable
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: New AMAT 0150-95959 cable x14E.DIST UNIT/X14D.P1
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 15 new AMAT 0140-10068 K TEC electronics cable assy. robotic motor
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: NEW AMAT 0150-01202 cable assy. ribbon HD rot drvr com 18L E
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 0150-40170 cable assy. mainframe pump
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: new AMAT 0020-19477 top mounting block, LLA, photomask PRESE
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0010-02532 water return hose W/SST flare FTGS chamber 3
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 new AMAT 0140-77480 cable, robot, DC, K17 TO
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: new AMAT 0150-77079 cable assy, 20FT power PAD CON
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 new AMAT 0021-12533 REAR CORNER GUARD
farmoninc
[view on eBay]
NEW 2
in stock
$300.00
Description: 6 new AMAT 0021-07470 lower clamp ring, 300mm dual cell, ECP
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 8 new AMAT 0021-10773 ground strap, chiller plate
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: 2 new AMAT 1400-01325 pressure sensor 0-1MPA 1/8PT 3wire 3m LG, SMC PSE520-T01
farmoninc
[view on eBay]
Used 9
in stock
$10.00
Description: 10 new high voltage stickers, AMAT 0060-09199
farmoninc
[view on eBay]
NEW 10
in stock
$1,250.00
Description: 5 new AMAT 0620-02707 N/F power cable assy.
farmoninc
[view on eBay]
NEW 10
in stock
$1,000.00
Description: 5 new AMAT 0100-01010 PCB ASSY INTFC SECS II
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 new AMAT 0010-00687 assy. tube clamp
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: 12 new AMAT 3700-01881 ORING , O-ring
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: 2 new AMAT 0150-01968 cable assy. AC fail 50 FT umbilical, 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 5 new AMAT 0150-13123 cable assy, PT5-PT6 jumper V-return
farmoninc
[view on eBay]
NEW 3
in stock
$1,400.00
Description: 7 new AMAT 0150-00831 cable assy, SRD lift MTR B/H sensor U
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 new AMAT 3700-01019 ORING ID 2.600 CSD .210 SILICNS595
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: 2 new AMAT 0090-36216 DPS CENTURA, ELECT ASSY, RF CALIB INTL ,
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 3 new AMAT 0020-07926 Bellows Shield , lower ECP, Anneal
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 new AMAT 3700-01029 ORING, O-RING
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 new AMAT 3700-90156 O-RING ID 368 CSD 6.99
farmoninc
[view on eBay]
NEW 2
in stock
$10.00
Description: 100 new AMAT 1290-01260 term ring TNG #4 14-16AWG VINYL IN
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 3 new AMAT 3310-01139 pressure gauge 0-160PSI 2"D 1/8 NPT CTR-BK
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 3 new AMAT 0021-02683 BRACKET, SLD, LONG, PRODUCER
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 2 new AMAT 1400-01402 sensor hall effect PNP-sourcing 3/4" BORE
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 new AMAT 0020-96150 block drive
farmoninc
[view on eBay]
NEW 7
in stock
$1,800.00
Description: 12 new AMAT 3980-01046 ring pedestal 150mm alum tss pkg mec/st
farmoninc
[view on eBay]
NEW 1
in stock
$10.00
Description: 5 new AMAT 0720-01460 plug, 3 pos pc mtg
farmoninc
[view on eBay]
NEW 1
in stock
$800.00
Description: 8 New AMAT 0021-14417 Cover Probes, 300MM Chamber, 323632
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 new AMAT 0190-77356 cable CCD INTERFACE, 18FT NANO9000I
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 2 new AMAT 0150-00181 cable MFC ch.1, chamber anneal
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 new AMAT 0140-01923 H/A, AC PWR, CV OUTLETS, 2W 300MM FI
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 new AMAT 0020-06098 water shield 125mm grip
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 new AMAT 0021-23119 BRKT HOME SENSOR PPR IECP
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: 4 new AMAT 0050-04040 weldment 1:4 single valve manifold
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: New AMAT 3310-01134 gauge press 30MG/60PSI 1%ACC 2"D Honeywell
farmoninc
[view on eBay]
NEW 1
in stock
$1,000.00
Description: 4 new AMAT 0021-09874 plenum cathode liner rps
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 new AMAT 0021-07266 power upper reflector 200mm pre- clean
farmoninc
[view on eBay]
NEW 1
in stock
$900.00
Description: 9 new AMAT 0020-43598 bracket clamp DC
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: new AMAT 0150-20478 Cable assy, PVD chamber water shutoff
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 New AMAT 0150-00180 cable extension control anneal
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: New AMAT 0150-90413 fiber optic options chassis
farmoninc
[view on eBay]
NEW 1
in stock
$90.00
Description: 2 new AMAT 3700-01851 ORING ID 4.234 CSD .139 75 DURO BLK
farmoninc
[view on eBay]
NEW 1
in stock
$480.00
Description: 8 new AMAT 0021-38827 sleeve inconel Cassette Handler
farmoninc
[view on eBay]
NEW 2
in stock
$450.00
Description: 8 new AMAT 3310-01259 gauge press 1.5" D/A 0-100PSI 1/8NPT BO
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 5 New AMAT 1200-01609 RLY contactor 27Al 30AR 24VDC coil AEG LS17
farmoninc
[view on eBay]
NEW 1
in stock
$60.00
Description: 2 new AMAT 3220-00025 CPLG JOINT FLOATING 25MM BORE M10 THD 49
farmoninc
[view on eBay]
NEW 4
in stock
$1,800.00
Description: 12 new HBC-558 solid state relay opto 22 480D45-12-NOV120 novellus 61-338317-00
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: New AMAT 0040-05531 Cover, CHM I/O Drawer Top
usedeqsales
[view on eBay]
Used 6
in stock
$204.57
Description: SMC SS0750-06-DAO00978 6-Port Pneumatic Manifold AMAT 4060-00846 New
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,900.00
Description: AMAT 0010-24172 CII, HX, VALVE, NEW
alvin1462
[view on eBay]
NEW 4
in stock
$255.00
Description: AMAT Applied Materials 0140-16346 HARNESS ASSY, MOTOR POWER CHMBR 300MM NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$12.22
Description: AMAT 0020-34908 BUSHING, TERMINAL, DCVD, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 3 NEW AMAT 0021-30913 Single coil tilt ring, 0.25
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 3690-04029 SCR CAP SKT HD 8-32 X 3/4L HEX SST, LOT 8, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$5.00
Description: AMAT 3880-01025 WASR LKG SPLIT #10 .3340D X .200ID X.047 THK SST. LOT 7, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$4.00
Description: AMAT 3910-01099 LABEL CE WARNING NON-IONZ RADIAT TRIANG 1.97"IEC, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$4.00
Description: AMAT 3880-01262 WSHR FLAT .113IDX.25OD X.016 THK SST, LOT 8, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 3700-01440 ORING ID .799 CSD .103 KALREZ 4079 75DURO RLK, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3.00
Description: AMAT 0060-09181 LABEL, WARNING,RF SAFETY, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3.00
Description: AMAT 0060-09177 LABEL, WARNING, RF VOLTAGE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$2.00
Description: AMAT 3880-01008 WSHR FLAT #10 .438OD X .219ID X .049THK SST, LOT 4, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$85.00
Description: AMAT 3300-05197 FTG TBG SPCR CLR .56ID X .81OD X .132THK SST, LOT 4, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$7,900.00
Description: AMAT 0010-70768 ASSY,GAS BOX,UNIV. SILANE W/VITON ORINGS, NEW
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0190-25833 REV 001 Gate Annodized Aluminum, need new seal
grandbirdnet
[view on eBay]
NEW 1
in stock
$900.00
Description: AMAT 0200-20059 PA INSULATOR 5" SMRMF PC Ⅱ, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$500.00
Description: LAM RESEARCH 716-330760-002 (U)RMG MOD Shadow Clamp, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$55.00
Description: LAM RESEARCH 796-009365-001 HOSE METAL FLEX NW40 10 IN, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$550.00
Description: LAM RESEARCH 716-018614-082 Focus Ring 200mm,Jeida,ESX,4420, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$8,000.00
Description: AMAT 0010-30421 ASSY HEATER 8 JHT .029 W/VITON, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$130.00
Description: AMAT 0140-06710 300mm Mainframe Cable Assembly, NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$900.00
Description: AMAT 0200-00403 ISOLATOR PUMPING RING, MIDDLE, PRODUCER, NEW
grandbirdnet
[view on eBay]
NEW 10
in stock
$700.00
Description: AMAT 0020-29343 SHLELD, CLAMP DARKSPACE, NEW
grandbirdnet
[view on eBay]
NEW 12
in stock
$150.00
Description: NOVELLUS 15-101482-01 FORK, TOP PLATE, B ANTI-DEFLECT, SEQ, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0190-14247 GAUGE PRESS-1 TO. 7MPA/30″HG-100PSI 21N, NEW
grandbirdnet
[view on eBay]
NEW 38
in stock
$50.00
Description: AMAT 0190-19374 LAMP 120V 2100W LP, NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$200.00
Description: AMAT 0020-79185 BEARING CLAMP, BASE ASSY, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3700-02409 O-RING ID 2.980,CSD .070,, PAD CONDITIONE, NEW, LOT 29
grandbirdnet
[view on eBay]
NEW 4
in stock
$35.00
Description: AMAT 3690-04289 SCREW,SOCKET HEAD,2-56*3/16,GIMBAL BASE, NEW, LOT 25
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 3880-01305 1/4 SPLIT LOCKING WASHER, NEW, LOT 10
grandbirdnet
[view on eBay]
NEW 1
in stock
$120.00
Description: AMAT 3300-00765 FTG HOSE BARB ELBOW 1/8 X 1/8 PVDF, NEW, LOT 18
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 3690-01090 SCREW,SOCKET HEAD,8-32*1,PAD CONDITIONER, NEW, LOT 10
grandbirdnet
[view on eBay]
NEW 1
in stock
$65.00
Description: AMAT 3880-01028 WSHR LKG SPLIT #3/8.680 OD X .385 ID X ., NEW, LOT 10
grandbirdnet
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0020-77377 CLAMP, SWEEP, DRIVE GEAR, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3690-01092 SCR 10-32 X 3/8L,HEAD SWEEP BALL SCREW, NEW, LOT 70
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3690-03228 BUSHING SCREW, NEW, LOT 10
grandbirdnet
[view on eBay]
NEW 1
in stock
$90.00
Description: AMAT 3690-01107 PULLEY SCREW, NEW, LOT 10
grandbirdnet
[view on eBay]
NEW 1
in stock
$35.00
Description: AMAT 3060-01782 PIVOT, NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$40.00
Description: AMAT 0020-79923 SWEEP, MOTOR SPACER, BASE ASSY, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$69.00
Description: AMAT 0020-77372 GEAR, SWEEP, DRIVING, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$60.00
Description: AMAT 3780-02204 WASHER WAVE SPRING 3WAVE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$104.00
Description: AMAT 3950-01021 NOZ, SPRAY VEEJET 1/8 NPT, NEW, LOT 26
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3630-01238 RTNR RING EXT 5MM SFT .62MM TH, NEW, LOT 3
grandbirdnet
[view on eBay]
NEW 2
in stock
$200.00
Description: AMAT 0021-77924 SEAL HEAD ASSEMBLY PAD CONDITIONER, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$15.00
Description: AMAT 3550-01266 PIN CLEVIS DBL 5MMDIAX 16MML, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$10.00
Description: AMAT 0720-01173 CONN CABLE CLAMP CIRC W/BSHG SHELL, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$210.00
Description: AMAT 0870-01030 VACUUM EJECTOR, RETAINER RING, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$176.00
Description: AMAT 0090-77181 GRIPPER SENSOR HARNESS, ARM 3, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 3700-01063 ORING, BLACK, NEW, LOT 49
grandbirdnet
[view on eBay]
NEW 1
in stock
$176.00
Description: AMAT 0090-77180 GRIPPER SENSOR HARNESS, ARM 2, NEW
grandbirdnet
[view on eBay]
Used 8
in stock
$141.00
Description: AMAT 0020-78435 LONG ROBOT X AXIS DRIVE BELT CLAMP, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$30.00
Description: AMAT 3310-01245 GAUGE PRESS 0-60PSI 1.5"D 1/8NPT CTR-BK, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$80.00
Description: AMAT 0020-77337 BELT GUARDS, X-AXIS BELT,LONG ROBOT, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$176.00
Description: AMAT 0090-77182 5 GRIPPER SENSOR HARNESS, ARM 4, NEW
grandbirdnet
[view on eBay]
NEW 7
in stock
$500.00
Description: AMAT 0020-79188 SPRING SPACER PAD COND, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$160.00
Description: AMAT 0020-77300 SPACER,ROBOT, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$225.00
Description: AMAT 0020-78433 COUPLING, DRIVE PULLEY, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0020-77280 PULLEY MOUNT,LONG ROBOT, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$590.00
Description: AMAT 0020-79007 STAR BRACKET, NEW
grandbirdnet
[view on eBay]
NEW 10
in stock
$70.00
Description: AMAT 3300-04185 SWIVEL ELBOW FITTING, NEW, LOT 10
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0020-78194 CAP, LOAD CUP, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$140.00
Description: AMAT 0020-77292 MAIN CAP, LONG ROBOT, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0020-78871 MOTOR MOUNT, ROBOT X-AXIS, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$575.00
Description: AMAT 0020-78283 MOTOR MOUNT, ROBOT X-AXI, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$740.00
Description: AMAT 0020-79190 FRAME, HARMONIC DRIVE, BASE ASSEMBLY, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 0720-04000 SPCR,IDILER PULLY BEAR,LONG ROBOT, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$25.00
Description: AMAT 0690-01767 CLAMP SPLIT & SCREW 1/2 SHAFT SIZE .562, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$1,500.00
Description: AMAT 0010-08113 ASS'Y, INPUT GRIPPER,UPGRADE,200MM MESA, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$800.00
Description: AMAT 0020-77441 SHAFT LOAD CUP, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0140-77472 BURKERT VAVLE CABLE, RETAINER RING, NEW
grandbirdnet
[view on eBay]
NEW 7
in stock
$70.00
Description: AMAT 3080-01151 TIMING BELT-BERCO,INPUT SHUTTLE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$90.00
Description: AMAT 0140-77420 BURKERT VAVLE CABLE,MEMBRANE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$850.00
Description: AMAT 0010-08114 ASSY, GRIPPER, UPGRADE, 200 MM MESA, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0040-77485 INTERNAL SHIELD, BU, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$30.00
Description: AMAT 0140-77492 E/P REGULATOR CABLE, INNER TUBE, NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$25.00
Description: AMAT 0140-77491 E/P REGULATOR CABLE, RETAINER RING, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$16.00
Description: AMAT 0021-77073 EXT.HAR.DR.SPACER, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0040-80234 CROSS COVER VERTICAL, NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$3,600.00
Description: AMAT 0010-07353 BRUS BAR ASSEMBLY, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0040-77232 BULKHEAD, SEPARATE DRAIN LINES, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 3300-04113 FTGTBG ELBOW 90DEG 5/32T X 10-32UNF-M, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,660.00
Description: AMAT 0021-77092 MOUNT-DRIVE MOTOR, NEW
grandbirdnet
[view on eBay]
NEW 18
in stock
$700.00
Description: AMAT 1270-00688 SW FLOW FLUID 800CC DECR 3/8FNPT SPST-N, NEW
grandbirdnet
[view on eBay]
NEW 9
in stock
$300.00
Description: AMAT 1270-00748 SW FLOW FLUID, 2LPM SPST NO, 1/2IN FLARE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$197.00
Description: AMAT 0020-77398 ARM 2, LOADCUP, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0020-79294 MOUNT CLIP, LOWER CV, NEW, LOT 4
grandbirdnet
[view on eBay]
NEW 2
in stock
$25.00
Description: AMAT 3300-03058 FTG TEE MALE RUN 1/4T OD 1/8 P-NPT, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$770.00
Description: AMAT 0020-79091 8 WAFER RING, SENSOR HEAD, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$65.00
Description: AMAT 0140-77358 CABLE, CROSS ALARM-ROBOT, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$40.00
Description: AMAT 0140-08638 HARNESS CABLE, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$500.00
Description: AMAT 0090-77278 HARNESS, SWITCH LIQ. LEVEL HIGH, BRUSH L, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$120.00
Description: AMAT 0020-77457 BRACKET, BELT TENSIONER, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 0015-01460 DIN RAIL MOD, PS F/P MONITOR, 200MM POLISHER, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0020-78238 RETAINER, NEW
grandbirdnet
[view on eBay]
NEW 6
in stock
$80.00
Description: AMAT 3370-01178 HDL PULL 3.38″L .38″ W .25″THK BLK, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$170.00
Description: AMAT 0021-27285 PUSHER TIP,VDPM DESICA 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$900.00
Description: AMAT 0010-13188 CRESCENT DRIVE ASSY, VDPM, 300MM DESICA, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 3300-03956 FTG, TBG ADPTR EL 3/8T X 1/4 MNPT PFA, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0022-77364 COVER, EXHAUST SENSOR BOX, NEW
grandbirdnet
[view on eBay]
NEW 9
in stock
$80.00
Description: AMAT 0020-79103 WAFER LOSS PCB MOUNT, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$30.00
Description: AMAT 3300-06036 FTG TBG MALE CONN 1/2T X 3/8NPT FLARE PF, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$85.00
Description: AMAT 0021-78802 BLK, HNGE MTG, MID SKIN, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$70.00
Description: AMAT 3020-01133 CYLINDER, AIR 12MMX40MM, NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$95.00
Description: AMAT 0021-79305 BRACKET, UPPER, MOUNT BULKHEAD FOR DRIP PAN, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$82.00
Description: AMAT 0140-77496 CABLE ASSY, PHOTOHELIC SENSOR, LOWER EXH, NEW
grandbirdnet
[view on eBay]
NEW 7
in stock
$200.00
Description: AMAT 0190-77226 WAFER PRESENCE SENSOR, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$540.00
Description: AMAT 0090-77281 HARNESS, SWITCH, LIQ. LEVEL LO-LO, BRUSH, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$100.00
Description: AMAT 0040-62491 MTG PLATE, AC ADAPTER, CMP PORT SERVER, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$109.00
Description: AMAT 0040-75432 PANEL, FRONT LIGHT TOWER ASSY CMP CONTRO, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$135.00
Description: AMAT 0021-03121 BRACKET,CABLE CARRIER,RGT STDF,200MM SMI, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0021-19681 CMP COVER PLATE LIGHTED PROCESS, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0050-77044 INTERPLATEN TUBE AV8-, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$980.00
Description: AMAT 0040-77885 SKIN REAR, UPPER RIGHT 200MM CLEANER, NEW
farmoninc
[view on eBay]
Used 8
in stock
$7,900.00
Description: AMAT 0010-03372 WxZ Heater Assembly, 8 inch, New in Box and papers
farmoninc
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03369 WxZ Heater Assembly, 8 inch, New in Box with parpers
farmoninc
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03332 WxZ Heater Assembly, 8 inch, new in Box and papers
farmoninc
[view on eBay]
Used 2
in stock
$7,900.00
Description: AMAT 0010-03371 WxZ Heater Assembly, 8 inch, New in Box and papers
grandbirdnet
[view on eBay]
NEW 2
in stock
$100.00
Description: AMAT 3300-06035 FMF6SUPN-3, SUP Straight Union Panel Fitting, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$7,200.00
Description: AMAT 0040-76579 BEARING SHIELD, FIXED ABBRASIVE, 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$130.00
Description: AMAT 0020-35761 DOOR 5 PHASE DRIVER, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 3300-04356 FTG TBG ADPTR EL1/4TX1/4, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0020-47188 Membrane clamp internal, 300mm, 0020-47188-002
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0140-78183 Cable mega generator power
farmoninc
[view on eBay]
NEW 3
in stock
$750.00
Description: NEW AMAT 0100-00469 Assy PCB system AC interconnect distribution ECP, 0130-00469
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: NEW AMAT 0100-76091 PCB assy, SBC/WPS/CF Distribution
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: NEW AMAT 0020-78697 8 inch Wafer ring, Tungsten, TIIAN head
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: NEW AMAT 0200-00072-PI, Insert Quartz Ring, AMAT 0200-00072
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: NEW AMAT 0020-35318 SLIDER, WAFER LIFT
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: NEW AMAT 0100-76109 PCB assembly Status display, MF controller, Schem 0130-76109
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0100-00720 SIP MAGNET ROTATION DIRECTION SWITCH, PCB assembly
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: NEW AMAT 0020-32253 Carrier ESC Wafer lift, Etch chamber, REV 001 ASL CARRIER
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0040-00598 Panel, Lower Exhaust
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: NEW AMAT 0020-21286 Clamping ring 6 inch TIN major
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0140-03769 Harness Assy AC Power, input, DCPS unit
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: NEW AMAT 0020-83799 Blanking plate top box S.Pipe
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: NEW AMAT 0100-35178 PCBA, CHX interface board
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0022-77210 LEFT FI SLIT OUTPUT
grandbirdnet
[view on eBay]
NEW 25
in stock
$300.00
Description: AMAT 0190-16688 ETHERNET SWITCH 5-PORT, 10/100MBPS, NEW, LOT 2
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0190-14387 TRANSDUCER, SINGLE PORT, 0-60PSI 3PIN TURCK, NEW
grandbirdnet
[view on eBay]
NEW 25
in stock
$300.00
Description: AMAT 1270-00551 M-60-T31-01-027 Malema Flow Switch, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$55.00
Description: NEW AMAT 0040-41085 Foreline, Vertical Producer 200MM, 200 MM, 2-15688701-383
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: NEW AMAT 0190-05999 CDO, Upgrade, Tank Vent
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0190-04613 Hose Assymbely HEAT EX suply/LID IN CH D PH I
farmoninc
[view on eBay]
NEW 1
in stock
$190.00
Description: NEW AMAT 0010-77913 assymbely, Slurry interlock without
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0020-76201 Insulation block, Main AC Remote, REV A, MEI-A-309600
farmoninc
[view on eBay]
NEW 1
in stock
$99.00
Description: NEW AMAT 0190-12375 Hose Assembly, 1/2 Seal LOK and 1/2 Hose Yello
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0150-04642 Cable assymbely, 2 phase driver signal I/F 75
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0022-77211 LEFT FI SLIT OUTPUT
farmoninc
[view on eBay]
NEW 1
in stock
$360.00
Description: NEW AMAT 0020-97724 LINER, BEAMSTOP
farmoninc
[view on eBay]
NEW 1
in stock
$45.00
Description: NEW AMAT 0140-90329 CFA, BLINE UTILS, VALVES
farmoninc
[view on eBay]
NEW 1
in stock
$99.00
Description: NEW AMAT 0020-32835 Spacer, Loadlock cover lifter WPS
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0050-76997 VENT LINE LOWER, CHAMBER D, CAJON ONLY
grandbirdnet
[view on eBay]
NEW 6
in stock
$1,100.00
Description: AMAT 0090-00442 ELECT ASSY, 200W SERVO MOTOR W/BRAKE, NEW
grandbirdnet
[view on eBay]
NEW 7
in stock
$300.00
Description: AMAT 1350-00247 PRESSURE SENSOR, NEW
grandbirdnet
[view on eBay]
NEW 8
in stock
$100.00
Description: AMAT 3860-01596 TBG FLEX .25ID X 50FT NORPRENE, NEW
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: NEW AMAT 0021-26653 Left wall, Megasonic, FM 4910, 200MM CMP
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0190-02991 Watlow Heater jacket, pump stack for 0050-05247
farmoninc
[view on eBay]
NEW 4
in stock
$695.00
Description: NEW AMAT 0200-10180 -P1 West coast Quartz, PIPE Insulating QTZ lowered cathode
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: NEW AMAT 0040-85376 Shield Front, Cleaner, 200MM Desica, 200 MM
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0010-02709 VALVE ASSYEMBLEY BYPASS SST VESPEL
grandbirdnet
[view on eBay]
NEW 11
in stock
$60.00
Description: AMAT 3060-00275 BALL BEARING 12MM BORE X 28MM OD X 8MM W/ VIT, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$60.00
Description: AMAT 0021-23335 LOCK SPLASH GUARD HOUSING SCRUBBER, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$490.00
Description: AMAT 0020-77435 CAP, LOADCUP, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 0020-78365 SPRING BUSHING, CENTERING ARM, LOADCUP, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$30.00
Description: AMAT 1030-01023 SWITCH MAGNET, NEW, LOT 4
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0020-77416 PIN CAP LOAD CUP, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$270.00
Description: AMAT 0021-26112 SST SEAL CLAMP, HEAD ASSY, PAD CONDITION, NEW
grandbirdnet
[view on eBay]
NEW 16
in stock
$100.00
Description: AMAT 1120-00088 PHOTOELECTRIC SWITCH FIBER UNIT, NEW
grandbirdnet
[view on eBay]
NEW 88
in stock
$830.00
Description: AMAT 1080-01243 MOTOR SGM SERVO 100W 200VAC W/INCR, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,900.00
Description: AMAT 0010-11591 ASSEMBLY, BELT TENSIONER, INTERNAL, BRUS, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$3,000.00
Description: AMAT 1350-00250 MEG LDM CHEMICAL TRANSDUCER, NEW
farmoninc
[view on eBay]
NEW 2
in stock
$950.00
Description: NEW AMAT 0190-02716 Hose Assymbely, 50 FT SUP/RET Low Temperature, EMAX 3
farmoninc
[view on eBay]
NEW 1
in stock
$1,400.00
Description: NEW AMAT 0020-07701, Shield, Upper Ti/Tin AL Flame spray, AMAT 0020-28708
farmoninc
[view on eBay]
NEW 4
in stock
$695.00
Description: NEW AMAT 0200-09638 Cylinder QTZ 200MM EXT CATCH CERAMIC, 200 MM Quartz
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 5 NEW AMAT 3070-00014 Vaccum bellows Flex NW50 1.75ID 5.20L 321SST, 2FC-NW50-3
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: 11 NEW AMAT 0021-39782 Lift pin
grandbirdnet
[view on eBay]
NEW 19
in stock
$60.00
Description: AMAT 0021-77124 STAND, ROLLER ASSY, SCRUBBER, NEW
grandbirdnet
[view on eBay]
Used 9
in stock
$180.00
Description: LAM RESEARCH 715-140125-001 LOWER BAFFLE PLATE, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$90.00
Description: NEW AMAT 3700-01351 Seal CTR RING ASSY NW100, ORING SST, ISO 100
farmoninc
[view on eBay]
NEW 1
in stock
$9,500.00
Description: NEW AMAT 0090-91436 SOURCE MAGNET CONTROLLER CHASSIS PRE ACCEL/AMAG CTRL CHASSIS
grandbirdnet
[view on eBay]
Used 1
in stock
$6,000.00
Description: AMAT 0020-39361 RACE, LOWER,BEARING, UPPER ROTATION, RTP, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$6,400.00
Description: AMAT 0010-14246 ASSY, 100-500CC SINGLE CHANNEL, SLURRY D, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 0140-78060 CABLE, EXTENSION, WAFER PRESENCE SENSOR, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 3870-05315 Fujikin Diaphram Valve
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: NEW AMAT 3870-06063 Valve Assembely, Pneumatic Diaphram N/O 3 Port, 1-1/8 inch
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 3870-03902 Valve MNL Needle 2Way, 1/2T 1.8
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 3870-05751 Ham-Let EV8O-V-EP Diaphragm Valve
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 3800-00463 Pressure Regulator, 4 VCR Female IN/4 VCR Male out
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 2 NEW AMAT 1350-00492 Setra 2231025PABW2CD1M Pressure Transducer
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 3870-02496 Pneumatic Diaphragm valve 145PSIG, N/C 1/4 VCR, F/F
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 3870-04028 HAM-LET UCV, HM20-4VKLC-GF4 diaphram valve
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW Fujikin AMAT 3870-01881 Pneumatic bellows valve N?C 145PSI, 1/2 VCR-F/F PI
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: NEW AMAT 0040-09026 Manifold Block 2:1, 0040-09026-B
grandbirdnet
[view on eBay]
Used 4
in stock
$400.00
Description: AMAT 0020-78793 WFR HOLDR 6JAWS TITAN LC, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 3870-06006 Ham-Let UCV Series Diaphragm Valve
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: NEW AMAT 3870-06152 Diaphragm 2-Way Valve
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 3 NEW AMAT 0050-03356 Weldment, He Exhaust, DPS-POLY, 10R
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0140-77140 CABLE, BACKPLANE BOARD, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$120.00
Description: AMAT 0020-77445 SLURRY DRAIN LOADCUP, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 3630-01138 RTNRRING EXT 1/2 SHAFT E-TYPE SST 15-7, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0021-77130 SEAL COVER, ROLLER ASSY, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$8.25
Description: AMAT 0190-77221 SCR CAP SKT HD 8-32X3/4L HEX SKT/SLOT HA, NEW
grandbirdnet
[view on eBay]
NEW 15
in stock
$229.50
Description: AMAT 0021-77190 PIN, ROLLER ASSY, SCRUBBER 300MM, LOT 10, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0041-02032 BRACKET MOUNTING EXTENDER WITH LOTO BOX 200MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0190-77103 LOADCUP LINEAR BEARING, NEW
grandbirdnet
[view on eBay]
Used 6
in stock
$1,920.00
Description: AMAT 0020-27207 CLAMP RING 6 SMF PADDED HTHU HTR, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$14,400.00
Description: AMAT 0190-08582 KAIJO 78101-AD6-UL 800W RF GENERATOR, MEGASONIC CLEANER 300, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: 4 NEW AMAT 0190-05336 Belt Assembly, Buffer / Trans
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 1150-01029 SENSOR PROBE SINGLE CHANNEL 3M, S393
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: NEW AMAT 0020-78394 Clamp, Flexure, 6 inch Tian, 2HD
farmoninc
[view on eBay]
NEW 1
in stock
$190.00
Description: 2 NEW AMAT 0140-09337 Harness overfill inter locks
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0140-77349 Cable, Chamber, K Tec Electronics
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0020-24721 Shield Lower 8 inch, 101/COH AR, 13 inch
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0020-05780 LOCK ROD, UPPER, PUMP DOOR
farmoninc
[view on eBay]
NEW 1
in stock
$2,200.00
Description: 2 NEW AMAT 0020-97974 Blade 125mm Saw-Tooth, 125 mm
bntyhunter07
[view on eBay]
NEW 1
in stock
$49.99
Description: AMAT Applied Materials 0190-21452 Exhaust Vacuum Kit NEW C10517452 C10517490
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: NEW AMAT 1410-00214 Heater, 6KW, 208/3 FLNG
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0010-06042 Assy, GPLISIIIA, Enclosure
farmoninc
[view on eBay]
NEW 1
in stock
$5,000.00
Description: 2 NEW AMAT 3890-00020 Mobilizer Wheel Jack Left for Reflexion
alvin1462
[view on eBay]
NEW 2
in stock
$1,188.00
Description: AMAT APPLIED MATERIALS 0246-01857 KIT, PIN LIFT, DUAL AXIS DRIVER, PRODUCE NEW
alvin1462
[view on eBay]
NEW 2
in stock
$2,000.00
Description: AMAT APPLIED MATERIALS 0246-02066 Kit Wafer Adjustable Pin NEW
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0040-09741 Frame Ozonator 19 inch
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: NEW AMAT 0040-07257 SERVICE PLATFORM, 300MM TXZ P
sfwish
[view on eBay]
NEW 33
in stock
$39.97
Description: NEW AMAT 0190-71642 End Effector Pad for Wafer Transfer Vacuum Robot
grandbirdnet
[view on eBay]
NEW 3
in stock
$21,800.00
Description: AMAT 0190-22676 PROBE ASSEMBLY, RTA, SC ECP, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0021-79216 Finger, Short Walking Beam, 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: NEW AMAT 0100-90684 PWBA Wafer Sensor, ART4794
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0020-83302 Cover, Housing 200
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0040-90525 Body, Seal bushed
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0020-13226 Receiver right 26 slot cassette ENP
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: NEW AMAT 0021-00401 Plenum, Recess, Cathode Liner, RPS
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0021-17715 Cover, SWLL INDEXER
farmoninc
[view on eBay]
NEW 1
in stock
$65.00
Description: NEW AMAT 0190-05921 HTR GL CHA 3870-00500 PART 3 TICL4 PRODUCTION
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0242-36211 KIT, CROSS BARS SLD ENCLOSURE WITH VALVES
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0040-41027 POU DISPENSER BODY EXTENDED
farmoninc
[view on eBay]
NEW 1
in stock
$900.00
Description: 2 NEW AMAT 0190-01593 TOP BASE, TL WATER SYSTEM
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0040-03246 MOSS PRECISION, Flange, Exhaust Ducting, Skin Frame
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0240-24711 SHUTTER SENSOR RETROFIT KIT, ENCLOSURE, SOFTWARE
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0010-35506 ASSY, COVER, MICROWAVE GEN
farmoninc
[view on eBay]
NEW 1
in stock
$850.00
Description: NEW AMAT 0020-21945 POCKET PLATE 8 INCH PRECLEAN, REV A
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0021-10226 PUMPING PLATE AXZ, S105040-000049
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0200-09273 RING INNER 100MM 94MM, 2 FLT 90 SHADOW, S105000-000049
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0140-76811 HARNESS ASSY, MULTI SINGLE SLOT COOLDO
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0021-90081 COVER PVC
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0020-89173 Guide Tube Plate
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0040-04417 BRACKET, UPPER SUPPORT FI TO M
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0100-00882 PCB ASSEMBLY, 1 SEC. TIME DELAY FOR PUMP
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0190-04286 SWITCH 5PSIG 1/4VCR NORMALLY OPEN
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0100-90052 PWBA MB MOTOR POT
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0040-43386 PIVOT BASE PLATE, LID LIFTER, PRODUCER S
todd1455
[view on eBay]
NEW 3
in stock
$15.99
Description: Applied Materials AMAT 3300-08028 FTG Coupling Body 1/4T Shutoff Ferrule New
usedeqsales
[view on eBay]
Used 26
in stock
$1,103.14
Description: SMC XLA-160DA-M9BA High Vacuum Valve Assembly AMAT 0090-01100 New
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0140-91037 CFA X2G BULKHEAD
farmoninc
[view on eBay]
NEW 1
in stock
$110.00
Description: NEW AMAT 0200-65613 West Coast Quartz Glass Window Heat Chamber
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: NEW AMAT 0200-09918 Cover, Quartz, 200mm, high profile flat, etch chamber
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0200-09628 Gas Plate Quartz Sxtal 13 holes
farmoninc
[view on eBay]
NEW 1
in stock
$290.00
Description: NEW AMAT 0150-94183 Fiber Optic Cable, F/O, T1, 4450mm, X4F.Rx/X14A.D.Tx
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: NEW AMAT 0040-01097 Plate, Lid Wafer Sensor Module Bottom, T
farmoninc
[view on eBay]
NEW 3
in stock
$1,050.00
Description: 3 AMAT 0200-09482 West Coast Collar Quartz Alum 150MM Ext Cath New
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0190-21163 PCB ANALOG SYNC DETECT
farmoninc
[view on eBay]
NEW 3
in stock
$1,200.00
Description: 3 AMAT 0200-09373 West Coast Quartz Cover Ring Quartz Alum 150mm New
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0140-90426 Clean Room Remote CTL BX
farmoninc
[view on eBay]
NEW 1
in stock
$1,950.00
Description: 2 New AMAT 0190-01959 Motor, Servo 300W W/Brake Sanyo Denko P50B07030DCS00M
farmoninc
[view on eBay]
NEW 1
in stock
$2,700.00
Description: 6 NEW AMAT 0020-21311 Blocker Plate, Center, Retrofit,
farmoninc
[view on eBay]
NEW 18
in stock
$490.00
Description: AMAT 0010-30637 Cover Assembly , Pumping plate DXZ SACVD, New
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: New AMAT 0190-02452 Rev. 003 Factory interface I/O distribution PCB Board
grandbirdnet
[view on eBay]
Used 2
in stock
$200.00
Description: AMAT 3300-00891 FTG PIPE PLUG 1"MNPT PVC SCHED 40, LOT 10, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3860-01683 TBG PLSTC .250OD X .047WALL PFA 450HP 4 FEET, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0020-78902 FRONT PLATE, CLEAN CUP, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0720-04128 CONNDNET TRUNK TEE 5 POS CIRC 7/8-16 RKM, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$50.00
Description: AMAT 3300-04783 FTG, PIPE PLUG 1 – 1/2″ THD, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$220.00
Description: AMAT 0021-77125 ROLLER, ROLLER ASSY, NEW
grandbirdnet
[view on eBay]
NEW 9
in stock
$1,100.00
Description: AMAT 0200-02542 RING INSULATOR STEPPED PRODUCER ETCH, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$8,900.00
Description: AMAT 0270-00735 CALIBRATION TOOL ROBOT, 300MM HDPCVD, UL, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$5,000.00
Description: AMAT 0270-00860 CATHODE STAND,NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0090-77153 BRUSH BAR SWITCH RIGHT SENSOR, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,640.00
Description: AMAT 0270-00739 INSTALL TOOL CATHODE ASSEMBLY, 300MM HDP, NEW
surplusssam
[view on eBay]
Used 9
in stock
$59.99
Description: NEW MEC TECH MEC83106-2038L PEDESTAL RING FLUSH S/I BUT AMAT 0045-00030
grandbirdnet
[view on eBay]
NEW 1
in stock
$60.00
Description: AMAT 0020-78260 MNTG BRKT 1 LOADCUP, NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$8,000.00
Description: AMAT 0020-62093 SHIELD, X SHAFT, NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$1,500.00
Description: AMAT 0200-05711 COVER RING, MC HIGH PROFILE LOCKING ULT, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$1,400.00
Description: AMAT 0200-35335 RING,CAPTURE,ESC,195MM,FLAT,DPS CHAMBER, NEW
grandbirdnet
[view on eBay]
NEW 44
in stock
$300.00
Description: AMAT 0040-80952 RETAINING RING COMPOSITE 8 INCH TITAN HE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0190-19008 SPECIFICATION FOR UHP POLYMER FOUR VALVE, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0100-90163 PWB ASSY, WAFER ARM POS, S412
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0100-90052 PWBA MB MOTOR POT, LPN 205874406
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,300.00
Description: AMAT 0200-02528 BLADE, QUARTZ, DUAL BLADE ROBOT, TYPE 3, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0240-29122 KIT, DEVICE NET METER, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$4,200.00
Description: AMAT 0190-16633 TWO CHANNEL MAGNET DRIVER SPECIFICATION, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,200.00
Description: AMAT 1400-00010 SENSOR, LIGHT CURTAIN EMITTER, 28", NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$9,000.00
Description: AMAT 0190-27040 MKS PROCESS SENSOR W/KF50 FLANGE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$5,700.00
Description: AMAT 3030-15338 MKS FRCA-28129 CONTROLLER FLOW RATIO 500/500 1/4 VCR, D, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$810.00
Description: AMAT 0020-87543 SHIELD, SHAFT & WRIST, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,200.00
Description: AMAT 1140-00514 PWRSP, BI-POLAR ESC, HIGH VOLTAGE, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$11,700.00
Description: AMAT 0195-04790 LAMPHEAD, COOLWAVE, CW-610, REMOTE BLOWE, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$275.00
Description: NEW AMAT 0090-77008 Assy LVDT ZT-810/800 PM2
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 0020-04878 MRS FIXED SLIT
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: NEW AMAT 0090-77008 Sensotec Pressure Load Acceleration Displacement
farmoninc
[view on eBay]
NEW 1
in stock
$165.00
Description: NEW AMAT 0010-05495 Hose Assy, Astron Supply, Line #1
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0020-01146 ANTI ROTATION RING, S1781222-02
grandbirdnet
[view on eBay]
NEW 1
in stock
$850.00
Description: AMAT 0140-04294 HARNESS ASSY, MEGASONIC POLYLINE 200MM CLEANER, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$850.00
Description: AMAT 0140-01821 HARNESS, PNEUMATIC LWR ELECTRONICS, PRES, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$11,900.00
Description: AMAT 0021-27015 CATHODE SLEEVE YTTRIUM OXIDE PRODUCER ET, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$5,300.00
Description: AMAT 0190-22286 SPECIFICATION CENTURA AP PANEL TEMPERATU, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$180.00
Description: AMAT 0021-79508 ANGLE, REAR, SKIN MTG, UP RT, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$12,400.00
Description: AMAT 0190-15389 10 PORT MANIFOLD, FACILITIES SLURRY/CHEM, NEW
grandbirdnet
[view on eBay]
Used 5
in stock
$200.00
Description: AMAT 0020-26256 SHIELD, FRONT PLATEN, MIRRA CMP, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$190.00
Description: AMAT 0040-75423 BRDT/GFI SNSR MTG CENTURA/CMP, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$200.00
Description: AMAT 0021-79248 COVER, FREESTANDING, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0021-18682 PANEL, CEILING, LEFT, IR ISRM INTERLOCK READY, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$150.00
Description: AMAT 3860-01460 TUBE POLYURETHANE RED 1-4IN, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 3920-00156 TOOL TORQUE SCREWDRIVER 1/4HEX-SKT-DR 11IN-LBS 4"LG, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$840.00
Description: AMAT 0020-20073 LOCK, LID INTERLOCK, HDPCVD 300MM ULTIMA, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$640.00
Description: AMAT 0270-00737 SPANNER WAFER TEMP PROBE ULTIMA HDP-CVD, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$220.00
Description: AMAT 0270-03126 ROBOT ALIGNMENT TOOL, 1.88L, 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$700.00
Description: AMAT 0270-00772 GAGE, LIFT STOP LIFT ASSY, 300MM HDP-CVD, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 0270-02883 BLANK OFF, BLOCK GAS FEED, HDPCVD, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0270-00734 HANDLE THROTTLE VALVE HDP-CVD, ULTIMA, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,700.00
Description: AMAT 0270-00742 PUSHROD, 300MM HDP-CVD, ULTIMA, LOT 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0270-18001 PRESS, LOCATING PIN, LOT 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,400.00
Description: AMAT 0240-04232 ASSY, TTW MONITOR W/LIGHT PEN & 12FT VID, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0015-13028 MOD INTERIOR TRIM 54 POSITION CB, NEW
farmoninc
[view on eBay]
NEW 2
in stock
$100.00
Description: NEW AMAT 0040-64296 Shield, TC, EBK
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: NEW AMAT 0020-76181 Cover, Cooldown chamber, Non-ENP
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0021-07773 Bar, Buss, Ground/Neutral, TXZ Gas Box 3
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0020-12319 COVER CDA LOCK OUT TPCC
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0100-90188 PWBA VACUUM MANUAL CONTROLLER
farmoninc
[view on eBay]
NEW 3
in stock
$95.00
Description: NEW AMAT 0040-94327 Shaft, Drive, 200, Hyundnai
farmoninc
[view on eBay]
NEW 1
in stock
$199.00
Description: NEW AMAT 0050-10312 TUBE WELDMENT DISTRIBUTION
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: NEW AMAT 0040-91967 FILTER FINE MESH EXTR N VALVE
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0190-11966 Gasline, Heated, 200mm
farmoninc
[view on eBay]
NEW 2
in stock
$150.00
Description: NEW AMAT 0021-15140 BASE DUAL SENSOR END POINT DETECTOR
farmoninc
[view on eBay]
NEW 5
in stock
$250.00
Description: NEW AMAT 0100-01640 PCB ASSY, BRUSH PERSONALITY BD, FM-CLC, INTERLOCK
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0040-47730 Mount, UV, Application RPS on RTP TANOX
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 NEW AMAT 1200-00101 RC COIL SURGE SUPRESSER 24/50VAC/DC
farmoninc
[view on eBay]
NEW 3
in stock
$75.00
Description: NEW AMAT 0021-36699 CLAMP SIDE FEED 1/4 LINE RTP
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0190-08851 Specification assy, cable, lamp/camera/lif
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 NEW AMAT 0140-00974 Harness Driver Enclousure 300MM centura
farmoninc
[view on eBay]
NEW 1
in stock
$199.00
Description: NEW AMAT 0240-52481 NON-SEISMIC SECUREMENT KIT FOR 300MM 5.X FI, 3480-00317
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0040-42664 BOX RF CONNECTOR DTCU
farmoninc
[view on eBay]
NEW 2
in stock
$650.00
Description: NEW AMAT 0140-91016 LOOM, UMBILICAL PC INTERFACE
farmoninc
[view on eBay]
NEW 2
in stock
$195.00
Description: NEW AMAT 0020-06058 Collet, Upper
farmoninc
[view on eBay]
NEW 13
in stock
$230.00
Description: NEW AMAT 1400-01325 pressure sensor 0-1MPA 1/8PT 3wire 3m LG, SMC PSE520-T01
farmoninc
[view on eBay]
NEW 1
in stock
$1,000.00
Description: 2 NEW AMAT 0500-01129 MAIN CENTER ASSY
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: NEW AMAT 1140-01142 PWRSP DC 12V @ 4.2A 50W ADJ
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0020-25748 Clamp Ring 6 inch SMF Tinitride
farmoninc
[view on eBay]
NEW 1
in stock
$1,150.00
Description: NEW AMAT 0100-91014 PWB ASSY DECEL CONTROL MOTHER BOARD
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0021-00836 MODIFIED TUBE, VAPORIZER TIP, 10 MIL 60D
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: NEW 0021-78326 AMAT CAP, UPPER PNEUMATICS MANIFOLD
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0190-00122 Function Spec. PCB CDN119 DNET COMM PVD HX, 327475
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0040-04466 Claw, upper gripper LR 200mm
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0021-07218 Window front 300mm wafer loader, 327473
farmoninc
[view on eBay]
NEW 1
in stock
$1,150.00
Description: NEW AMAT 0190-14027 W Board Wafer Flat Finder, 327472
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: NEW AMAT 0021-15196 Water line cover swll 300mm endura
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0040-01009 Tube WLDT, Gas Module
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: NEW AMAT 0040-37606 Gas Manifold, CVD AL
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: NEW AMAT 3030-02016 WLFC SA40111 TEOS 1GM/MIN FULL SCALE
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 1400-01286 Sensor raw TFE RTD spare for 0190-77171, 327505
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: NEW AMAT 0040-01097 Plate, Lid wafer sensor module bottom, T, 327504
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 3180-90029 Catch, Plunger Spring Loaded
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: NEW AMAT 0150-97125 #VWH43 TILT CONTROL I/O CABLE
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: NEW AMAT 0040-01097 Plate, Lid Wafer Sensor Module Bottom, T. 327522
farmoninc
[view on eBay]
NEW 1
in stock
$225.00
Description: NEW AMAT 1400-01286 Sensor, RAW, TFE, RTD
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: NEW AMAT 0010-09197 ASSY RF CHOKE
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0010-00654 ASSY CABLE BRACKET CHAMBER B
farmoninc
[view on eBay]
NEW 1
in stock
$490.00
Description: NEW AMAT 0010-77108 ASSY MANIFOLD
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: NEW AMAT 0040-90952 Resistor chain, 150 TXX 5 long, 327547
farmoninc
[view on eBay]
NEW 2
in stock
$400.00
Description: NEW AMAT 0200-40080 Clamp, ESC, 200mm, Quartz Ring
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0050-27590 Hose Assy, Facility water return RMTCLN
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 NEW AMAT 1400-01286 Sensor raw TFE RTD spare for 0190-77171, 327530
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: NEW AMAT 0100-90916 PWBA ORIENTER MOTHERBOARD
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0190-06036 HOSE ASSY, CH A CHAMBER H2O RETURN, 300mm, 327817
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: NEW AMAT 0190-11671 THERMOCOUPLE ASSY, HT CATHODE, DPS2
farmoninc
[view on eBay]
NEW 2
in stock
$4,500.00
Description: NEW AMAT 0200-00290 CELL, TOP, 193mm ID, Ceramic, IECP
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: NEW AMAT 0010-06243 ASSY, Differential Pressure Switch
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0140-35133 Harness Assy ACP to BJ
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: 2 NEW AMAT 1350-01193 XDCR PRESS 0-1MPA 1-5VOUT 6MM RDCR PORT, PSE510-R06, SMC
farmoninc
[view on eBay]
NEW 1
in stock
$370.00
Description: 5 new AMAT 0010-04671 Blankoff, 4MM Probe, 300MM Radiance XE
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: NEW AMAT 0020-32130 Spacer N15 Shunt 200mm ESC Etch, 327849
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0100-90682 PWBA, ROBOT INTERLOCK
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0160-00010 ETHERNET ADAPTOR PC-NET-3C
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0190-06731 Encoder Cable 1
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: NEW AMAT 0620-00814 DRY NOVA SPI/COM CABLE ASSY 0.5M
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0020-82831 ARC CHMBR, REMOTE INSULATED PFS, 327860
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: NEW AMAT 3700-90255 Seal Slit Round
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: NEW AMAT 0040-62491 Adapter plate SMP support server
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0040-45553 Panel Toxic Skin, Upper right
farmoninc
[view on eBay]
NEW 3
in stock
$250.00
Description: NEW AMAT 0090-77009 Assy LVDT ZT-910/900 PM2, Sensotec 060-3590-06, ID 327865
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 3 NEW AMAT 0150-36157 C/A Tylan Mfc Long
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0040-90503 Shoe, pick up, 100mm thin waf
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 1140-01209 Power Supply 120VC to 3000VC
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: 26 NEW AMAT 0020-79205 Support Pad, Membrane 8" Tungsten
farmoninc
[view on eBay]
NEW 2
in stock
$150.00
Description: NEW AMAT 0040-49122 Channel Cover Producer SE DSM
farmoninc
[view on eBay]
NEW 2
in stock
$200.00
Description: NEW AMAT 0040-45552 Panel, Toxic skin, lower fixed
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0020-89172 APERTURE PLATE
farmoninc
[view on eBay]
NEW 2
in stock
$125.00
Description: NEW AMAT 0040-32065 Conical Reducer, Threaded Fitting, RPS, 327881
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: NEW AMAT 0040-46604 COVER RIGKT
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: NEW AMAT 3300-01141 FTG TBG GLD 1 T SST VCO
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: NEW AMAT 0021-18366 CLAMP CERAMIC HEATER 300MM PRODUCER SE, 327933
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 NEW AMAT 0200-00472 PAD, REAR RIGHT, BLADE, UNIVERSAL, 200mm
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: NEW AMAT 0020-70304 Flange Encoder Mounting
farmoninc
[view on eBay]
NEW 3
in stock
$175.00
Description: NEW AMAT 0040-90851 Mounting Plate
farmoninc
[view on eBay]
NEW 1
in stock
$35.00
Description: NEW AMAT 0021-39723 Cover, RF ROD, 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$275.00
Description: NEW AMAT 1270-90351 Safedge Switch 635mm Long
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: 2 NEW AMAT 0021-06584 Flag, sensor, home, lift, ROT Head-ECP
surplusssam
[view on eBay]
Used 1
in stock
$109.99
Description: NEW AMAT APPLIED MATERIALS 0040-05069 SWLL INDEXER CRYO CRYOGENIC COVER CHUCK ?
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: New AMAT 0020-01473 Clamp, Split Ring
farmoninc
[view on eBay]
NEW 1
in stock
$99.00
Description: New AMAT 0020-27908 Panel Front HATD Drive
farmoninc
[view on eBay]
NEW 2
in stock
$80.00
Description: New AMAT 0020-18480 Bracket, Source Conditioning
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: New AMAT 0010-00848 24V Transformer Assy. Remote AC Control
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: New AMAT 1270-01110 Ashcroft SW ASSY, DUAL PRESSURE W/XDUC
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: New AMAT 0040-02309 Front Panel, UPS Disconnect, Producer
farmoninc
[view on eBay]
NEW 2
in stock
$395.00
Description: New AMAT 0020-11797 Insulator, 30KV, Inner
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: New AMAT 0020-87480 Rotation - Height Block
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0150-01559 Cable Ext Servo Motor
grandbirdnet
[view on eBay]
NEW 1
in stock
$18,000.00
Description: AMAT 0040-99095 LINER,UPPER,W/COOLING ANODIZE,300MM DPN , NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$900.00
Description: AMAT 0021-26609 SHUTTER DISK, A101, TTN, CENTER PIN, BLU , NEW
usedeqsales
[view on eBay]
Used 2
in stock
$404.07
Description: Lam Research 810-048219-004 Pulse Power Sample & Hold PCB 710-048219-004 New
usedeqsales
[view on eBay]
Used 1
in stock
$208.14
Description: Lam Research 810-028298-007 24 CH Temp CTL OT PCB 710-028298-007 Lot of 6 New
usedeqsales
[view on eBay]
Used 5
in stock
$608.14
Description: Lam Research 810-800086-010 DC Controller Assembly PCB 710-800086-010 New
usedeqsales
[view on eBay]
Used 34
in stock
$204.07
Description: Lam Research 810-802902-006 Motherboard Node 2 PM PCB 710-802902-006 New
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0720-00454 CONN Y-SPLITTER PS/2 KEYBOARD & MOUSE MI , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0150-13567 CABLE ASSY, BTM AIR PRESS, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0226-98552 CABLE ASSY CHAMBER B TRU , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0150-05357 CABLE ASSY W512 SYS MDL C, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0140-11549 HARNESS ASSY MFC PANEL 2 , NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$900.00
Description: AMAT 0140-04665 HARNESS ASSY SWLL-A CENTU, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0620-00124 CABLE, HTR, JMP, 14/2, 10FT, NEW
grandbirdnet
[view on eBay]
NEW 13
in stock
$20.00
Description: AMAT 0150-05358 CABLE ASSY, GND STRAP, IN NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$70.00
Description: AMAT 0150-13488 REV : 002, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$560.00
Description: AMAT 0150-12921 C/A DC PWR INTERCONNECT, NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$70.00
Description: AMAT 0150-11509 REV : 002, NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$150.00
Description: AMAT 0010-12872 EMO PANEL , NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$30.00
Description: AMAT 0226-98366 CABLE ASSY, C/A PLC TO KE , NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT 0090-01963 ELEC ASSY, DPSW POWER CAB , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,999.00
Description: AMAT 0020-48303 SHIELD, LOWER CLEANCOAT 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-00246 REV C , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$70.00
Description: AMAT 0150-08330 CABLE ASSY GROUNDING WIRE , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$210.00
Description: AMAT 0150-07865 CABLE ASSY ATX PWR CPCI B, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$90.00
Description: AMAT 0150-20112 CABLE ASSY, EMO GENERATOR, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 0140-35948 JUMPER PNEU #6 CH D HE CO , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0720-04833 CONN ADPRT QDS(M) TO QC(M) , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$10.00
Description: AMAT 0720-01592 CONNECTOR, SOCKET CLAMP , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0246-01866 KIT, VITON 747 ORING, 300MM DPN/ + BMA , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$35.00
Description: AMAT 0020-46291 BRACKET, LEFT, MOUNTING, CHBR-MF, RPG CHBR, 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$90.00
Description: AMAT 0620-01694 CABLE ASSY NETWORK .7FT W/MODULAR PLUG, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 1410-00643 HEATER JACKET, PLIS USG, ZONE 5, ITEM 6, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$77.00
Description: AMAT 3550-01213 PIN BALL LOCK T- HDL QK-REL 1/2D X 4.00-GR SST , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 3880-01029 WSHR LKG SPLIT #1/2 .875 ODX .512 ID X .125 THK SS , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 3300-01346 FTG FLANGE BLANK -OFF NW25 1.570DX.20THK SST304 , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 3300-01733 FTG HOSE CPLG QDISC 1/2BODX1/2FPT BRS BUNA-SEAL , NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$100.00
Description: AMAT 3300-09301 FTG TBG CONN 1/2" T ORFS X 1/2" MNPT , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 3300-03613 FTG HOSE conn 1/2h x 1/2MNPT SST , NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$100.00
Description: AMAT 0140-19338 HARNESS ASSSY, USER INTRF , NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$100.00
Description: AMAT 0021-42816 BAR, HANDLE, 300MM PRODUCER SE , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0226-98549 CABLE ASSY PFC INTERFACE , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0020-07626 BRACKET, LID, SOURCE BOX, 30MM, R1 , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0240-15379 KIT, LOWER ADJ MEMBER FI, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0242-46897 KIT, HEAT EXCHANGER, CHAMBER, PRODUCER GT, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 3690-00526 SCR HEX HD 1/2-13 X 3L STL GRADE 5 ZINC-PLT, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0242-17147 KIT, DPS-2 CHAMBER BLOWOUT, SHIPPING, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0242-29469 KIT, GAS DELIVERY, INTEGRATION, 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 0270-03505 SHIM, CHAMBER LINER INSTALLATION & REMOVAL, EPI 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0246-02555 KIT, WHITE FFU INTAKE PLENUM, STANDARD, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 3700-01227 ORING ID 1.799 CSD 103 VITON 75 DURO BLK , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 1330-01035 TIE INDENT W/FLAG MINI BLU MS3368-5-E , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 3300-02682 FTG NIP QDISC 1/4BODY X 1/4-18FP 1.52"L SST303 , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 0270-03499 PEEK OTF CALIBRATION SPINDLE , NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$25.00
Description: AMAT 3700-01091 ORING ID 20.000 CSD .210 VITON 75DURO BLK , NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$2,390.00
Description: AMAT 0021-03568 FACEPLATE 200MM SACVD PRODUCER , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 3700-00629 SEAL ASSY PERLAST WITH SST NW50 CTR RING, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$180.00
Description: AMAT 0050-94160 MAINFRAME , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0680-01190 CBTHERM SGL POLE 5A PB 50VDC 250VAC 50/6., NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 3300-02518 MALE NPTF PIPE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0050-92389 GASLINE, AR FAC TO PURGE MODULE, 300MM ALD TAN (RPG), NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0150-11507 REV 004, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0140-08724 HARNESS, E-CHAIN AI/O HEAD, 200MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$250.00
Description: LAM 03-449509-02 CBL ASSY, EMO,PM PUMP,MACH 1,75FT,SOLA EX, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,200.00
Description: AMAT 0021-16781 SHIELD, UPPER, 300MM, REV 2.0 SIP CU, 17 , NEW
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0021-23329 SHIELD, CHAMBER SIP II 200MM AMAT *NEW IN BOX*
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 5 NEW AMAT 0020-77330 DRIVE SHAFT BEARING SPACER
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 NEW AMAT 0021-18782 PLATE ADAPTER LL TO XFER PRODUCER SE
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0020-03380 PANEL, BACK
grandbirdnet
[view on eBay]
NEW 1
in stock
$900.00
Description: AMAT 0270-09283 TOOL, WAFER ALIGNMENT, TRANSPARENT LID, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: LAM RESEARCH 853-801876-004 ASSY, ADIO, GTWY, PMP/TCU , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,500.00
Description: AMAT 0020-23549 SHIELD, UPPER, AL ARC-SPRAY, SST, 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0140-78157 CABLE, DEVICENET GROUNDING , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$6,900.00
Description: AMAT 0240-28181 KIT, HEATER INSTALLATION, BESC , NEW
grandbirdnet
[view on eBay]
NEW 7
in stock
$1,850.00
Description: AMAT 0021-12555 SHIELD UPPER LIFTABLE SHORT PVD , NEW
grandbirdnet
[view on eBay]
NEW 12
in stock
$1,750.00
Description: AMAT 0020-48304 CLAMP RING, LOWER SHIELD, SST WITH CLEAN , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,950.00
Description: AMAT 0020-08299 CLAMP, INNER SHIELD, 300MM SIP , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0150-01431 CABLE ASSY INTERLOCK INTERIOR MOTION CTR, 400375, NEW
grandbirdnet
[view on eBay]
Used 12
in stock
$1,200.00
Description: AMAT 0021-03739 PUMPING CHANNEL, ANODIZED, 3 PIECE , NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$1,850.00
Description: AMAT 0020-31147 INSERT, BASE, ALUM, 150/200MM, FLAT , NEW
grandbirdnet
[view on eBay]
NEW 11
in stock
$2,400.00
Description: AMAT 0190-13814 6-VALVE WITH BYPASS DI WATER SUPPLY 1110343 , NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$11,300.00
Description: AMAT 0040-85748 CHAMBER LINER RIGHT, YTTRIUM, STEP,PRODU , NEW
grandbirdnet
[view on eBay]
NEW 6
in stock
$1,800.00
Description: AMAT 0010-77765 ASSY, LOAD CUP PNEU MIRRA CMP , NEW
grandbirdnet
[view on eBay]
Used 3
in stock
$3,500.00
Description: AMAT 0090-77123 ASSY, ELECTRONIC FLOW METERS FOR MIRRA , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 NEW AMAT 0021-02488 CLAMP, WINDOW, DXZ VIEW LID
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0140-76461 H/A DIFFERENTIAL SENSOR S , NEW
grandbirdnet
[view on eBay]
NEW 20
in stock
$850.00
Description: AMAT 0190-14373 DRVR SERVO MOTOR 200V 200W, NEW
grandbirdnet
[view on eBay]
NEW 14
in stock
$100.00
Description: AMAT 3700-04030 Kalrez O-Ring , NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$100.00
Description: AMAT 3700-03576 ORING 1.109X0.139 8575 KALREZ , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$180.00
Description: AMAT 3700-01321 ORING ID 1.162 CSD 0.103 CZ SC513 80 DURO WHIT , NEW
grandbirdnet
[view on eBay]
NEW 9
in stock
$1,200.00
Description: AMAT 0200-09974 SHIELD, L-SUPPORT,PUMPING INSERT , NEW
grandbirdnet
[view on eBay]
NEW 9
in stock
$7,500.00
Description: AMAT 0200-02935 Lid, Ceramic Assembly 300MM DPS2Etch, NEW
grandbirdnet
[view on eBay]
NEW 36
in stock
$1,600.00
Description: AMAT 0200-01326 INSULATOR, 300MM SOURCE ADAPTOR, CERAMIC, NEW
grandbirdnet
[view on eBay]
NEW 98
in stock
$129.00
Description: AMAT 0200-09716 PIN, LIFT, HEATER, WxZ, NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$3,500.00
Description: AMAT 0190-37616 KVM SWITCH, 4 PC INPUT, 3 USER LOCATION OUTPUT, TOUCHSCREE , NEW
grandbirdnet
[view on eBay]
NEW 12
in stock
$900.00
Description: AMAT 0200-00316 ISOLATOR PUMPING RING MIDDLE, NEW
grandbirdnet
[view on eBay]
NEW 9
in stock
$1,500.00
Description: AMAT 0200-36105 Etch Chamber Ceramic Insert, NEW
grandbirdnet
[view on eBay]
NEW 99
in stock
$100.00
Description: NOVELLUS 15-110445-00 PIN FOR MOER RING , NEW
grandbirdnet
[view on eBay]
NEW 17
in stock
$100.00
Description: NOVELLUS 15-169667-00 INJECTOR TUBE SHORT , NEW
grandbirdnet
[view on eBay]
NEW 14
in stock
$95.00
Description: NOVELLUS 15-053394-02 Spindle Fork Finger Min Contact 200mm, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$2,400.00
Description: AMAT 0200-02181 Deposition Ring , 300MM , NEW
grandbirdnet
[view on eBay]
NEW 7
in stock
$3,000.00
Description: Lam Research 716-330890-001 Clamp Ring, NEW
grandbirdnet
[view on eBay]
NEW 7
in stock
$1,500.00
Description: AMAT 0200-00404 ISOLATOR PUMPING RING, BOTTOM PRODUCER 2, NEW
grandbirdnet
[view on eBay]
Used 9
in stock
$550.00
Description: AMAT 0200-10491 INSULATOR,PWR SIDE,ALUMINA,DPA , 2ND NEW
grandbirdnet
[view on eBay]
NEW 9
in stock
$4,200.00
Description: AMAT 0190-33452 MEI EXMP SYNQNET STANDALONE MOTION CONTR , NEW
grandbirdnet
[view on eBay]
NEW 25
in stock
$4,500.00
Description: AMAT 0190-28291 6PORT ROTARY UNION DEUBLIN 20004-715 , NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$9,500.00
Description: AMAT 0195-09094 SYCAMORE POLISHER I/O ASSEMBLY , NEW
grandbirdnet
[view on eBay]
NEW 11
in stock
$2,950.00
Description: AMAT 0200-08879 EXHAUST RING, SOLID SIC NO SLIT, MOCVD , NEW
grandbirdnet
[view on eBay]
NEW 8
in stock
$1,900.00
Description: AMAT 0041-33571 MFLD, DIW DISTRIBUTION, ECSA LDM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0021-16287 SHIELD, LOWER, NARROW NECK, 300MM SIP CU , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0240-17388 ASYST DUAL RF IF TAG READER KIT , NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$500.00
Description: AMAT 0020-45744 MANDREL, QUICK CHANGE BRUSH MODULE, 300M , NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$4,500.00
Description: AMAT 0190-03554 SPECIFICATION, MAG DRIVER, 50A, 2-CHANNE 1000-0121-01, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$1,380.00
Description: AMAT 0020-42112 CYLINDER, LIFT, AL, 200MM, CERAMIC , NEW
grandbirdnet
[view on eBay]
NEW 6
in stock
$480.00
Description: AMAT 0100-09033 PCB ASSY, TC AMPLIFIER , NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$3,200.00
Description: AMAT 3920-01631 Q4 PM KIT QUARTERLY BRUSH STATION SEMI , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,900.00
Description: AMAT 0190-23897 SPECIFICATION EXMP SYNCNET STAND ALON , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: NEW AMAT 0140-90686 CABLE 2GJS/3DJ7 (SEE L,170) 401269
usedeqsales
[view on eBay]
Used 1
in stock
$1,804.15
Description: Lam Research 716-011036-001 Alumina Filler Orifice Ring Rev. G New Surplus
grandbirdnet
[view on eBay]
NEW 1
in stock
$40.00
Description: AMAT 3700-01473 ORING ID .989 CSD .070 KALREZ 4079 75DUR , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$290.00
Description: NEW AMAT 0020-23721 CLAMP RING 5" SMRMF AI RE (TI) 401854
grandbirdnet
[view on eBay]
Used 88
in stock
$100.00
Description: AMAT 0200-35296 PLUG GAS FEED DPS , NEW
grandbirdnet
[view on eBay]
Used 7
in stock
$150.00
Description: AMAT 0200-00796 PIN LIFT TRIANGULAR MFY , NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$500.00
Description: AMAT 0820-00154 SENSOR, LIQUID LEVEL, CAPACITI ES-SP-113 , NEW
grandbirdnet
[view on eBay]
NEW 20
in stock
$400.00
Description: AMAT 3920-01672 Tool Brush 2.75" OD x 1.25" ID x 12.5"L , NEW
grandbirdnet
[view on eBay]
Used 25
in stock
$110.00
Description: AMAT 0200-00276 PIN WAFER GUIDE TYPE F WXZ , NEW
usedeqsales
[view on eBay]
Used 1
in stock
$305.15
Description: AMAT Applied Materials 0040-07501 RH Lamp Wire Cover 300mm PVD New
usedeqsales
[view on eBay]
Used 1
in stock
$805.15
Description: AMAT Applied Materials 0010-47714 Target Gravity Safe Lock RF PVD New
usedeqsales
[view on eBay]
Used 1
in stock
$806.15
Description: AMAT Applied Materials 0010-47716 Target Gravity Safe Lock RF PVD New
usedeqsales
[view on eBay]
Used 2
in stock
$1,806.15
Description: Lam Research 716-011036-001 Alumina Filler Orifice Ring Rev. F New Surplus

This tag has been viewed 1 time

Most recent views:

Malaysia Thursday, May/09/2024 at 4:21 am CST
Canada Thursday, May/09/2024 at 4:19 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 4:16 am CST
China Thursday, May/09/2024 at 4:13 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 4:06 am CST
Viet Nam Thursday, May/09/2024 at 4:02 am CST
Hong Kong Thursday, May/09/2024 at 4:01 am CST
Korea (Republic of) Thursday, May/09/2024 at 3:59 am CST
Korea (Republic of) Thursday, May/09/2024 at 3:56 am CST
Hong Kong Thursday, May/09/2024 at 3:51 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
svcstore NEW - $99.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Aug/01/15 Aug/04/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Aug/04/15 Aug/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Sep/11/15 Sep/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Sep/17/15 Sep/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Sep/22/15 Sep/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Sep/27/15 Oct/02/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/02/15 Oct/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/07/15 Oct/12/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/12/15 Oct/17/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/17/15 Oct/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/22/15 Oct/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/27/15 Nov/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/08/15 Nov/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/13/15 Nov/18/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/18/15 Nov/23/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/23/15 Nov/28/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/28/15 Dec/03/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Dec/03/15 Dec/08/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Jan/07/16 Feb/06/16
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $44.99 0 Feb/09/16 Feb/22/16
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $44.99 0 Feb/24/16 Feb/27/16
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
supertechshop NEW - $39.00 0 Aug/11/12 Sep/10/12
Description: NEW Applied Materials XR80 Implanter DAQ Power Supply Module AMAT 0100-90015
athomemarket NEW - $48.99 0 Aug/12/12 Sep/11/12
Description: NEW Clippard 2012 3-Way Pneumatic Valve AMAT 0010-93075
athomemarket NEW - $128.99 0 Aug/12/12 Sep/11/12
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $130.99 0 Aug/12/12 Sep/11/12
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $114.99 0 Aug/12/12 Sep/11/12
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
mattron747 NEW - $750.00 0 Aug/13/12 Sep/12/12
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
orangetreeso Used - $125.00 0 Aug/14/12 Sep/13/12
Description: SMC Pneumatic Manifold US3938 New 0190-06706
athomemarket NEW - $131.99 1 Aug/16/12 Aug/30/12
Description: NEW Oriental Motor/Vexta PK268-01A-C13 Electric Lift Assembly AMAT 0090-20120
athomemarket NEW - $51.99 0 Aug/15/12 Sep/14/12
Description: NEW Fujikin 1/4" VCR Diaphragm Valve Assembly Stainless 0050-82300
athomemarket NEW - $37.99 0 Aug/15/12 Sep/14/12
Description: 2 NEW Parker 1" Female Hose Barb Fittings 3300-08885
athomemarket NEW - $289.99 0 Aug/20/12 Sep/19/12
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $53.99 0 Aug/23/12 Sep/22/12
Description: NEW Nupro 6LV-D1V333P-AA Valve Assembly AMAT 0050-37464
athomemarket NEW - $45.99 0 Aug/24/12 Sep/23/12
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $219.99 0 Aug/24/12 Sep/23/12
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
usedeqsales NEW - $508.12 1 Aug/24/12 Sep/09/12
Description: Kollmorgen CR10705 ServoStar Amplifier Reg.G PRD-AM40ASIz-A2 new 0190-32455
supertechshop NEW - $74.99 0 Aug/24/12 Sep/23/12
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
gesemiconductor NEW - $250.00 0 Aug/29/12 Dec/19/17
Description: New Lam Research Ring Clamp Upper Electrode 715-028552-001
usedeqsales NEW - $2,508.12 0 Aug/29/12 Feb/28/13
Description: ENI DCG-200Z Master DC Power Supply DC21M-Z141300110A new 0190-07959
athomemarket NEW - $401.99 0 Aug/30/12 Sep/29/12
Description: NEW Stec IV-2410AV-03 Injection Valve 1/4" AMAT 0190-36238
supertechshop NEW - $99.99 0 Aug/31/12 Sep/30/12
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
johndfw5040 NEW - $1,200.00 0 Sep/11/12 Sep/18/14
Description: New Ass AG-Schweiz 84031-R1 CH-3186 Motor NOS Lam 676-092072-002
bobsgoodies NEW - $175.00 3 Sep/10/12 Sep/13/12
Description: Cuttler Hammer CE15FN3Y1AB Contactor 32 Amp 3-Pole 110V coil New AMAT 1200-01081
johndfw5040 NEW - $300.00 0 Sep/11/12 Sep/18/14
Description: New Vat A-110085 Angle Valve Lam 796-093064-025
athomemarket NEW - $114.99 0 Sep/11/12 Oct/11/12
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
mattron747 NEW - $750.00 0 Sep/12/12 Oct/12/12
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
athomemarket NEW - $149.99 0 Sep/19/12 Oct/19/12
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $289.99 0 Sep/19/12 Oct/19/12
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $51.99 0 Sep/20/12 Oct/20/12
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $43.99 0 Sep/26/12 Oct/26/12
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $401.99 0 Sep/29/12 Oct/29/12
Description: NEW Stec IV-2410AV-03 Injection Valve 1/4" AMAT 0190-36238
dvkelectronics NEW - $250.00 0 Oct/04/12 Nov/03/12
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-360073-001
dvkelectronics NEW - $450.00 0 Oct/04/12 Nov/03/12
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
visionsemi NEW - $200.00 1 Oct/05/12 Nov/07/12
Description: NEW! AMAT APPLIED MATERIALS SHIELD ADAPTER 8" G12 PVD 0020-24531
farmoninc NEW - $1,100.00 0 Oct/09/12 Dec/08/12
Description: NEW UNIT 1661E MFC, UFC-1661, NH3 gas, 5 SLM range, novellus 22-190305-00
farmoninc NEW - $1,100.00 0 Oct/09/12 Dec/08/12
Description: NEW UNIT 1661 MFC, UFC-1661, NH3 gas, 10 SLM range, novellus 22-144882-00
athomemarket NEW - $219.99 0 Oct/23/12 Nov/22/12
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $45.99 0 Oct/23/12 Nov/22/12
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
supertechshop NEW - $74.99 0 Oct/26/12 Nov/25/12
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
athomemarket NEW - $43.99 0 Oct/26/12 Nov/25/12
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
supertechshop NEW - $99.99 0 Nov/01/12 Dec/01/12
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
dvkelectronics NEW - $250.00 0 Nov/03/12 Dec/03/12
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-360073-001
dvkelectronics NEW - $450.00 0 Nov/03/12 Dec/03/12
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
dmarch1467 NEW - $9,499.00 0 Feb/21/11 Apr/24/13
Description: 853-032294-002Lam Research 9400 T-Match Assembly NEW!
dmarch1467 NEW - $1,299.00 1 Sep/23/10 Apr/24/13
Description: Lam Research Electrode 6"Clamp Ring 716-028028-004 NEW!
surplusssam NEW - $62.99 1 Jul/10/12 Jan/04/13
Description: NEW INTEGRATED CIRCUIT SUPPORT LAM RESEARCH 716-011009-001 QUARTZ SIDE WINDOW
mattron747 NEW - $750.00 0 Nov/12/12 Dec/12/12
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
dmarch1467 NEW - $2,900.00 0 Apr/28/11 Sep/14/13
Description: 810-017093-100 Lam Research Gap Motor Control PCB NEW!!
usedeqsales NEW - $752.56 1 May/22/12 Dec/07/12
Description: Lam Research 6" Electrostatic Chuck 839-440462-336 Rev. B New
bosch_shx NEW - $380.00 1 Apr/23/12 Feb/11/15
Description: NEW LAM RESEARCH 678-900282-002 REV A ASY HTR.TBLR,DBL ENDED
athomemarket NEW - $505.99 0 Oct/19/12 Nov/18/12
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
usedeqsales NEW - $506.12 0 Jun/21/12 Apr/14/15
Description: AMAT Applied Materials 0240-49108 P4 XP Robots LCF Retrofit Kit 3460-01102 new
chunkysemiconductor NEW - $1,000.00 0 Feb/22/12 Jul/17/13
Description: NEW APPLIED MATERIALS AMAT 0010-00212 ROBOT ASSEMBLY
athomemarket NEW - $53.99 0 Nov/21/12 Dec/21/12
Description: NEW Nupro 6LV-D1V333P-AA Valve Assembly AMAT 0050-37464
athomemarket NEW - $45.99 0 Nov/22/12 Dec/22/12
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $219.99 0 Nov/22/12 Dec/22/12
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
dvkelectronics NEW - $250.00 0 Dec/03/12 Jan/02/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-360073-001
dvkelectronics NEW - $450.00 0 Dec/03/12 Jan/02/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
supertechshop NEW - $99.99 0 Dec/05/12 Jan/04/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
mmmarch67 NEW - $1,490.00 0 Nov/18/10 Feb/06/22
Description: 810-494010-001 Lam Research A6 Gas Box I/O Interlock PCB NEW!!
logansemi NEW - $775.00 0 Sep/20/10 May/20/13
Description: Lam Research Ceramic Gas Ring 716-330068-001 NEW
mmmarch67 NEW - $325.00 1 Dec/15/11 Nov/01/19
Description: 715-038653-004 Lam Research Perimeter Pin Cooling Ring Lower Electrode NEW!!
mmmarch67 Used - $125.00 0 Dec/15/11 Feb/06/22
Description: 853-029481-001 Lam Research Bar Code Reader Cable NEW!!
mmmarch67 NEW - $100.00 0 Dec/15/11 Feb/06/22
Description: 853-016517-300 Lam Research Cable NEW
mmmarch67 Used - $165.00 4 Dec/15/11 Feb/06/22
Description: 715-330161-002 Lam Research 9600 BAC Shield. Brand NEW
usedeqsales NEW - $204.08 1 Oct/26/09 Apr/22/17
Description: Lam Research 8" Focus Ring 716-330190-081 new
quicksemi NEW - $99.00 0 Jan/14/12 Dec/13/14
Description: Lam Research Support Ring Orifice: 715-008839-001 NEW.
mdgsales NEW - $234.99 1 Jun/12/12 Sep/29/13
Description: LAM RESEARCH PCB ASSEMBLY MODULE P2MB VME ETCH 810-800081-015 NEW!
visionsemi Used - $41.00 1 Nov/15/11 Apr/16/13
Description: NEW!!! LAM RESEARCH RF GASKET BECU 742-093181-002
cssurplus NEW - $149.95 0 Nov/07/12 Dec/07/12
Description: Sentech STC-P63CS Board Level PAL 1/3 Color Camera New
usedeqsales Used - $37.84 2 Aug/09/12 Mar/20/14
Description: Applied Materials AMAT 300mm Cryo Drip Bracket 0020-42229 Lot of 2 New
travist_tech NEW - $221.94 0 Nov/23/09 Oct/28/14
Description: Veeco Coaxial Trap 1" Hose 6615-902-01 NEW
testeqe NEW - $725.48 0 May/11/12 Sep/03/13
Description: NEW ASM PN: 16-190353D01 200MM Susceptor-Passivated, 8" 200 mm
testeqe NEW - $115.63 0 May/11/12 Sep/28/14
Description: NEW ASM PN: 02-180751B01 DeviceNet Cable Assembly 5A3-Busstop-AP1
gesemiconductor NEW - $20.00 0 Nov/07/12 Dec/19/17
Description: New Ebara ISO-63-OCP Double Claw Clamp ISO
gesemiconductor NEW - $10.00 0 Nov/07/12 Nov/22/15
Description: New Swagelok JNWCP25 Wing Nut Clamp KF25
gesemiconductor NEW - $8.00 7 Nov/07/12 Dec/19/17
Description: New Swagelok JNWCRSV40 SS Centering Ring Viton KF40
gesemiconductor NEW - $240.00 2 Jul/10/12 Dec/19/17
Description: New Applied Materials 0200-00177 Insert Ring SI/QTZ 200mm Silicon Flat
testeqe NEW - $752.20 0 May/11/12 Sep/03/13
Description: NEW Motortronics CSD-410-N Variable Frequency AC Motor Drive ASM PN:54-106931A32
surplusssam NEW - $109.99 1 Jul/05/07 Sep/21/12
Description: NEW POLY-FLOW AUTO FLOW II MICRO CONTROLLER EA-019
surplusssam NEW - $49.99 1 Dec/24/07 Nov/19/12
Description: LOT OF 2 NEW TEMESCAL BOC EDWARDS VACUUM 6041-2630-0 RELAY HV SPDT RB1E SPOT 26
usedeqsales NEW - $231.05 5 Dec/20/11 Dec/07/12
Description: Interface Transducer Digital Indicator 9320-1 TEDS New
surplusssam NEW - $59.99 1 Sep/26/12 Dec/07/12
Description: NEW AMAT APPLIED MATERIALS 0040-95138 PIPE ASSY ARGON INSULATED ION IMPLANTER
usedeqsales NEW - $305.12 1 May/31/12 Dec/06/12
Description: Swagelok Stainless Steel Elbow 6LV-8-WVCR-9-DF Lot of 10 New
visionsemi NEW - $179.00 1 Dec/13/12 Dec/13/12
Description: NEW! AMAT APPLIED MATERIALS CHAMBER INTERCONNECT PCB 0100-20313
svcstore NEW - $499.99 0 Dec/14/12 Dec/17/12
Description: NEW VAT 84430-R1 Pneumatic Actuator Kit for 021 MONOVAT Rectangular Gate Valve
sellyoursurplus NEW - $350.00 0 Dec/16/12 Dec/23/12
Description: Lot of 5 New SMC CLKQ50 -15AA -DCI3555I Pnuematic Clamps
sellyoursurplus NEW - $130.00 0 Dec/16/12 Dec/23/12
Description: New PHD 7970-03-2201 Pnuematic Gripper Robotics
sellyoursurplus NEW - $100.00 0 Dec/16/12 Dec/23/12
Description: New ADC FDM-815200 Fiber Distribution Module System Kit
sellyoursurplus NEW - $200.00 0 Dec/15/12 Dec/22/12
Description: New SKF PSX5807-172-03 Actuation System
athomemarket NEW - $517.99 0 Nov/15/12 Dec/15/12
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $20.99 0 Nov/15/12 Dec/15/12
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
usedeqsales NEW - $154.55 1 Sep/21/11 Dec/15/12
Description: Metron CDO 170-10818-00 New A-1S-L-165 Exhaust Temperature Warning Kit
athomemarket NEW - $457.19 0 Dec/18/12 Jan/17/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
svcstore NEW - $599.99 0 Dec/17/12 Dec/20/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $227.69 0 Dec/17/12 Jan/16/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
usedeqsales NEW - $512.12 0 Dec/17/12 May/04/15
Description: AMAT Applied Materials 0270-05868 Neon Chamber Lower Liner Removal new
sellyoursurplus NEW - $250.00 0 Dec/15/12 Dec/22/12
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
athomemarket NEW - $520.99 0 Dec/15/12 Jan/14/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $21.99 0 Dec/15/12 Jan/14/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
bobsgoodies NEW - $55.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $98.50 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
bobsgoodies NEW - $249.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0190-16010 Idec Micro-1 Micro Controller New
athomemarket NEW - $137.99 0 Dec/14/12 Jan/13/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $261.89 0 Dec/18/12 Jan/17/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $140.39 0 Dec/17/12 Jan/16/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
athomemarket NEW - $1,999.79 0 Dec/17/12 Jan/16/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $255.99 0 Dec/17/12 Jan/16/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $282.99 0 Dec/16/12 Jan/15/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $254.99 0 Dec/16/12 Jan/15/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
athomemarket NEW - $73.99 6 Dec/16/12 Dec/23/12
Description: 4 NEW Fujikin 1/4" VCR Diaphragm Valves Assembly AMAT 0050-81178 N.C. Stainless
athomemarket NEW - $214.99 0 Dec/14/12 Jan/13/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $315.99 0 Dec/13/12 Jan/12/13
Description: NEW LAM Research 716-330973-281 Ceramic Wafer Clamp for LAM 9600
athomemarket NEW - $1,419.99 0 Dec/13/12 Jan/12/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
usedeqsales NEW - $1,505.12 0 Dec/13/12 May/22/13
Description: LAM Research 6" Electrostatic Chuck 839-440462-336 Rev. B New
mattron747 NEW - $750.00 0 Dec/12/12 Jan/11/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
turkeymakesmetired-2008 NEW - $499.99 0 Dec/06/12 Nov/03/16
Description: LAM Research 853-055030-001 Motorized Valve Assembly New
prism_electronics5 NEW - $249.99 1 Nov/28/12 Oct/25/17
Description: NEW Lam Research System Interlock, 300mm Board, 810-800031-300 Rev: A
generalpublicsales NEW - $95.00 1 Nov/21/12 Jun/15/16
Description: NEW OEM GENUINE LAM RESEARCH ESC FILTER BICEP II ASSY 810-006490-304
svcstore NEW - $12.99 0 Dec/17/12 Dec/20/12
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
supertechshop NEW - $74.99 0 Nov/27/12 Dec/27/12
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
athomemarket NEW - $314.99 1 Nov/26/12 Dec/17/12
Description: NEW Applied Materials/AMAT 0021-22028 8"/200mm 101 Pedestal Ch. 4
grt_bargains_4-u NEW - $500.00 0 Dec/18/12 Dec/21/12
Description: HAKKO FR-1012B PRE HEATER INFRA RED BENCH TOP PREHEATER 1 TO 4 ZONE SETTINGS NEW
selectsurplusmd NEW - $499.99 0 Nov/18/12 Dec/18/12
Description: Novellus New Lot Gas Tube Assembly, Centering Ring + 02-388264-00 16-126002-01
selectsurplusmd NEW - $499.99 0 Nov/18/12 Dec/18/12
Description: Novellus 02-314433-00 Revision B Semiconductor Component New Supplier 100305
usedeqsales NEW - $1,011.12 12 Nov/26/12 Jan/27/13
Description: Keyence LK-G3001 Multifunction Controller Sensor 3930-00336 new
alvin1462 NEW - $72.00 30 Nov/22/12 Jul/15/14
Description: APPLIED MATERIALS AMT 0150-16279 Cable ASSY HR3 Driver Motor Power NEW
usedeqsales NEW - $10,005.10 7 May/03/10 Apr/15/13
Description: ATS M-Pak MP40C-DI Temp. Control Chiller 0190-08467 new
auctionrus NEW - $75.00 6 Jul/25/12 Jan/25/13
Description: Keyence FS2-60P New fiberoptic photelectric proximity sensor
belkizllc1 NEW - $700.00 0 Dec/11/12 Dec/18/12
Description: Applied Materials Ceramic Insulator 8" Wafer P/n 0020-22975 (New)
svcstore NEW - $923.99 0 Dec/15/12 Dec/18/12
Description: NEW VAT 02412-BA24-BQB2 Rectangular Wafer Transfer Gate Valve Pneumatic MONOVAT
svcstore NEW - $646.99 0 Dec/15/12 Dec/18/12
Description: NEW VAT 20040-XA24-AAT2 DN-100 4" Vatterfly Valve w/Pneumatic Actuator Butterfly
svcstore Used - $1,201.99 0 Dec/15/12 Dec/18/12
Description: New Power Plasma NPR-802L 400kHz Process Reactor for NPG-12KM RPG RF Generator
athomemarket NEW - $95.39 0 Dec/19/12 Jan/18/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $104.39 0 Dec/19/12 Jan/18/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $722.69 0 Dec/19/12 Jan/18/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $109.79 0 Dec/19/12 Jan/18/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $47.69 0 Dec/19/12 Jan/18/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $65.99 0 Dec/19/12 Jan/18/13
Description: NEW Parker Veriflow 944/944AOP Diaphram Valve Assy AMAT 0050-14441 1/4" VCR
athomemarket NEW - $916.99 0 Dec/19/12 Jan/18/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $38.69 0 Dec/19/12 Jan/18/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $95.39 0 Dec/19/12 Jan/18/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $82.79 0 Dec/19/12 Jan/18/13
Description: NEW Osram 111566 Fused 750W Quartz Tungsten Lamp/Bulb AMAT 0010-06957 Oxide PVD
athomemarket NEW - $95.39 0 Dec/19/12 Jan/18/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $155.99 0 Dec/19/12 Jan/18/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
pesul NEW - $129.99 1 Sep/17/12 Dec/19/12
Description: New Nachi Directional Control Valve S-G01-B3X-GRZ-D2-33
1guru1969 NEW - $475.00 2 Nov/14/12 Dec/19/12
Description: OMRON D5F-2B34C-Y PRECISION SWITCH *MAZAK TOOL EYE* NEW
athomemarket NEW - $161.99 1 Dec/14/12 Dec/19/12
Description: Lot of 7 NEW Fluoroware PA182-50MB Blue Poly 5" Wafer Boats/Carriers 125mm
logansemi NEW - $399.00 0 Dec/20/12 Dec/07/19
Description: Drytek QUAD 8" Cassette Table (New) PN 2200680
athomemarket NEW - $44.99 10 Dec/20/12 Jan/19/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $283.49 0 Dec/20/12 Jan/19/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
esolutions1 NEW - $149.00 10 Dec/20/12 Jul/13/14
Description: NEW OMEGA OS36-K-280F THERMOCOUPLE, AMAT 0150-18060
athomemarket Refurbished - $159.29 0 Dec/20/12 Jan/19/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
athomemarket NEW - $26.99 0 Dec/20/12 Jan/19/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
esolutions1 NEW - $149.00 10 Dec/20/12 Jul/13/14
Description: NEW OMEGA OS36-K-280F THERMOCOUPLE, AMAT 0150-18060
prism_electronics6 NEW - $399.99 1 Dec/20/12 Dec/31/12
Description: ** NEW ** LAM Research 810-017003-005 Rev F PCB High Frequency DIP BOARD
svcstore NEW - $12.99 0 Dec/20/12 Dec/23/12
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
svcstore NEW - $599.99 0 Dec/20/12 Dec/23/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
hi-techstuff NEW - $449.99 0 Sep/26/12 Dec/08/15
Description: New Lam Research 716-031052-002 Window, Quartz
hi-techstuff Used - $999.99 1 Sep/26/12 Aug/18/22
Description: LAM Research 810-017031-004 Board Believe to be New
hi-techstuff Used - $1,499.99 0 Sep/26/12 Apr/20/23
Description: LAM Research 716-017112-300 Believe to be New Rev:B
hi-techstuff NEW - $1,499.99 0 Sep/26/12 May/24/13
Description: LAM Research 716-014843-300 Believe to be New Rev:D
hi-techstuff Used - $1,499.99 0 Sep/26/12 Apr/20/23
Description: LAM Research 716-013344-001 Believe to be New Rev:D
hi-techstuff Used - $2,499.99 0 Sep/26/12 Apr/20/23
Description: LAM Research 713-002617-002 Believe to be New
testeqe NEW - $649.99 0 Sep/17/12 Sep/12/13
Description: NEW Lam Research 853-001198-003 Gate & Linkage Assembly Delrin Inner
athomemarket NEW - $353.69 0 Dec/21/12 Jan/20/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $100.79 0 Dec/21/12 Jan/20/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $59.39 0 Dec/21/12 Jan/20/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $68.39 0 Dec/21/12 Jan/20/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
athomemarket NEW - $55.99 0 Dec/21/12 Jan/20/13
Description: NEW Nupro 6LV-D1V333P-AA Valve Assembly AMAT 0050-37464
athomemarket NEW - $555.29 0 Dec/21/12 Jan/20/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $76.99 1 Dec/21/12 Jan/02/13
Description: NEW 15pcs. AMAT 1310-90015 Thermocouple Thermocoupler
athomemarket NEW - $68.39 0 Dec/21/12 Jan/20/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $908.09 0 Dec/21/12 Jan/20/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
auctionrus NEW - $135.00 1 May/03/12 Dec/21/12
Description: 2 NEW AMAT 3060-01205, Thomson AB142,SS, 119644, Shaft bearing
electronicswest NEW - $500.00 1 Dec/20/12 Dec/20/12
Description: Asyst Technolgies 9700-6464-02 Rev B IO LPT Servo Driver Board Assembly *NEW*
prism_electronics5 NEW - $299.99 0 Nov/15/12 Sep/11/13
Description: LOTof 12 Novellus, Cal-Weld 10-267122-00 Rev: C NEW
jrrogal NEW - $85.00 1 Dec/16/12 Dec/21/12
Description: OPTO 22 Snap B3000-HA Brain New In Box - Never Used
partminer2012 NEW - $570.00 0 Dec/22/12 Jun/20/13
Description: Anelva Bellows Cylinder A11-21516-05 ( PHI 70, ST 70) - NEW
jrrogal NEW - $145.00 0 Dec/22/12 Jan/01/13
Description: OPTO 22 Snap B3000-HA Brain New In Box - Never Used
athomemarket NEW - $197.99 0 Dec/22/12 Jan/21/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $296.09 0 Dec/22/12 Jan/21/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $89.99 0 Dec/22/12 Jan/21/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $216.99 0 Dec/22/12 Jan/21/13
Description: NEW MDC MAV-150-T Stainless UHV Vacuum Angle Valve DN40
athomemarket NEW - $1,183.49 0 Dec/22/12 Jan/21/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $92.99 0 Dec/22/12 Jan/21/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $275.39 0 Dec/22/12 Jan/21/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $42.29 0 Dec/22/12 Jan/21/13
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $842.99 0 Dec/22/12 Jan/21/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $211.49 0 Dec/23/12 Jan/22/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $129.59 0 Dec/23/12 Jan/22/13
Description: NEW Applied Materials/AMAT 0020-23041 8" Wafer Shield Clamp 5500 Endura AL PVD
athomemarket NEW - $121.49 0 Dec/23/12 Jan/22/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
svcstore NEW - $12.99 0 Dec/23/12 Dec/26/12
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
svcstore NEW - $599.99 0 Dec/23/12 Dec/26/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $1,299.99 0 Dec/23/12 Dec/26/12
Description: NEW VAT 20046-XE24-ABB1 DN-200 8" Vatterfly Valve w/Pneumatic Actuator Butterfly
athomemarket NEW - $7,651.79 0 Dec/24/12 Jan/23/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $215.09 0 Dec/24/12 Jan/23/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,200.59 0 Dec/24/12 Jan/23/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $113.39 0 Dec/24/12 Jan/23/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
athomemarket NEW - $49.49 0 Dec/24/12 Jan/23/13
Description: NEW AMAT 0050-40520 Swagelok 1/4" Diaphragm Valve Assy. 6LV-BNBW4-C Stainless
athomemarket NEW - $135.89 0 Dec/24/12 Jan/23/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
surplusssam NEW - $199.99 1 Dec/24/12 Jun/30/14
Description: NEW APPLIED MATERIALS AMAT 3300-02263 FTG RLF VALVE
athomemarket NEW - $353.69 0 Dec/25/12 Jan/24/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $40.49 0 Dec/25/12 Jan/24/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $383.39 0 Dec/25/12 Jan/24/13
Description: NEW AMAT 0020-31509 Rimless Oxide Pedestal 200mm/8" MLR NIT Notched
athomemarket NEW - $107.99 0 Dec/25/12 Jan/24/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $275.39 0 Dec/25/12 Jan/24/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $105.29 0 Dec/26/12 Jan/25/13
Description: NEW Applied Materials/AMAT 0020-23278 A 8" Source SST Upper Shield 101% TIN
svcstore NEW - $599.99 0 Dec/26/12 Dec/29/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
electronicswest NEW - $175.00 2 Dec/26/12 Dec/27/12
Description: Asyst Technologies Crossing Automation 3200-1060-01 Rev B PCB * NEW*
trees_for_a_better_tomorrow NEW - $120.97 2 Jan/18/10 Dec/26/12
Description: New Futurestar 154-010 Flowmeter Sealed
speed-ops NEW - $18.00 13 Nov/02/10 Jun/17/14
Description: VALENITE SNMG-322-GF SV310 ~ 10 PCS ~ New
svcstore NEW - $11.99 0 Dec/27/12 Dec/30/12
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
sellyoursurplus NEW - $250.00 0 Dec/27/12 Jan/26/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $295.00 0 Dec/27/12 Jan/26/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
surplusssam NEW - $36.00 1 Jun/04/07 Dec/27/12
Description: NEW GEORG FISCHER+GF+SYGEF 735 108 635 90° ELBOW 50mm PVDF
usedeqsales NEW - $54.03 1 Sep/03/08 Dec/27/12
Description: SMC Pneumatic Manifold SS5Y3-ULB990074 New 0010-01801
usedeqsales NEW - $2,242.12 0 Dec/28/12 May/01/15
Description: AMAT Applied Materials 0010-45649 MFC Neon Purge Panel new
tri_kat NEW - $30.00 4 Apr/04/12 Mar/21/13
Description: OMRON DOOR SAFETY SWITCH D4NS-3BF NEW D4NS3BF
athomemarket NEW - $161.99 0 Dec/28/12 Jan/27/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $158.39 0 Dec/28/12 Jan/27/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $93.59 0 Dec/28/12 Jan/27/13
Description: 10 NEW Osram AMAT 0190-14083 Halogen Heater/Optic Lamps
supertechshop NEW - $74.99 0 Dec/29/12 Jan/28/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
svcstore NEW - $599.99 0 Dec/29/12 Jan/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $699.00 0 Dec/29/12 Jan/28/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $399.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $995.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $49.99 0 Dec/29/12 Jan/28/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $399.00 0 Dec/29/12 Jan/28/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $499.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Dec/29/12 Jan/28/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
athomemarket NEW - $103.49 0 Dec/29/12 Jan/28/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
turkeymakesmetired-2008 NEW - $199.99 1 Dec/30/12 Jun/19/13
Description: AMAT Applied Materials 0200-36680 Liner quartz upper Gss DIST Ground ASP+ New
turkeymakesmetired-2008 NEW - $199.99 2 Dec/30/12 Jul/12/13
Description: AMAT Applied Materials 0200-04085 Middle Ceramic Liner New
athomemarket NEW - $840.59 0 Dec/30/12 Jan/29/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $11.99 0 Dec/30/12 Jan/02/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $712.12 2 Dec/31/12 Jan/01/13
Description: AMAT Applied Materials 1000W Delta Lamp Module Assembly 0010-29446 new
usedeqsales NEW - $2,108.48 0 Dec/31/12 Jan/08/13
Description: AMAT Applied Materials Shimadzu 300mm EChain Assembly 0010-32580 new
athomemarket NEW - $1,489.49 0 Dec/31/12 Jan/30/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
wedone NEW - $500.00 0 Jan/01/13 Jan/31/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
svcstore NEW - $599.99 0 Jan/01/13 Jan/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
zuse81 NEW - $580.00 0 Nov/08/11 Jan/02/13
Description: Applied Materials AMAT 0021-20788EC Shield 8" New
usedeqsales NEW - $109.12 1 Sep/19/12 Jan/02/13
Description: Swagelok 1/4" VCR Plug SS-4-VCR-P Lot of 25 New
usedeqsales NEW - $305.12 2 May/31/12 Jan/02/13
Description: Ham-Let 316SS-769L FTG Tube Elbow 3/8" OD P-NPTSST lot of 72 3300-02505 new
tdindustrial NEW - $90.00 0 Jan/02/13 Aug/23/20
Description: 715-460089-001 D Lam Research Chamber Window Plate NEW!
svcstore NEW - $11.99 0 Jan/02/13 Jan/05/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
dvkelectronics NEW - $450.00 0 Jan/02/13 Feb/01/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
dvkelectronics NEW - $250.00 0 Jan/02/13 Feb/01/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-360073-001
bradpro NEW - $45.00 1 Dec/30/12 Jan/02/13
Description: *NEW* ASYST ATR-9000 9700-6584-01 ADVANTAG RFID READER
usedeqsales NEW - $108.09 1 Aug/06/09 Jan/02/13
Description: SMC Pnuematic Cylinder MGPM16-75A-Z73 NEW
conquer_2011 NEW - $299.99 0 Jan/03/13 Jan/10/13
Description: NEW AMAT Valve pn 3780-01695
athomemarket NEW - $68.39 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $70.19 0 Jan/03/13 Feb/02/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $266.39 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $681.29 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $7,593.29 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $211.49 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $359.99 0 Jan/03/13 Feb/02/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $346.49 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $265.49 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $332.99 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $267.29 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $450.89 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
surplusssam NEW - $62.99 1 Jan/04/13 May/08/13
Description: NEW INTEGRATED CIRCUIT SUPPORT LAM RESEARCH 716-011009-001 QUARTZ SIDE WINDOW
athomemarket NEW - $89.99 0 Jan/04/13 Feb/03/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $94.49 0 Jan/04/13 Feb/03/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
supertechshop NEW - $99.99 0 Jan/04/13 Feb/03/13
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
athomemarket NEW - $332.09 0 Jan/04/13 Feb/03/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $305.99 0 Jan/04/13 Feb/03/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $85.49 0 Jan/04/13 Feb/03/13
Description: 12 NEW Osram AMAT 0190-35602 750W Halogen Optic Lamps
athomemarket NEW - $413.99 0 Jan/04/13 Feb/03/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
jtmtech NEW - $68.00 3 Aug/30/12 Jan/04/13
Description: 716-011009-001 Lam Research Quartz Window Brand NEW!
supertechshop NEW - $99.99 0 Jan/05/13 Feb/04/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $299.00 0 Jan/05/13 Feb/04/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Jan/05/13 Feb/04/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
athomemarket NEW - $11,749.50 0 Jan/05/13 Feb/04/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $126.89 0 Jan/05/13 Feb/04/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $2,381.39 0 Jan/05/13 Feb/04/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $394.19 0 Jan/05/13 Feb/04/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $1,803.59 0 Jan/05/13 Feb/04/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
svcstore NEW - $599.99 0 Jan/05/13 Jan/08/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $11.99 0 Jan/05/13 Jan/08/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
dashhound02 NEW - $39.99 1 Dec/29/12 Jan/05/13
Description: Lot of 12 MKS/HPS NW16 S/V SEAL, CTRING ASSY NW-16-CR-SV KF 16 New In Box!!!
usedeqsales NEW - $1,005.12 1 May/08/12 Jan/05/13
Description: Levitronix Controller 48V 600W LPC-600.1 New
convertechs4 NEW - $14.99 1 Dec/05/12 Jan/04/13
Description: New PALL HDC II DFA4001J100 10 Micron ABS. Disposable Filter Assembly #210
bjnaf4 NEW - $875.60 0 Jan/07/13 Feb/06/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
sparepartssolution NEW - $299.99 1 Sep/05/12 Jan/07/13
Description: (127-0603) AMAT APPLIED MATERIALS 3870-01215 VALVE NEW
usedeqsales NEW - $491.48 1 Feb/15/12 Jan/06/13
Description: ITT Standard Heat Exchanger Shell 5-030-03-024-005 New
automation_wholesale NEW - $899.99 1 Aug/28/12 Jan/06/13
Description: Jetalon CR-288 All Purpose Liquid Chemical Concentration Monitor NEW
mdnjpn NEW - $21.50 1 Jan/05/13 Jan/06/13
Description: SWAGELOK SS-202-1 NUT 1/8" 20 PCS. NEW
athomemarket NEW - $863.09 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $104.39 0 Jan/07/13 Feb/06/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $47.69 1 Jan/07/13 Feb/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $48.59 0 Jan/07/13 Feb/06/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $60.29 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $170.09 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $768.59 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
supertechshop NEW - $2,750.00 0 Jan/07/13 Feb/06/13
Description: NEW AMAT Wafer Orienter Controller Board 0100-76259 PCB Assy Applied Materials
supertechshop NEW - $199.00 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $499.00 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
heservices NEW - $89.95 2 Jan/03/13 Jan/07/13
Description: NEW SONY XC-75CE N50 CCD VIDEO CAMERA MODULE
maplewood888 NEW - $30.00 1 Dec/31/12 Jan/07/13
Description: NEW IN SEALED BAG TESCOM REGULATOR 44-3261JRU2-376 50PSIG OUTPUT MAX
nazservices NEW - $1,000.00 1 Jul/19/12 Jan/07/13
Description: 4 New YASKAWA JUSP-OP02A-1 DIGITAL OPERATOR WITH CABLE, SERVOPACK 1600-100409
dwsjps NEW - $200.00 1 Dec/18/12 Jan/07/13
Description: NEW ATC 365A TIMER 365A300Q30PX
belkizllc1 NEW - $700.00 0 Jan/08/13 Jan/15/13
Description: Applied Materials Ceramic Insulator 8" Wafer P/n 0020-22975 (New)
semiexpress NEW - $2,450.00 0 Jan/08/13 Jun/24/13
Description: AMAT Applied Materials 0010-70271 Wafer Lift New
prism_electronics6 NEW - $499.99 1 Jan/08/13 Oct/25/17
Description: NEW Lam Research System Interlock 300mm Board 810-800031-300 Rev: B
athomemarket NEW - $60.29 0 Jan/08/13 Jan/28/13
Description: New Applied Materials 0150-04244 Cable Assy Mirra Wafer
athomemarket NEW - $27.89 0 Jan/08/13 Feb/07/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $16.19 0 Jan/08/13 Feb/07/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
svcstore NEW - $11.99 0 Jan/08/13 Jan/11/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $905.12 8 May/08/12 Jan/08/13
Description: Entegris NT Flow Controller 6500-T6-F03-H04-M-P2-U1 0090-03026 New
svcstore NEW - $83.99 1 Jan/06/13 Jan/08/13
Description: NEW MGI MXM-0589-6 Wafer Auto-Flat Aligner for A/PA-182-60MB Carrier
usedeqsales NEW - $901.13 1 Jan/09/13 Sep/04/13
Description: AMAT Applied Materials 0190-09400 T2 150mm Susceptor Assembly new
athomemarket NEW - $32.39 0 Jan/09/13 Jan/21/13
Description: Applied Materials Turbo Pump Cable Assy 0150-36038 NEW
athomemarket NEW - $118.79 0 Jan/09/13 Feb/08/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $105.29 0 Jan/09/13 Feb/08/13
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
tdindustrial NEW - $195.00 1 Jan/09/13 Sep/02/14
Description: AMAT 0010-22209 Assy, Slit Valve Parker Door & Mount New
prism_electronics6 NEW - $400.00 1 Jan/09/13 Dec/11/19
Description: NEW Lam Research IGS Motherboard DMFC 810-020494-992 Rev A - Original Box
bring_recycling NEW - $20.00 1 Jan/09/13 Jan/16/13
Description: AMAT 0620-01277 CABLE CONVECTRON 76" LG DB15P-CG5 NEW
ebusinessliquidation NEW - $74.99 0 Jan/10/13 Feb/09/13
Description: NEW AMAT Applied Material PCB Titan Head Pneumatic Controller 0100-77040 / QTY
sparepartssolution NEW - $1,699.99 1 Nov/21/12 Jan/10/13
Description: (AA01) AMAT APPLIED MATERIALS 0040-21289 ADAPTER 16" CHAM DURASOURCE TTN NEW
vw66rh Used - $99.99 1 Jan/02/13 Jan/09/13
Description: mcElroy fusion iron cts00702 new fusion heater
athomemarket NEW - $3,865.99 4 Dec/20/12 Jan/09/13
Description: NEW Asyst VersaPort 9700-6023 Wafer Cassette Carrier Loader 8"/200mm
usedeqsales NEW - $607.12 1 Jul/26/12 Jan/09/13
Description: Gaston H-Square GRN-23 Wafer Wand Kit SQ22695-1 lot of 2 new
tdindustrial NEW - $295.00 1 Jan/10/13 Jan/26/15
Description: AMAT Part No: 0020-34111 REV B, Plate, Leveling, Heater, Sealed, New
tdindustrial NEW - $45.00 0 Jan/10/13 Nov/19/21
Description: AMAT Part No: 0020-34740 REV A, Plate Cover CVD New, Sealed
tdindustrial NEW - $295.00 2 Jan/10/13 Jan/17/17
Description: AMAT Part No: 0020-34112 REV B, Plate, Reference Indicator, New, Sealed
athomemarket NEW - $211.49 0 Jan/10/13 Feb/09/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $116.99 0 Jan/10/13 Feb/09/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $63.89 0 Jan/10/13 Feb/09/13
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $157.49 0 Jan/10/13 Feb/09/13
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $105.29 0 Jan/10/13 Feb/09/13
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $573.29 0 Jan/10/13 Feb/09/13
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $101.69 0 Jan/10/13 Feb/09/13
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $58.49 0 Jan/10/13 Jan/21/13
Description: AMAT Harness Assy, LLA/B Indexer Servo 0140-06710 NEW
athomemarket NEW - $446.39 0 Jan/10/13 Feb/09/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $166.49 0 Jan/10/13 Feb/09/13
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $1,800.89 0 Jan/10/13 Feb/09/13
Description: NEW AMAT 0010-23715 PMAX Emissometer RTP Probe Assy.
testeqe NEW - $24.99 0 Jan/10/13 Sep/07/13
Description: NEW Lam Research PN: 715-003988-001 Large Idler Roller
svcstore NEW - $599.99 0 Jan/10/13 Jan/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sparepartssolution NEW - $1,699.99 1 Jan/10/13 Apr/16/13
Description: (AA01) AMAT APPLIED MATERIALS 0040-21289 ADAPTER 16" CHAM DURASOURCE TTN NEW
mattron747 NEW - $750.00 0 Jan/11/13 Feb/10/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
sparepartssolution NEW - $29.99 12 Jan/11/13 Apr/18/13
Description: (AA01) AMAT APPLIED MATERIALS 0020-23093 WASHER INSULATOR FOR PVD LIFTER NEW
svcstore NEW - $11.99 0 Jan/11/13 Jan/14/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
federal_trades NEW - $455.00 0 Jan/12/13 Aug/10/13
Description: New Yaskawa Servopack SGDH-01AE , SGDH-01AEYA11 Applied Material 1080-00080
athomemarket NEW - $177.29 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $1,277.99 0 Jan/12/13 Feb/11/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
athomemarket NEW - $282.59 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $320.39 0 Jan/12/13 Feb/11/13
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $159.29 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0040-75247 Stainless Dual Blade Robot Ring
athomemarket NEW - $92.69 0 Jan/12/13 Feb/11/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $781.19 0 Jan/12/13 Feb/11/13
Description: NEW Applied AMAT 0021-09104 Cathode Lower Liner MXP
athomemarket NEW - $58.49 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $103.49 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $284.39 0 Jan/13/13 Feb/12/13
Description: NEW LAM Research 716-330973-281 Ceramic Wafer Clamp for LAM 9600
athomemarket NEW - $124.19 0 Jan/13/13 Feb/12/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $3,808.79 0 Jan/13/13 Feb/12/13
Description: NEW AMAT 0010-36404 Seriplex Assy w/0190-35653 MUX I/O PCB Chamber A
athomemarket NEW - $137.69 0 Jan/13/13 Feb/12/13
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $3,814.19 0 Jan/13/13 Feb/12/13
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $3,808.79 0 Jan/13/13 Feb/12/13
Description: NEW AMAT 0090-00323 Seriplex Assy w/0190-35762 MUX I/O PCB Chamber B
athomemarket NEW - $193.49 0 Jan/13/13 Feb/12/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
svcstore NEW - $599.99 0 Jan/13/13 Jan/16/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
bobsgoodies NEW - $98.50 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
bobsgoodies NEW - $55.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $249.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0190-16010 Idec Micro-1 Micro Controller New
visionsemi NEW - $200.00 1 Feb/16/11 Jan/13/13
Description: USF FILTERITE EBC100-10M3T 300 (T93011278016) NEW
lvrealtor23 NEW - $95.00 3 Jan/10/13 Jan/13/13
Description: 202-66-01 New
usedeqsales NEW - $701.13 3 Jan/11/13 Jan/13/13
Description: MKS Instruments 627B-23184 Baratron Capacitance Manometer 1350-00535 new
esprprts NEW - $95.00 1 Dec/13/12 Jan/12/13
Description: NEW! AMAT APPLIED MATERIALS CHAMBER INTERCONNECT PCB 0100-20313
6105moss NEW - $1,850.00 1 Jan/05/13 Jan/12/13
Description: TREBOR M50DS01000A0 PUMP, AK4476, 3/4"X1 IN FLARE; NEW IN ORIGINAL BOX
athomemarket NEW - $312.99 1 Dec/23/12 Jan/11/13
Description: NEW Applied Materials AMAT 908193-001 Extended Analog I/O Board PCB (Semy) Aviza
athomemarket NEW - $138.99 3 Dec/16/12 Jan/11/13
Description: NEW KLA-Tencor SensArray 1630A-8-0015 Instrumented 8" TC Wafer Process 3-Probe
testeqe NEW - $1,100.00 1 Oct/12/12 Jan/11/13
Description: NEW MKS 623A11TBE Baratron Pressure Transducer 10 Torr, ASM PN: 65-106645A86
sparepartssolution NEW - $15.99 9 Apr/26/12 Jan/10/13
Description: (AA01) AMAT APPLIED MATERIALS 0020-23093 WASHER INSULATOR FOR PVD LIFTER NEW
usedeqsales NEW - $808.12 1 Aug/08/12 Jan/10/13
Description: Applied Materials AMAT Valve Process Kit 300mm 0242-13944 New
usedeqsales NEW - $1,054.28 1 Jun/18/12 Jan/10/13
Description: Applied Materials AMAT 0227-04991 Matrix PVD CBM/CLF Kit New
usedeqsales NEW - $1,202.12 1 Feb/09/12 Jan/10/13
Description: Matrox Framer Grabber 7030-02 Rev. B New
usedeqsales NEW - $4,901.43 1 Apr/21/11 Jan/10/13
Description: CTI-Cryogenics IS-1000 Cryo Compressor 0190-23685 New
usedeqsales NEW - $355.68 1 Aug/17/12 Jan/10/13
Description: Applied Materials AMAT ESC 3/8OD Gas Lines Kit 0240-40458 New
athomemarket NEW - $3,329.99 0 Jan/14/13 Feb/13/13
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
lbmopar NEW - $60.99 0 Jan/14/13 Jan/21/13
Description: amat slit valve door 0020-10202 new w cam plates viton p5000 producer 5200
svcstore NEW - $11.99 0 Jan/14/13 Jan/17/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
tdindustrial Used - $395.00 1 Dec/19/12 Jan/14/13
Description: New Wave Ezlaze 532/355 Diagnostic Lazer Cutting Component
surplusdepot1 NEW - $395.00 1 Jan/02/13 Jan/14/13
Description: NEW FT-IR Purge Gas Generator Parker Balston Analytical Gas Systems 75-45-12VDC
pinkieleenala NEW - $65.00 1 Jan/13/13 Jan/14/13
Description: NEW Kurt J.Lesker EFT0223094 2-PIN power Feedthrough
tempestsupply NEW - $60.00 1 Mar/09/11 Jan/14/13
Description: SUNX PRESSURE SENSOR DP-22Z NEW
conquer_2011 NEW - $299.99 0 Jan/15/13 Jan/25/13
Description: NEW AMAT Valve pn 3780-01695
athomemarket NEW - $520.99 0 Jan/15/13 Feb/14/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $21.99 0 Jan/15/13 Feb/14/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
tdindustrial NEW - $149.50 1 Jan/15/13 Jan/16/13
Description: AMAT 0240-25461 Pneumatic Valve Kit N/C W/TEE Veriflow New, Sealed
tdindustrial NEW - $149.50 1 Jan/15/13 Jan/16/13
Description: AMAT 0240-13768 Pneumatic Valve Kit N/C Veriflow 10RA, New, Sealed
tdindustrial NEW - $149.50 1 Jan/15/13 Jan/16/13
Description: AMAT 0240-13767 Pneumatic Valve Kit N/C Veriflow 10RA, New, Sealed
athomemarket NEW - $140.99 0 Jan/15/13 Feb/14/13
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
athomemarket NEW - $199.99 0 Jan/15/13 Feb/14/13
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $254.99 0 Jan/15/13 Feb/14/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
bobsgoodies NEW - $35.00 0 Jan/16/13 Feb/15/13
Description: Applied Materials 0150-76405 Cable Assembly New AMAT
usedeqsales NEW - $701.13 1 Jan/16/13 Jun/29/14
Description: AMAT Applied Materials 0190-09291 200mm Wafer Lift Ceramic Ring new
usedeqsales NEW - $701.13 1 Jan/16/13 Oct/11/13
Description: AMAT Applied Materials 0020-04181 Insulating Flange Round new
usedeqsales NEW - $401.13 0 Jan/16/13 Dec/04/14
Description: AMAT Applied Materials 0021-81146 300mm HDPCVD Telfon 18" Dome Ring new
usedeqsales NEW - $601.13 2 Jan/16/13 Apr/27/16
Description: Lam Research 853-013540-002 Heated Manifold Chamber new
athomemarket NEW - $282.99 0 Jan/16/13 Feb/15/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
svcstore NEW - $599.99 0 Jan/16/13 Jan/19/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $499.00 0 Jan/17/13 Feb/16/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
athomemarket NEW - $252.99 0 Jan/17/13 Feb/16/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $255.99 0 Jan/17/13 Feb/16/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $155.99 0 Jan/17/13 Feb/16/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
athomemarket NEW - $2,221.99 0 Jan/17/13 Feb/16/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
svcstore NEW - $11.99 0 Jan/17/13 Jan/20/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $80.99 1 Jan/16/13 Jan/17/13
Description: Lot of 12 NEW Swagelok 6LV-4-VCR-3S-4TB7P Short Gland 1/4" VCR Butt Weld Fitting
testeqe NEW - $49.99 1 Nov/21/11 Jan/17/13
Description: NEW ASM PN: 33-116814A66 Switch-Push Button-P/P-2 Pos-Red, AB 800T-FX6A5 Allen
palladeo_equipments NEW - $409.00 1 Oct/17/12 Jan/17/13
Description: NEW Techsol Clean Room Fan Filter Unit Model 028-04
9296980711 NEW - $850.00 1 Jan/16/13 Jan/17/13
Description: New Vision Engineering MEH-001 Head Mantis Elite
usedeqsales NEW - $151.06 1 Feb/03/12 Jan/17/13
Description: FutureStar Flow Meter 148-010 New
usedeqsales NEW - $213.58 1 May/14/12 Jan/17/13
Description: FutureStar Flow Meter 154-010 1040-01206 New
sunnking NEW - $11.99 0 Jan/18/13 Jan/19/13
Description: Vector W28-6EU 28 ga Tefzel Insulated wire 150 ft. New in Bag
wilderauctions! NEW - $59.99 6 Jan/18/13 Apr/17/13
Description: NEW Kurt Lesker VPZL-133 Viewport Zero Legnth Kovar Sleeve Kodial 1-1/3 UHV!
athomemarket NEW - $507.99 0 Jan/18/13 Feb/17/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $91.99 0 Jan/18/13 Feb/17/13
Description: NEW Osram 111566 Fused 750W Quartz Tungsten Lamp/Bulb AMAT 0010-06957 Oxide PVD
athomemarket NEW - $290.99 0 Jan/18/13 Feb/17/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $105.99 0 Jan/18/13 Feb/17/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $155.99 0 Jan/18/13 Feb/17/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $115.99 0 Jan/18/13 Feb/17/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $105.99 0 Jan/18/13 Feb/17/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $802.99 0 Jan/18/13 Feb/17/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $121.99 0 Jan/18/13 Feb/17/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $42.99 0 Jan/18/13 Feb/17/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $105.99 0 Jan/18/13 Feb/17/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $52.99 0 Jan/18/13 Feb/17/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $916.99 0 Jan/18/13 Feb/17/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $65.99 0 Jan/18/13 Feb/17/13
Description: NEW Parker Veriflow 944/944AOP Diaphram Valve Assy AMAT 0050-14441 1/4" VCR
athomemarket NEW - $314.99 0 Jan/19/13 Feb/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Refurbished - $176.99 0 Jan/19/13 Feb/18/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
athomemarket NEW - $26.99 0 Jan/19/13 Feb/18/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $49.99 0 Jan/19/13 Feb/18/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $212.99 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 976505-001 Rev. G Alarm Status Panel Card/Board
athomemarket NEW - $93.99 0 Jan/20/13 Feb/19/13
Description: 3 NEW Entegris UT12-16-12FN-1 PFA Tee Reducer Union Fittings 1" to 3/4" Flaretek
athomemarket NEW - $555.29 0 Jan/20/13 Feb/19/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $353.69 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $100.79 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $65.99 0 Jan/20/13 Feb/19/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $75.99 0 Jan/20/13 Feb/19/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $908.09 0 Jan/20/13 Feb/19/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $50.39 0 Jan/20/13 Feb/19/13
Description: NEW Nupro 6LV-D1V333P-AA Valve Assembly AMAT 0050-37464
athomemarket NEW - $68.39 0 Jan/20/13 Feb/19/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
svcstore NEW - $599.99 0 Jan/20/13 Jan/23/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
pinkieleenala NEW - $80.00 0 Jan/20/13 Jan/27/13
Description: new kurt j lesker power feedthrough eft1213254
auctionrus NEW - $950.00 0 Apr/25/12 Dec/14/15
Description: New Novellus Harmon​ic Drive HHA-010-080 SP w/ Maxon 240401
svcstore NEW - $11.99 0 Jan/20/13 Jan/23/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
bbs_express NEW - $700.00 1 Jan/21/13 Jul/18/13
Description: LAM RESEARCH -- 716-330122-002 TOP CERAMIC PLATE -- NEW SEALED
ehs72 NEW - $12.99 1 Jan/15/13 Jan/20/13
Description: PEN-VAC Virtual Industries V8901-D-ESD New!
heservices NEW - $49.95 1 Jan/15/13 Jan/20/13
Description: NEW Viscom Vtr3 Drv II Driver Control Module 77146B05
usedeqsales NEW - $541.12 1 Jan/27/12 Sep/05/13
Description: Watlow Din-a-mite Power Controller DA1C-1624-C000 lot of 22 72098-13 new
usedeqsales NEW - $92.11 23 Dec/28/11 Feb/10/14
Description: Millipore WGFG40H01 0.2µm Cartfidge Filter F-40 new
farmoninc NEW - $108.75 10 Jan/21/13 Oct/30/20
Description: NEW Novellus 20-102707-00 Millipore WG2F02PS1, Inline gas filter, 1/4" swagelok
farmoninc NEW - $145.00 0 Jan/21/13 May/13/13
Description: NEW Novellus 22-043729-00 gas filter, 1/4 VCR, 316L SS, POU-3-SV1
usedeqsales NEW - $701.12 1 Jan/27/12 Jun/03/13
Description: Fujimi Wafer Back Grind Wheel FPW-AF4/6-279ST-RT-3.5H Lot of 5 New
athomemarket NEW - $197.99 0 Jan/21/13 Feb/20/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $296.09 0 Jan/21/13 Feb/20/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
farmoninc Used - $375.00 9 Jan/21/13 Mar/08/22
Description: Novellus 27-053660-00 Digital Dynamics Power Supply SIOC, looks new
svcstore NEW - $211.99 0 Jan/18/13 Jan/21/13
Description: NEW MKS HPS 109070029CE Analog Convection Transducer Vacuum Sensor Gauge 907 Ser
juliprose NEW - $625.00 1 Jul/25/12 Apr/16/14
Description: YAMADA PD-50PV-FL PULSATION PULSE DAMPER PP VITON NEW
juliprose NEW - $595.00 0 Jul/25/12 May/01/16
Description: YAMADA AD-50V PULSATION PULSE DAMPER VITON PP NEW
juliprose NEW - $450.00 0 Jul/25/12 Feb/13/17
Description: YAMADA PD-20PT PULSATION PULSE DAMPER PP PTFE NEW
dvkelectronics NEW - $225.00 0 Dec/22/12 Jan/21/13
Description: New KIT HPS VALVE REPAIR w/ 1 BELLOWS NW-40 ASSY KIT, 3 0-RING 2.609 ID X .139
dvkelectronics NEW - $150.00 0 Dec/22/12 Jan/21/13
Description: New WLDMT BELLOWS LIFT 839-460039-001 REV E2
lbmopar NEW - $35.99 0 Jan/14/13 Jan/21/13
Description: Swagelock Diaphram Nupro Valve 6lv-d1v222p-ba new swagelok
fineagirl1 NEW - $2,800.00 0 Jan/21/13 Feb/20/13
Description: AMAT Applied Materials Merc Shft Assembly & Seal DD VA (NEW)
fineagirl1 NEW - $2,600.00 0 Jan/21/13 Feb/20/13
Description: AMAT Applied Materials Power Supply Daq (NEW)
bring_recycling NEW - $100.00 0 Jan/21/13 Jan/28/13
Description: New Pall SGLF6101VM4M Gaskleen Filter
bring_recycling NEW - $150.00 1 Jan/21/13 Jan/24/13
Description: NEW Pall GLFPF3000VMM4 Inline Filter Assembly
bring_recycling NEW - $150.00 0 Jan/21/13 Jan/28/13
Description: Lot (6) Mott GasShield POU-015-NSV1 NanoMetal NEW in FOIL
sparepartssolution NEW - $99.99 1 Jan/27/12 Jan/21/13
Description: (AJ01) NTC NSDP/B-A320-40-3 NEW
scooby853 NEW - $0.99 1 Jan/13/13 Jan/18/13
Description: New Antronix GLF-1002 Blocking Filter SEALED
dmarch1467 NEW - $169.00 1 Sep/09/11 Sep/28/13
Description: 754-092082-002 Lam Research VAT Leaf Spring with Bearings NEW!
dmarch1467 NEW - $70.00 2 Aug/22/11 May/28/13
Description: 716-011009-001 Lam Research Quartz Window Brand NEW!
systasemi NEW - $900.00 0 Jan/21/13 Feb/21/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
athomemarket NEW - $234.99 0 Jan/22/13 Feb/21/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $129.59 1 Jan/22/13 Jan/31/13
Description: NEW Applied Materials/AMAT 0020-23041 8" Wafer Shield Clamp 5500 Endura AL PVD
athomemarket NEW - $99.99 0 Jan/22/13 Feb/21/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $134.99 0 Jan/22/13 Feb/21/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $92.99 0 Jan/22/13 Feb/21/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $1,314.99 0 Jan/22/13 Feb/21/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $46.99 0 Jan/22/13 Feb/21/13
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $305.99 0 Jan/22/13 Feb/21/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $842.99 0 Jan/22/13 Feb/21/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
farmoninc NEW - $490.00 0 Jan/22/13 Jun/21/13
Description: NEW Novellus 27-270136-00 Brooks 108965 mapper, Thrubeam, AQR8 robot automation
svcstore NEW - $599.99 0 Jan/23/13 Jan/26/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
equipplus NEW - $239.00 1 Jan/24/13 Jan/25/13
Description: Osram 0190-24845 Rev04 10pcs Lamp for Applied Materials AMAT,Mexico,new
farmoninc NEW - $2,350.00 0 Jan/24/13 May/24/13
Description: NEW Brooks 002-5870-06 Robot control module assy, Novellus 27-123450-00
usedeqsales NEW - $2,001.13 0 Jan/24/13 May/01/15
Description: AMAT Applied Materials 7323 75kVA XFMR Quality Transformer 0190-06937 new
athomemarket NEW - $0.99 0 Jan/24/13 Jan/31/13
Description: Applied Materials Turbo Pump Cable Assy 0150-36038 NEW
athomemarket NEW - $0.99 1 Jan/24/13 Jan/31/13
Description: AMAT Harness Assy, LLA/B Indexer Servo 0140-06710 NEW
athomemarket NEW - $238.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $150.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $1,333.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $8,501.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $125.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
athomemarket NEW - $54.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 0050-40520 Swagelok 1/4" Diaphragm Valve Assy. 6LV-BNBW4-C Stainless
athomemarket NEW - $392.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $305.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $44.99 0 Jan/24/13 Feb/23/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $425.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 0020-31509 Rimless Oxide Pedestal 200mm/8" MLR NIT Notched
athomemarket NEW - $119.99 0 Jan/24/13 Feb/23/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
pcgurugeek Used - $74.00 0 Jan/24/13 Feb/23/13
Description: Cuttler Hammer CE15FN3 Contactor 32 Amp 3-Pole 110V coil New AMAT 1200-01081
usedeqsales NEW - $2,001.13 0 Jan/25/13 May/07/14
Description: AMAT Applied Materials 0270-05868 Neon Chamber Lower Liner Removal Fixture new
usedeqsales NEW - $1,501.13 0 Jan/25/13 May/01/15
Description: AMAT Applied Materials 0100-02784 MOCVD CDS Interlock IO Distribution Board new
usedeqsales NEW - $501.13 0 Jan/25/13 May/05/15
Description: AMAT Applied Materials 0041-33021 300mm HHXP Robot Axis-0 Magnet Hub new
athomemarket NEW - $116.99 0 Jan/25/13 Feb/24/13
Description: NEW Applied Materials/AMAT 0020-23278 A 8" Source SST Upper Shield 101% TIN
farmoninc NEW - $3,950.00 0 Jan/22/13 Jan/31/19
Description: NEW VAT 02112-BA24-APN1/0668 VALVE GATE RECTANGULAR, Novellus 60-136033-00
svcstore NEW - $599.99 0 Jan/26/13 Jan/29/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ab-international NEW - $99.95 15 Jan/27/13 Dec/03/13
Description: Lg Qty Avail New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
athomemarket NEW - $179.99 0 Jan/27/13 Feb/26/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $175.99 0 Jan/27/13 Feb/26/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $103.99 0 Jan/27/13 Feb/26/13
Description: 10 NEW Osram AMAT 0190-14083 Halogen Heater/Optic Lamps
craftsman25 NEW - $19.99 1 Jan/21/13 Jan/26/13
Description: 2 NEW NUPRO SS-6BK-10 HIGH PRESSURE VALVE
cssurplus NEW - $199.95 4 Dec/27/12 Jan/26/13
Description: Mott GasShield Filter POU-015-SVI, 316L, 1/4" Face Seal, 30 slpm, 6800049 New
sparepartssolution NEW - $49.99 2 Sep/06/12 Jan/25/13
Description: (127-0105) AMAT APPLIED MATERIALS 0030-70046 MACHINING, BRKT CLAMP SOURCE NEW
usedeqsales NEW - $1,801.13 0 Jan/28/13 Apr/30/15
Description: AMAT Applied Materials 0010-45533 LDM Megasonic Onboard Mixing Assembly new
usedeqsales NEW - $5,501.13 1 Jan/25/13 Jan/28/13
Description: Yaskawa XU-RC400M-D03 Transfer Robot SAA0093A new 0190-37855
cvfisher86 NEW - $9.00 1 Jan/24/13 Jan/27/13
Description: NEW 5 Factory Sealed Nupro 2 Micron "F" Series Filter SS-4F-K4-2
sunnking NEW - $11.99 0 Jan/28/13 Feb/04/13
Description: Vector W28-6EU 28 ga Tefzel Insulated wire 150 ft. New in Bag
conquer_2011 NEW - $399.99 0 Jan/28/13 Feb/27/13
Description: NEW AMAT Valve pn 3780-01695
usedeqsales NEW - $2,001.13 0 Jan/28/13 May/01/15
Description: AMAT Applied Materials 0270-05669 Neon Gas Spring Tool Compression new
athomemarket NEW - $114.99 0 Jan/28/13 Feb/27/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $10.99 0 Jan/28/13 Jan/31/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
gesemiconductor NEW - $45.00 0 Jan/29/13 Nov/15/15
Description: New ASM 02-195434-01 Assembly Cable TB5 E703 Rev.C
gesemiconductor NEW - $45.00 0 Jan/29/13 Dec/19/17
Description: New ASM 02-195430-01 Assembly Cable TB5 E600
heservices NEW - $199.95 0 Jan/29/13 Feb/01/13
Description: NEW PULNIX TM-200 CCD VIDEO CAMERA
gincraft2000 NEW - $175.00 1 Feb/02/12 Jan/28/13
Description: AMAT Applied Materials vacuum 6-conductor feedthru rotating # 0720-02672 New
maplewood888 NEW - $30.00 1 Jan/21/13 Jan/28/13
Description: NEW IN SEALED BAG TESCOM REGULATOR 44-3261JRU2-376 50PSIG OUTPUT MAX
usedeqsales NEW - $1,001.13 0 Jan/29/13 May/04/15
Description: AMAT Applied Materials 0200-07641 Ceramic Shower Head new
capitolareatech NEW - $50.00 0 Jan/29/13 Sep/26/13
Description: NOVELLUS 15-103913-02 HALF CLAMP,NEW ELECTRICAL FEEDTHRU,NICKL
athomemarket NEW - $933.99 0 Jan/29/13 Feb/28/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
supertechshop NEW - $995.00 0 Jan/29/13 Feb/28/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $49.99 0 Jan/29/13 Feb/28/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $399.00 0 Jan/29/13 Feb/28/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $499.00 0 Jan/29/13 Feb/28/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 Jan/29/13 Feb/28/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Jan/29/13 Feb/28/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Jan/29/13 Feb/28/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
svcstore NEW - $599.99 0 Jan/29/13 Feb/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
scooby853 NEW - $0.99 0 Jan/30/13 Feb/04/13
Description: New Antronix GLF-1002 Blocking Filter SEALED
coachmen2004 NEW - $380.00 0 Jan/30/13 Feb/06/13
Description: Weller Hot Plate WHP3000 New
grandpaxthree NEW - $95.00 0 Jan/30/13 Mar/01/13
Description: DELTA SF3111OS OXYGEN SENSOR ******NEW****
supertechshop NEW - $699.00 0 Jan/30/13 Mar/01/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $399.00 0 Jan/30/13 Mar/01/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Jan/30/13 Mar/01/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
farmoninc NEW - $5,500.00 0 Jan/30/13 Sep/27/13
Description: NEW VAT 65048-XH52-ACK2 Pendulum control isolation valve, Lam 796-441317-003
supertechshop NEW - $74.99 0 Jan/30/13 Mar/01/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
usedeqsales NEW - $1,601.13 1 Jan/30/13 May/01/15
Description: AMAT Applied Materials 0090-06254 Centura ACP Remote Assembly new
usedeqsales NEW - $701.13 0 Jan/30/13 Dec/04/14
Description: AMAT Applied Materials 0200-08879 Exhaust Ring new
usedeqsales NEW - $1,501.13 0 Jan/30/13 May/01/15
Description: AMAT Applied Materials 0200-07814 Shower Head new
usedeqsales NEW - $401.13 0 Jan/30/13 May/05/15
Description: AMAT Applied Materials 0021-34715 Low 300mm Cathode Liner new
athomemarket NEW - $1,654.99 0 Jan/30/13 Mar/01/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
lbmopar NEW - $52.00 0 Jan/30/13 Feb/06/13
Description: amat slit valve door 0020-10202 new w cam plates viton p5000 producer 5200
bruce135 NEW - $99.00 0 Jan/31/13 Mar/02/13
Description: Lot of 2 SMC NCDQ2KWB32-35D-F7NV Compact Air Cylinders With Sensors/Limits New
gesemiconductor NEW - $40.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-401285-01 Clamp Gasline Reactor Shield
gesemiconductor NEW - $595.00 0 Jan/31/13 Dec/18/17
Description: New ASM 65-106645A74 Transducer PRS 0-65 PSI NOS
gesemiconductor NEW - $1,500.00 0 Jan/31/13 Oct/08/14
Description: New ASM 54-125027A06 MFC Mass Flow Controller 500SCCM NF3
gesemiconductor NEW - $1,500.00 0 Jan/31/13 Oct/08/14
Description: New ASM 54-125027A05 MFC Mass Flow Controller 500SCCM Ar
gesemiconductor NEW - $42.00 0 Jan/31/13 Dec/19/17
Description: New ASM 41-107868A22 Ferrule Twin 16AWG BLK
gesemiconductor NEW - $60.00 0 Jan/31/13 Dec/19/17
Description: New ASM 22-122984A13 Standoff Hex 8MM F/M M4X
gesemiconductor NEW - $150.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-196830-01 Retainer Reactor Gas Line
gesemiconductor NEW - $160.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-196828-01 Retainer Reactor Gas Line
gesemiconductor NEW - $115.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1012-836-01 Bolt Lifter Top ALD6 HiLift
gesemiconductor NEW - $65.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1012-272-01 Line Gas OW Adapter Gasline Rev.A
gesemiconductor NEW - $565.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1012-264-01 Line Gas OW PCSR Inlet 1-MXG Manifold ALDG
gesemiconductor NEW - $480.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1042-219-01 Panel Door Gas Box Rear
gesemiconductor NEW - $125.00 0 Jan/31/13 Dec/19/17
Description: New ASM 75-119073A28 Rail Support 1200mm
gesemiconductor NEW - $270.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-403696-01 Cover Side Back Half
gesemiconductor NEW - $525.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-400613-01 Cover Side Vaccum Exhaust Front
gesemiconductor NEW - $230.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-196795-01 Mylar Emerald HIK Module
gesemiconductor NEW - $300.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-195366-01 Bracket Mount Temp Controller
gesemiconductor NEW - $320.00 0 Jan/31/13 Nov/17/15
Description: New ASM 16-195361-01 Door Power Distribution Box
gesemiconductor NEW - $50.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-195176-01 Bracket 15V Power Supply
gesemiconductor NEW - $640.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1042-220-01 Panel Door Gas Box Side
gesemiconductor NEW - $660.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1038-048-01 Plate Mounting Device Net
gesemiconductor NEW - $1,485.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1034-770-01 Enclosure Gas Panel Rear
gesemiconductor NEW - $1,100.00 0 Jan/31/13 Dec/19/17
Description: New ASM 02-196725-01 Assembly Panel Side Upper Pull
vacsci NEW - $505.00 0 Jan/31/13 Mar/02/13
Description: MKS Baratron Pressure Transducer, OEM New
esoteric_specialties NEW - $575.00 0 Jan/30/13 Feb/09/13
Description: Electroglas / Probe Specialists FET Power Board DAR 566875-00 New in the box!
dts_ind_llc NEW - $112.25 2 Jan/30/13 May/15/13
Description: New Pall Gaskleen GLF6101SM4S Gas Filter 6101 Series
carlangas2002 NEW - $80.00 0 Jan/30/13 Mar/01/13
Description: NEW IN BOX OMRON E2K-C25MF1 CAPACITIVE PROXIMITY SWITCH SENSOR E2KC25MF1
shadow15b NEW - $125.00 2 Dec/11/12 Jan/30/13
Description: MYKROLIS PRESSURE GAUGE S122-3000-PSI-VSF- NEW SEALED PACKAGE
rtsemisurplus NEW - $99.99 2 Feb/14/12 Jan/30/13
Description: Swagelok SS-4BK-10 BELLOWS VALVE, NEW
usedeqsales NEW - $1,512.11 2 Dec/09/11 Jan/30/13
Description: Tokyo Electron 1105-200431-12 Sic High Temperature Liner Tube 30001994 new
cybernetyk NEW - $39.95 0 Jan/31/13 Feb/07/13
Description: NEW AB 700-HF32Z24-1-4 RELAY, 24VDC 2PDT W/LED + 700-HN116 Base *4 PCS*
svcstore NEW - $10.99 0 Jan/31/13 Feb/03/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $4,502.13 0 Feb/01/13 Apr/30/15
Description: Yaskawa XU-ACP4861 Prealigner 0190-19918 new
prism_electronics6 NEW - $6,999.99 0 Feb/01/13 Aug/21/14
Description: ** NEW ** Lam Research Gate Valve 853-007859-223 Rev F Alliance
esprprts NEW - $20.00 0 Feb/01/13 Dec/23/14
Description: *NEW* LAM 716-801451-019 QUARTZ BAFFLE CHAMBER 2300MW STRIPPER UV BLK
athomemarket NEW - $0.99 0 Feb/01/13 Feb/08/13
Description: Applied Materials Turbo Pump Cable Assy 0150-36038 NEW
athomemarket NEW - $0.99 0 Feb/01/13 Feb/08/13
Description: AMAT Harness Assy, LLA/B Indexer Servo 0140-06710 NEW
svcstore NEW - $599.99 0 Feb/01/13 Feb/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
dvkelectronics NEW - $250.00 1 Feb/01/13 Feb/27/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-360073-001
dvkelectronics NEW - $450.00 0 Feb/01/13 Mar/03/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
tubeseller919 NEW - $122.50 1 Feb/01/13 Feb/06/13
Description: SWAGELOK MS-HTB-4T 1/4 TUBE BENDER NEW NEVER USED NIB
stmalot NEW - $127.50 1 Jan/28/13 Feb/04/13
Description: Swagelok MS-HTB-4 T 1/4" Hand Tube Tubing Bender New
usedeqsales Used - $303.10 7 Mar/25/10 Jan/31/13
Description: Turck Schottky Power Tap SPTC2 Lot of 4 New 1110-00028
usedeqsales NEW - $1,104.12 1 Apr/12/12 Jan/31/13
Description: SMC Pressure Switch PSE530-M5 1350-00461 Lot of 61 New
usedeqsales Used - $689.09 2 Sep/15/09 Jan/31/13
Description: Watlow MRC Eclipse Temperature Controller A113094 New
soldtou1 NEW - $449.99 1 Jan/19/12 Jan/31/13
Description: Entegris IGP-02-000-1 Inline Gauge Brand NEW LOT of 2
kan_az_plumber1967 NEW - $150.00 1 Feb/01/13 Feb/08/13
Description: Lot of (3) New Swagelok SS-45S8-SC11 S.S. Ball Valves ½"
cobra-viper551 NEW - $39.99 5 Sep/19/10 Feb/01/13
Description: SWAGELOK 1/8" TOGGLE VALVE SS-1GM2 (NEW)
its_gotta_go_now NEW - $125.00 1 Jan/31/13 Feb/01/13
Description: Swagelok MS-HTB-4 T 1/4" Hand Tubing Bender 9/16" Radius New Plumbing Aircraft
esolutions1 NEW - $99.00 0 Feb/02/13 Dec/21/14
Description: Applied Materials 3190-51003 CHAIN ROLLER AMAT NEW
esolutions1 NEW - $809.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials 0050-46077 GASLINE RIGHT ANGLE VALVE AMAT NEW
esolutions1 NEW - $299.00 1 Feb/02/13 Dec/09/14
Description: LOT OF 3 AMAT APPLIED MATERIALS 0020-13959 CAP, HTHU 8" HEATER NEW
esolutions1 NEW - $1,529.00 0 Feb/02/13 Dec/21/14
Description: AMAT Applied Materials 0240-13063 Chamber Kit new
esolutions1 NEW - $159.00 1 Feb/02/13 Jul/31/13
Description: Applied Materials AMAT 3400-01446 HOSE ASSY FLEX BRAID 1/4IDX36"L 1/4VCR-MF NEW
esolutions1 NEW - $89.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials 3870-00134 VALVE LOCK OUT 15-150PSIG 3PORT 1/4NPT 3 AMAT NEW
esolutions1 NEW - $116.10 0 Feb/02/13 Dec/21/14
Description: NEW Applied Materials 0021-27011 ROLLER, BRUSH MODULE, WAFER ROTATION MOP AMAT
esolutions1 NEW - $269.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0050-40653 BY-PASS ROUGHING LINE NEW
athomemarket NEW - $77.99 0 Feb/02/13 Mar/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $500.99 0 Feb/02/13 Mar/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
farmoninc NEW - $0.99 0 Feb/02/13 Feb/12/13
Description: 6 NEW AMAT 3700-01288 Parker VCR 50-4V-NI-R 1/4 NI CONTOURED Gasket retainer
tcb3162 NEW - $19.95 6 Jan/15/13 Feb/02/13
Description: NEW SWAGELOK QUICK CONNECT FITTING SS-QC4-D-400
island-findings NEW - $102.50 1 Jan/26/13 Feb/02/13
Description: [NEW] SWAGELOK SS-400-9 TUBE FITTING, UNION ELBOW, 1/4" TUBE OD - LOT OF 25
cavtool NEW - $6.00 3 Nov/04/12 Feb/02/13
Description: Quick Connect Body Protection Plug Swagelok SS-QC4-BP, 1/4 inch new
island-findings NEW - $49.99 1 Feb/01/13 Feb/01/13
Description: [NEW] SWAGELOK SS-8-E 1/2"NPT FEMALE ELBOW, STAINLESS, LOT OF 5
athomemarket NEW - $75.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
wedone NEW - $450.00 0 Feb/03/13 Mar/05/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
athomemarket NEW - $8,436.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $369.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $384.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $295.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $399.99 0 Feb/03/13 Mar/05/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $296.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $294.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $756.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $234.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $99.99 0 Feb/03/13 Mar/05/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $368.99 0 Feb/03/13 Mar/05/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $104.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
esolutions1 NEW - $112.50 0 Feb/03/13 Dec/21/14
Description: NEW Applied Materials AMAT 0020-10187 // CLAMP RING Q WINDOW 200M
esolutions1 NEW - $75.00 1 Feb/03/13 Feb/04/13
Description: NEW Applied Materials AMAT 0040-20048 // FEEDTHRU LAMP
svcstore NEW - $10.99 0 Feb/03/13 Feb/06/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
esolutions1 NEW - $299.00 6 Feb/03/13 Oct/07/13
Description: Applied Materials AMAT 0050-89732 GASLINE XFER CH VENT SW GAUGE REG!!! NEW
athomemarket NEW - $339.99 0 Feb/04/13 Mar/06/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $459.99 0 Feb/04/13 Mar/06/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
athomemarket NEW - $94.99 0 Feb/04/13 Mar/06/13
Description: 12 NEW Osram AMAT 0190-35602 750W Halogen Optic Lamps
athomemarket NEW - $13,055.00 0 Feb/04/13 Mar/06/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $140.99 0 Feb/04/13 Mar/06/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $2,003.99 0 Feb/04/13 Mar/06/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $2,645.99 0 Feb/04/13 Mar/06/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $437.99 0 Feb/04/13 Mar/06/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
svcstore NEW - $599.99 0 Feb/04/13 Feb/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
usedeqsales NEW - $702.13 0 Feb/05/13 May/04/15
Description: AMAT Applied Materials 0021-45258 Shield Assembly new
usedeqsales NEW - $302.13 0 Feb/05/13 May/04/15
Description: AMAT Applied Materials 0021-60948 2-Zone Lower Plate new
usedeqsales NEW - $502.13 3 Feb/05/13 May/05/15
Description: AMAT Applied Materials 0190-14224 Flex Distribution Panel Assembly new
supertechshop NEW - $299.00 0 Feb/05/13 Mar/07/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Feb/05/13 Mar/07/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Feb/05/13 Mar/07/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $99.99 0 Feb/05/13 Mar/07/13
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
esolutions1 NEW - $854.05 1 Feb/05/13 Aug/30/14
Description: Applied Materials AMAT 3870-05195 VAT SERVICE BOX 2 NEW
usedeqsales NEW - $1,002.13 0 Feb/06/13 May/01/15
Description: AMAT Applied Materials 0270-03420 200mm Hoop Calibration Wafer new
bjnaf4 NEW - $875.60 0 Feb/06/13 Mar/08/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $958.99 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $115.99 0 Feb/06/13 Mar/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $188.99 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $53.99 0 Feb/06/13 Mar/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $66.99 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $853.99 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
supertechshop NEW - $2,750.00 0 Feb/06/13 Mar/08/13
Description: NEW AMAT Wafer Orienter Controller Board 0100-76259 PCB Assy Applied Materials
supertechshop NEW - $199.00 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $499.00 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $375.00 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0200-02408 Pumping Ring Side-2 Ceramic C-channel 300mm Producer SE
svcstore NEW - $10.99 0 Feb/06/13 Feb/09/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $225.56 0 Feb/07/13 Mar/01/13
Description: Applied Materials AMAT 0200-09572 Notch Collar 200mm New
athomemarket NEW - $52.99 2 Feb/07/13 Mar/09/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $17.99 0 Feb/07/13 Mar/09/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
usedeqsales NEW - $502.13 0 Feb/08/13 Jul/11/13
Description: AMAT Applied Materials 0190-35208 Chamber Interface Board 0100-35054 new
usedeqsales NEW - $1,502.13 1 Feb/08/13 May/03/13
Description: AMAT Applied Materials 0100-01321 Digital I/O Board new
ab-international NEW - $132.99 0 Feb/08/13 Feb/23/24
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
ab-international NEW - $4.99 0 Feb/08/13 Feb/23/24
Description: Lg Qty New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
ab-international NEW - $77.18 0 Feb/08/13 Mar/30/23
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
ab-international NEW - $32.38 1 Feb/08/13 Jun/01/23
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
ab-international NEW - $26.19 0 Feb/08/13 Mar/12/24
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $155.97 0 Feb/08/13 Jun/08/13
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $159.97 0 Feb/08/13 Dec/23/13
Description: New Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Materia
athomemarket NEW - $30.99 0 Feb/08/13 Mar/10/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
ab-international Used - $34.65 0 Feb/08/13 Feb/04/24
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
athomemarket NEW - $116.99 0 Feb/09/13 Mar/11/13
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $131.99 0 Feb/09/13 Mar/11/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $234.99 0 Feb/09/13 Mar/11/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $129.99 0 Feb/09/13 Mar/11/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $636.99 0 Feb/09/13 Mar/11/13
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $116.99 0 Feb/09/13 Mar/11/13
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $112.99 0 Feb/09/13 Mar/11/13
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $70.99 0 Feb/09/13 Mar/11/13
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $174.99 0 Feb/09/13 Mar/11/13
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
svcstore NEW - $10.99 0 Feb/09/13 Feb/12/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
ace449parts2010 NEW - $2,000.00 1 Feb/10/13 Nov/15/13
Description: AMAT CVD ENDPOINT TEOS NEW 0190-09454
mattron747 NEW - $750.00 0 Feb/10/13 Mar/12/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
athomemarket NEW - $495.99 0 Feb/10/13 Mar/12/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $2,000.99 0 Feb/10/13 Mar/12/13
Description: NEW AMAT 0010-23715 PMAX Emissometer RTP Probe Assy.
athomemarket NEW - $184.99 0 Feb/10/13 Mar/12/13
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
bobsgoodies NEW - $65.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0050-31367 1/4" VCR Weldment New
farmoninc NEW - $275.00 2 Feb/11/13 Feb/03/17
Description: NEW SMC VQ1200Y-5-X35 Pneumatic solenoid assembly, Novellus 22-130114-00
athomemarket NEW - $196.99 0 Feb/11/13 Mar/13/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $0.99 1 Feb/11/13 Feb/18/13
Description: AMAT Harness Assy, LLA/B Indexer Servo 0140-06710 NEW
athomemarket NEW - $1,419.99 0 Feb/11/13 Mar/13/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
esolutions1 NEW - $359.10 1 Feb/11/13 Oct/22/13
Description: NEW Inficon Ag Dual Manometer Valve 0190-23497 AMAT !!!FREE SHIPPING!!!!
farmoninc NEW - $131.25 0 Feb/11/13 May/13/19
Description: 3 NEW ASYST 05096-001 Novellus 19-166404-00 Solenoid sub assembly
bobsgoodies NEW - $19.97 5 Feb/12/13 Feb/16/13
Description: MasterFlex Neoprene Food Tubing 1/4" ID 50" Long New In Box AMAT 3860-01596
athomemarket NEW - $313.99 0 Feb/12/13 Mar/14/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $64.99 0 Feb/12/13 Mar/14/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $102.99 0 Feb/12/13 Mar/14/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $114.99 0 Feb/12/13 Mar/14/13
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $355.99 0 Feb/12/13 Mar/14/13
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $867.99 0 Feb/12/13 Mar/14/13
Description: NEW Applied AMAT 0021-09104 Cathode Lower Liner MXP
athomemarket NEW - $176.99 0 Feb/12/13 Mar/14/13
Description: NEW AMAT 0040-75247 Stainless Dual Blade Robot Ring
athomemarket NEW - $315.99 0 Feb/12/13 Mar/14/13
Description: NEW LAM Research 716-330973-281 Ceramic Wafer Clamp for LAM 9600
svcstore NEW - $10.99 0 Feb/12/13 Feb/15/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
bobsgoodies NEW - $19.97 1 Feb/13/13 Mar/14/13
Description: MasterFlex Neoprene Food Tubing 06402-17 50" Long New In Box AMAT 3860-01381
farmoninc NEW - $390.00 0 Feb/13/13 Jun/13/13
Description: NEW VAT 79420 Bellows feedthrough replacement kit, Novellus 60-109686-00
seller_mike64 Used - $311.85 0 Feb/13/13 Jun/13/13
Description: SMC 24VDC, PNEUMATIC SOLENOID VALVE MANIFOLD AMAT 0190-09487 NVJ114Y *NEW*
farmoninc NEW - $125.00 0 Feb/13/13 Apr/11/18
Description: NEW Watlow ES2951 Gas line heater jacket, Novellus 34-261375-00
farmoninc NEW - $990.00 4 Feb/13/13 Aug/20/13
Description: NEW Brooks 002-4234-02 Z axis motor drive PCB board, Mag7, Novellus 27-113791-00
athomemarket NEW - $137.99 0 Feb/13/13 Mar/15/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $0.99 1 Feb/13/13 Feb/20/13
Description: New Applied Materials 0150-04244 Cable Assy Mirra Wafer
athomemarket NEW - $4,231.99 0 Feb/13/13 Mar/15/13
Description: NEW AMAT 0010-36404 Seriplex Assy w/0190-35653 MUX I/O PCB Chamber A
athomemarket NEW - $4,231.99 0 Feb/13/13 Mar/15/13
Description: NEW AMAT 0090-00323 Seriplex Assy w/0190-35762 MUX I/O PCB Chamber B
athomemarket NEW - $152.99 0 Feb/13/13 Mar/15/13
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,237.99 0 Feb/13/13 Mar/15/13
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $214.99 0 Feb/13/13 Mar/15/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $3,699.99 0 Feb/13/13 Mar/15/13
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
farmoninc NEW - $2,625.00 1 Feb/13/13 Feb/25/13
Description: NEW Novellus 02-364126-00 Traverser, Vortex assy
farmoninc NEW - $3,500.00 0 Feb/13/13 Mar/24/21
Description: NEW Novellus 02-259216-00 Assembly, plating control box, conan, R02-259216-00
svcstore NEW - $599.99 0 Feb/13/13 Feb/16/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ab-international Used - $20.15 0 Feb/13/13 Feb/15/24
Description: New Amat Applied Materials 0140-06782 Harness Assy
ab-international Used - $20.15 0 Feb/13/13 Feb/15/24
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
ab-international Used - $20.47 4 Feb/13/13 Sep/20/21
Description: New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
capitolareatech Refurbished - $125.00 0 Feb/14/13 Jun/14/13
Description: LAM 715-028555-001 BAFFLE LOWER NEW DIS FOR LAM45-5 CERAMIC
farmoninc NEW - $800.00 2 Feb/14/13 Jun/08/17
Description: NEW IAI, RCP-RSA-I-L-100-S ROBO cylinder actuator, Novellus 63-271162-00
farmoninc NEW - $550.00 1 Feb/14/13 Mar/21/13
Description: NEW Compact Automation QS02-309-B, Guide rod Cylinder, Novellus 19-136090-00
athomemarket NEW - $520.99 0 Feb/14/13 Mar/16/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $21.99 0 Feb/14/13 Mar/16/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
athomemarket NEW - $140.99 0 Feb/14/13 Mar/16/13
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
athomemarket NEW - $199.99 0 Feb/14/13 Mar/16/13
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
ebusinessliquidation NEW - $49.99 0 Feb/15/13 Feb/18/13
Description: NEW AMAT Applied Material PCB Titan Head Pneumatic Controller 0100-77040 / QTY
bobsgoodies NEW - $249.00 1 Feb/15/13 Mar/13/13
Description: Applied Materials 0190-16010 Idec Micro-1 Micro Controller New
bobsgoodies NEW - $98.50 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
bobsgoodies NEW - $55.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $35.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0150-76405 Cable Assembly New AMAT
athomemarket NEW - $254.99 0 Feb/15/13 Mar/17/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
athomemarket NEW - $282.99 0 Feb/15/13 Mar/17/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $252.99 0 Feb/16/13 Mar/18/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $2,221.99 0 Feb/16/13 Mar/18/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $255.99 0 Feb/16/13 Mar/18/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $155.99 0 Feb/16/13 Mar/18/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
svcstore NEW - $10.99 0 Feb/16/13 Feb/19/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
ab-international Used - $31.49 0 Feb/16/13 Mar/12/24
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $10.49 0 Feb/16/13 Jan/17/21
Description: New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
svcstore NEW - $599.99 0 Feb/16/13 Feb/19/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $507.99 19 Feb/17/13 Feb/18/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $91.99 0 Feb/17/13 Mar/19/13
Description: NEW Osram 111566 Fused 750W Quartz Tungsten Lamp/Bulb AMAT 0010-06957 Oxide PVD
athomemarket NEW - $290.99 0 Feb/17/13 Mar/19/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $155.99 0 Feb/17/13 Mar/19/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $105.99 0 Feb/17/13 Mar/19/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
ebusinessliquidation NEW - $49.99 0 Feb/18/13 Feb/21/13
Description: NEW AMAT Applied Material PCB Titan Head Pneumatic Controller 0100-77040 / QTY
surplusssam NEW - $14.99 0 Feb/18/13 Feb/28/13
Description: NEW AMAT APPLIED MATERIALS 3790-90250 SPACER M4 X 50 M/F NYLON FOR 9240-02245ITL
athomemarket NEW - $105.99 0 Feb/18/13 Mar/20/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 Feb/18/13 Mar/20/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $42.99 0 Feb/18/13 Mar/20/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $121.99 0 Feb/18/13 Mar/20/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $916.99 0 Feb/18/13 Mar/20/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $802.99 0 Feb/18/13 Mar/20/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $105.99 0 Feb/18/13 Mar/20/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $52.99 0 Feb/18/13 Mar/20/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $65.99 0 Feb/18/13 Mar/20/13
Description: NEW Parker Veriflow 944/944AOP Diaphram Valve Assy AMAT 0050-14441 1/4" VCR
athomemarket NEW - $49.99 0 Feb/18/13 Mar/20/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
farmoninc Used - $75.00 0 Feb/19/13 Dec/30/21
Description: NEW Banner SME312C2QD-58237, Sensor, convergent, 940nm, AMAT 1400-01336
athomemarket NEW - $314.99 0 Feb/19/13 Mar/21/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $0.99 1 Feb/19/13 Feb/26/13
Description: AMAT Harness Assy, LLA/B Indexer Servo 0140-06710 NEW
athomemarket Refurbished - $176.99 0 Feb/19/13 Mar/21/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
athomemarket NEW - $29.99 0 Feb/19/13 Mar/21/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $111.99 0 Feb/19/13 Mar/21/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $75.99 0 Feb/19/13 Mar/21/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
farmoninc NEW - $1,500.00 0 Feb/19/13 Dec/14/16
Description: 5 NEW AMAT 0150-01790 power cable assy, cell plating, 300mm, ECP
svcstore NEW - $10.99 0 Feb/19/13 Feb/22/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
supertechshop NEW - $499.00 0 Feb/19/13 Mar/21/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
svcstore NEW - $599.99 0 Feb/19/13 Feb/22/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
surplusssam NEW - $19.99 0 Feb/21/13 Mar/03/13
Description: NEW AMAT APPLIED MATERIALS 3790-90247 SPACER M4 X 65 M/F PTFE FOR 9240-02245ITL
athomemarket NEW - $234.99 0 Feb/21/13 Mar/23/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 Feb/21/13 Mar/23/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
ebusinessliquidation NEW - $49.99 0 Feb/21/13 Mar/19/13
Description: NEW AMAT Applied Material PCB Titan Head Pneumatic Controller 0100-77040 / QTY
athomemarket NEW - $392.99 0 Feb/20/13 Mar/22/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $616.99 0 Feb/20/13 Mar/22/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $134.99 0 Feb/21/13 Mar/23/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $1,314.99 0 Feb/21/13 Mar/23/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $46.99 0 Feb/21/13 Mar/23/13
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $305.99 0 Feb/21/13 Mar/23/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $92.99 0 Feb/21/13 Mar/23/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $842.99 0 Feb/21/13 Mar/23/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $328.99 0 Feb/21/13 Mar/23/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $219.99 0 Feb/21/13 Mar/23/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $75.99 0 Feb/20/13 Mar/22/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $1,008.99 0 Feb/20/13 Mar/22/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $65.99 0 Feb/20/13 Mar/22/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $55.99 0 Feb/20/13 Mar/22/13
Description: NEW Nupro 6LV-D1V333P-AA Valve Assembly AMAT 0050-37464
farmoninc NEW - $350.00 1 Feb/20/13 Jun/06/14
Description: NEW AMAT 0020-29321 Sheild 8 inch, 101, vectra IMP
farmoninc NEW - $750.00 0 Feb/21/13 Mar/03/21
Description: NEW Novellus 27-154966-00 2 post mapper, Brooks Robot ATR7 wafer Mapper
farmoninc NEW - $140.00 2 Feb/21/13 Feb/18/21
Description: Brooks 13501-099-25 PCB New, OEM spare Latch PCB Fixload 5 Novellus 27-257138-00
svcstore NEW - $10.99 0 Feb/22/13 Feb/25/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
svcstore NEW - $599.99 0 Feb/22/13 Feb/25/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $125.99 0 Feb/23/13 Mar/25/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
athomemarket NEW - $238.99 0 Feb/23/13 Mar/25/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,333.99 0 Feb/23/13 Mar/25/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $8,501.99 0 Feb/23/13 Mar/25/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $150.99 0 Feb/23/13 Mar/25/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $54.99 0 Feb/23/13 Mar/25/13
Description: NEW AMAT 0050-40520 Swagelok 1/4" Diaphragm Valve Assy. 6LV-BNBW4-C Stainless
athomemarket NEW - $392.99 0 Feb/24/13 Mar/26/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $305.99 0 Feb/24/13 Mar/26/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $44.99 0 Feb/24/13 Mar/26/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $119.99 0 Feb/24/13 Mar/26/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $425.99 0 Feb/24/13 Mar/26/13
Description: NEW AMAT 0020-31509 Rimless Oxide Pedestal 200mm/8" MLR NIT Notched
bring_recycling NEW - $20.00 0 Feb/24/13 Mar/03/13
Description: AMAT 0620-01277 CABLE CONVECTRON 76" LG DB15P-CG5 NEW
systasemi NEW - $900.00 0 Feb/24/13 Mar/26/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
farmoninc NEW - $50.00 1 Feb/25/13 Apr/27/21
Description: 10 NEW AMAT 0020-10762 Ceramic bushing
athomemarket NEW - $116.99 0 Feb/25/13 Mar/27/13
Description: NEW Applied Materials/AMAT 0020-23278 A 8" Source SST Upper Shield 101% TIN
farmoninc NEW - $50.00 1 Feb/25/13 May/22/14
Description: 5 NEW SMC VR1210-01, AMAT 3300-91939
nihilneo NEW - $85.00 3 Feb/25/13 Feb/28/13
Description: (qty 10) USHIO applied materials 1000W 1010-01088 JCV120V-1000WCH NEW
tdindustrial NEW - $195.00 1 Feb/26/13 Mar/19/13
Description: 810-017008-001 Lam Research Temperature Sensor PCB, New, Sealed
athomemarket NEW - $103.99 0 Feb/26/13 Mar/28/13
Description: 10 NEW Osram AMAT 0190-14083 Halogen Heater/Optic Lamps
svcstore NEW - $599.99 0 Feb/26/13 Mar/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sellyoursurplus NEW - $250.00 0 Feb/26/13 Mar/05/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $295.00 0 Feb/26/13 Mar/05/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
sellyoursurplus NEW - $495.00 0 Feb/26/13 Mar/05/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $125.00 0 Feb/26/13 Mar/05/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $250.00 0 Feb/26/13 Mar/05/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Feb/26/13 Mar/05/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $89.00 0 Feb/26/13 Mar/05/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $95.00 0 Feb/26/13 Mar/05/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $195.00 0 Feb/26/13 Mar/05/13
Description: New AMAT 0140-75033 Harness Assembly Loadlocks Interconnect
athomemarket NEW - $413.99 0 Feb/27/13 Mar/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $0.99 0 Feb/27/13 Mar/06/13
Description: AMAT Harness Assy, LLA/B Indexer Servo 0140-06710 NEW
athomemarket NEW - $175.99 0 Feb/27/13 Mar/29/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $179.99 0 Feb/27/13 Mar/29/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $112.99 0 Feb/27/13 Mar/06/13
Description: NEW Sanyo Denki P30B06010DXS71M AC Servo Motor 200VAC/100W AMAT # 0260-04839
svcstore NEW - $9.99 0 Feb/27/13 Mar/02/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
tdindustrial NEW - $295.00 1 Feb/28/13 Apr/03/14
Description: LAM Research Upper Baffle Plate 8.3" 715-011912-085 New, Sealed
tdindustrial NEW - $295.00 1 Feb/28/13 May/28/13
Description: LAM Research Upper Baffle Plate 8.3" 715-011913-086 New, Sealed, w/Cert
tdindustrial NEW - $30.00 0 Feb/28/13 Nov/19/21
Description: LAM Clamp Ring Upper Electrode 715-140287-003 New, Sealed
tdindustrial NEW - $1,195.00 1 Feb/28/13 Apr/24/17
Description: LAM PCB 810-034808-104 New
tdindustrial NEW - $7.50 2 Feb/28/13 Nov/19/21
Description: LAM 853-320030-003 Sensor, Short Leads, New
tdindustrial Used - $195.00 0 Feb/28/13 Sep/26/13
Description: LAM Coaxial Cable Assembly, Low 853-017807-001 New
tdindustrial NEW - $195.00 1 Feb/28/13 Mar/22/16
Description: LAM Optical Sensor, 4600, 853-015760-001 New
tdindustrial NEW - $49.95 0 Feb/28/13 Nov/15/15
Description: LAM 853-320030-008 Sensor, Long Leads, New
athomemarket NEW - $114.99 0 Feb/28/13 Mar/30/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
farmoninc NEW - $125.00 0 Feb/28/13 Dec/15/16
Description: NEW AMAT 0010-25424 Hose assembly
athomemarket NEW - $933.99 0 Mar/01/13 Mar/31/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
gesemiconductor NEW - $6,000.00 1 Mar/01/13 Jun/27/13
Description: New Applied Materials 0010-06544 Throttle Valve Assembly EMAX 200mm Rev.2
gesemiconductor NEW - $6,000.00 1 Mar/01/13 Jun/27/13
Description: New Applied Materials 0010-06544 Throttle Valve Assembly Dual Flap SC Rev.3
svcstore NEW - $599.99 0 Mar/01/13 Mar/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $699.00 0 Mar/01/13 Apr/01/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Mar/01/13 Apr/01/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $49.99 0 Mar/01/13 Apr/01/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $995.00 0 Mar/01/13 Apr/01/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Mar/01/13 Apr/01/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $499.00 0 Mar/01/13 Apr/01/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 Mar/01/13 Apr/01/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
surplusssam NEW - $14.99 0 Mar/02/13 Mar/12/13
Description: NEW AMAT APPLIED MATERIALS 3790-90250 SPACER M4 X 50 M/F NYLON FOR 9240-02245ITL
helixtek NEW - $400.00 0 Mar/02/13 Mar/12/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
riocor NEW - $179.99 0 Mar/02/13 Aug/29/13
Description: NEW RF power cable assembly TRU LC male connector Novellus 03-103583-00 Plasma
athomemarket NEW - $507.99 0 Mar/02/13 Apr/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,654.99 0 Mar/02/13 Apr/01/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
svcstore NEW - $9.99 0 Mar/02/13 Mar/05/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
bring_recycling NEW - $20.00 0 Mar/03/13 Mar/10/13
Description: AMAT 0620-01277 CABLE CONVECTRON 76" LG DB15P-CG5 NEW
dvkelectronics NEW - $425.00 0 Mar/03/13 Apr/02/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
sparepartssolution NEW - $299.99 0 Mar/03/13 Apr/15/13
Description: (AJ01) AMAT 0500-00227 3COM 16PORTS SWITCH HUB 3C16470 NEW
farmoninc NEW - $200.00 1 Mar/04/13 Nov/17/16
Description: 2 NEW AMAT 3300-50903 Fitting, Viewport, Dia, NW100
farmoninc NEW - $50.00 0 Mar/04/13 Aug/31/13
Description: NEW AMAT 0240-90382 ASSY, Moving clip 200/7
farmoninc Used - $75.00 0 Mar/04/13 May/07/18
Description: NEW AMAT 0240-94043 KIT, Moving clip, 200-0 deg special
farmoninc NEW - $650.00 3 Mar/04/13 Nov/02/20
Description: 3 NEW AMAT 0020-30347 external cylinder
athomemarket NEW - $0.99 0 Mar/04/13 Mar/06/13
Description: Applied Materials Turbo Pump Cable Assy 0150-36038 NEW
svcstore NEW - $599.99 0 Mar/04/13 Mar/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $699.00 0 Mar/05/13 Apr/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $399.00 0 Mar/05/13 Apr/04/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $74.99 0 Mar/05/13 Apr/04/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
conquer_2011 NEW - $399.99 0 Mar/05/13 May/23/13
Description: NEW AMAT Valve pn 3780-01695
athomemarket NEW - $77.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $500.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
ab-international Used - $37.17 0 Mar/05/13 Feb/23/24
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
athomemarket NEW - $99.99 0 Mar/05/13 Apr/04/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
ab-international Used - $37.17 0 Mar/05/13 Feb/23/24
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
athomemarket NEW - $75.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $368.99 0 Mar/05/13 Apr/04/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $104.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $369.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $399.99 0 Mar/05/13 Apr/04/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $384.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $294.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $295.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $234.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $8,436.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $296.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $756.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
svcstore NEW - $9.99 0 Mar/05/13 Mar/08/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
farmoninc NEW - $100.00 1 Mar/06/13 Dec/16/19
Description: 2 NEW AMAT 3300-90963 Centering ring, ISO seal
farmoninc NEW - $1,250.00 1 Mar/06/13 Apr/01/13
Description: NEW AMAT 0010-13774 assembly, wafer lift, cooldown passthrough
farmoninc NEW - $499.00 1 Mar/06/13 Dec/28/21
Description: NEW AMAT 0040-06596 TXZ lid plate
tdindustrial NEW - $795.00 1 Mar/06/13 Jun/06/13
Description: LAM Research 716-011570-001 Ceramic Ring, New, Sealed
tdindustrial NEW - $2,495.00 1 Mar/06/13 Dec/10/15
Description: Lam Research Gap Motor Control PCB 810-017093-100 Rev B, New, Sealed
tdindustrial NEW - $395.00 1 Mar/06/13 May/03/13
Description: LAM Ring 716-011540-001 Rev F, New, Sealed
athomemarket NEW - $339.99 0 Mar/06/13 Apr/05/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
wedone NEW - $375.00 0 Mar/06/13 Apr/05/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
athomemarket NEW - $459.99 0 Mar/06/13 Apr/05/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
athomemarket NEW - $94.99 0 Mar/06/13 Apr/05/13
Description: 12 NEW Osram AMAT 0190-35602 750W Halogen Optic Lamps
tdindustrial NEW - $995.00 0 Mar/06/13 Sep/02/13
Description: Lam Research Upper Filler Ring 8" 716-011057-002 Rev E, New, Sealed
tdindustrial NEW - $395.00 1 Mar/06/13 Jun/29/16
Description: LAM 715-011531-016 Electrode, Aluminum ANODIZED, NEW, Sealed
surplusssam NEW - $19.99 0 Mar/07/13 Mar/14/13
Description: NEW AMAT APPLIED MATERIALS 3790-90247 SPACER M4 X 65 M/F PTFE FOR 9240-02245ITL
athomemarket NEW - $413.99 0 Mar/07/13 Apr/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $112.99 1 Mar/07/13 Mar/07/13
Description: NEW Sanyo Denki P30B06010DXS71M AC Servo Motor 200VAC/100W AMAT # 0260-04839
athomemarket NEW - $140.99 0 Mar/07/13 Apr/06/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $2,645.99 0 Mar/07/13 Apr/06/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $13,055.00 0 Mar/07/13 Apr/06/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $2,003.99 0 Mar/07/13 Apr/06/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $437.99 0 Mar/07/13 Apr/06/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
bring_recycling NEW - $15.00 0 Mar/07/13 Mar/14/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $599.99 0 Mar/07/13 Mar/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $299.00 0 Mar/07/13 Apr/06/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Mar/07/13 Apr/06/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Mar/07/13 Apr/06/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $99.99 17 Mar/07/13 Apr/01/13
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
esprprts NEW - $25.00 0 Mar/08/13 Jul/06/13
Description: *NEW* AMAT 0240-23719 KIT PUMP CB - 30 AMP
esprprts NEW - $12.00 1 Mar/08/13 Sep/13/13
Description: *NEW* AMAT 0680-01545 MAG THERM 3P 240VAC 80A RING LUG QUICKLAG
bjnaf4 NEW - $875.60 0 Mar/08/13 Apr/07/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
svcstore NEW - $9.99 0 Mar/08/13 Mar/11/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $958.99 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $115.99 0 Mar/09/13 Apr/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $52.99 0 Mar/09/13 Apr/08/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $53.99 0 Mar/09/13 Apr/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $66.99 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $188.99 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $853.99 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
supertechshop NEW - $2,750.00 1 Mar/09/13 Mar/18/13
Description: NEW AMAT Wafer Orienter Controller Board 0100-76259 PCB Assy Applied Materials
supertechshop NEW - $199.00 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $375.00 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0200-02408 Pumping Ring Side-2 Ceramic C-channel 300mm Producer SE
supertechshop NEW - $499.00 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
athomemarket NEW - $17.99 0 Mar/10/13 Apr/09/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
bring_recycling NEW - $20.00 0 Mar/10/13 Mar/17/13
Description: AMAT 0620-01277 CABLE CONVECTRON 76" LG DB15P-CG5 NEW
svcstore NEW - $599.99 0 Mar/10/13 Mar/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $30.99 0 Mar/11/13 Apr/10/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
svcstore NEW - $9.99 0 Mar/11/13 Mar/14/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $408.12 0 Mar/12/13 May/09/14
Description: AceCo S33-245A Perforated Plate 200mm New AMAT P/N 0020-10117
usedeqsales NEW - $408.10 0 Mar/12/13 May/05/15
Description: Norgren V60P417AA13JB Solenoid Valves AMAT P/N 3870-04968 New Lot of 7
farmoninc NEW - $750.00 0 Mar/12/13 Aug/14/15
Description: NEW AMAT 0140-09986 Harness assy cable
athomemarket NEW - $234.99 0 Mar/12/13 Apr/11/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $129.99 0 Mar/12/13 Apr/11/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $131.99 0 Mar/12/13 Apr/11/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $116.99 0 Mar/12/13 Apr/11/13
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $116.99 0 Mar/12/13 Apr/11/13
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $174.99 0 Mar/12/13 Apr/11/13
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $636.99 0 Mar/12/13 Apr/11/13
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $70.99 0 Mar/12/13 Apr/11/13
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $112.99 0 Mar/12/13 Apr/11/13
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
tdindustrial NEW - $295.00 2 Mar/12/13 Mar/09/15
Description: LAM Ring 716-330915-003 REV. E New, Sealed
athomemarket NEW - $495.99 0 Mar/12/13 Apr/11/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $2,000.99 0 Mar/12/13 Apr/11/13
Description: NEW AMAT 0010-23715 PMAX Emissometer RTP Probe Assy.
athomemarket NEW - $184.99 0 Mar/12/13 Apr/11/13
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
tdindustrial NEW - $295.00 1 Mar/12/13 Mar/12/14
Description: LAM Ring 716-443179-102 REV. F New, Sealed
mattron747 NEW - $750.00 0 Mar/12/13 Apr/11/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
helixtek NEW - $450.00 0 Mar/12/13 Mar/22/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
tdindustrial NEW - $3,995.00 1 Mar/13/13 Apr/03/13
Description: LAM 8" Electrode Cap, Esc, Notched 718-092326-081 New, Sealed W/LAM Test Report
bobsgoodies NEW - $65.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0050-31367 1/4" VCR Weldment New
usedeqsales NEW - $88.11 19 Mar/13/13 Aug/05/14
Description: MKS 100315603 2.75" Flange Adapter CF KF25 Flange AMAT 3300-02490 NEW
tdindustrial NEW - $43.75 0 Mar/13/13 Nov/19/21
Description: LAM Gas Assembly 839-024371-100 Rev D, New
athomemarket NEW - $137.99 0 Mar/15/13 Apr/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
bring_recycling NEW - $15.00 0 Mar/14/13 Mar/21/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
athomemarket NEW - $313.99 0 Mar/14/13 Apr/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $196.99 0 Mar/14/13 Apr/13/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $3,699.99 0 Mar/16/13 Apr/15/13
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $4,237.99 0 Mar/15/13 Apr/14/13
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $152.99 0 Mar/15/13 Apr/14/13
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,231.99 0 Mar/15/13 Apr/14/13
Description: NEW AMAT 0010-36404 Seriplex Assy w/0190-35653 MUX I/O PCB Chamber A
farmoninc NEW - $50.00 1 Mar/15/13 Feb/07/18
Description: 10 NEW AMAT 3360-01132 Round blue grommets
farmoninc NEW - $150.00 1 Mar/15/13 Jul/23/17
Description: NEW AMAT 0021-76158 Case window orienter OCR
bobsgoodies NEW - $21.97 1 Mar/15/13 Mar/22/13
Description: MasterFlex Neoprene Food Tubing 06402-17 50" Long New In Box AMAT 3860-01381
athomemarket NEW - $102.99 0 Mar/14/13 Apr/13/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $355.99 0 Mar/14/13 Apr/13/13
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $867.99 0 Mar/14/13 Apr/13/13
Description: NEW Applied AMAT 0021-09104 Cathode Lower Liner MXP
athomemarket NEW - $64.99 0 Mar/14/13 Apr/13/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $214.99 0 Mar/15/13 Apr/14/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $315.99 0 Mar/15/13 Apr/14/13
Description: NEW LAM Research 716-330973-281 Ceramic Wafer Clamp for LAM 9600
athomemarket NEW - $1,419.99 0 Mar/14/13 Apr/13/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
svcstore NEW - $9.99 0 Mar/14/13 Mar/17/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $520.99 0 Mar/17/13 Apr/16/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $21.99 0 Mar/17/13 Apr/16/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
sellyoursurplus NEW - $250.00 0 Mar/17/13 Mar/24/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $250.00 0 Mar/17/13 Mar/24/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $95.00 0 Mar/17/13 Mar/24/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $125.00 0 Mar/17/13 Mar/24/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $89.00 0 Mar/17/13 Mar/24/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $495.00 0 Mar/17/13 Mar/24/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $95.00 0 Mar/17/13 Mar/24/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
athomemarket NEW - $140.99 0 Mar/17/13 Apr/16/13
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
athomemarket NEW - $199.99 0 Mar/17/13 Apr/16/13
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
sellyoursurplus NEW - $195.00 0 Mar/17/13 Mar/24/13
Description: New AMAT 0140-75033 Harness Assembly Loadlocks Interconnect
sellyoursurplus NEW - $295.00 0 Mar/17/13 Mar/24/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
athomemarket NEW - $254.99 0 Mar/17/13 Apr/16/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
bring_recycling NEW - $20.00 0 Mar/17/13 Mar/24/13
Description: AMAT 0620-01277 CABLE CONVECTRON 76" LG DB15P-CG5 NEW
svcstore NEW - $9.99 0 Mar/17/13 Mar/20/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
esolutions1 NEW - $179.10 0 Mar/18/13 Dec/21/14
Description: NEW SMC Pneumatic Valve Manifold EX160-SDN1 AMAT 0190-13033
bobsgoodies NEW - $35.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0150-76405 Cable Assembly New AMAT
bobsgoodies NEW - $55.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $98.50 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
athomemarket NEW - $282.99 0 Mar/18/13 Apr/17/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
svcstore NEW - $599.99 0 Mar/18/13 Mar/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ebusinessliquidation NEW - $49.99 0 Mar/19/13 Apr/18/13
Description: NEW AMAT Applied Material PCB Titan Head Pneumatic Controller 0100-77040 / QTY
usedeqsales NEW - $104.55 2 Mar/19/13 Nov/01/13
Description: AMAT Red Lion P48 Series DIN Process Controller O3MNMCB3 0190-15997 NEW
usedeqsales NEW - $906.10 0 Mar/19/13 May/04/15
Description: AMAT Spliced O-Rings 300mm 0190-15796 Lot of 9 New
capitolareatech NEW - $15.00 0 Mar/19/13 Sep/15/13
Description: LAM 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS.
capitolareatech NEW - $25.00 0 Mar/19/13 Sep/15/13
Description: LAM 715-031458-002 BRACKET GAS FEED TUBE FOR NEW DIS FILTER
athomemarket NEW - $252.99 0 Mar/19/13 Apr/18/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $255.99 0 Mar/19/13 Apr/18/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $155.99 0 Mar/19/13 Apr/18/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
athomemarket NEW - $2,221.99 0 Mar/19/13 Apr/18/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
prism_electronics3 NEW - $32.00 3 Mar/19/13 Jul/30/19
Description: APPLIED MATERIALS 0020-20462 COVER TRANSFER VIEWPORT LENS BRAND NEW
used1eqsales NEW - $1,203.13 0 Mar/20/13 Nov/10/16
Description: AMAT Applied Materials 0270-02530 300mm TXZ View Lid Assembly new
used1eqsales NEW - $803.13 0 Mar/20/13 May/26/17
Description: AMAT Applied Materials 0010-08061 300mm Preclean Viewpoint Assembly new
tdindustrial NEW - $19.95 1 Mar/20/13 Apr/17/14
Description: Clippard 3-Way Electronic Valve, EV-3M 24 VDC, AMAT 0190-09109 New
athomemarket NEW - $290.99 0 Mar/20/13 Apr/19/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $91.99 0 Mar/20/13 Apr/19/13
Description: NEW Osram 111566 Fused 750W Quartz Tungsten Lamp/Bulb AMAT 0010-06957 Oxide PVD
athomemarket NEW - $155.99 0 Mar/20/13 Apr/19/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $105.99 0 Mar/20/13 Apr/19/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $105.99 0 Mar/20/13 Apr/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 Mar/20/13 Apr/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $121.99 0 Mar/20/13 Apr/19/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $105.99 0 Mar/20/13 Apr/19/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $802.99 0 Mar/20/13 Apr/19/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $916.99 0 Mar/20/13 Apr/19/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $52.99 0 Mar/20/13 Apr/19/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $42.99 0 Mar/20/13 Apr/19/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $65.99 2 Mar/20/13 Apr/07/13
Description: NEW Parker Veriflow 944/944AOP Diaphram Valve Assy AMAT 0050-14441 1/4" VCR
athomemarket NEW - $49.99 0 Mar/21/13 Apr/20/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $314.99 0 Mar/21/13 Apr/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Refurbished - $176.99 0 Mar/21/13 Apr/20/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
athomemarket NEW - $29.99 0 Mar/21/13 Apr/20/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
svcstore NEW - $599.99 0 Mar/21/13 Mar/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $9.99 0 Mar/21/13 Mar/24/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
bring_recycling NEW - $15.00 0 Mar/21/13 Mar/28/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
supertechshop NEW - $299.00 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $499.00 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
unitedelectronicrecycling NEW - $100.00 0 Mar/22/13 Sep/18/13
Description: NEW Applied Materials 0021-27011 ROLLER, BRUSH MODULE, WAFER ROTATION MOP AMAT
athomemarket NEW - $111.99 0 Mar/22/13 Apr/21/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $75.99 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
usedeqsales NEW - $603.13 0 Mar/22/13 Dec/04/14
Description: AMAT 300mm Quick Change Brush 0020-45744 Lot 5 New Sealed
athomemarket NEW - $55.99 0 Mar/22/13 Apr/21/13
Description: NEW Nupro 6LV-D1V333P-AA Valve Assembly AMAT 0050-37464
usedeqsales NEW - $403.13 0 Mar/22/13 Dec/04/14
Description: AMAT Applied Materials 0020-14762 300mm Loadcup Centering Cone New
used1eqsales NEW - $522.03 0 Mar/22/13 Jun/20/13
Description: AMAT Applied Materials 0270-00877 300mm Endura Lifting Tool new
athomemarket NEW - $392.99 0 Mar/22/13 Apr/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $616.99 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $65.99 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $75.99 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $1,008.99 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $219.99 0 Mar/23/13 Apr/22/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $328.99 0 Mar/23/13 Apr/22/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $46.99 0 Mar/24/13 Apr/23/13
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $234.99 0 Mar/24/13 Apr/23/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 Mar/24/13 Apr/23/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $92.99 0 Mar/24/13 Apr/23/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $305.99 0 Mar/24/13 Apr/23/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $842.99 0 Mar/24/13 Apr/23/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $134.99 0 Mar/24/13 Apr/23/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $1,314.99 0 Mar/24/13 Apr/23/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
bring_recycling NEW - $20.00 0 Mar/24/13 Mar/31/13
Description: AMAT 0620-01277 CABLE CONVECTRON 76" LG DB15P-CG5 NEW
svcstore NEW - $9.99 0 Mar/24/13 Mar/27/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
svcstore NEW - $599.99 0 Mar/24/13 Mar/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
usedeqsales NEW - $303.13 1 Mar/25/13 Mar/01/18
Description: AMAT Applied Materials 0010-28224 300mm MCA Heater SST Cover Kit 0010-28233 new
usedeqsales NEW - $1,503.13 0 Mar/26/13 May/01/15
Description: AMAT UAPM13565RSN-274 Jacket 6 Ball Valve Exhaust RFP AMAT 0190-33038 Lot 7 New
farmoninc NEW - $40.00 0 Mar/26/13 May/31/13
Description: 50 New AMAT 3700-01033 Oring, 49.5mm id, X3mm CSD, FKM, Viton
athomemarket NEW - $473.99 0 Mar/26/13 Apr/02/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
athomemarket NEW - $238.99 0 Mar/26/13 Apr/25/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,333.99 0 Mar/26/13 Apr/25/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $125.99 0 Mar/26/13 Apr/25/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
athomemarket NEW - $8,501.99 0 Mar/26/13 Apr/25/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $54.99 2 Mar/26/13 Apr/07/13
Description: NEW AMAT 0050-40520 Swagelok 1/4" Diaphragm Valve Assy. 6LV-BNBW4-C Stainless
athomemarket NEW - $150.99 0 Mar/26/13 Apr/25/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $392.99 0 Mar/26/13 Apr/25/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $119.99 0 Mar/26/13 Apr/25/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $44.99 0 Mar/26/13 Apr/25/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $305.99 0 Mar/26/13 Apr/25/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
usedeqsales NEW - $1,503.13 0 Mar/27/13 May/01/15
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-14337 New Sealed
athomemarket NEW - $116.99 0 Mar/27/13 Apr/26/13
Description: NEW Applied Materials/AMAT 0020-23278 A 8" Source SST Upper Shield 101% TIN
usedeqsales NEW - $253.13 3 Mar/27/13 Jun/05/13
Description: Veriflo 45700354 Micro Pressure Regulator SQ2MICRO302PFSMM AMAT 3870-00130 New
systasemi NEW - $900.00 0 Mar/27/13 Apr/26/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
svcstore NEW - $599.99 0 Mar/27/13 Mar/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
usedeqsales NEW - $1,503.13 0 Mar/28/13 May/01/15
Description: Horiba STEC LF-F404M-A-EVD Mass Flow Controller AMAT 0190-38168 TEOS 7g/min New
usedeqsales NEW - $603.13 1 Mar/28/13 Jul/30/13
Description: OPTO 22 SNAP-LCSX-PLUS PLC Logic Controller AMAT 0660-01840 New
farmoninc NEW - $30.00 1 Mar/28/13 Sep/30/18
Description: 30 New AMAT 3880-01452 Wave Spring Washer
farmoninc NEW - $50.00 0 Mar/28/13 Jul/30/14
Description: 10 New AMAT 3080-01006 Timing Belts
farmoninc NEW - $400.00 1 Mar/28/13 Apr/03/13
Description: 8 New AMAT 0020-36325 Copper Buss Bar, Phase A
usedeqsales NEW - $1,003.13 1 Mar/28/13 Feb/28/15
Description: Minarik XP32-12/24DC DC Motor Control AMAT 1080-01216 Lot of 12 New
usedeqsales NEW - $317.19 1 Mar/28/13 Oct/12/13
Description: Swagelok SS-FJ8RF8RF8-36 Hose Assembly Flex Braid AMAT 3400-00566 Lot of 4 New
farmoninc Used - $2,500.00 0 Mar/28/13 May/13/13
Description: AMAT 0040-33998 Chamber Liner, looks new, sell as used
usedeqsales NEW - $453.13 0 Mar/28/13 May/05/15
Description: Entegris PM8FN-3 Union Bulkhead Connection AMAT 3300-00886 Lot of 11 New
farmoninc NEW - $1,850.00 0 Mar/28/13 Mar/23/16
Description: 2 New AMAT 0040-06896 TXZ Chamber CVD Lid Plates
bring_recycling NEW - $15.00 0 Mar/28/13 Apr/04/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $8.99 0 Mar/28/13 Mar/31/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $1,503.13 0 Mar/29/13 May/01/15
Description: Aera AE FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27880 New
usedeqsales NEW - $1,503.13 0 Mar/29/13 May/01/15
Description: Aera AE FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27878 New
usedeqsales NEW - $1,503.13 0 Mar/29/13 May/01/15
Description: Aera AE FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27877 New
usedeqsales NEW - $1,503.13 0 Mar/29/13 May/01/15
Description: Aera AE FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27876 New
usedeqsales NEW - $1,503.13 0 Mar/29/13 May/01/15
Description: Aera AE FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27881 New
usedeqsales NEW - $1,503.13 0 Mar/29/13 May/01/15
Description: Aera AE FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27882 New
usedeqsales NEW - $1,503.13 0 Mar/29/13 Jan/21/14
Description: Aera AE FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27879 New
athomemarket NEW - $103.99 0 Mar/29/13 Apr/28/13
Description: 10 NEW Osram AMAT 0190-14083 Halogen Heater/Optic Lamps
athomemarket NEW - $175.99 0 Mar/29/13 Apr/28/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $179.99 0 Mar/29/13 Apr/28/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $114.99 0 Mar/30/13 Apr/29/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $599.99 0 Mar/30/13 Apr/02/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
helixtek NEW - $450.00 0 Mar/31/13 Apr/10/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $933.99 0 Mar/31/13 Apr/30/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
bring_recycling NEW - $20.00 1 Mar/31/13 Apr/07/13
Description: AMAT 0620-01277 CABLE CONVECTRON 76" LG DB15P-CG5 NEW
svcstore NEW - $8.99 0 Mar/31/13 Apr/03/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $304.13 0 Apr/01/13 May/04/15
Description: Setra 223G025PABW2CD1M Pressure Transducer 223 AMAT 1350-00490 new
athomemarket NEW - $507.99 0 Apr/01/13 May/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $211.99 0 Apr/01/13 Apr/08/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $1,654.99 0 Apr/01/13 May/01/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
athomemarket NEW - $6,999.99 0 Apr/01/13 Apr/08/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
neilan1987 NEW - $399.99 0 Apr/01/13 Apr/08/13
Description: APPLIED MATERIALS AMAT 0190-06926 Blue RF Cable NEW in Cleanroom Packaging
neilan1987 NEW - $399.99 0 Apr/02/13 Apr/09/13
Description: APPLIED MATERIALS AMAT 0190-15905 Blue RF Cable NEW in Cleanroom Packaging
svcstore NEW - $599.99 0 Apr/02/13 Apr/05/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
dvkelectronics NEW - $425.00 0 Apr/02/13 May/02/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
supertechshop NEW - $49.99 0 Apr/03/13 May/03/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $399.00 0 Apr/03/13 May/03/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $995.00 0 Apr/03/13 May/03/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $499.00 0 Apr/03/13 May/03/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 Apr/03/13 May/03/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Apr/03/13 May/03/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Apr/03/13 May/03/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
usedeqsales NEW - $104.13 0 Apr/03/13 Apr/30/15
Description: Ham-Let HM20-4VKLC-GF4 Diaphragm Valve AMAT 3870-04028 New Sealed
athomemarket NEW - $263.99 0 Apr/03/13 Apr/10/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $473.99 0 Apr/03/13 May/03/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
svcstore NEW - $8.99 0 Apr/03/13 Apr/06/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $404.13 0 Apr/04/13 Jul/31/13
Description: MKS AS01496-03 Analog I/O Board CDN496R AMAT 0660-00812 New Sealed
farmoninc NEW - $595.00 0 Apr/04/13 Sep/21/15
Description: 5 AMAT 0021-09098 Ring separation, MXP + Liner, looks new
farmoninc NEW - $700.00 0 Apr/04/13 Sep/01/13
Description: 7 AMAT 0021-09098 Ring separation, MXP + Liner, looks new
farmoninc NEW - $1,600.00 0 Apr/04/13 Jan/18/18
Description: 6 AMAT 0021-09098 Ring separation, MXP + Liner, looks new
athomemarket NEW - $77.99 0 Apr/04/13 May/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $500.99 0 Apr/04/13 May/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
usedeqsales NEW - $1,504.13 0 Apr/04/13 May/01/15
Description: Celerity IFC-125C Mass Flow Controller UNIT 125 AR 100sccm AMAT 0010-42177 New
bring_recycling NEW - $15.00 0 Apr/04/13 Apr/11/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
athomemarket NEW - $413.99 0 Apr/05/13 Apr/12/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $99.99 0 Apr/05/13 May/05/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $75.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $109.99 0 Apr/05/13 Apr/12/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
athomemarket NEW - $368.99 0 Apr/05/13 May/05/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $294.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $399.99 0 Apr/05/13 May/05/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $756.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $234.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $369.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $8,436.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $104.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $384.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $295.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $296.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
svcstore NEW - $599.99 0 Apr/05/13 Apr/08/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $339.99 0 Apr/06/13 May/06/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $94.99 0 Apr/06/13 May/06/13
Description: 12 NEW Osram AMAT 0190-35602 750W Halogen Optic Lamps
athomemarket NEW - $459.99 0 Apr/06/13 May/06/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
athomemarket NEW - $2,003.99 0 Apr/06/13 May/06/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $13,055.00 0 Apr/06/13 May/06/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $140.99 0 Apr/06/13 May/06/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $2,645.99 0 Apr/06/13 May/06/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $437.99 0 Apr/06/13 May/06/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
supertechshop NEW - $699.00 0 Apr/06/13 May/06/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $399.00 0 Apr/06/13 May/06/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Apr/06/13 May/06/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $74.99 0 Apr/06/13 May/06/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
svcstore NEW - $8.99 0 Apr/06/13 Apr/09/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $413.99 0 Apr/07/13 May/07/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $586.65 0 Apr/07/13 May/07/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
sellyoursurplus NEW - $95.00 0 Apr/07/13 Apr/14/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $495.00 0 Apr/07/13 Apr/14/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $89.00 0 Apr/07/13 Apr/14/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $250.00 0 Apr/07/13 Apr/14/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $250.00 0 Apr/07/13 Apr/14/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Apr/07/13 Apr/14/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $125.00 0 Apr/07/13 Apr/14/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $195.00 0 Apr/07/13 Apr/14/13
Description: New AMAT 0140-75033 Harness Assembly Loadlocks Interconnect
sellyoursurplus NEW - $295.00 0 Apr/07/13 Apr/14/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
usedeqsales NEW - $404.13 0 Apr/08/13 May/05/15
Description: AMAT Applied Materials 3060-00835 Ball Bearing lot of 416 new
athomemarket NEW - $6,199.99 0 Apr/08/13 Apr/15/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $958.99 0 Apr/08/13 May/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $115.99 0 Apr/08/13 May/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $53.99 0 Apr/08/13 May/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $188.99 0 Apr/08/13 May/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $66.99 0 Apr/08/13 May/08/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $853.99 0 Apr/08/13 May/08/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
svcstore NEW - $599.99 0 Apr/08/13 Apr/11/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
neilan1987 NEW - $349.99 0 Apr/09/13 Apr/16/13
Description: APPLIED MATERIALS AMAT 0190-06926 Blue RF Cable NEW in Cleanroom Packaging
athomemarket NEW - $99.99 0 Apr/09/13 Apr/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $212.99 0 Apr/09/13 Apr/16/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $52.99 2 Apr/09/13 May/09/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $6,199.99 0 Apr/09/13 Apr/16/13
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $71.99 0 Apr/09/13 Apr/16/13
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
usedeqsales NEW - $204.13 2 Apr/09/13 Jan/04/14
Description: Swagelok 6LVV-DPTFR4-P UHP Manual Diaphragm-Sealed Valve AMAT 3870-03757 New
athomemarket NEW - $17.99 0 Apr/09/13 May/09/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
neilan1987 NEW - $349.99 0 Apr/09/13 Apr/16/13
Description: APPLIED MATERIALS AMAT 0190-15905 Blue RF Cable NEW in Cleanroom Packaging
alvin1462 NEW - $200.00 1 Apr/09/13 Aug/29/13
Description: 2 NEW Applied Materials 0190-10183 SENSOR, INDUCTIVE POSITION SPRING TIP AMAT
svcstore NEW - $8.99 0 Apr/09/13 Apr/12/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
supertechshop NEW - $299.00 0 Apr/10/13 May/10/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.99 0 Apr/10/13 May/10/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $375.00 0 Apr/10/13 May/10/13
Description: NEW AMAT 0200-02408 Pumping Ring Side-2 Ceramic C-channel 300mm Producer SE
supertechshop NEW - $99.00 0 Apr/10/13 May/10/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $199.00 0 Apr/10/13 May/10/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $499.00 0 Apr/10/13 May/10/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
athomemarket NEW - $211.99 0 Apr/10/13 May/10/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $30.99 0 Apr/10/13 May/10/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Apr/10/13 May/10/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket NEW - $234.99 0 Apr/11/13 May/11/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $131.99 0 Apr/11/13 May/11/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $116.99 0 Apr/11/13 May/11/13
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $129.99 0 Apr/11/13 May/11/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $263.99 0 Apr/11/13 May/11/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $99.99 0 Apr/11/13 Apr/18/13
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
athomemarket NEW - $174.99 0 Apr/11/13 May/11/13
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $70.99 0 Apr/11/13 May/11/13
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $636.99 0 Apr/11/13 May/11/13
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $112.99 0 Apr/11/13 May/11/13
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $116.99 0 Apr/11/13 May/11/13
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
bring_recycling NEW - $15.00 0 Apr/11/13 Apr/18/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $599.99 0 Apr/11/13 Apr/14/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
mattron747 NEW - $750.00 0 Apr/11/13 May/11/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
athomemarket NEW - $520.99 0 Apr/16/13 May/16/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $21.99 0 Apr/16/13 May/16/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
athomemarket NEW - $109.99 0 Apr/15/13 May/15/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
athomemarket NEW - $413.99 0 Apr/15/13 May/15/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $112.99 0 Apr/15/13 Apr/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,799.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,699.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $312.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $137.99 0 Apr/14/13 May/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $313.99 0 Apr/13/13 May/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $196.99 0 Apr/13/13 May/13/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
wedone NEW - $350.00 0 Apr/13/13 May/13/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
athomemarket NEW - $495.99 0 Apr/12/13 May/12/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
usedeqsales NEW - $261.65 1 Apr/16/13 May/15/13
Description: Allen-Bradley 100-D180ED11 Contactor 100-D180 EI AMAT 0190-28817 new
athomemarket NEW - $199.99 0 Apr/16/13 May/16/13
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $140.99 0 Apr/16/13 May/16/13
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
farmoninc NEW - $2,100.00 1 Apr/15/13 Aug/23/13
Description: NEW AMAT 0010-21565 slit valve kit, 0010-76428 Actuator Assembly 0010-21566 door
athomemarket NEW - $3,699.99 0 Apr/15/13 May/15/13
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $214.99 0 Apr/14/13 May/14/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $315.99 0 Apr/14/13 May/14/13
Description: NEW LAM Research 716-330973-281 Ceramic Wafer Clamp for LAM 9600
athomemarket NEW - $1,419.99 0 Apr/13/13 May/13/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
pastsemi NEW - $189.00 0 Apr/12/13 Nov/05/13
Description: 715-009161-001 4-pin wafer lifter .031 for LAM Research AutoEtch. NEW
svcstore NEW - $8.99 0 Apr/15/13 Apr/18/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
sellyoursurplus NEW - $125.00 0 Apr/16/13 May/16/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $89.00 0 Apr/16/13 May/16/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $495.00 0 Apr/16/13 May/16/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $250.00 0 Apr/16/13 May/16/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $250.00 0 Apr/16/13 May/16/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Apr/16/13 May/16/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $95.00 0 Apr/16/13 May/16/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $195.00 1 Apr/16/13 May/13/13
Description: New AMAT 0140-75033 Harness Assembly Loadlocks Interconnect
sellyoursurplus NEW - $295.00 0 Apr/16/13 May/16/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
farmoninc NEW - $300.00 1 Apr/17/13 May/17/13
Description: 4 NEW Hamamatsu R7400U, AMAT 1120-00192 photomultiplier tubes, 16mm dia. 850nm
artsemi NEW - $2,970.00 1 Apr/03/13 Mar/31/22
Description: RF Shaped Window Lam Research 716-330121-001, New in sealed package.
athomemarket NEW - $112.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $69.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
bobsgoodies NEW - $98.50 0 Apr/17/13 May/17/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
bobsgoodies NEW - $85.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $35.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0150-76405 Cable Assembly New AMAT
athomemarket NEW - $199.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $282.99 0 Apr/17/13 May/17/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $254.99 0 Apr/17/13 May/17/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
athomemarket NEW - $99.99 0 Apr/17/13 May/17/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 Apr/17/13 May/17/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $212.99 0 Apr/17/13 May/17/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,199.99 0 Apr/17/13 May/17/13
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $71.99 1 Apr/17/13 May/17/13
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
sparepartssolution NEW - $1,800.00 0 Apr/17/13 Nov/03/14
Description: (AA01) AMAT APPLIED MATERIALS 0040-21289 ADAPTER 16" CHAM DURASOURCE TTN NEW
convertechs4 NEW - $15.99 1 Apr/11/13 Apr/17/13
Description: New PALL HDC II DFA4001J100 10 Micron ABS. Disposable Filter Assembly #117
usedeqsales NEW - $100.57 3 Jan/16/13 Apr/17/13
Description: Mitutoyo ID-S1012EB Digimatic Indicator 543-683B new
athomemarket NEW - $252.99 0 Apr/18/13 May/18/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
usedeqsales NEW - $1,504.13 0 Apr/18/13 Mar/25/15
Description: HoribaSTEC LF-F30M-A-EVD Liquid Mass Flow Meter TEPO 25g/min AMAT 3030-14962 new
usedeqsales NEW - $1,504.13 0 Apr/18/13 May/01/15
Description: HoribaSTEC LF-F40M-A-EVD Liquid Mass Flow Meter TEB 1.5g/min AMAT 3030-14963 new
athomemarket NEW - $255.99 0 Apr/18/13 May/18/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $155.99 0 Apr/18/13 May/18/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
athomemarket NEW - $2,221.99 0 Apr/18/13 May/18/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
bring_recycling NEW - $15.00 0 Apr/18/13 Apr/25/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $8.99 0 Apr/18/13 Apr/21/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
svcstore NEW - $499.99 0 Apr/18/13 Apr/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $105.99 0 Apr/19/13 May/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 Apr/19/13 May/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $99.99 0 Apr/19/13 May/19/13
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
athomemarket NEW - $121.99 0 Apr/19/13 May/19/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $802.99 0 Apr/19/13 May/19/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $52.99 0 Apr/19/13 May/19/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $916.99 0 Apr/19/13 May/19/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $105.99 0 Apr/19/13 May/19/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $42.99 0 Apr/19/13 May/19/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $91.99 0 Apr/19/13 May/19/13
Description: NEW Osram 111566 Fused 750W Quartz Tungsten Lamp/Bulb AMAT 0010-06957 Oxide PVD
athomemarket NEW - $290.99 0 Apr/19/13 May/19/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $105.99 0 Apr/19/13 May/19/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $155.99 0 Apr/19/13 May/19/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $49.99 0 Apr/20/13 May/20/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $314.99 0 Apr/20/13 May/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $29.99 0 Apr/20/13 May/20/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket Refurbished - $176.99 0 Apr/20/13 May/20/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
helixtek NEW - $450.00 0 Apr/21/13 May/01/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
supertechshop NEW - $299.00 0 Apr/21/13 May/21/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
athomemarket NEW - $111.99 0 Apr/21/13 May/21/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
supertechshop NEW - $499.00 0 Apr/21/13 May/21/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
athomemarket NEW - $75.99 0 Apr/21/13 May/21/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
athomemarket NEW - $616.99 0 Apr/21/13 May/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $392.99 0 Apr/21/13 May/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $65.99 0 Apr/21/13 May/21/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $55.99 0 Apr/21/13 May/21/13
Description: NEW Nupro 6LV-D1V333P-AA Valve Assembly AMAT 0050-37464
athomemarket NEW - $75.99 0 Apr/21/13 May/21/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $1,008.99 0 Apr/21/13 May/21/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
svcstore NEW - $499.99 0 Apr/21/13 Apr/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $8.99 0 Apr/21/13 Apr/24/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $1,199.99 0 Apr/22/13 Apr/29/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $81.99 0 Apr/22/13 May/22/13
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $92.99 0 Apr/22/13 May/22/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
usedeqsales NEW - $2,004.13 1 Apr/22/13 Jun/15/13
Description: VAT 0530X-CA24-ABK6 Insert L-VAT Transfer Valve AMAT 0190-41062 new
usedeqsales NEW - $2,004.13 0 Apr/22/13 May/01/15
Description: VAT 9400X-XI24-ADZ1 Corrosion Resistant Atmosphere Assembly AMAT 0190-37462 new
ebusinessliquidation NEW - $44.95 0 Apr/23/13 Apr/30/13
Description: NEW AMAT Applied Material PCB Titan Head Pneumatic Controller 0100-77040 / QTY
usedeqsales NEW - $504.13 0 Apr/23/13 May/23/13
Description: SBS Technologies CPRO3/32-16624 Single Board Computer AMAT 0190-10806 new
farmoninc NEW - $5,000.00 0 Apr/23/13 Sep/18/13
Description: NEW AMAT 0090-91876 Chasis, modular, spin scan controller with 0100-91142 board
usedeqsales NEW - $350.13 0 Apr/23/13 May/05/15
Description: Yaskawa SGDS-01A31AY597 AC Servo Drive AMAT 0190-38509 new
tdindustrial NEW - $395.00 1 Apr/23/13 Apr/23/13
Description: AMAT CVD Lightpipe, Sapphire Probe 0190-18430 Rev B, New
athomemarket NEW - $112.99 0 Apr/23/13 May/23/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $234.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 Apr/23/13 May/23/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $1,699.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
usedeqsales NEW - $504.13 1 Apr/23/13 Oct/10/13
Description: Yaskawa YSC-SGMAH-04-003 AC Servo Gearmotor SGMAH-04A1F41 AMAT 1080-00753 new
athomemarket NEW - $46.99 0 Apr/23/13 May/23/13
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $1,314.99 0 Apr/23/13 May/23/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $305.99 0 Apr/23/13 May/23/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $134.99 0 Apr/23/13 May/23/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $92.99 0 Apr/23/13 May/23/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $842.99 0 Apr/23/13 May/23/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $219.99 0 Apr/23/13 May/23/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $328.99 0 Apr/23/13 May/23/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $312.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $5,799.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,499.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $4,199.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,899.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
sellyoursurplus NEW - $250.00 0 Apr/24/13 May/01/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $495.00 0 Apr/24/13 May/01/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $95.00 0 Apr/24/13 May/01/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $250.00 0 Apr/24/13 May/01/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Apr/24/13 May/01/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $89.00 0 Apr/24/13 May/01/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
svcstore NEW - $499.99 0 Apr/24/13 Apr/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $1,699.99 0 Apr/25/13 May/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,799.99 0 Apr/25/13 May/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket NEW - $8,501.99 0 Apr/25/13 May/25/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $238.99 0 Apr/25/13 May/25/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,333.99 0 Apr/25/13 May/25/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $150.99 0 Apr/25/13 May/25/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $125.99 0 Apr/25/13 May/25/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
supertechshop NEW - $99.99 0 Apr/25/13 May/25/13
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
sellyoursurplus NEW - $125.00 0 Apr/25/13 May/02/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $195.00 0 Apr/25/13 May/02/13
Description: New AMAT 0140-75033 Harness Assembly Loadlocks Interconnect
sellyoursurplus NEW - $295.00 0 Apr/25/13 May/02/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
bring_recycling NEW - $3.99 0 Apr/25/13 May/02/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
sparepartssolution Used - $99.99 0 Apr/26/13 Sep/02/15
Description: (AA01) AMAT APPLIED MATERIALS 0020-26691 HTHU LIFT FINGER NEW WORKING
trees_for_a_better_tomorrow NEW - $129.50 1 Apr/26/13 Aug/12/13
Description: New Applied Materials AMAT Wasco Vacuum Pressure Switch 0190-35221 rev002
athomemarket NEW - $392.99 0 Apr/26/13 May/26/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $44.99 0 Apr/26/13 May/26/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $305.99 0 Apr/26/13 May/26/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $119.99 0 Apr/26/13 May/26/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $69.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $112.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
neilan1987 NEW - $149.99 0 Apr/26/13 May/03/13
Description: GRANVILLE-PHLLIPS Convectron Gauge Applied Materials AMAT 275592 3310-00313 NEW
athomemarket NEW - $116.99 1 Apr/26/13 May/13/13
Description: NEW Applied Materials/AMAT 0020-23278 A 8" Source SST Upper Shield 101% TIN
esprprts NEW - $50.00 2 Apr/27/13 Apr/28/13
Description: NEW, Applied Materials, AMAT 0040-20048 - FEEDTHRU LAMP
esprprts NEW - $7.00 2 Apr/27/13 Jun/26/13
Description: NEW, Applied Materials, AMAT 0190-13035 - LAMP, BAKEOUT with lugs
svcstore NEW - $8.99 0 Apr/27/13 Apr/30/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
svcstore NEW - $499.99 0 Apr/27/13 Apr/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $103.99 1 Apr/28/13 May/01/13
Description: 10 NEW Osram AMAT 0190-14083 Halogen Heater/Optic Lamps
sparepartssolution NEW - $140.00 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-20784 TC HOLDER NEW
sparepartssolution NEW - $50.00 5 Apr/29/13 Aug/12/13
Description: (125-0204) AMAT APPLIED MATERIALS 0020-20666 8" LIFTER THERMAL INSULATOR NEW
sparepartssolution NEW - $600.00 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0010-20138 ASSY TC AMP HSNG NEW
sparepartssolution NEW - $3,499.99 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0010-00889 ASSY LIFTER DEGAS/ORIENTER W/GI NEW
sparepartssolution NEW - $499.99 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-22387 8"HOOP WITH TC NEW
sparepartssolution NEW - $40.00 1 Apr/29/13 Apr/28/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-22361 COVER TC AMP BOARD NEW
sparepartssolution NEW - $249.99 0 Apr/29/13 Sep/02/15
Description: (127-0601) AMAT APPLIED MATERIALS 0040-22487 FINGER EXTENDED LIFT HOOP 8" B1 NEW
sparepartssolution NEW - $199.99 0 Apr/29/13 Apr/20/15
Description: (127-0601) AMAT APPLIED MATERIALS 0021-20073 COVER, DC UPPER FLAME RETARDANT NEW
sparepartssolution NEW - $99.99 0 Apr/29/13 Sep/02/15
Description: (127-0601) AMAT APPLIED MATERIALS 0020-24839 SPACER, HTHU LOWER LIFT NEW
sparepartssolution NEW - $99.99 0 Apr/29/13 Sep/02/15
Description: (126-0102) AMAT APPLIED MATERIALS 3350-01007 DISC QUARTZ GND&POLISH 1-3/4 NEW
sparepartssolution NEW - $349.99 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-23594 PLATE, 8" CCD VACUUM SEAL REVI NEW
sparepartssolution NEW - $249.99 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-22414 8 CHUCK, TC NEW
farmoninc NEW - $190.00 0 Apr/29/13 Apr/13/18
Description: NEW AMAT 0020-21411 Chuck, 6 inch, Degas TC
athomemarket NEW - $1,199.99 0 Apr/29/13 May/06/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $175.99 0 Apr/29/13 May/29/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $179.99 0 Apr/29/13 May/29/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $161.99 0 Apr/29/13 May/06/13
Description: NEW Applied Materials AMAT 0010-25570 Blade Assembly
athomemarket Used - $1,199.99 0 Apr/29/13 May/06/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
usedeqsales NEW - $304.13 0 Apr/29/13 May/04/15
Description: MKS Instruments 223B-24179 Pressure Transducer AMAT 1350-00473 New
systasemi NEW - $900.00 0 Apr/29/13 May/29/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
ebusinessliquidation NEW - $44.95 0 Apr/30/13 May/07/13
Description: NEW AMAT Applied Material PCB Titan Head Pneumatic Controller 0100-77040 / QTY
athomemarket NEW - $1,199.99 0 Apr/30/13 May/30/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Apr/30/13 May/30/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
athomemarket NEW - $933.99 0 Apr/30/13 May/30/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $8.99 0 Apr/30/13 May/03/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
svcstore NEW - $499.99 0 May/01/13 May/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
helixtek NEW - $400.00 0 May/01/13 May/11/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $211.99 0 May/02/13 May/09/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $5,899.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 May/02/13 Jun/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $5,899.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $1,654.99 0 May/02/13 Jun/01/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
athomemarket NEW - $5,499.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $4,199.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,799.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
testeqe NEW - $249.99 5 Jan/18/13 May/01/13
Description: NEW ASM PN: 02-140633-01 Genmark Robot-Controller Interface Cable
bring_recycling NEW - $3.99 0 May/02/13 May/09/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
dvkelectronics NEW - $425.00 0 May/02/13 Jun/01/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
athomemarket NEW - $232.99 0 May/03/13 May/10/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $61.99 0 May/03/13 May/10/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $1,699.99 0 May/03/13 Jun/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,799.99 0 May/03/13 Jun/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket NEW - $473.99 0 May/03/13 Jun/02/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
supertechshop NEW - $49.99 0 May/03/13 Jun/02/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $399.00 0 May/03/13 Jun/02/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $995.00 0 May/03/13 Jun/02/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $499.00 1 May/03/13 May/17/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 May/03/13 Jun/02/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 May/03/13 Jun/02/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 May/03/13 Jun/02/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
svcstore NEW - $8.99 0 May/03/13 May/06/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $339.99 0 May/06/13 Jun/05/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $299.99 0 May/06/13 May/13/13
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $162.99 0 May/06/13 May/13/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $99.99 0 May/05/13 Jun/04/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $75.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $77.99 0 May/05/13 Jun/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
svcstore NEW - $499.99 0 May/04/13 May/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $94.99 0 May/06/13 Jun/05/13
Description: 12 NEW Osram AMAT 0190-35602 750W Halogen Optic Lamps
athomemarket NEW - $459.99 0 May/06/13 Jun/05/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
athomemarket NEW - $104.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $295.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $368.99 0 May/05/13 Jun/04/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $384.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $296.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $369.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $234.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $756.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $8,436.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $294.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $399.99 0 May/05/13 Jun/04/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $500.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
nationwidehytech NEW - $31,200.00 0 May/05/13 Sep/02/13
Description: LAM Research 853-024401-100 Gas Box NEW
athomemarket NEW - $2,003.99 0 May/06/13 Jun/05/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $140.99 0 May/06/13 Jun/05/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $13,055.00 0 May/06/13 Jun/05/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $2,645.99 0 May/06/13 Jun/05/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $437.99 0 May/06/13 Jun/05/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
svcstore NEW - $8.99 0 May/06/13 May/09/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $413.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $875.60 0 May/07/13 Jun/06/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
supertechshop NEW - $699.00 0 May/07/13 Jun/06/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $199.00 0 May/07/13 Jun/06/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $99.00 0 May/07/13 Jun/06/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
athomemarket NEW - $1,199.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
farmoninc NEW - $1,250.00 1 May/07/13 Jul/18/13
Description: NEW AMAT 0010-13774 assembly, wafer lift, cooldown passthrough
supertechshop NEW - $74.99 0 May/07/13 Jun/06/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
athomemarket NEW - $161.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0010-25570 Blade Assembly
athomemarket Used - $1,199.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
svcstore NEW - $499.99 0 May/07/13 May/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
carlangas2002 NEW - $72.50 1 May/04/13 May/07/13
Description: NEW IN BOX OMRON E2K-C25MF1 CAPACITIVE PROXIMITY SWITCH SENSOR E2KC25MF1
tdindustrial NEW - $195.00 5 Dec/05/12 May/07/13
Description: LAM Part No: 839-012255-001 REV F, Bellows New, Sealed
visionsemi NEW - $69.99 2 Mar/15/13 May/07/13
Description: NEW!! BARKSDALE 425N1-21 PRESSURE TRANSMITTER
visionsemi NEW - $69.99 1 Mar/20/13 May/07/13
Description: NEW!! BARKSDALE 425N1-03-A PRESSURE TRANSMITTER
usedeqsales NEW - $2,510.12 1 Oct/17/12 May/07/13
Description: Genmark Wafer Prealigner RP0120584 new
usedeqsales NEW - $452.13 3 Feb/06/13 May/07/13
Description: CTI-Cryogenics 8080-300-K001 9600 Pump Adsorber Assembly 3620-01554 new
sparepartssolution NEW - $99.99 1 Apr/27/12 May/06/13
Description: (AA01) AMAT APPLIED MATERIALS 0200-18053 GUIDE, LIFT PIN NEW
palladeo_equipment_llc NEW - $5.00 1 Jan/17/13 May/06/13
Description: NEW Swagelok SS-45VCR8 Metal Gasket Ball Vale 12Cv Male
usedeqsales NEW - $508.10 1 Dec/23/10 May/06/13
Description: Praxair CMP 200mm Polishing Pad Lot 20" new 0190-30146
1guru1969 NEW - $525.00 1 May/05/13 May/06/13
Description: OMRON D5F-2B34C-Y PRECISION SWITCH *MAZAK TOOL EYE* NEW
athomemarket NEW - $162.99 0 May/08/13 May/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
fleckstrade NEW - $399.95 0 May/08/13 Sep/05/13
Description: AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ) NEW
ebusinessliquidation NEW - $44.95 0 May/09/13 May/19/13
Description: NEW AMAT Applied Material PCB Titan Head Pneumatic Controller 0100-77040 / QTY
athomemarket NEW - $958.99 0 May/09/13 Jun/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $115.99 0 May/09/13 Jun/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $52.99 0 May/09/13 Jun/08/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $53.99 0 May/09/13 Jun/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $66.99 0 May/09/13 Jun/08/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $188.99 0 May/09/13 Jun/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $853.99 0 May/09/13 Jun/08/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
usedeqsales NEW - $305.13 1 May/09/13 Aug/28/14
Description: Proteus 9808SA10P3 Flow Sensor AMAT 0190-11724 Rev:003 New
athomemarket NEW - $17.99 0 May/09/13 Jun/08/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
bring_recycling NEW - $3.99 0 May/09/13 May/16/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $8.99 0 May/09/13 May/12/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
csi.usa Used - $1,000.00 0 May/10/13 May/13/13
Description: APPLIED MATERIALS P/N 0010-70162 ACTUATOR SLIT VALVE ASSY.(1 new, 1 used)
athomemarket NEW - $211.99 0 May/10/13 Jun/09/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $30.99 0 May/10/13 Jun/09/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $211.99 0 May/10/13 Jun/09/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $6,999.99 0 May/10/13 Jun/09/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore NEW - $499.99 0 May/10/13 May/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
mattron747 NEW - $750.00 0 May/12/13 Jun/11/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
supertechshop NEW - $99.99 0 May/13/13 Jun/12/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
helixtek NEW - $400.00 0 May/13/13 May/23/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
bring_recycling NEW - $3.99 0 May/16/13 May/23/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
athomemarket NEW - $162.99 0 May/16/13 Jun/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
athomemarket NEW - $520.99 0 May/16/13 Jun/15/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $21.99 0 May/16/13 Jun/15/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
athomemarket NEW - $109.99 0 May/16/13 Jun/15/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
athomemarket NEW - $413.99 0 May/15/13 Jun/14/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $137.99 0 May/15/13 Jun/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $299.99 0 May/14/13 Jun/13/13
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $162.99 0 May/14/13 Jun/13/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $313.99 0 May/14/13 Jun/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $499.99 0 May/14/13 May/21/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $86.99 0 May/14/13 May/21/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $199.99 0 May/16/13 Jun/15/13
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $140.99 0 May/16/13 Jun/15/13
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
athomemarket NEW - $3,699.99 0 May/15/13 Jun/14/13
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $4,231.99 0 May/15/13 Jun/14/13
Description: NEW AMAT 0010-36404 Seriplex Assy w/0190-35653 MUX I/O PCB Chamber A
athomemarket NEW - $152.99 0 May/15/13 Jun/14/13
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,237.99 0 May/15/13 Jun/14/13
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $214.99 0 May/15/13 Jun/14/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $315.99 2 May/14/13 Jun/13/13
Description: NEW LAM Research 716-330973-281 Ceramic Wafer Clamp for LAM 9600
athomemarket NEW - $1,419.99 0 May/13/13 Jun/12/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
svcstore NEW - $8.99 0 May/15/13 May/18/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $212.99 0 May/17/13 Jun/16/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $99.99 0 May/17/13 Jun/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 May/17/13 Jun/16/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $71.99 0 May/17/13 Jun/16/13
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
athomemarket NEW - $6,199.99 0 May/17/13 Jun/16/13
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
supertechshop NEW - $249.00 0 May/17/13 Jun/16/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svcstore NEW - $499.99 0 May/17/13 May/20/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sellyoursurplus NEW - $195.00 0 May/17/13 Jun/16/13
Description: New AMAT 0140-75033 Harness Assembly Loadlocks Interconnect
supertechshop NEW - $899.95 0 May/17/13 Jun/16/13
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
disco.sue1952 Used - $399.99 0 May/18/13 Sep/27/21
Description: Applied Materials Heater, 0010-03559 New In Box.
athomemarket NEW - $252.99 0 May/18/13 Jun/17/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $255.99 0 May/18/13 Jun/17/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $155.99 0 May/18/13 Jun/17/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
athomemarket NEW - $2,221.99 0 May/18/13 Jun/17/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
svcstore NEW - $8.99 0 May/18/13 May/21/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $99.99 0 May/19/13 Jun/18/13
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
athomemarket NEW - $91.99 0 May/19/13 Jun/18/13
Description: NEW Osram 111566 Fused 750W Quartz Tungsten Lamp/Bulb AMAT 0010-06957 Oxide PVD
athomemarket NEW - $290.99 0 May/19/13 Jun/18/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $155.99 0 May/19/13 Jun/18/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $105.99 0 May/19/13 Jun/18/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
logansemi NEW - $399.00 0 May/20/13 May/27/13
Description: Lam Research Wafer Clamp 4" 716-011580-246 NEW
logansemi NEW - $499.00 0 May/20/13 May/27/13
Description: Lam Research Ceramic Gas Ring 716-330068-001 NEW
logansemi NEW - $399.00 0 May/20/13 May/27/13
Description: Lam Upper Electrode (NEW) 715-011531-014
usedeqsales NEW - $205.13 0 May/20/13 May/01/15
Description: Applied Materials 3300-06002 Flex Coupling 4" Lot of 2 New
athomemarket NEW - $105.99 0 May/20/13 Jun/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 May/20/13 Jun/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $49.99 0 May/20/13 Jun/19/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $52.99 0 May/20/13 Jun/19/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $802.99 0 May/20/13 Jun/19/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $121.99 0 May/20/13 Jun/19/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $105.99 0 May/20/13 Jun/19/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $916.99 0 May/20/13 Jun/19/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $42.99 0 May/20/13 Jun/19/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
svcstore NEW - $499.99 0 May/20/13 May/23/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $314.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Refurbished - $176.99 0 May/21/13 Jun/20/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
athomemarket NEW - $29.99 0 May/21/13 Jun/20/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $916.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $111.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $75.99 0 May/21/13 Jun/20/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
athomemarket NEW - $616.99 0 May/22/13 Jun/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $392.99 0 May/22/13 Jun/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $65.99 0 May/22/13 Jun/21/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $55.99 0 May/22/13 Jun/21/13
Description: NEW Nupro 6LV-D1V333P-AA Valve Assembly AMAT 0050-37464
athomemarket NEW - $75.99 0 May/22/13 Jun/21/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $1,008.99 0 May/22/13 Jun/21/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $499.99 0 May/22/13 Jun/21/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $86.99 0 May/22/13 Jun/21/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $92.99 0 May/22/13 Jun/21/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
svcstore NEW - $8.99 0 May/22/13 May/25/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
supertechshop NEW - $299.00 0 May/22/13 Jun/21/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $499.00 0 May/22/13 Jun/21/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
ebusinessliquidation NEW - $49.99 5 May/23/13 Jun/19/13
Description: NEW AMAT Applied Material PCB Titan Head Pneumatic Controller 0100-77040 / QTY
athomemarket NEW - $234.99 0 May/23/13 Jun/22/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 May/23/13 Jun/22/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $112.99 0 May/23/13 Jun/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,699.99 0 May/23/13 Jun/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 May/23/13 Jun/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $92.99 0 May/23/13 Jun/22/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $134.99 0 May/23/13 Jun/22/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $842.99 0 May/23/13 Jun/22/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $305.99 0 May/23/13 Jun/22/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $1,314.99 0 May/23/13 Jun/22/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $46.99 0 May/23/13 Jun/22/13
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $219.99 0 May/23/13 Jun/22/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $328.99 0 May/23/13 Jun/22/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $81.99 0 May/23/13 Jun/22/13
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
svcstore NEW - $499.99 0 May/23/13 May/26/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
conquer_2011 NEW - $249.00 0 May/23/13 May/30/13
Description: NEW AMAT Valve pn 3780-01695
supertechshop NEW - $49.00 0 May/23/13 Jun/22/13
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $475.00 0 May/23/13 Jun/22/13
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
bring_recycling NEW - $3.99 0 May/23/13 May/30/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
supertechshop NEW - $24.99 0 May/23/13 Jun/22/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
athomemarket NEW - $312.99 0 May/24/13 Jun/23/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
helixtek NEW - $400.00 0 May/25/13 Jun/04/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $8,501.99 0 May/25/13 Jun/24/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $1,333.99 0 May/25/13 Jun/24/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $238.99 0 May/25/13 Jun/24/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $150.99 0 May/25/13 Jun/24/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $125.99 0 May/25/13 Jun/24/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
athomemarket NEW - $305.99 0 May/26/13 Jun/25/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $119.99 0 May/26/13 Jun/25/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $392.99 0 May/26/13 Jun/25/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $44.99 0 May/26/13 Jun/25/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $69.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $112.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $199.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
svcstore NEW - $499.99 0 May/26/13 May/29/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
logansemi NEW - $560.00 1 May/27/13 Nov/29/17
Description: Lam Research Wafer Clamp 4" 716-011580-246 NEW
logansemi NEW - $435.00 0 May/27/13 May/27/19
Description: Lam Upper Electrode (NEW) 715-011531-014
usedeqsales NEW - $505.13 0 May/28/13 May/05/15
Description: Applied Materials 3060-00275 Vinton Sealed Ball Bearings Lot of 16 New
usedeqsales NEW - $1,502.13 1 May/28/13 Jun/17/13
Description: AMAT Applied Materials 0100-01321 Digital I/O Board new
usedeqsales NEW - $305.13 1 May/28/13 Oct/12/13
Description: Applied Materials 3300-02155 Tee Union Flange 3T-NW-25B Lot of 4 New
svcstore NEW - $7.99 0 May/28/13 May/31/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $355.13 0 May/29/13 Dec/04/14
Description: AMAT Applied Materials 0240-17388 Asst Dual RF/IF Tag Reader Kit New
athomemarket NEW - $179.99 0 May/29/13 Jun/28/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
usedeqsales NEW - $654.13 1 May/29/13 Aug/29/13
Description: Allen-Bradley 100-D180ED11 Contactor 100-D180 EI AMAT 0190-28817 new
usedeqsales NEW - $305.13 0 May/28/13 May/04/15
Description: VAT 26428-KA11-BYP1 HV Angle Valve AMAT 3870-06465 New
supertechshop NEW - $99.99 0 May/29/13 Jun/28/13
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
athomemarket NEW - $175.99 0 May/29/13 Jun/28/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
svcstore NEW - $499.99 0 May/29/13 Jun/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $199.00 0 May/29/13 Jun/28/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
systasemi NEW - $900.00 0 May/30/13 Jun/29/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
athomemarket NEW - $114.99 0 May/30/13 Jun/29/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
athomemarket NEW - $1,199.99 0 May/30/13 Jun/29/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
bring_recycling NEW - $3.99 0 May/30/13 Jun/06/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
usedeqsales NEW - $306.13 0 May/31/13 May/05/15
Description: T.E.M. Filter Co. TEM1515-8P Inline Gas Filter AMAT No: 4020-01206 New
athomemarket NEW - $933.99 0 May/31/13 Jun/30/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
trees_for_a_better_tomorrow NEW - $250.00 0 Jun/01/13 Jun/08/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
svcstore NEW - $499.99 0 Jun/01/13 Jun/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
trees_for_a_better_tomorrow NEW - $500.00 0 Jun/01/13 Jun/08/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
athomemarket NEW - $4,199.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,799.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,899.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 Jun/01/13 Jul/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
sparepartssolution NEW - $29.99 6 Jun/01/13 Sep/02/15
Description: (AA01) AMAT APPLIED MATERIALS 0020-23093 WASHER INSULATOR FOR PVD LIFTER NEW
dvkelectronics NEW - $425.00 0 Jun/01/13 Jul/01/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
athomemarket NEW - $1,654.99 0 Jun/01/13 Jul/01/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
svcstore NEW - $7.99 0 May/31/13 Jun/03/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
ab-international Used - $94.03 0 May/31/13 Mar/12/24
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
athomemarket NEW - $5,499.99 0 Jun/02/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $1,699.99 0 Jun/02/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,799.99 0 Jun/02/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
usedeqsales NEW - $256.31 1 Jun/03/13 Jun/03/13
Description: SMC NCRB80-UIA990869 180º Rotary Actuator AMAT No: 0520-01017 New
usedeqsales NEW - $256.13 1 Jun/03/13 Jun/03/13
Description: Hubbell HBL4100C9W Pin & Sleeve Connector AMAT No: 0720-04901 New
conquer_2011 NEW - $249.00 0 Jun/03/13 Jun/13/13
Description: NEW AMAT Valve pn 3780-01695
athomemarket NEW - $473.99 0 Jun/03/13 Jul/03/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
svcstore NEW - $7.99 0 Jun/03/13 Jun/06/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $706.13 1 Jun/04/13 Oct/13/13
Description: HPS 100319113 ISO-KF to VCR-M Adapter AMAT 3300-03436 New Lot of 17
athomemarket NEW - $99.99 0 Jun/04/13 Jul/04/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $75.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $77.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $368.99 0 Jun/04/13 Jul/04/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $369.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $104.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $384.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $234.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $295.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $8,436.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $296.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $756.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $294.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $399.99 0 Jun/04/13 Jul/04/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $500.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
supertechshop NEW - $49.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $399.00 0 Jun/04/13 Jul/04/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $995.00 0 Jun/04/13 Jul/04/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $399.00 0 Jun/04/13 Jul/04/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $699.00 0 Jun/04/13 Jul/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
svcstore NEW - $499.99 0 Jun/04/13 Jun/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
helixtek NEW - $400.00 0 Jun/04/13 Jul/04/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $339.99 0 Jun/05/13 Jul/05/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $94.99 0 Jun/05/13 Jul/05/13
Description: 12 NEW Osram AMAT 0190-35602 750W Halogen Optic Lamps
athomemarket NEW - $459.99 0 Jun/05/13 Jul/05/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
farmoninc NEW - $150.00 1 Jun/06/13 Apr/12/20
Description: NEW AMAT 0021-04244 Magnet holder block, RP-3
athomemarket NEW - $413.99 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $875.60 0 Jun/06/13 Jul/06/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $2,003.99 0 Jun/06/13 Jul/06/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $13,055.00 0 Jun/06/13 Jul/06/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $437.99 0 Jun/06/13 Jul/06/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,645.99 0 Jun/06/13 Jul/06/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $140.99 0 Jun/06/13 Jul/06/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $161.99 2 Jun/06/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-25570 Blade Assembly
athomemarket Used - $1,199.99 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,199.99 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
supertechshop NEW - $699.00 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $99.00 0 Jun/06/13 Jul/06/13
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Jun/06/13 Jul/06/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $74.99 0 Jun/06/13 Jul/06/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
bring_recycling NEW - $3.99 0 Jun/06/13 Jun/13/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
bobsgoodies NEW - $65.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0050-31367 1/4" VCR Weldment New
svcstore NEW - $7.99 0 Jun/07/13 Jun/10/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
svcstore NEW - $499.99 0 Jun/07/13 Jun/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sparepartssolution NEW - $999.99 2 Jun/08/13 Sep/02/15
Description: (118-0501) AMAT APPLIED MATERIALS 0150-76028 T/C, DEGAS UNIFIED WIRE HARNES NEW
sparepartssolution NEW - $99.99 0 Jun/08/13 Sep/02/15
Description: (125-0102) AMAT APPLIED MATERIALS 0020-35188 ARM, COOLDOWN LIFTER NEW
sparepartssolution NEW - $59.99 10 Jun/08/13 Nov/18/13
Description: (125-0102) AMAT APPLIED MATERIALS 0020-20521 SPACER, INSULATOR LIFTER NEW
sparepartssolution NEW - $49.99 0 Jun/08/13 Sep/02/15
Description: (125-0102) AMAT APPLIED MATERIALS 0200-09002 WINDOW SLIT CVD CHBR NEW
sparepartssolution NEW - $1,499.99 0 Jun/08/13 Sep/02/15
Description: (125-0102) AMAT APPLIED MATERIALS 0020-35818 PLATE, COOLDOWN PEDESTAL NEW
sparepartssolution NEW - $200.00 1 Jun/08/13 Apr/21/14
Description: (125-0102) AMAT APPLIED MATERIALS 0010-21803 ASSEMBLY, UPPER DC HOUSING W/B NEW
athomemarket NEW - $958.99 0 Jun/08/13 Jul/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $89.99 0 Jun/08/13 Jul/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $39.99 0 Jun/08/13 Jul/08/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $17.99 0 Jun/08/13 Jul/08/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
athomemarket NEW - $53.99 0 Jun/08/13 Jul/08/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $188.99 0 Jun/08/13 Jul/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $699.99 0 Jun/08/13 Jul/08/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $66.99 0 Jun/08/13 Jul/08/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $211.99 0 Jun/09/13 Jul/09/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $211.99 0 Jun/09/13 Jul/09/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $30.99 0 Jun/09/13 Jul/09/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Jun/09/13 Jul/09/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
usedeqsales NEW - $156.13 0 Jun/10/13 Jul/31/13
Description: Swagelok 6LV-DAFR4-P-C Pnuematic Valve AMAT 3870-01734 New
athomemarket NEW - $263.99 0 Jun/10/13 Jul/10/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $234.99 0 Jun/10/13 Jul/10/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $129.99 0 Jun/10/13 Jul/10/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $131.99 0 Jun/10/13 Jul/10/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $116.99 0 Jun/10/13 Jul/10/13
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $39.99 0 Jun/10/13 Jul/10/13
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $79.99 0 Jun/10/13 Jul/10/13
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $636.99 0 Jun/10/13 Jul/10/13
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $174.99 0 Jun/10/13 Jul/10/13
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $116.99 0 Jun/10/13 Jul/10/13
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
svcstore NEW - $7.99 0 Jun/10/13 Jun/13/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
power4u09 NEW - $24.99 0 Jun/10/13 Sep/08/13
Description: NEW LAM PART # 766-090815-001 PNEUM ACTUATOR ASSY, N. O VALVE
svcstore NEW - $499.99 0 Jun/10/13 Jun/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
usedeqsales NEW - $306.13 2 Jun/11/13 Jun/12/13
Description: Horiba STEC SEC-2512MGX Mass Flow Controller AMAT 3030-15616 New
mattron747 NEW - $750.00 0 Jun/11/13 Jul/11/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
conquer_2011 NEW - $129.00 0 Jun/13/13 Dec/06/13
Description: NEW AMAT Valve pn 3780-01695
athomemarket NEW - $413.99 0 Jun/14/13 Jul/14/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $137.99 0 Jun/14/13 Jul/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
surplusssam NEW - $249.99 1 Jun/14/13 Jul/19/13
Description: NEW APPLIED MATERIALS 0100-11002 AMAT DIGITAL I/O BOARD
bring_recycling NEW - $3.99 0 Jun/13/13 Jun/20/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
athomemarket NEW - $299.99 0 Jun/13/13 Jul/13/13
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $162.99 0 Jun/13/13 Jul/13/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $269.99 0 Jun/13/13 Jul/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
supertechshop NEW - $299.00 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Jun/12/13 Jul/12/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Jun/12/13 Jul/12/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
athomemarket NEW - $232.99 0 Jun/12/13 Jul/12/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $61.99 0 Jun/12/13 Jul/12/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $196.99 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
surplusssam Used - $99.99 0 Jun/12/13 Mar/02/22
Description: NEW AMAT APPLIED MATERIALS AKT 1140-01128 TDK KEPCO FAK5-3K POWER SUPPLY
athomemarket NEW - $495.99 0 Jun/11/13 Jul/11/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $3,699.99 0 Jun/14/13 Jul/14/13
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $4,231.99 1 Jun/14/13 Jun/26/13
Description: NEW AMAT 0010-36404 Seriplex Assy w/0190-35653 MUX I/O PCB Chamber A
athomemarket NEW - $152.99 0 Jun/14/13 Jul/14/13
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,237.99 0 Jun/14/13 Jul/14/13
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
eisale1535 NEW - $799.00 0 Jun/14/13 Jul/14/13
Description: New MKS Pressure Transducer 722A11TCE2FA 10 TORR AMAT 1350-01312 EX-CONDITION
athomemarket NEW - $64.99 0 Jun/13/13 Jul/13/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $355.99 0 Jun/13/13 Jul/13/13
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $114.99 0 Jun/13/13 Jul/13/13
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $149.99 1 Jun/13/13 Jul/13/13
Description: NEW AMAT 0040-75247 Stainless Dual Blade Robot Ring
athomemarket NEW - $69.99 0 Jun/13/13 Jul/13/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $867.99 0 Jun/13/13 Jul/13/13
Description: NEW Applied AMAT 0021-09104 Cathode Lower Liner MXP
usedeqsales NEW - $306.13 1 Jun/13/13 Oct/28/13
Description: Unit UFC-8163 Mass Flow Controller 200cc AMAT 3030-04981 New
usedeqsales NEW - $3,006.13 0 Jun/13/13 Mar/25/14
Description: Alcatel ACT 1300 M Turbopump Controller Type 9097 AMAT 3930-01149 New
supertechshop NEW - $375.00 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0200-02408 Pumping Ring Side-2 Ceramic C-channel 300mm Producer SE
athomemarket NEW - $214.99 0 Jun/14/13 Jul/14/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,419.99 0 Jun/12/13 Jul/12/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
svcstore NEW - $7.99 0 Jun/13/13 Jun/16/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
supertechshop NEW - $499.00 0 Jun/14/13 Jul/14/13
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop Used - $149.00 0 Jun/14/13 Jul/14/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $24.99 0 Jun/14/13 Jul/14/13
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
svcstore NEW - $499.99 0 Jun/14/13 Jun/17/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $520.99 0 Jun/15/13 Jul/15/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $16.99 0 Jun/15/13 Jul/15/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
athomemarket NEW - $109.99 0 Jun/15/13 Jun/21/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
athomemarket NEW - $109.99 0 Jun/15/13 Jul/15/13
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
athomemarket NEW - $199.99 0 Jun/15/13 Jul/15/13
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $162.99 0 Jun/15/13 Jul/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
athomemarket NEW - $212.99 0 Jun/16/13 Jul/16/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $71.99 0 Jun/16/13 Jul/16/13
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
athomemarket NEW - $6,199.99 0 Jun/16/13 Jul/16/13
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $254.99 0 Jun/16/13 Jul/16/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
athomemarket NEW - $282.99 0 Jun/16/13 Jul/16/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
sellyoursurplus NEW - $95.00 0 Jun/16/13 Jun/19/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $250.00 0 Jun/16/13 Jun/19/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $89.00 0 Jun/16/13 Jun/19/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $495.00 0 Jun/16/13 Jun/19/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $250.00 0 Jun/16/13 Jun/19/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $95.00 0 Jun/16/13 Jun/19/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $295.00 0 Jun/16/13 Jun/19/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
sellyoursurplus NEW - $125.00 0 Jun/16/13 Jun/19/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
svcstore NEW - $7.99 0 Jun/16/13 Jun/19/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $252.99 0 Jun/17/13 Jul/17/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $6,199.99 0 Jun/17/13 Jul/17/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $99.99 0 Jun/17/13 Jul/17/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $255.99 0 Jun/17/13 Jul/17/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $155.99 1 Jun/17/13 Jul/10/13
Description: NEW AMAT/tmpi 0040-03666 Dual-Robot Ring 2 Non-PLT P1
athomemarket NEW - $2,221.99 0 Jun/17/13 Jul/17/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
svcstore NEW - $499.99 0 Jun/17/13 Jun/20/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $99.99 0 Jun/18/13 Jul/18/13
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
athomemarket NEW - $91.99 0 Jun/18/13 Jul/18/13
Description: NEW Osram 111566 Fused 750W Quartz Tungsten Lamp/Bulb AMAT 0010-06957 Oxide PVD
athomemarket NEW - $190.99 0 Jun/18/13 Jul/18/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $155.99 0 Jun/18/13 Jul/18/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $105.99 0 Jun/18/13 Jul/18/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
supertechshop NEW - $249.00 0 Jun/18/13 Jul/18/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $899.95 0 Jun/18/13 Jul/18/13
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
athomemarket NEW - $105.99 0 Jun/19/13 Jul/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $89.99 0 Jun/19/13 Jul/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $42.99 0 Jun/19/13 Jul/19/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $699.99 0 Jun/19/13 Jul/19/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $79.99 0 Jun/19/13 Jul/19/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $916.99 0 Jun/19/13 Jul/19/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $52.99 0 Jun/19/13 Jul/19/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $105.99 0 Jun/19/13 Jul/19/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
usedeqsales NEW - $2,006.13 0 Jun/19/13 Sep/13/13
Description: VAT 0340X-CA24-BMP2 Slit Valve AMAT 0190-30055 New
athomemarket NEW - $49.99 0 Jun/19/13 Jul/19/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
capitolareatech NEW - $24.00 0 Jun/19/13 Sep/17/13
Description: NOVELLUS 17-103987-00 STRAP,RF, NEW STYLE, 5015006092
svcstore NEW - $7.99 0 Jun/19/13 Jun/22/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $1,506.13 0 Jun/20/13 May/01/15
Description: Horiba STEC LF-F404M-A-EVD Liquid Mass FLow Meter AMAT 0190-38194 New
usedeqsales NEW - $1,506.13 0 Jun/20/13 May/01/15
Description: Horiba STEC LF-A30M-A-EVD Liquid Mass FLow Meter AMAT 3030-12126 New
athomemarket NEW - $86.99 0 Jun/21/13 Jul/21/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $616.99 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $299.99 0 Jun/21/13 Jul/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
sellyoursurplus NEW - $95.00 0 Jun/20/13 Jun/30/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $495.00 0 Jun/20/13 Jun/30/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $250.00 0 Jun/20/13 Jun/30/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
svcstore NEW - $499.99 0 Jun/20/13 Jun/23/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sellyoursurplus NEW - $89.00 0 Jun/20/13 Jun/30/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $250.00 0 Jun/20/13 Jun/30/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Jun/20/13 Jun/30/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $125.00 0 Jun/20/13 Jun/30/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
bring_recycling NEW - $3.99 0 Jun/20/13 Jun/27/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
athomemarket NEW - $916.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $111.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $314.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
supertechshop NEW - $299.00 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $499.00 0 Jun/21/13 Jul/18/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $1,150.00 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
athomemarket NEW - $1,008.99 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $55.99 3 Jun/21/13 Jul/18/13
Description: NEW Nupro 6LV-D1V333P-AA Valve Assembly AMAT 0050-37464
athomemarket NEW - $49.99 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $49.99 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $499.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $81.99 0 Jun/22/13 Jul/22/13
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $92.99 0 Jun/22/13 Jul/22/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $112.99 0 Jun/22/13 Jul/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $234.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 Jun/22/13 Jul/22/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $1,699.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $749.99 0 Jun/22/13 Jul/22/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $305.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $92.99 0 Jun/22/13 Jul/22/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $29.99 0 Jun/22/13 Jul/22/13
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $1,314.99 0 Jun/22/13 Jul/22/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $134.99 0 Jun/22/13 Jul/22/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $328.99 0 Jun/22/13 Jul/22/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $219.99 0 Jun/22/13 Jul/22/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
svcstore NEW - $7.99 0 Jun/22/13 Jun/25/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $312.99 0 Jun/23/13 Jul/23/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
svcstore NEW - $499.99 0 Jun/23/13 Jun/26/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $8,501.99 0 Jun/24/13 Jul/24/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $69.99 0 Jun/24/13 Jul/24/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,333.99 0 Jun/24/13 Jul/24/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $99.99 0 Jun/24/13 Jul/24/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $79.99 0 Jun/24/13 Jul/24/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
supertechshop NEW - $1,250.00 0 Jun/24/13 Jul/24/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
supertechshop NEW - $49.00 0 Jun/24/13 Jul/24/13
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $24.99 0 Jun/24/13 Jul/24/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $475.00 0 Jun/24/13 Jul/24/13
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
athomemarket NEW - $199.99 1 Jun/25/13 Jul/25/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $392.99 0 Jun/25/13 Jul/25/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
usedeqsales NEW - $306.13 1 Jun/25/13 Apr/07/14
Description: IDEC Corporation PS5R-SG24 Power Supply AMAT 1140-00705 Lot of 2 New
athomemarket NEW - $119.99 0 Jun/25/13 Jul/25/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $44.99 0 Jun/25/13 Jul/25/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $305.99 0 Jun/25/13 Jul/25/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $199.99 0 Jun/25/13 Jul/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $112.99 0 Jun/26/13 Jul/26/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $69.99 0 Jun/26/13 Jul/26/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
svcstore NEW - $499.99 0 Jun/26/13 Jun/29/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
bring_recycling NEW - $3.99 0 Jun/27/13 Jul/04/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
usedeqsales NEW - $906.13 0 Jun/28/13 Dec/04/14
Description: AMAT Applied Materials 0620-04248 RF Cable 79 Ft. 2MHz New
athomemarket NEW - $175.99 0 Jun/28/13 Jul/28/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $179.99 0 Jun/28/13 Jul/28/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore NEW - $6.99 0 Jun/28/13 Jul/01/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $1,199.99 0 Jun/29/13 Jul/29/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Jun/29/13 Jul/29/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
athomemarket NEW - $933.99 0 Jun/30/13 Jul/30/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $499.99 0 Jun/30/13 Jul/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $5,899.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 Jul/01/13 Jul/31/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $5,899.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $4,199.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,799.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $1,654.99 0 Jul/01/13 Jul/31/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
trees_for_a_better_tomorrow NEW - $250.00 0 Jul/01/13 Jul/08/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
systasemi NEW - $900.00 0 Jul/01/13 Jul/31/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
trees_for_a_better_tomorrow NEW - $500.00 0 Jul/01/13 Jul/08/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
dvkelectronics NEW - $425.00 0 Jul/01/13 Jul/31/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
svcstore NEW - $6.99 0 Jul/01/13 Jul/04/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
surplusssam NEW - $199.99 1 Jul/02/13 Nov/12/15
Description: NEW AMAT APPLIED MATERIALS 0020-63185 BLOCKER PLATE UNIFORM FLOW 0.2"
farmoninc NEW - $200.00 1 Jul/02/13 Sep/16/20
Description: AMAT 3690-02281 100 new silver plated SST Soc Hd Cap Screw, 1/4-20 x 1-1/4
usedeqsales NEW - $507.13 0 Jul/02/13 May/04/15
Description: AMAT Applied Materials 0620-02789 EVC Cable New
athomemarket NEW - $4,949.99 0 Jul/02/13 Aug/01/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $1,619.99 0 Jul/02/13 Aug/01/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
supertechshop NEW - $199.00 0 Jul/02/13 Aug/01/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $99.99 0 Jul/02/13 Aug/01/13
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
usedeqsales NEW - $307.13 0 Jul/03/13 May/05/15
Description: Turck RSCV WKCV 5722-1.8M/CS12230 Cable AMAT 0620-05033 Lot of 6 New
usedeqsales NEW - $367.13 0 Jul/03/13 May/05/15
Description: Turck WSC RKC 572-1.5M/C1126 Cable AMAT 0620-02369 Lot of 12 New
usedeqsales NEW - $307.13 0 Jul/03/13 May/05/15
Description: Turck RSC RKC 5711-12M/C1126 Cable AMAT 0620-03589 Lot of 6 New
usedeqsales NEW - $307.13 0 Jul/03/13 May/05/15
Description: Turck RKM RKC 5711-3M Cable AMAT 0620-03164 Lot of 6 New
neilan1987 NEW - $149.99 0 Jul/03/13 Jul/10/13
Description: GRANVILLE-PHLLIPS Convectron Gauge 275592 AMAT 3310-00313 NEW
athomemarket NEW - $1,529.99 0 Jul/03/13 Aug/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $426.59 0 Jul/03/13 Aug/02/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
svcstore NEW - $499.99 0 Jul/03/13 Jul/06/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
neilan1987 NEW - $349.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 NEW - $349.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
athomemarket NEW - $70.19 0 Jul/04/13 Aug/03/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $450.89 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $89.99 0 Jul/04/13 Aug/03/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $68.39 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $332.09 0 Jul/04/13 Aug/03/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $266.39 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $7,593.29 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $332.99 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $211.49 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $681.29 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $346.49 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $359.99 0 Jul/04/13 Aug/03/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $267.29 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $265.49 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $94.49 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
bring_recycling NEW - $3.99 0 Jul/04/13 Jul/11/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $6.99 0 Jul/04/13 Jul/07/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $307.13 0 Jul/05/13 Sep/04/13
Description: Ergotron 45-246-026 LX Wall Mount Keyboard Arm AMAT 3480-00827 New
neilan1987 NEW - $79.99 0 Jul/17/13 Jul/24/13
Description: GRANVILLE-PHLLIPS Convectron Gauge 275592 AMAT 3310-00313 NEW
surplusssam NEW - $19.99 1 Jul/05/13 Nov/19/13
Description: NEW LAM RESEARCH 772-090393-250 FLOW RESTRICTOR 250 SCCM SST 1/4" VCR MALE ADAPT
surplusssam NEW - $179.99 0 Jul/05/13 Jul/15/13
Description: NEW APPLIED MATERIALS 0150-21348 AMAT DI/0 SIGNAL CABLE GEN RACK 1-2 K-TEC ELEC
athomemarket NEW - $305.99 0 Jul/05/13 Aug/04/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $85.49 0 Jul/05/13 Aug/04/13
Description: 12 NEW Osram AMAT 0190-35602 750W Halogen Optic Lamps
athomemarket NEW - $413.99 0 Jul/05/13 Aug/04/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
supertechshop NEW - $995.00 0 Jul/05/13 Aug/04/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Jul/05/13 Aug/04/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $399.00 0 Jul/05/13 Aug/04/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $699.00 0 Jul/05/13 Aug/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $49.99 0 Jul/05/13 Aug/04/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $399.00 0 Jul/05/13 Aug/04/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
athomemarket NEW - $372.59 0 Jul/06/13 Aug/05/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $788.04 0 Jul/06/13 Aug/05/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $394.19 0 Jul/06/13 Aug/05/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $1,803.59 0 Jul/06/13 Aug/05/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $126.89 0 Jul/06/13 Aug/05/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $11,749.50 0 Jul/06/13 Aug/05/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $2,381.39 0 Jul/06/13 Aug/05/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket Used - $1,079.99 0 Jul/06/13 Aug/05/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,079.99 0 Jul/06/13 Aug/05/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
svcstore NEW - $499.99 0 Jul/06/13 Jul/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $6.99 0 Jul/07/13 Jul/10/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $863.09 0 Jul/08/13 Aug/07/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $80.99 0 Jul/08/13 Aug/07/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $48.59 0 Jul/08/13 Aug/07/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $60.29 0 Jul/08/13 Aug/07/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $170.09 0 Jul/08/13 Aug/07/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $629.99 0 Jul/08/13 Aug/07/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $16.19 1 Jul/08/13 Aug/07/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
athomemarket NEW - $35.99 0 Jul/08/13 Aug/07/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $89.99 0 Jul/18/13 Aug/17/13
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
neilan1987 NEW - $299.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 NEW - $299.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
athomemarket NEW - $227.69 0 Jul/17/13 Aug/16/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $5,579.99 0 Jul/17/13 Aug/16/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $89.99 0 Jul/17/13 Aug/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
surplusssam NEW - $179.99 0 Jul/17/13 Jul/27/13
Description: NEW APPLIED MATERIALS 0150-21348 AMAT DI/0 SIGNAL CABLE GEN RACK 1-2 K-TEC ELEC
athomemarket NEW - $191.69 0 Jul/16/13 Aug/15/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $146.69 0 Jul/16/13 Aug/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
neilan1987 NEW - $379.99 0 Jul/16/13 Jul/23/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
svcstore NEW - $499.99 0 Jul/15/13 Jul/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $299.00 0 Jul/15/13 Aug/14/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Jul/15/13 Aug/14/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Jul/15/13 Aug/14/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop Used - $149.00 0 Jul/15/13 Aug/14/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $199.00 0 Jul/15/13 Aug/14/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
athomemarket NEW - $82.79 0 Jul/18/13 Aug/06/13
Description: NEW Osram 111566 Fused 750W Quartz Tungsten Lamp/Bulb AMAT 0010-06957 Oxide PVD
athomemarket NEW - $171.89 0 Jul/18/13 Aug/17/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $230.39 0 Jul/17/13 Aug/16/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $1,999.79 0 Jul/17/13 Aug/16/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
usedeqsales NEW - $257.13 0 Jul/17/13 Nov/17/19
Description: Sanyo Denki PV1A030SGDNP50 Servo Amplifier AMAT 0870-01082 New
surplusssam NEW - $14.99 0 Jul/17/13 Jul/24/13
Description: NEW LAM RESEARCH 715-012025-001 1"ID SPACER O-RING IN CLEAN ROOM READY BAG
athomemarket NEW - $193.49 0 Jul/14/13 Aug/13/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,277.99 1 Jul/12/13 Aug/11/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
mattron747 NEW - $750.00 0 Jul/11/13 Aug/10/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
prism_electronics6 NEW - $499.99 3 Jul/11/13 Apr/12/18
Description: NEW Lam Research 235mm Ceramic Cylinder, 15-127072-01
prism_electronics6 NEW - $231.99 0 Jul/11/13 Jul/27/22
Description: NEW Lam Research 260mm Ceramic PL, BACKING ESC 10 PIN, 15-123571-00
prism_electronics6 NEW - $299.99 0 Jul/11/13 Aug/02/22
Description: NEW Lam Research, RING, GND EXT, BOLTED, R PAD, 200M, 715-031346-100
athomemarket NEW - $140.39 0 Jul/18/13 Aug/17/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $95.39 0 Jul/18/13 Aug/17/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
svcstore NEW - $6.99 0 Jul/17/13 Jul/20/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
farmoninc NEW - $350.00 1 Jul/10/13 Jul/29/14
Description: New Novellus 19-169467-01 Valve position sensor Modified cable assy w PCB
supertechshop NEW - $74.99 0 Jul/10/13 Aug/09/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
athomemarket NEW - $6,299.99 0 Jul/09/13 Aug/08/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
bring_recycling NEW - $3.99 0 Jul/18/13 Jul/25/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $499.99 0 Jul/18/13 Jul/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
fa-parts NEW - $1,349.99 0 Jul/19/13 Jun/02/16
Description: AMAT APPLIED MATERIALS POWER SUPPLY BOARD ASSY NO. 0100-90015 O120-90521 NEW
capitolareatech Refurbished - $1,275.00 0 Jul/19/13 Mar/10/14
Description: AMAT 0040-93313 OVEN SOURCE- Looks new
athomemarket NEW - $95.39 0 Jul/19/13 Aug/18/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 Jul/19/13 Aug/18/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
farmoninc NEW - $50.00 0 Jul/20/13 Jul/12/18
Description: 2 New, AMAT 0040-77517
farmoninc NEW - $100.00 0 Jul/20/13 May/04/23
Description: 2 New, AMAT 0050-00004 tube weldment remote final line
farmoninc NEW - $200.00 0 Jul/20/13 Feb/20/14
Description: 2 New , AMAT 0150-76155 cable ASSY. exhaust switch liquid inject
farmoninc NEW - $50.00 1 Jul/19/13 Jul/23/13
Description: 2 New AMAT 0150-09688 MFC Cable Assy Ribbon H20 VDSII
athomemarket NEW - $44.99 0 Jul/19/13 Aug/18/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $629.99 0 Jul/19/13 Aug/18/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $71.99 0 Jul/19/13 Aug/18/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $825.29 0 Jul/19/13 Aug/18/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $38.69 0 Jul/19/13 Aug/18/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $95.39 0 Jul/19/13 Aug/18/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $47.69 0 Jul/19/13 Aug/18/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
riocor NEW - $149.00 0 Jul/20/13 Jan/31/14
Description: New LAM RESEARCH HOT EDGE SILICON RING P/N 716-072576-310 Rev B Etcher
riocor NEW - $149.00 0 Jul/20/13 Jan/31/14
Description: New LAM RESEARCH HOT EDGE SILICON RING P/N 716-040738-470 Rev A Etcher
riocor NEW - $149.00 0 Jul/20/13 Jan/31/14
Description: New LAM RESEARCH HOT EDGE SILICON RING P/N 716-023013-031 Rev A Etcher
riocor NEW - $129.00 0 Jul/20/13 Feb/26/14
Description: New LAM Cable Harness 853-003396-005 RF generator Water controller Interface
athomemarket NEW - $283.49 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Refurbished - $159.29 0 Jul/20/13 Aug/19/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
athomemarket NEW - $26.99 0 Jul/20/13 Aug/19/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $825.29 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $100.79 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $68.39 0 Jul/20/13 Aug/19/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
svcstore NEW - $6.99 0 Jul/20/13 Jul/23/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
supertechshop NEW - $49.99 0 Jul/20/13 Aug/19/13
Description: NEW AMAT 0190-31284 Lamp 2KW Ushio J1H 120V 2000W Bulb Finned Base / Avail QTY
supertechshop NEW - $249.00 0 Jul/20/13 Aug/19/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $499.00 0 Jul/20/13 Aug/19/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $899.95 0 Jul/20/13 Aug/19/13
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
j316gallery NEW - $328.36 0 Jul/21/13 Jan/03/22
Description: 112 APPLIED MATERIALS MAX EMISSOMETER CALI WAFER-HIGH EMISSITI NEW 0190-14999
bbs_express NEW - $700.00 1 Jul/21/13 Jan/28/16
Description: LAM RESEARCH -- 716-330122-002 TOP CERAMIC PLATE -- NEW SEALED
athomemarket NEW - $78.29 0 Jul/21/13 Aug/20/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $555.29 0 Jul/21/13 Aug/20/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $269.99 0 Jul/21/13 Aug/20/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $44.99 0 Jul/21/13 Aug/20/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $44.99 0 Jul/21/13 Aug/20/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $908.09 0 Jul/21/13 Aug/20/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
usedeqsales NEW - $607.13 1 Jul/22/13 May/04/15
Description: Nordson 1075689A Phase Control Board AMAT 0190-34285 New
usedeqsales NEW - $307.13 1 Jul/22/13 Nov/25/13
Description: Oriental Motor ASM98AA Stepping Motor Vexta AMAT 1080-01088 Lot of 2 New
farmoninc NEW - $250.00 1 Jul/22/13 Apr/29/14
Description: new AMAT 0040-21985 bracket anti-lift 8in 9mm HTESC
farmoninc NEW - $1,100.00 0 Jul/22/13 Jan/03/18
Description: 11 new AMAT 0240-27291 kit, HW for dura TTN adapter
usedeqsales NEW - $453.57 1 Jul/22/13 Nov/10/13
Description: MKS Instruments E28B-29565 Baratron Etch Manometer 0.1 Torr AMAT 1350-00200 New
athomemarket NEW - $449.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $73.79 0 Jul/22/13 Aug/21/13
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $83.69 0 Jul/22/13 Aug/21/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
farmoninc NEW - $150.00 0 Jul/22/13 Dec/11/14
Description: New AMAT 0242-01277 welcome to the sprmm system
athomemarket NEW - $101.69 0 Jul/22/13 Aug/21/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $211.49 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $89.99 0 Jul/22/13 Aug/21/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $1,619.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,529.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $674.99 0 Jul/22/13 Aug/21/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
farmoninc NEW - $600.00 0 Jul/22/13 Mar/25/20
Description: new AMAT 0240-03881 KIT mounting chiller lines POS2
athomemarket NEW - $275.39 0 Jul/22/13 Aug/21/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $26.99 4 Jul/22/13 Aug/12/13
Description: New Watlow Insulated Elbow Silicone Rubber AMAT 3420-01082
athomemarket NEW - $1,183.49 0 Jul/22/13 Aug/21/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $121.49 0 Jul/22/13 Aug/21/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $83.69 0 Jul/22/13 Aug/21/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
usedeqsales NEW - $1,207.13 0 Jul/22/13 Dec/04/14
Description: Nova 210-48000-01 Control Unit NovaScan 840 AMAT 0190-77284 New
athomemarket NEW - $197.99 0 Jul/22/13 Aug/21/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $296.09 0 Jul/22/13 Aug/21/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
svcstore NEW - $499.99 0 Jul/22/13 Jul/25/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $281.69 0 Jul/23/13 Aug/22/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
usedeqsales NEW - $453.57 1 Jul/23/13 Dec/09/13
Description: VAT 0750X-UE24-AAL7 Slit Valve AMAT 0520-00037 New
usedeqsales NEW - $359.12 6 Jul/23/13 Jan/27/14
Description: MKS Instruments 722A13TCE2FA Pressure Transducer AMAT 1350-01319 New
svcstore NEW - $6.99 0 Jul/23/13 Jul/26/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
neilan1987 NEW - $349.99 0 Jul/23/13 Jul/30/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
athomemarket NEW - $71.99 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
athomemarket NEW - $7,651.79 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $62.99 0 Jul/24/13 Aug/23/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,200.59 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $89.99 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
supertechshop NEW - $299.00 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $1,150.00 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Jul/24/13 Aug/23/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
neilan1987 NEW - $79.99 1 Jul/24/13 Jul/31/13
Description: GRANVILLE-PHLLIPS Convectron Gauge 275592 AMAT 3310-00313 NEW
farmoninc NEW - $50.00 1 Jul/25/13 Apr/08/14
Description: New AMAT 0090-77233 harness megasonic door/close switch
farmoninc NEW - $150.00 0 Jul/25/13 May/18/23
Description: 3 new AMAT 0140-21288 harness assy. water leak detector, gen. rack
farmoninc NEW - $450.00 0 Jul/25/13 May/18/23
Description: 3 new AMAT 0150-39342 cable assy, water leak detector, sensor
athomemarket NEW - $353.69 0 Jul/25/13 Aug/24/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $40.49 0 Jul/25/13 Aug/24/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $275.39 0 Jul/25/13 Aug/24/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $107.99 0 Jul/25/13 Aug/24/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
supertechshop NEW - $49.00 0 Jul/25/13 Aug/24/13
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $24.99 0 Jul/25/13 Aug/24/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
athomemarket NEW - $179.99 0 Jul/25/13 Aug/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
supertechshop NEW - $475.00 0 Jul/25/13 Aug/24/13
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
svcstore NEW - $499.99 0 Jul/25/13 Jul/28/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
farmoninc NEW - $300.00 0 Jul/25/13 Nov/05/14
Description: new AMAT 1350-00060 XDCR press flow-through, swagelok PTU-F-NC100-34Al
farmoninc NEW - $50.00 0 Jul/25/13 May/18/23
Description: new AMAT 0150-76400 spot K TEC electronics cable
neilan1987 NEW - $279.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 NEW - $279.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
bring_recycling NEW - $3.99 0 Jul/25/13 Aug/01/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
farmoninc NEW - $5.00 0 Jul/26/13 May/18/23
Description: 2 NEW AMAT 3880-01115 washer flat #6 .312OD x .142ID x .024THK SST
farmoninc NEW - $40.00 1 Jul/26/13 Oct/07/20
Description: 6 new AMAT 3090-01105 bolt 12pt HD 1/4-28x 7/8 304/316sst silver plated
farmoninc NEW - $20.00 0 Jul/26/13 May/18/23
Description: new AMAT 0150-09334 cable mag to AK 32" GND
farmoninc NEW - $50.00 0 Jul/26/13 May/18/23
Description: new AMAT 0020-02314 Bracket 2, 0.25" gasline
farmoninc NEW - $450.00 0 Jul/26/13 May/18/23
Description: new AMAT 0100-90703 PWBA T.E.M. signal protection
farmoninc NEW - $1,000.00 0 Jul/26/13 May/18/23
Description: new AMAT 0150-36144 C/A lamp driver PCB interface
farmoninc NEW - $300.00 0 Jul/26/13 Oct/23/14
Description: 12 new AMAT 0020-18899 washer, nozzle, ULTIMA HDPCVD
farmoninc NEW - $100.00 0 Jul/26/13 Apr/03/14
Description: 13 new AMAT 0270-00275 dummy lift pins
farmoninc NEW - $600.00 0 Jul/26/13 May/18/23
Description: 5 new AMAT 0150-00595 -spot KTEC electronics, cable assy. cell B INTLINKS
farmoninc NEW - $50.00 0 Jul/26/13 Apr/14/14
Description: 3 new AMAT 0020-12752 nut, thumb, block, clean, gas feed
farmoninc NEW - $450.00 0 Jul/26/13 May/18/23
Description: 6 new AMAT 0020-18514 wedge, clean port, HDPCVD, ULTIMA
farmoninc NEW - $50.00 0 Jul/26/13 May/18/23
Description: 4 new AMAT 3300-91126 FTG, elbow M5X6MM OD tube
usedeqsales NEW - $2,007.13 0 Jul/26/13 Dec/04/14
Description: Applied Materials 0040-64000 Next Generation Cathode 300mm Emax Bulkhead New
farmoninc NEW - $150.00 0 Jul/26/13 May/18/23
Description: new AMAT 0140-01504 harness assy. AC Power Booster Pump CONDU
farmoninc NEW - $150.00 0 Jul/26/13 Apr/06/18
Description: new AMAT 0090-00740 assy. lamp tower W/ 4 light 24V R-C-G-B
farmoninc NEW - $900.00 0 Jul/26/13 May/18/23
Description: 3 new AMAT 0150-36834 cable assy. heater DRV. control
farmoninc NEW - $50.00 0 Jul/26/13 May/18/23
Description: 2 new AMAT 0020-18978 DIN rail 2.76 in contractor AUX AC produc.
farmoninc NEW - $80.00 0 Jul/26/13 May/18/23
Description: 2 new AMAT 1400-01338 cover for leak cap sensor, LAM 13-8885-46
farmoninc NEW - $1,500.00 0 Jul/26/13 May/18/23
Description: 9 new AMAT 0500-01138 assy. flow sensor W/Protector, 1/4F, 12-8885-245
farmoninc Used - $200.00 0 Jul/26/13 May/18/23
Description: 2 new AMAT 0040-91176 contact
athomemarket NEW - $101.69 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $62.99 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $179.99 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
hot!cardz NEW - $11,500.00 0 Jul/26/13 Aug/05/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $16,000.00 0 Jul/28/13 Jul/29/13
Description: AMAT 0040-32905 ESC / Pedestal / echuck Applied Materials * OEM * New In Box
svcstore NEW - $499.99 0 Jul/28/13 Jul/31/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $103.49 0 Jul/29/13 Aug/28/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
athomemarket NEW - $161.99 0 Jul/28/13 Aug/27/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $158.39 0 Jul/28/13 Aug/27/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
tdindustrial Used - $249.50 1 Jul/29/13 Aug/15/14
Description: Nor-Cal Pneumatic Valve LAM 853-032908-001 New
athomemarket NEW - $1,079.99 0 Jul/29/13 Aug/28/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
odysseystudios NEW - $3,900.00 0 Jul/30/13 Nov/17/15
Description: NEW Applied Materials 0240-52896 Rev 1 Kit, L-Door DRPII, Endura 2 SWLL
odysseystudios NEW - $3,900.00 0 Jul/30/13 Nov/17/21
Description: NEW Applied Materials 0240-52897 Rev 2 Kit Indexer DRPII Endura 2 SWLL Warranty
odysseystudios NEW - $2,900.00 0 Jul/30/13 Sep/12/17
Description: NEW Applied Materials 0010-28976 Rev 2 Lid swlla center diffuser w/purg
usedeqsales NEW - $153.57 0 Jul/30/13 Oct/29/13
Description: AMAT Applied Materials 3070-00014 Bellow Flex Coupling 2FC-NW-50-3 Lot of 5 New
surplusssam Used - $189.99 0 Jul/30/13 Aug/05/21
Description: NEW APPLIED MATERIALS 0150-21348 AMAT DI/0 SIGNAL CABLE GEN RACK 1-2 K-TEC ELEC
getsurplusherenow NEW - $975.00 1 Jul/30/13 Nov/17/15
Description: Applied Materials; ASSY PCB DIGITAL I/O DIO BD P/N: 0100-01321 *NEW*
farmoninc NEW - $190.00 0 Jul/30/13 May/18/23
Description: 2 new AMAT 0150-13149 harness assy. operator select switch, VDI
farmoninc NEW - $270.00 0 Jul/30/13 May/18/23
Description: 4 new AMAT 0140-21869 harness assy. EMO INTCON WAFER LDR ECP
athomemarket NEW - $840.59 0 Jul/30/13 Aug/29/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
farmoninc NEW - $60.00 0 Jul/30/13 May/18/23
Description: 3 new AMAT 3220-00052 CPLG SHAFT FLEX 6MM BORE 16MMOD X 17.4MM
farmoninc NEW - $380.00 0 Jul/30/13 May/18/23
Description: 4 new AMAT 0010-09319 PURGE hose chamber lid
farmoninc NEW - $150.00 1 Jul/30/13 Jun/24/15
Description: 2 new AMAT 0150-77285 KTEC cable
farmoninc NEW - $90.00 0 Jul/30/13 May/18/23
Description: 7 new AMAT 0020-31651 centering pin
farmoninc NEW - $90.00 0 Jul/30/13 May/18/23
Description: 10 new AMAT 0021-08731 flat spray d1 water nozzle 35 deg, ebr 2
farmoninc Used - $250.00 0 Jul/30/13 May/18/23
Description: 2 NEW AMAT 0150-02338 cable assy. mainframe pneumatics
farmoninc Used - $1,250.00 0 Jul/30/13 May/18/23
Description: 5 new AMAT 0021-10771 shield, outer coil side
odysseystudios NEW - $900.00 1 Jul/30/13 Nov/14/13
Description: NEW Applied Materials 0040-55456 Bell Jar, 300mm, PC XT/XTE w/ 60 day warranty
odysseystudios NEW - $900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0040-39587 Lower Shield, 300mm, PC XT/XTE with Warranty
odysseystudios NEW - $900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0020-92461 Inner Shield, 300mm PC XT/XTE 30 day warranty
odysseystudios NEW - $900.00 0 Jul/30/13 Mar/20/20
Description: NEW Applied Materials 0040-08492 Gas Distribution Plate with 60 day warranty
odysseystudios NEW - $450.00 1 Jul/30/13 May/03/21
Description: NEW Applied Materials 0200-03346 Rev 6 Ceramic Deposition Ring +60 day warranty
odysseystudios NEW - $450.00 1 Jul/30/13 May/07/19
Description: NEW Applied Materials 0040-07291 Rev 8 Pedestal Ring Dep with 60 day warranty
odysseystudios NEW - $900.00 1 Jul/30/13 Mar/22/17
Description: NEW Applied Materials 0200-01903 Rev 5 Insulator Pedestal Quartz w/ Warranty
odysseystudios NEW - $4,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-43051 Rev 1 Kit, SWLL Purge Gaslines and EQ to ATM
odysseystudios NEW - $3,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-45500 Rev 1 Kit, Vent/Vac DRPII, Endura 2 SWLL
odysseystudios NEW - $3,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-45497 Rev 1 Kit, DRPII SWLL Hoop Endura 2 + Warranty
odysseystudios NEW - $2,900.00 0 Jul/30/13 Sep/12/17
Description: NEW Applied Materials 0010-28977 Rev 2 Lid swllb center diffuser with purg
farmoninc NEW - $250.00 0 Jul/31/13 May/18/23
Description: 50 new AMAT 0630-01229 cap fix 3000V 0.1MF 20% LS .375 CERAMI
usedeqsales NEW - $707.13 1 Jul/31/13 Feb/26/14
Description: MKS Instruments FRCA-26434 Delta Flow Rate Controller AMAT 3030-14377 New
usedeqsales NEW - $507.13 1 Jul/31/13 Aug/05/13
Description: Horiba STEC SEC-Z524MGXN Digital Mass Flow Device AMAT 0190-34118 New
farmoninc NEW - $500.00 0 Jul/31/13 May/18/23
Description: 5 new AMAT 0021-20474 rest point
athomemarket NEW - $3,779.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,219.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,309.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,309.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $457.19 0 Jul/31/13 Aug/30/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
farmoninc NEW - $150.00 0 Jul/31/13 Sep/14/15
Description: 2 new AMAT 3700-02079 ORING ID 2.050 CSD .103 VITON 75DURO BRN
athomemarket NEW - $1,489.49 0 Jul/31/13 Aug/30/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
farmoninc NEW - $350.00 0 Jul/31/13 May/18/23
Description: 4 new AMAT 3700-01401 ORING, O-RING
farmoninc NEW - $50.00 0 Jul/31/13 May/18/23
Description: 6 new AMAT 3880-01780 WSHR FLAT #3/4 1.505OD x .590ID x .062T
farmoninc NEW - $120.00 0 Jul/31/13 May/18/23
Description: 2 new AMAT 3700-01640 ORING ID 1.600 CSD .210 BRN
svcstore NEW - $499.99 0 Jul/31/13 Aug/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $199.00 0 Jul/31/13 Aug/30/13
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
systasemi NEW - $900.00 0 Jul/31/13 Aug/30/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
dvkelectronics NEW - $425.00 0 Jul/31/13 Aug/30/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
usedeqsales NEW - $408.13 4 Aug/01/13 May/05/15
Description: SMC SS5V1-DUO02308 Pneumatic Manifold AMAT 0190-38052 New Surplus
usedeqsales NEW - $458.13 0 Aug/01/13 Dec/04/14
Description: AMAT Applied Materials 0020-40569 Conversion Plate Robot New
usedeqsales NEW - $408.13 0 Aug/01/13 May/05/15
Description: SMC VV5Q11-08-DAM01086 Pneumatic Manifold AMAT 0190-32494 New
farmoninc NEW - $450.00 0 Aug/01/13 May/07/18
Description: 10 new AMAT 0020-55631 ORING
farmoninc NEW - $855.00 0 Aug/01/13 May/07/18
Description: 5 new AMAT 3700-01486 O RING ID 3.8859 WHT TFE 2-241
farmoninc NEW - $450.00 0 Aug/01/13 May/07/18
Description: 2 new AMAT 0150-18072 cable assy, side, ETO RF GEN CONTROL, CHAM
farmoninc NEW - $150.00 0 Aug/01/13 May/07/18
Description: 2 new AMAT 3700-01318 ORING ID 10.975 CSD .210 VITON 75 DURO B
farmoninc NEW - $350.00 0 Aug/01/13 Mar/29/16
Description: 2 new AMAT 3700-01440 ORING ID .799 CSD .103 KALREZ 4079 75DUR
farmoninc NEW - $750.00 0 Aug/01/13 May/18/23
Description: 13 new AMAT 0020-55635 O-RING
farmoninc NEW - $550.00 0 Aug/01/13 May/18/23
Description: 11 new AMAT 0030-00196 face seal 200mm
usedeqsales NEW - $408.13 0 Aug/01/13 May/05/15
Description: SMC VV5Q11-13-DAN00058 Pneumatic Mainfold AMAT 0190-33070 New
usedeqsales NEW - $229.07 1 Aug/01/13 Dec/19/13
Description: B&B Electronics 232LPTTL RS-232 To TTL Converter AMAT 0730-00138 Lot of 23 New
usedeqsales NEW - $308.13 1 Aug/01/13 Sep/09/13
Description: Sanyo Denki PMDPC1C3PA0 PM Driver AMAT 1080-00202 New
athomemarket NEW - $4,949.99 0 Aug/01/13 Aug/31/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
farmoninc NEW - $100.00 0 Aug/01/13 May/18/23
Description: 2 new AMAT 3700-02433 ORING, O-RING compound V0747
supertechshop NEW - $199.00 0 Aug/01/13 Aug/31/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
svcstore NEW - $5.99 0 Aug/01/13 Aug/04/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
bring_recycling NEW - $3.99 0 Aug/01/13 Aug/08/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
usedeqsales NEW - $358.13 0 Aug/02/13 May/05/15
Description: AMAT Applied Materials 0270-01156 Cal Tool Heater-To-Robot 300mm ESC New
surplusssam NEW - $49.99 1 Aug/02/13 Jan/13/14
Description: 6 NEW MEC TECH MEC81105-209L TRAY SUPPORT AMAT# 1120-01179
usedeqsales NEW - $2,008.13 0 Aug/02/13 May/01/15
Description: VAT 0530X-LA24-ABZ1 Insert L-VAT Transfer Valve AMAT 0246-03769 New
usedeqsales NEW - $3,508.13 0 Aug/02/13 May/01/15
Description: AMAT Applied Materials 0010-47411 3/4" Hose Assembly 75' Sup/Ret High Temp New
usedeqsales NEW - $458.13 0 Aug/02/13 May/05/15
Description: AMAT Applied Materials 0150-19999 ASTRONex Power 2 Cable Assembly New
athomemarket NEW - $1,529.99 0 Aug/02/13 Sep/01/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,619.99 0 Aug/02/13 Sep/01/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
hamptownstuff NEW - $209.99 0 Aug/02/13 Oct/31/13
Description: NEW Lam Research 810-006490-005 PCBA Esc Filter Bicep
hamptownstuff NEW - $209.99 0 Aug/02/13 Oct/31/13
Description: NEW Lam Research 716-018468-092 KIT Lower Exelan NIB
hamptownstuff NEW - $279.99 0 Aug/02/13 Oct/31/13
Description: NEW Lam Research 839-044157-031 Assy Assembly NIB
athomemarket NEW - $426.59 0 Aug/02/13 Sep/01/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
usedeqsales NEW - $2,008.13 1 Aug/02/13 Jun/13/14
Description: ASTeX AX7610RPN-Q Downstream Plasma Source AMAT 0190-25808 New
neilan1987 NEW - $299.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
neilan1987 NEW - $199.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 NEW - $199.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
helixtek NEW - $400.00 0 Aug/03/13 Sep/02/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
farmoninc NEW - $100.00 0 Aug/03/13 May/18/23
Description: 2 new AMAT 3700-01640 ORING ID 1.600 CSD .210 BRN
farmoninc NEW - $100.00 0 Aug/03/13 May/18/23
Description: 2 new AMAT 0021-12677 Brackets
farmoninc NEW - $250.00 0 Aug/03/13 May/18/23
Description: 6 new AMAT 3700-01711 greene tweed P/N 9010-sc513 0.239 ID X 0.070 CX IN
farmoninc NEW - $250.00 0 Aug/03/13 May/18/23
Description: 20 new AMAT 3700-01883 ORING ID 1.176 CSD .070 DURO
farmoninc NEW - $125.00 0 Aug/03/13 May/18/23
Description: 5 new AMAT 3700-90168 O-RING BS 223
farmoninc NEW - $200.00 0 Aug/03/13 Mar/05/24
Description: 5 new AMAT 3700-01423 ORING ID 3.109 CSD .139 V884-75 BR
athomemarket NEW - $89.99 0 Aug/03/13 Sep/02/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $68.39 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $70.19 0 Aug/03/13 Sep/02/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $681.29 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $211.49 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $332.99 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $332.09 0 Aug/03/13 Sep/02/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $267.29 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $7,593.29 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $266.39 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $346.49 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $265.49 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $94.49 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $359.99 0 Aug/03/13 Sep/02/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $450.89 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
farmoninc NEW - $100.00 0 Aug/03/13 May/18/23
Description: 2 New AMAT 0040-13221 BUS BAR
farmoninc NEW - $400.00 0 Aug/03/13 May/18/23
Description: 4 new AMAT 0021-77348 FLAG
farmoninc NEW - $100.00 0 Aug/03/13 May/18/23
Description: 6 new AMAT 3700-01329 ORING ID .299 CSD .103 75DURO BRN
farmoninc NEW - $1,600.00 0 Aug/03/13 May/18/23
Description: 8 new AMAT 0021-36518 canopy lamp test fixture
farmoninc NEW - $500.00 0 Aug/03/13 May/18/23
Description: 2 new AMAT 0140-03295 harness assy, MFAC DIST TO NSK PWR, CENT 30
svcstore NEW - $499.99 0 Aug/03/13 Aug/06/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
farmoninc NEW - $50.00 0 Aug/03/13 May/18/23
Description: 2 new AMAT 1270-00392 SW travelling limit switch
trees_for_a_better_tomorrow NEW - $250.00 0 Aug/03/13 Aug/10/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
trees_for_a_better_tomorrow NEW - $500.00 0 Aug/03/13 Aug/10/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
athomemarket NEW - $305.99 0 Aug/04/13 Sep/03/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $85.49 0 Aug/04/13 Sep/03/13
Description: 12 NEW Osram AMAT 0190-35602 750W Halogen Optic Lamps
athomemarket NEW - $413.99 0 Aug/04/13 Sep/03/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
svcstore NEW - $5.99 0 Aug/04/13 Aug/07/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
usedeqsales NEW - $308.13 1 Aug/05/13 Jun/15/14
Description: Swagelok 6LVV-PV7206P-BA Diaphragm Manifold Valve AMAT 3870-03102 New
supertechshop NEW - $99.99 0 Aug/05/13 Sep/04/13
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
usedeqsales NEW - $558.13 1 Aug/05/13 Aug/28/14
Description: Shimadzu 262-78782-22 Turbo Molecular Pump Cable EI-D3403M AMAT 3620-00508 New
hamptownstuff NEW - $299.99 0 Aug/05/13 Nov/03/13
Description: NEW Lam Research 810-099175-009 Rev B Board
hamptownstuff NEW - $299.99 0 Aug/05/13 Nov/03/13
Description: NEW Lam Research 716-800330-063 Insular Ring NIB
athomemarket NEW - $372.59 0 Aug/05/13 Sep/04/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
supertechshop NEW - $995.00 0 Aug/05/13 Sep/04/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Aug/05/13 Sep/04/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Aug/05/13 Sep/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Aug/05/13 Sep/04/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
bjnaf4 NEW - $875.60 0 Aug/05/13 Sep/04/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
farmoninc NEW - $300.00 0 Aug/05/13 May/18/23
Description: 2 new AMAT 0040-97414 Gas line pigtail, SDS BF3 fujikin U/C
athomemarket NEW - $11,749.50 0 Aug/05/13 Sep/04/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $2,381.39 0 Aug/05/13 Sep/04/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $1,803.59 0 Aug/05/13 Sep/04/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $126.89 0 Aug/05/13 Sep/04/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $394.19 0 Aug/05/13 Sep/04/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
farmoninc NEW - $300.00 0 Aug/05/13 May/18/23
Description: 2 new AMAT 0040-42843 safety housing, lid lifter, producer se
supertechshop NEW - $49.99 0 Aug/06/13 Sep/05/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $399.00 0 Aug/06/13 Sep/05/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
usedeqsales NEW - $808.13 0 Aug/06/13 May/04/15
Description: SMC US447 24 Port Manifold Valve AMAT 0190-02046 New Surplus
usedeqsales NEW - $408.13 0 Aug/06/13 May/05/15
Description: SMC US31010 Pneumatic Manifold AMAT 4060-00518 New Surplus
usedeqsales NEW - $308.13 0 Aug/06/13 May/05/15
Description: Vetra VIP-884-KMV-TS Video Switch AMAT 1090-00078 New
farmoninc NEW - $550.00 0 Aug/06/13 Apr/22/16
Description: 11 new AMAT 0020-36109 buss bar 120V DIST REM AC
athomemarket NEW - $1,079.99 0 Aug/06/13 Sep/05/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,079.99 0 Aug/06/13 Sep/05/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
svcstore NEW - $499.99 0 Aug/06/13 Aug/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
usedeqsales NEW - $1,008.13 2 Aug/07/13 Oct/12/13
Description: MKS Instruments 683B-28961 Digital Exhaust Throttle Valve AMAT 3870-06169 New
usedeqsales NEW - $908.13 1 Aug/07/13 Oct/12/13
Description: MKS Instruments 683B-26112 Digital Exhaust Throttle Valve AMAT 3870-04465 New
farmoninc NEW - $30.00 1 Aug/07/13 Oct/23/19
Description: 6 new AMAT 1010-00140 lamp incandescent 12V T-1, replacement B
visionsemi NEW - $1,350.00 0 Aug/07/13 Oct/06/13
Description: LAM RESEARCH 716-011923-001 PLATE WAFER CLAMP ATTACHMENT NEW OEM
athomemarket NEW - $863.09 0 Aug/07/13 Sep/06/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $80.99 0 Aug/07/13 Sep/06/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $48.59 0 Aug/07/13 Sep/06/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $170.09 0 Aug/07/13 Sep/06/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $629.99 0 Aug/07/13 Sep/06/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $60.29 0 Aug/07/13 Sep/06/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
farmoninc NEW - $50.00 0 Aug/07/13 Jan/23/19
Description: 2 new AMAT 0021-77267 PIN CAP
athomemarket NEW - $16.19 0 Aug/07/13 Sep/06/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
athomemarket NEW - $35.99 0 Aug/07/13 Sep/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
farmoninc NEW - $10,000.00 0 Aug/07/13 Aug/08/13
Description: 200 new AMAT 0690-01821 clip retainer spring test
svcstore NEW - $5.99 0 Aug/07/13 Aug/10/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
hot!cardz NEW - $11,500.00 0 Aug/08/13 Aug/18/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
bring_recycling NEW - $3.99 0 Aug/09/13 Aug/16/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
athomemarket NEW - $190.79 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $190.79 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
neilan1987 NEW - $279.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
athomemarket NEW - $27.89 0 Aug/09/13 Sep/08/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,299.99 0 Aug/09/13 Sep/08/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket NEW - $105.29 0 Aug/09/13 Sep/08/13
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $211.49 0 Aug/09/13 Sep/08/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $116.99 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $118.79 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $237.59 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $157.49 0 Aug/09/13 Sep/08/13
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $573.29 0 Aug/09/13 Sep/08/13
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $71.99 0 Aug/09/13 Sep/08/13
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $35.99 0 Aug/09/13 Sep/08/13
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $105.29 0 Aug/09/13 Sep/08/13
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
svcstore NEW - $499.99 0 Aug/09/13 Aug/12/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $399.99 0 Aug/09/13 Aug/12/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
neilan1987 NEW - $199.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 NEW - $199.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
farmoninc NEW - $300.00 0 Aug/10/13 Feb/02/18
Description: 2 new AMAT 0140-76885 H/A IPS driver to CB'S CH. D
farmoninc NEW - $60.00 1 Aug/10/13 Aug/09/16
Description: 3 new AMAT 0010-02228 assy N2 Blowout vent W/Silencer
athomemarket NEW - $446.39 0 Aug/10/13 Sep/09/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $166.49 0 Aug/10/13 Sep/09/13
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
farmoninc NEW - $350.00 0 Aug/10/13 Mar/11/15
Description: 7 new AMAT 0020-31242 insulation
mattron747 NEW - $750.00 0 Aug/10/13 Sep/09/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
svcstore NEW - $5.99 0 Aug/10/13 Aug/13/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
supertechshop NEW - $99.00 0 Aug/11/13 Sep/10/13
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Aug/11/13 Sep/10/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $499.00 0 Aug/11/13 Sep/10/13
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $74.99 0 Aug/11/13 Sep/10/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
athomemarket NEW - $177.29 0 Aug/11/13 Sep/10/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $1,277.99 0 Aug/11/13 Sep/10/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
farmoninc NEW - $600.00 0 Aug/12/13 Jan/23/17
Description: 2 new AMAT 0150-21927 cable control box to sw box relays
athomemarket NEW - $269.99 0 Aug/12/13 Sep/11/13
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $146.69 0 Aug/12/13 Sep/11/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $242.99 0 Aug/12/13 Sep/11/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $209.69 0 Aug/12/13 Sep/11/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $55.79 0 Aug/12/13 Sep/11/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $62.99 0 Aug/12/13 Sep/11/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $58.49 0 Aug/12/13 Sep/11/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $134.99 8 Aug/12/13 Sep/05/13
Description: NEW AMAT 0040-75247 Stainless Dual Blade Robot Ring
athomemarket NEW - $320.39 0 Aug/12/13 Sep/11/13
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $103.49 0 Aug/12/13 Sep/11/13
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $781.19 0 Aug/12/13 Sep/11/13
Description: NEW Applied AMAT 0021-09104 Cathode Lower Liner MXP
farmoninc NEW - $100.00 0 Aug/12/13 Jul/26/17
Description: 8 new AMAT 1200-01284 RLY MINI GEN PURPSF 15A DPDT DC CL
svcstore NEW - $399.99 0 Aug/12/13 Aug/15/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
farmoninc NEW - $750.00 0 Aug/12/13 Apr/19/16
Description: 2 New Novellus 15-042256-00 FINGER, FORK, CERAMIC, LOW CONTACT
testeqe NEW - $812.49 1 Aug/13/13 Aug/30/13
Description: NEW AMAT/Applied Materials PN: 0010-00070 Stepper Motor 2.25" VAC
athomemarket NEW - $124.19 0 Aug/13/13 Sep/12/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $3,329.99 0 Aug/13/13 Sep/12/13
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $137.69 0 Aug/13/13 Sep/12/13
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $3,814.19 0 Aug/13/13 Sep/12/13
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $193.49 0 Aug/13/13 Sep/12/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
svcstore NEW - $5.99 0 Aug/13/13 Aug/16/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $372.59 0 Aug/14/13 Sep/13/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $468.89 0 Aug/14/13 Sep/13/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $16.99 0 Aug/14/13 Aug/20/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
athomemarket NEW - $98.99 0 Aug/14/13 Sep/13/13
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
athomemarket NEW - $179.99 0 Aug/14/13 Sep/13/13
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
farmoninc NEW - $50.00 0 Aug/14/13 Nov/13/17
Description: 2 new AMAT 3300-02133 FTG QDISC STEM 1/2T SST SLK
farmoninc NEW - $750.00 0 Aug/14/13 Mar/06/15
Description: 3 new AMAT 0050-40928 gas line IHC DUMP 2 ULTIMA HDPCVD
farmoninc NEW - $800.00 1 Aug/14/13 Jun/08/16
Description: 2 new AMAT 0050-47365 TEE foreline for VTEX/EBARA HDPCVD ULTIMA
tdindustrial NEW - $597.00 1 Aug/15/13 Jan/17/18
Description: AMAT Cathode Liner Assembly MXP + 0010-30057 REV A, New, Sealed
usedeqsales NEW - $308.13 1 Aug/15/13 Dec/05/13
Description: AMAT Applied Materials 0040-43516 Wide Blade Nitronic 60 Insert Lot of 2 New
usedeqsales NEW - $308.13 0 Aug/15/13 May/15/14
Description: VAT 218875 Replacement Gate AMAT 3870-00661 New
farmoninc NEW - $900.00 0 Aug/15/13 Sep/17/13
Description: 6 new AMAT 3440-01037 WDO SAPPHIRE LENS 25MM DIA X 2MM THK
shadow15b NEW - $150.00 0 Aug/15/13 Jun/20/14
Description: LAM RESEARCH 713-412196-001 WDO, XPRT, ALLI / CHAMBER ROUND COVER / 2300 NEW
farmoninc NEW - $3,375.00 0 Aug/15/13 Oct/24/17
Description: 7 new AMAT 0021-35087
athomemarket NEW - $162.99 1 Aug/15/13 Aug/20/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
athomemarket NEW - $229.49 0 Aug/15/13 Sep/14/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
athomemarket NEW - $254.69 0 Aug/15/13 Sep/14/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $191.69 0 Aug/15/13 Sep/14/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
svcstore NEW - $499.99 0 Aug/15/13 Aug/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
bring_recycling NEW - $3.99 0 Aug/16/13 Aug/23/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $399.99 0 Aug/15/13 Aug/18/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $299.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $99.99 0 Aug/16/13 Sep/15/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $24.99 0 Aug/16/13 Sep/15/13
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $24.99 0 Aug/16/13 Sep/15/13
Description: NEW AMAT Bushing Flat Roller 15K/25K Ceramic 0040-74466 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $1,250.00 0 Aug/16/13 Sep/15/13
Description: NEW Allen Bradley 2098-DSD-010 Ultra-3000 Servo Drive / Indexer 1080-00150 AMAT
gesemiconductor NEW - $400.00 2 Aug/16/13 Apr/14/15
Description: New Applied Materials 0020-33808 Adapter Gas Feed DPS+ Poly
athomemarket NEW - $227.69 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $5,579.99 0 Aug/16/13 Sep/15/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $89.99 0 Aug/16/13 Sep/15/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
usedeqsales NEW - $808.13 0 Aug/14/13 Dec/04/14
Description: AMAT Applied Materials 0021-16287 Lower Narrow Neck Shield 300mm New
athomemarket NEW - $1,999.79 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $230.39 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $5,579.99 0 Aug/16/13 Sep/15/13
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $64.79 0 Aug/16/13 Sep/15/13
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
eisale1535 NEW - $799.00 2 Aug/16/13 Jan/11/17
Description: New MKS Pressure Transducer 722A11TCE2FA 10 TORR AMAT 1350-01312 EX-CONDITION
svcstore NEW - $5.99 0 Aug/16/13 Aug/19/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
neilan1987 NEW - $399.99 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
neilan1987 NEW - $279.99 0 Aug/16/13 Aug/23/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 NEW - $279.99 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
supertechshop NEW - $149.95 0 Aug/17/13 Sep/16/13
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $149.95 0 Aug/17/13 Sep/16/13
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $199.95 0 Aug/17/13 Sep/16/13
Description: NEW AMAT Inficon 0190-23497 Dual Manometer Heated Valve 3-ft 208V 40W
supertechshop NEW - $199.95 0 Aug/17/13 Sep/16/13
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
athomemarket NEW - $95.39 0 Aug/17/13 Sep/16/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $89.99 0 Aug/17/13 Sep/16/13
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
athomemarket NEW - $171.89 0 Aug/17/13 Sep/16/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $140.39 0 Aug/17/13 Sep/16/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $95.39 0 Aug/18/13 Sep/17/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 Aug/18/13 Sep/17/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $44.99 0 Aug/18/13 Sep/17/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $71.99 0 Aug/18/13 Sep/17/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $825.29 0 Aug/18/13 Sep/17/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $629.99 0 Aug/18/13 Sep/17/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $38.69 0 Aug/18/13 Sep/17/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $95.39 0 Aug/18/13 Sep/17/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $47.69 0 Aug/18/13 Sep/17/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
svcstore NEW - $499.99 0 Aug/18/13 Aug/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $399.99 0 Aug/18/13 Aug/21/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $283.49 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Refurbished - $159.29 0 Aug/19/13 Sep/18/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
athomemarket NEW - $26.99 0 Aug/19/13 Sep/18/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $825.29 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $100.79 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $68.39 0 Aug/19/13 Sep/18/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
hot!cardz NEW - $11,500.00 0 Aug/19/13 Aug/29/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
svcstore NEW - $5.99 0 Aug/19/13 Aug/22/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
athomemarket NEW - $78.29 0 Aug/20/13 Sep/19/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $555.29 0 Aug/20/13 Sep/19/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $269.99 0 Aug/20/13 Sep/19/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $44.99 0 Aug/20/13 Sep/19/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $908.09 0 Aug/20/13 Sep/19/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $44.99 0 Aug/20/13 Sep/19/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
usedeqsales NEW - $404.13 0 Aug/20/13 May/05/15
Description: MKS AS01496-03 Analog I/O Board CDN496R AMAT 0660-00812 New Sealed
cappy95 NEW - $399.99 1 Aug/21/13 Aug/22/13
Description: NEW Horiba-Stec IV-2410AV-02H Injection Valve TEOS AMAT 0190-36237 / Warranty
athomemarket NEW - $211.49 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $101.69 0 Aug/21/13 Sep/20/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $89.99 0 Aug/21/13 Sep/20/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $1,529.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,619.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $449.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $83.69 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $674.99 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $1,183.49 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $275.39 0 Aug/21/13 Sep/20/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $121.49 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $197.99 0 Aug/21/13 Sep/20/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $296.09 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $83.69 0 Aug/21/13 Sep/20/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $73.79 0 Aug/21/13 Sep/20/13
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
svcstore NEW - $499.99 0 Aug/21/13 Aug/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $249.00 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $499.00 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $49.99 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 0190-31284 Lamp 2KW Ushio J1H 120V 2000W Bulb Finned Base / Avail QTY
supertechshop NEW - $899.95 0 Aug/21/13 Sep/20/13
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
sellyoursurplus NEW - $75.00 0 Aug/21/13 Aug/28/13
Description: Lot of 20 New OEM Genuine Applied Materials AMAT Lamp Bulbs 0190-14083
sellyoursurplus NEW - $250.00 0 Aug/21/13 Aug/28/13
Description: New OEM Genuine AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $400.00 0 Aug/21/13 Aug/28/13
Description: Lot of 60 New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assem
sellyoursurplus NEW - $250.00 0 Aug/21/13 Aug/28/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
athomemarket NEW - $281.69 0 Aug/22/13 Sep/21/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
usedeqsales NEW - $603.13 1 Aug/22/13 Sep/18/13
Description: OPTO 22 SNAP-LCSX-PLUS PLC Logic Controller AMAT 0660-01840 New
farmoninc NEW - $150.00 0 Aug/22/13 May/23/18
Description: 3 new AMAT 1270-02953 SWHEAD FLUSH PUSH BUTTON RED
svcstore NEW - $399.99 0 Aug/22/13 Aug/25/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $5.99 0 Aug/22/13 Aug/25/13
Description: NEW Novellus 10-157172-00 Spare 90° Water H2O Tube Assy
bring_recycling NEW - $3.99 0 Aug/23/13 Aug/30/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
sellyoursurplus NEW - $250.00 0 Aug/23/13 Aug/30/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $495.00 0 Aug/23/13 Aug/30/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $89.00 0 Aug/23/13 Aug/30/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $295.00 0 Aug/23/13 Aug/30/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
sellyoursurplus NEW - $250.00 0 Aug/23/13 Aug/30/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $95.00 0 Aug/23/13 Aug/30/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $125.00 0 Aug/23/13 Aug/30/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $95.00 0 Aug/23/13 Aug/30/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
athomemarket NEW - $7,651.79 0 Aug/23/13 Sep/22/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $62.99 2 Aug/23/13 Sep/22/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $89.99 0 Aug/23/13 Sep/22/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $71.99 0 Aug/23/13 Sep/22/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
athomemarket NEW - $1,200.59 0 Aug/23/13 Sep/22/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
svcstore NEW - $399.99 0 Aug/28/13 Aug/31/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $24.99 0 Aug/28/13 Sep/27/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $49.00 0 Aug/28/13 Sep/27/13
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
athomemarket NEW - $1,079.99 0 Aug/28/13 Sep/27/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore NEW - $499.99 0 Aug/27/13 Aug/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
gesemiconductor NEW - $80.00 1 Aug/27/13 Mar/11/15
Description: New Applied Materials 0021-03878 Flap, Anodized, Bottom, Throttle Valve
usedeqsales NEW - $508.13 1 Aug/29/13 Oct/04/13
Description: Yaskawa SGDH-01AEYA11 Servopack Servo Drive AMAT 1080-00080 New
athomemarket NEW - $840.59 0 Aug/29/13 Sep/28/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
tdindustrial NEW - $195.00 1 Aug/29/13 Nov/07/16
Description: AMAT Part No: 0020-34111 REV B, Plate, Leveling, Heater, New
supertechshop NEW - $299.00 0 Aug/29/13 Sep/28/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $475.00 0 Aug/28/13 Sep/27/13
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
athomemarket NEW - $103.49 0 Aug/28/13 Sep/27/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
usedeqsales NEW - $508.13 1 Aug/28/13 Sep/13/13
Description: Oriental Motor C9469-041 Vexta 2-Phase Driver AMAT 1080-00786 Lot of 2 New
turkeymakesmetired-2008 NEW - $340.00 1 Aug/25/13 Jun/12/16
Description: Lam Research 799-130053-004 Parallel Communications Interface New
hot!cardz NEW - $11,500.00 0 Aug/29/13 Sep/08/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
bring_recycling NEW - $3.99 0 Aug/30/13 Sep/06/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
usedeqsales NEW - $1,208.13 0 Aug/30/13 May/01/15
Description: Brooks GF100C-923227 Thermal Mass Flow AMAT 3030-17057 5000 SCCM N2 New
usedeqsales NEW - $1,208.13 0 Aug/30/13 May/01/15
Description: Daihen XE2999A Teach Pendant AMAT 0190-72828 New
tdindustrial NEW - $31.25 0 Aug/30/13 Nov/19/21
Description: AMAT Chamber Harnesses Kit, 0240-09304, 0150-09016, 0140-09006, 0140-09004 New
athomemarket NEW - $5,219.99 0 Aug/30/13 Sep/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,309.99 0 Aug/30/13 Sep/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,309.99 0 Aug/30/13 Sep/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $457.19 0 Aug/30/13 Sep/29/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
usedeqsales NEW - $10,008.10 0 Aug/30/13 Apr/30/15
Description: MKS ENI B-5002-02 RF Generator Spectrum AMAT 0190-15320 New
usedeqsales NEW - $312.12 3 Aug/30/13 Nov/11/13
Description: MDC 311074 Inline Angle Valve KAV-150-P AMAT 3870-01441 New Surplus
athomemarket NEW - $1,489.49 0 Aug/30/13 Sep/29/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
dvkelectronics NEW - $425.00 0 Aug/30/13 Sep/29/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
svcstore NEW - $499.99 0 Aug/30/13 Sep/02/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $3,779.99 0 Aug/31/13 Sep/30/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $95.00 0 Aug/31/13 Sep/07/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $89.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: New OEM Genuine AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $495.00 0 Aug/31/13 Sep/07/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $400.00 0 Aug/31/13 Sep/07/13
Description: Lot of 60 New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assem
athomemarket NEW - $4,949.99 0 Aug/31/13 Sep/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $125.00 0 Aug/31/13 Sep/07/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $95.00 0 Aug/31/13 Sep/07/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $295.00 0 Aug/31/13 Sep/07/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
svcstore NEW - $399.99 0 Aug/31/13 Sep/03/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
sellyoursurplus NEW - $75.00 0 Aug/31/13 Sep/07/13
Description: Lot of 20 New OEM Genuine Applied Materials AMAT Lamp Bulbs 0190-14083
athomemarket NEW - $1,529.99 0 Sep/01/13 Sep/25/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,619.99 0 Sep/01/13 Sep/25/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket NEW - $426.59 0 Sep/01/13 Oct/01/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
systasemi NEW - $900.00 0 Sep/01/13 Oct/01/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
helixtek NEW - $400.00 0 Sep/02/13 Oct/02/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $267.29 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $346.49 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $681.29 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $265.49 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $359.99 0 Sep/02/13 Oct/02/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $450.89 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $70.19 0 Sep/02/13 Oct/02/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $332.99 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $211.49 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $94.49 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $7,593.29 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $332.09 0 Sep/02/13 Oct/02/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $266.39 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $89.99 0 Sep/02/13 Oct/02/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $68.39 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
usedeqsales NEW - $309.13 0 Sep/06/13 May/05/15
Description: Proteus Industries 9101SS24P7 Water Flow Switch Assembly AMAT 0090-06093 New
usedeqsales NEW - $409.13 0 Sep/06/13 May/05/15
Description: Purolite PCL-2111 Ion Exchange Resin Cartridge AMAT 4020-00166 Lot of 4 New
supertechshop NEW - $99.99 0 Sep/06/13 Oct/06/13
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
athomemarket NEW - $629.99 0 Sep/06/13 Oct/06/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $170.09 0 Sep/06/13 Oct/06/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $60.29 0 Sep/06/13 Oct/06/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $48.59 0 Sep/06/13 Oct/06/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
ace449parts2010 NEW - $2,500.00 0 Sep/07/13 Dec/27/13
Description: AMAT 0010-33890 New Magnet G3-Encapsulated
athomemarket NEW - $16.19 0 Sep/06/13 Oct/06/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
athomemarket NEW - $35.99 0 Sep/06/13 Oct/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $372.59 0 Sep/04/13 Oct/04/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $875.60 0 Sep/04/13 Oct/04/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $863.09 0 Sep/06/13 Oct/06/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $80.99 0 Sep/06/13 Oct/06/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
bring_recycling NEW - $3.99 0 Sep/06/13 Sep/13/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $499.99 0 Sep/05/13 Sep/08/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
odysseystudios NEW - $1,200.00 3 Sep/05/13 Oct/15/13
Description: NEW Applied Materials 0040-95475 TEOS HIGH DEP RATE, 300MM Face Plate
athomemarket Used - $1,079.99 0 Sep/05/13 Oct/05/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,079.99 0 Sep/05/13 Oct/05/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $190.79 0 Sep/08/13 Oct/08/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $190.79 0 Sep/08/13 Oct/08/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $27.89 0 Sep/08/13 Oct/08/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,299.99 0 Sep/08/13 Oct/08/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket NEW - $237.59 0 Sep/08/13 Oct/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
svcstore NEW - $499.99 0 Sep/08/13 Sep/11/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
hot!cardz NEW - $11,500.00 0 Sep/09/13 Sep/19/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
federal_trades NEW - $455.00 0 Sep/09/13 Dec/27/13
Description: New Yaskawa Servopack SGDH-01AE , SGDH-01AEYA11 Applied Material 1080-00080
usedeqsales NEW - $4,003.65 1 Sep/09/13 Jan/20/14
Description: MKS OPT-200Z-00 DC Plasma Generator DCG-200Z Optima AMAT 0190-27891 New
athomemarket NEW - $35.99 0 Sep/09/13 Oct/09/13
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $211.49 0 Sep/09/13 Oct/09/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $105.29 0 Sep/09/13 Oct/09/13
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $573.29 0 Sep/09/13 Oct/09/13
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $118.79 0 Sep/09/13 Oct/09/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $105.29 0 Sep/09/13 Oct/09/13
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $157.49 0 Sep/09/13 Oct/09/13
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $116.99 0 Sep/09/13 Oct/09/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $71.99 0 Sep/09/13 Oct/09/13
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $446.39 0 Sep/09/13 Oct/09/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $166.49 0 Sep/09/13 Oct/09/13
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
supertechshop NEW - $399.00 0 Sep/09/13 Oct/09/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $699.00 0 Sep/09/13 Oct/09/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Sep/09/13 Oct/09/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $49.99 0 Sep/09/13 Oct/09/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $995.00 0 Sep/09/13 Oct/09/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Sep/09/13 Oct/09/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
svcstore NEW - $399.99 0 Sep/09/13 Sep/12/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
usedeqsales NEW - $509.13 0 Sep/10/13 May/04/15
Description: AMAT Applied Materials 0190-37934 Lamp Assembly Non-Intergrated Lot of 10 New
mattron747 NEW - $750.00 0 Sep/10/13 Oct/10/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
usedeqsales NEW - $559.13 1 Sep/10/13 Jun/28/14
Description: H.L Data Storage GCC-4243N CD-RW/DVD Drive AMAT 0190-22163 Lot of 90 New
usedeqsales NEW - $509.13 0 Sep/10/13 May/04/15
Description: Samsung K6T0808C1D-DL70 8 Bit CMOS Static Ram AMAT 0660-00664 Lot of 107 New
testeqe NEW - $833.04 0 Sep/10/13 Sep/05/14
Description: NEW AMAT/Applied Materials PN: 0190-00086 DCM Oil Reservoir
testeqe NEW - $812.49 2 Sep/10/13 Dec/18/13
Description: NEW AMAT/Applied Materials PN: 0010-00070 Stepper Motor 2.25" VAC
supertechshop NEW - $74.99 0 Sep/11/13 Oct/11/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
supertechshop NEW - $499.00 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $199.00 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $99.00 0 Sep/11/13 Oct/11/13
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
farmoninc NEW - $950.00 0 Sep/11/13 Feb/02/18
Description: New AMAT 0150-02632 Cable Assy, N(M), R/A to N(M), STR RG-21
usedeqsales NEW - $127.28 2 Sep/11/13 Dec/01/14
Description: AMAT Applied Materials 0010-23791 MKS MFC Block Assembly New
athomemarket NEW - $320.39 0 Sep/11/13 Oct/11/13
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $103.49 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $867.99 1 Sep/11/13 Sep/20/13
Description: NEW Applied AMAT 0021-09104 Cathode Lower Liner MXP
athomemarket NEW - $1,277.99 0 Sep/11/13 Oct/11/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
athomemarket NEW - $62.99 0 Sep/11/13 Oct/11/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $209.69 0 Sep/11/13 Oct/11/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $146.69 0 Sep/11/13 Oct/11/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $242.99 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $55.79 0 Sep/11/13 Oct/11/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $177.29 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $58.49 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $269.99 0 Sep/11/13 Oct/11/13
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
usedeqsales NEW - $609.13 1 Sep/12/13 Jun/26/14
Description: Eaton 103003276-6591 Uninterruptible Power Supply AMAT 0190-25005 New
usedeqsales NEW - $509.13 0 Sep/12/13 Mar/02/15
Description: AMAT Applied Materials 0190-38516 Lamp Assembly Radiance Lot of 10 New
usedeqsales NEW - $309.13 1 Sep/12/13 Sep/15/14
Description: MKS LPV-40-AK-C0VS Pneumatic Isolation Angle Valve AMAT 3870-02403 New
athomemarket NEW - $124.19 0 Sep/12/13 Oct/12/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $3,814.19 0 Sep/12/13 Oct/12/13
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $137.69 0 Sep/12/13 Oct/12/13
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $193.49 0 Sep/12/13 Oct/12/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
svcstore NEW - $499.99 0 Sep/12/13 Sep/15/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $399.99 0 Sep/12/13 Sep/15/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
bring_recycling NEW - $3.99 0 Sep/13/13 Sep/20/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
usedeqsales NEW - $154.57 1 Sep/13/13 Jan/09/15
Description: SMC PF2W720T-04-27 Digital Flow Switch INA-24-73-04 Valve AMAT 0190-13951 New
athomemarket NEW - $372.59 0 Sep/13/13 Oct/13/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $3,329.99 0 Sep/13/13 Oct/13/13
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $468.89 0 Sep/13/13 Oct/13/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $98.99 0 Sep/13/13 Oct/13/13
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
athomemarket NEW - $179.99 0 Sep/13/13 Oct/13/13
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
jammin928 NEW - $150.00 0 Sep/14/13 Oct/14/13
Description: Lam Research Minimatch RF tune manual control PN 853-015030-001 New!
jammin928 NEW - $125.00 0 Sep/14/13 Oct/14/13
Description: Lam TCP9600 Norcal Bypass valve rebuild kit PN 796-092371-001 New!
athomemarket NEW - $254.69 0 Sep/14/13 Oct/14/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $229.49 0 Sep/14/13 Oct/14/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
athomemarket NEW - $191.69 0 Sep/15/13 Oct/15/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
supertechshop NEW - $299.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Sep/15/13 Oct/15/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop Used - $149.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $199.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $24.99 0 Sep/15/13 Oct/15/13
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $24.99 0 Sep/15/13 Oct/15/13
Description: NEW AMAT Bushing Flat Roller 15K/25K Ceramic 0040-74466 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $1,250.00 1 Sep/15/13 Oct/04/13
Description: NEW Allen Bradley 2098-DSD-010 Ultra-3000 Servo Drive / Indexer 1080-00150 AMAT
athomemarket NEW - $89.99 0 Sep/16/13 Oct/16/13
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
neilan1987 NEW - $399.99 0 Sep/15/13 Oct/15/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
trees_for_a_better_tomorrow NEW - $250.00 0 Sep/15/13 Sep/22/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
trees_for_a_better_tomorrow NEW - $500.00 0 Sep/15/13 Sep/22/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
svcstore NEW - $399.99 0 Sep/15/13 Sep/18/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $499.99 0 Sep/15/13 Sep/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $227.69 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $5,579.99 0 Sep/15/13 Oct/15/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $89.99 0 Sep/15/13 Oct/15/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $171.89 0 Sep/16/13 Oct/16/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
usedeqsales NEW - $559.13 0 Sep/16/13 May/04/15
Description: XP Power 100948-03 DC Power Supply AMAT 0090-16537 New
usedeqsales NEW - $559.13 0 Sep/16/13 May/04/15
Description: XP Power 101515-01 DC Power Supply AMAT 0090-02649 New
athomemarket NEW - $1,999.79 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $230.39 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $64.79 3 Sep/15/13 Oct/15/13
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
athomemarket NEW - $5,579.99 0 Sep/15/13 Oct/15/13
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $95.39 0 Sep/16/13 Oct/16/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $140.39 0 Sep/16/13 Oct/16/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
neilan1987 NEW - $279.99 1 Sep/16/13 Jan/07/14
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
usedeqsales NEW - $409.13 0 Sep/17/13 May/05/15
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18914 New
usedeqsales NEW - $409.13 0 Sep/17/13 May/05/15
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18913 New
usedeqsales NEW - $3,754.57 1 Sep/17/13 Dec/18/13
Description: Sumitomo CSW-61D-01 Indoor Water Cooled Compressor AMAT 0190-28328 New
usedeqsales NEW - $759.13 1 Sep/17/13 Oct/01/13
Description: Invax 02240-012 Pedestal Bipolar ESC 300mm DPN Plus AMAT 0190-19092 New
athomemarket NEW - $95.39 0 Sep/17/13 Oct/17/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 Sep/17/13 Oct/17/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $44.99 0 Sep/17/13 Oct/17/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
usedeqsales NEW - $811.12 0 Sep/17/13 May/02/15
Description: Shimadzu 262-78462-51V1 Turbopump Cable Set 262-76411-51V1 AMAT 0190-17036 New
athomemarket NEW - $71.99 0 Sep/17/13 Oct/17/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $629.99 0 Sep/17/13 Oct/17/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $825.29 0 Sep/17/13 Oct/17/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $38.69 0 Sep/17/13 Oct/17/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
usedeqsales NEW - $409.13 0 Sep/17/13 May/05/15
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18915 New
athomemarket NEW - $95.39 0 Sep/17/13 Oct/17/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $47.69 0 Sep/17/13 Oct/17/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
usedeqsales NEW - $3,504.12 0 Sep/18/13 May/01/15
Description: Shimadzu EI-D3603M Turbo Molecular Pump Controller AMAT 0010-32353 New Surplus
usedeqsales NEW - $3,503.12 0 Sep/18/13 May/01/15
Description: Shimadzu EI-D3403MT (A1) (SP65) Turbo Controller AMAT 0190-19088 New Surplus
usedeqsales NEW - $559.13 0 Sep/18/13 May/04/15
Description: Cutler-Hammer WMS2D05 2 Pole Circuit Breaker AMAT 0680-00911 Lot of 19 New
tdindustrial NEW - $195.00 1 Sep/18/13 Mar/27/15
Description: LAM Electrode Cooling Duct 716-021879-001 NEW, Sealed
testeqe NEW - $199.99 1 Sep/18/13 Oct/03/13
Description: NEW Lam Research PN: 853-007526-001 Cylinder, Door Outer Humphrey CN534B
athomemarket NEW - $283.49 0 Sep/18/13 Oct/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
testeqe NEW - $124.99 0 Sep/18/13 Jun/04/16
Description: Qty. 4: NEW AMAT/Applied Materials PN: 0020-00927 Viewport, Glass (Load) Window
usedeqsales NEW - $409.13 0 Sep/18/13 May/06/15
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18920 New
athomemarket Refurbished - $159.29 0 Sep/18/13 Oct/18/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
athomemarket NEW - $26.99 0 Sep/18/13 Oct/18/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
usedeqsales NEW - $409.13 0 Sep/18/13 May/05/15
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18918 New
athomemarket NEW - $100.79 0 Sep/18/13 Oct/18/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $68.39 0 Sep/18/13 Oct/18/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
svcstore NEW - $499.99 0 Sep/18/13 Sep/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $399.99 0 Sep/18/13 Sep/21/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
usedeqsales NEW - $409.13 0 Sep/19/13 May/05/15
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18917 New
usedeqsales NEW - $409.13 0 Sep/19/13 May/05/15
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18919 New
tdindustrial NEW - $89.70 0 Sep/19/13 Nov/01/18
Description: AMAT EMC Comp., Cable, Control, LF Generator 0150-76233 New
usedeqsales NEW - $409.13 0 Sep/19/13 May/05/15
Description: Hubbell HBL5100PS1W Cable Plug Assembly AMAT 0150-18916 New
usedeqsales NEW - $1,509.13 0 Sep/19/13 May/01/15
Description: Horiba STEC SEC-4600M Mass Flow Controller AMAT 3030-09676 New
athomemarket NEW - $825.29 0 Sep/19/13 Oct/19/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $78.29 0 Sep/19/13 Oct/19/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $555.29 0 Sep/19/13 Oct/19/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $269.99 0 Sep/19/13 Oct/19/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $908.09 0 Sep/19/13 Oct/19/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $44.99 0 Sep/19/13 Oct/19/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $44.99 0 Sep/19/13 Oct/19/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
prism_electronics6 NEW - $600.00 0 Sep/19/13 Mar/04/22
Description: NEW Lam Research 716-046744-001 Ceramic Tech Wafer Plate
athomemarket NEW - $499.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $81.99 0 Sep/20/13 Oct/20/13
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $92.99 0 Sep/20/13 Oct/20/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $1,799.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,699.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $219.99 0 Sep/20/13 Oct/20/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $328.99 0 Sep/20/13 Oct/20/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
supertechshop NEW - $249.00 0 Sep/21/13 Oct/21/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $499.00 0 Sep/21/13 Oct/21/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $149.95 0 Sep/21/13 Oct/21/13
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $149.95 0 Sep/21/13 Oct/21/13
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $199.95 0 Sep/21/13 Oct/21/13
Description: NEW AMAT Inficon 0190-23497 Dual Manometer Heated Valve 3-ft 208V 40W
supertechshop NEW - $899.95 0 Sep/21/13 Oct/21/13
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $199.95 0 Sep/21/13 Oct/21/13
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
supertechshop NEW - $49.99 0 Sep/21/13 Oct/21/13
Description: NEW AMAT 0190-31284 Lamp 2KW Ushio J1H 120V 2000W Bulb Finned Base / Avail QTY
athomemarket NEW - $234.99 0 Sep/21/13 Oct/21/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 Sep/21/13 Oct/21/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $112.99 0 Sep/21/13 Oct/21/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $92.99 0 Sep/21/13 Oct/21/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $1,314.99 0 Sep/21/13 Oct/21/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $134.99 0 Sep/21/13 Oct/21/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $749.99 0 Sep/21/13 Oct/21/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $305.99 0 Sep/21/13 Oct/21/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $312.99 0 Sep/21/13 Oct/21/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
svcstore NEW - $499.99 0 Sep/21/13 Sep/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $399.99 0 Sep/21/13 Sep/24/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $8,501.99 0 Sep/22/13 Oct/22/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $1,333.99 0 Sep/22/13 Oct/22/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $69.99 1 Sep/22/13 Oct/22/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $99.99 0 Sep/22/13 Oct/22/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $79.99 0 Sep/22/13 Oct/22/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
keykorea NEW - $188.00 1 Sep/23/13 Sep/17/14
Description: AMAT 0020-31607 COVER RF SHIELD INTERLOCK CVD ABS, NEW
alvin1462 NEW - $198.00 8 Sep/23/13 Jun/20/22
Description: AMAT Applied Materials 0140-09445 HARNESS ASSY, BACKPLANE TO AC DRAWER COM NEW
alvin1462 NEW - $298.00 0 Sep/23/13 Jun/28/18
Description: AMAT Applied Materials 0140-16710 Harness Assembly DRVRS to Bulkhead to CL new
alvin1462 NEW - $1,688.00 7 Sep/23/13 Feb/08/17
Description: AMAT Applied Materials 0190-37081 Endura 2 CVD TOP ASSY W/PLC new
alvin1462 NEW - $555.00 10 Sep/23/13 Apr/28/22
Description: AMAT Applied Materials 0190-14178 Controller Cable , MAG LEV , 300 new
alvin1462 NEW - $888.00 2 Sep/23/13 Jul/15/16
Description: AMAT Applied Materials 0190-31257 COPLEY CONTROLS 800-1514A SERVO AMPLFR NEW
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2900MEP Mass Flow Controller AMAT 0225-41260 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2902MEP-T Mass Flow Controller AMAT 0225-41918 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2900MEP Mass Flow Controller AMAT 0224-43258 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Millipore FC-2950MEP5 Mass Flow Controller AMAT 0227-38164 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Mykrolis FC-2900M Mass Flow Controller AMAT 0226-49258 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2900MEP Mass Flow Controller AMAT 0227-06244 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Millipore FC-2900M Mass Flow Controller AMAT 0226-44365 New
neilan1987 NEW - $279.99 0 Sep/22/13 Oct/22/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2950MEP5 Mass Flow Controller AMAT 0226-43226 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2900MEP5 Mass Flow Controller AMAT 0227-12489 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2900 Mass Flow Controller AMAT 3030-04986 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Millipore FC-2902MEP-T Mass Flow Controller AMAT 3030-04046 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2900MEP-L Mass Flow Controller AMAT 0227-06163 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 0227-04127 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Unit Instruments UFC-1400A Mass Flow Controller AMAT 0225-10169 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Mykrolis FC-2900MEP Mass Flow Controller AMAT 3030-02223 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Mykrolis FC-2900MEP Mass Flow Controller AMAT 3030-02222 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 0190-12302 New
usedeqsales NEW - $603.65 1 Sep/23/13 Feb/11/14
Description: Horiba STEC SEC-7440MC-UC Mass Flow Controller AMAT 3030-06456 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Mykrolis FC-2900MEP-4V Mass Flow Controller AMAT 3030-02224 New
usedeqsales NEW - $1,509.13 0 Sep/23/13 May/01/15
Description: Millipore FC-2900M Mass Flow Controller AMAT 0226-49259 New
athomemarket NEW - $392.99 0 Sep/23/13 Oct/23/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $44.99 0 Sep/23/13 Oct/23/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $305.99 0 Sep/23/13 Oct/23/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $119.99 0 Sep/23/13 Oct/23/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $112.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $69.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
usedeqsales NEW - $1,509.13 0 Sep/24/13 May/01/15
Description: Tylan FC-2902M-T Mass Flow Controller AMAT 3030-04023 New
usedeqsales NEW - $1,509.13 0 Sep/24/13 May/01/15
Description: Tylan FC-2900MEP-L Mass Flow Controller AMAT 0227-06162 New
hot!cardz NEW - $11,500.00 0 Sep/24/13 Oct/04/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
neilan1987 NEW - $129.99 1 Sep/24/13 Oct/07/13
Description: GRANVILLE-PHLLIPS Convectron Gauge 275592 AMAT 3310-00313 NEW
svcstore NEW - $499.99 0 Sep/24/13 Sep/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $399.99 0 Sep/24/13 Sep/27/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
alvin1462 NEW - $1,688.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-13120 Harness ASSY , CH-A Main Bundle , VANT NEW
alvin1462 NEW - $1,688.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-18336 Harness ASSY , Chamber A - Main Bundle V NEW
alvin1462 NEW - $1,688.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-11539 Harness ASSY , Chamber B - Main Bundle VA NEW
alvin1462 NEW - $222.00 0 Sep/25/13 Jun/30/18
Description: AMAT Applied Materials 0190-10835 Hose 1" CH B Supply , Vantag NEW
alvin1462 NEW - $388.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16351 Harness Assembly Sputter Chamber Bottom NEW
alvin1462 NEW - $388.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0010-33034 Flow Switch 1" Manifold 2 Lamphead RAD NEW
alvin1462 NEW - $298.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-17345 Harness Assembly Water Flow INTC G NEW
alvin1462 NEW - $400.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16350 Harness Assembly Sputter Chamber Rear RO NEW
alvin1462 NEW - $298.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16348 Cable ASSY NEW
alvin1462 NEW - $298.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16351 Cable ASSY NEW
alvin1462 NEW - $298.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16349 Harness Assembly Sputter Chamber Right R NEW
alvin1462 NEW - $188.00 0 Sep/25/13 Jun/11/16
Description: AMAT Applied Materials 0140-16347 Cable ASSY NEW
alvin1462 NEW - $388.00 2 Sep/25/13 Sep/22/14
Description: AMAT Applied Materials 0050-91046 Forline , Curved , Sacvd Produc - [AMT00] NEW
alvin1462 NEW - $188.00 0 Sep/25/13 Mar/04/22
Description: AMAT Applied Materials 0150-18533 Cable ASSY NEW
alvin1462 NEW - $68.00 4 Sep/25/13 Oct/29/13
Description: AMAT Applied Materials 0200-09969 WINDOW, SIDE, UV COATED, SAPPHIRE, DXZ NEW
alvin1462 NEW - $388.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16370 Harness Assembly P/C Chmber RI NEW
alvin1462 NEW - $188.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0150-14681 Cable Assy , MAG LEV Control JM New
alvin1462 NEW - $222.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16371 Harness Assembly P/C Chambr LEF NEW
usedeqsales NEW - $5,004.57 0 Sep/25/13 Aug/13/14
Description: ADCS AMT550051 Dual Tank Liquid Refill (DTLR) System AMAT 0010-30003 New
usedeqsales NEW - $35,009.10 0 Sep/26/13 Apr/30/15
Description: NANOmetrics 9010b Integrated Metrology System 7000-02312 AMAT 3920-00645 New
supertechshop NEW - $1,150.00 0 Sep/26/13 Oct/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Sep/26/13 Oct/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
testeqe NEW - $499.99 1 Sep/26/13 Jan/17/14
Description: NEW AMAT/Applied Materials PN: 1140-01010 PowerTec 19E-A00-ABCD DC Power Supply
farmoninc NEW - $900.00 0 Sep/26/13 Jan/15/17
Description: 6 new AMAT 3440-01037 WDO SAPPHIRE LENS 25MM DIA X 2MM THK
athomemarket NEW - $179.99 0 Sep/26/13 Oct/26/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $175.99 0 Sep/26/13 Oct/26/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
keykorea NEW - $6.00 1 Sep/26/13 Dec/01/14
Description: AMAT 3880-01215 WSHR FLAT .375OD X .161ID X .062THK, LOT 4, NEW
keykorea NEW - $593.00 1 Sep/26/13 Apr/28/15
Description: AMAT 0021-23550 MANIFOLD, INPUT MOD, NEW
keykorea NEW - $164.00 1 Sep/26/13 May/22/14
Description: AMAT 0021-10296 OUTPUT MNFLD,UNIV CH.,W/ALIGN. HOLES, NEW
keykorea NEW - $9.00 1 Sep/26/13 Jun/29/16
Description: AMAT 3580-01021 PLUG HEX HD SAE 5/16 - 24 UNF, NEW
grandbirdnet NEW - $3.00 0 Sep/27/13 Jun/02/22
Description: AMAT 3500-01099 NUT HEX FULL 8- 32 SST, NEW
grandbirdnet NEW - $17.66 1 Sep/27/13 Dec/17/21
Description: AMAT 0015-09183 WASHER,BELLEVILE,#4,NISULF, LOT 16, NEW
keykorea NEW - $42.00 1 Sep/27/13 Sep/01/15
Description: AMAT 0140-09498 HARNESS ASSY RF COVER INTRLCK CVD SACVD DELTASACVD, NEW
keykorea NEW - $82.00 1 Sep/27/13 Oct/17/14
Description: AMAT 0020-30108 SHIELD CLAMP, ALUMINUM, 100 THRU 200MM COMMON SIL, LOT 8, NEW
grandbirdnet NEW - $5.00 0 Sep/27/13 Mar/17/22
Description: AMAT 3700-02093 ORING ID 1.112 CSD .103 75 DURO BRN, LOT 2, NEW
keykorea NEW - $24.00 1 Sep/27/13 May/08/15
Description: AMAT 0020-09845 PLUNNGER INT'K SW O/N, NEW
grandbirdnet NEW - $50.00 0 Sep/27/13 Mar/17/22
Description: AMAT 3700-01329 ORING ID .299 CSD .103 75DURO BRN, LOT 6, NEW
keykorea NEW - $45.00 1 Sep/27/13 Feb/05/16
Description: AMAT 3700-01445 ORING ID .359 CSD .139 KALREZ 4079 75DURO BLK, LOT 2, NEW
keykorea NEW - $20.00 1 Sep/27/13 Dec/01/14
Description: AMAT 3780-01091 WSHR WAVE SPRG 3WAVE .48OD X .35ID X .12FH C-STL, LOT 4, NEW
usedeqsales NEW - $4,509.13 1 Sep/27/13 Oct/26/13
Description: Yaskawa XU-CN1170A Robot Controller AMAT 0190-23873 New
jammin928 NEW - $2,500.00 0 Sep/27/13 Oct/27/13
Description: Lam Research WVDS Temp control 853-034160-001 Rev 2 New
jammin928 NEW - $350.00 0 Sep/27/13 Oct/27/13
Description: Lam Research SMC Pnuematic manifold 853-021435-001 New Gas box control
athomemarket NEW - $1,199.99 0 Sep/27/13 Oct/27/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Sep/27/13 Oct/27/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $499.99 0 Sep/27/13 Sep/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $399.99 0 Sep/27/13 Sep/30/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
jammin928 NEW - $2,500.00 0 Sep/28/13 Oct/28/13
Description: Lam Research PN 853-350119-001 Rev A gasbox weldment mixing manifold New
athomemarket NEW - $933.99 0 Sep/28/13 Oct/28/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $5,799.99 0 Sep/29/13 Oct/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,899.99 0 Sep/29/13 Oct/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Sep/29/13 Oct/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 Sep/29/13 Oct/29/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,654.99 0 Sep/29/13 Oct/29/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
keykorea NEW - $165.00 1 Sep/29/13 Apr/21/14
Description: AMAT 0020-30335 HOUSING, QUARTZ TUBE SACVD, NEW
keykorea NEW - $35.00 1 Sep/29/13 Nov/19/14
Description: AMAT 0020-10887 SCREW,SLTD SHLDR #4-40X.125, LOT 8, NEW
keykorea NEW - $92.00 0 Sep/29/13 Aug/26/14
Description: AMAT 3700-01756 ORING ID.234 CSD.139 CHEMRAZ SC513 80 DURO WHT, LOT 4, NEW
dvkelectronics NEW - $425.00 0 Sep/29/13 Oct/29/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
usedeqsales NEW - $304.57 1 Sep/30/13 Dec/17/13
Description: Eaton 103003276-6591 Uninterruptible Power Supply AMAT 0190-25608 PW5115 New
tdindustrial NEW - $29.95 1 Sep/30/13 Jun/19/14
Description: LAM 713-011049-005 Shield, Window, U.V. Sing. Filt. SE Lot of 4, New, Sealed
athomemarket NEW - $4,199.99 0 Sep/30/13 Oct/30/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,499.99 0 Sep/30/13 Oct/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
christyhtx NEW - $150.00 1 Sep/30/13 Nov/07/19
Description: Hub Spindle Shaft Novellus 15-032631-00 (new)
svcstore NEW - $499.99 0 Sep/30/13 Oct/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $49.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $24.99 0 Sep/30/13 Oct/30/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $975.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $475.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
supertechshop NEW - $299.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $750.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $475.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT Chamber Quartz Window 14.75" Dia 1" Thk Polycarbonate 0021-62026 Sealed
supertechshop NEW - $750.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $495.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $750.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
svcstore NEW - $399.99 0 Sep/30/13 Oct/03/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
tdindustrial NEW - $99.50 1 Oct/01/13 Oct/02/13
Description: AMAT Spanner Wrench for SMC Air Cylinder 0015-20022 Rev B, New, Sealed
athomemarket NEW - $49.99 0 Oct/01/13 Oct/08/13
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $473.99 0 Oct/01/13 Oct/31/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
dazzy1997 NEW - $119.99 0 Oct/02/13 Oct/12/13
Description: (4) New Swagelok Stainless Valves SS-4P4T4
jacobfield NEW - $14.99 0 Oct/02/13 Nov/01/13
Description: New Swagelok B-QC4-S-400 BH Quick Connect Fitting 1/4" - 1/4" Bulkhead Swage
tdindustrial NEW - $395.00 2 Oct/02/13 Dec/04/17
Description: AMAT 0240-0260 (Kit, Local Centerfinder, Integration) 0150-06944 Cable Assy, New
surplusssam NEW - $29.99 1 Sep/24/13 Oct/01/13
Description: 10 NEW SWAGELOK NI-4-VCR-2-GR-VS NICKEL VCR FACE SEAL FITTING 1/4" GASKET ASSY
baltisales NEW - $37.62 15 May/15/13 Apr/22/15
Description: *NEW* Swagelok SS-1VF4 Integral Bonnet Needle Valve 0.73 Cv 1/4 in FNPT QTY
iondesigner NEW - $6.50 6 Sep/21/13 Oct/01/13
Description: NEW Swagelok SS-4-VCR-6-400 316 SS 1/4" VCR Face Seal to 1/4" Tube Fitting
sunifertechllc NEW - $49.99 1 Sep/22/13 Oct/01/13
Description: Swagelok 1/4" Stainless Steel Bonnet Needle Valve SS-4JB New
a.m.i.l NEW - $75.99 1 Sep/26/13 Oct/01/13
Description: Swagelok SS-4SKPF4 Qty 6 New Old Stock 6000 psi
tdindustrial NEW - $295.00 2 Oct/02/13 Jun/08/17
Description: AMAT 0240-05640 Kit,Transfer CH LCF Rec. Integration 0140-00314 Harn Assy, New
athomemarket NEW - $77.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $399.99 0 Oct/02/13 Nov/01/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
usedeqsales NEW - $2,804.05 0 Oct/02/13 Jan/22/14
Description: MKS ENI DC22S-A022000010AL DC Power Supply DCG-200A AMAT 0190-07963 New
athomemarket NEW - $500.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
tdindustrial NEW - $395.00 2 Oct/02/13 Jun/15/17
Description: AMAT 0240-05641 (Kit,Transfer CH LCF Mainframe) Harn & Cable Assemblies, New
athomemarket NEW - $99.99 0 Oct/02/13 Nov/01/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $75.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $384.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $756.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $295.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $296.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $369.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $294.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $368.99 0 Oct/02/13 Nov/01/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $234.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $8,436.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $104.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
usedeqsales NEW - $2,404.05 0 Oct/02/13 Jan/22/14
Description: MKS ENI DCG-200Z-S00 DC Plasma Generator DCG-200Z Optima AMAT 0190-07969 New
usedeqsales NEW - $3,006.10 0 Oct/03/13 May/01/15
Description: Ham-Let HMS20 4VKC RND Valve AMAT 3870-05019 Lot of 60 New
usedeqsales NEW - $2,506.10 0 Oct/03/13 May/01/15
Description: Ham-Let 2LNS4C-CM2-HB Hybrid Valve AMAT 3870-04809 Lot of 44 New
usedeqsales NEW - $2,804.05 0 Oct/03/13 Jan/22/14
Description: MKS ENI DCG-200EH-S0J DC Plasma Generator DCG-200E Optima AMAT 0190-22958 New
usedeqsales NEW - $3,005.07 0 Oct/03/13 Dec/18/13
Description: ENI DCG-400E-00 DC Power Supply DCG-200E Optima AMAT 0190-12211 New
athomemarket NEW - $1,199.99 0 Oct/03/13 Oct/10/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,199.99 0 Oct/03/13 Oct/10/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $339.99 0 Oct/03/13 Nov/02/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
usedeqsales NEW - $4,010.13 0 Oct/03/13 Apr/30/15
Description: ENI DC24M-Z141300110A DC Power Supply DCG-200Z AMAT 0190-07964 New
athomemarket NEW - $94.99 0 Oct/03/13 Oct/07/13
Description: 12 NEW Osram AMAT 0190-35602 750W Halogen Optic Lamps
athomemarket NEW - $459.99 0 Oct/03/13 Nov/02/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
svcstore NEW - $499.99 0 Oct/03/13 Oct/06/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
helixtek NEW - $400.00 0 Oct/03/13 Nov/02/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
systasemi NEW - $900.00 0 Oct/03/13 Nov/02/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
svcstore NEW - $399.99 0 Oct/03/13 Oct/06/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
usedeqsales NEW - $3,204.05 0 Oct/04/13 Jan/22/14
Description: ENI DCG-600Z-00 DC Power Supply DCG-200Z Optima AMAT 0190-07968 New
usedeqsales NEW - $305.07 1 Oct/04/13 Oct/11/13
Description: MKS Instruments 1159B-00050RV-S Mass Flow Controller AMAT 0190-40090 New
usedeqsales NEW - $1,510.13 0 Oct/04/13 May/01/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 0227-45305 New
usedeqsales NEW - $1,510.13 0 Oct/04/13 May/01/15
Description: Unit UFC-1460A Mass Flow Controller AMAT 0226-32389 New
usedeqsales NEW - $1,010.13 0 Oct/04/13 May/04/15
Description: SMC INR-498-P207 SL Control Unit AMAT 0190-04122 New
usedeqsales NEW - $1,010.13 2 Oct/04/13 Nov/05/13
Description: AMAT Applied Material 0190-05275 CDO Transition Valve New
usedeqsales NEW - $410.13 0 Oct/04/13 Oct/22/14
Description: D-Link DES-3528 24-Port Managed Switch xStack AMAT 0190-37330 New
bjnaf4 NEW - $770.53 0 Oct/04/13 Nov/03/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $413.99 0 Oct/04/13 Nov/03/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $2,645.99 0 Oct/04/13 Nov/03/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $2,003.99 0 Oct/04/13 Nov/03/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $437.99 0 Oct/04/13 Nov/03/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $140.99 0 Oct/04/13 Nov/03/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $13,055.00 0 Oct/04/13 Nov/03/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
adickson NEW - $24.75 1 Oct/05/13 Oct/10/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
turkeymakesmetired-2008 NEW - $1,499.99 1 Oct/05/13 Jul/20/15
Description: AMAT Applied Materials HP Upgrade Arm Leveling Calibration Tool 0270-76328 New
turkeymakesmetired-2008 NEW - $749.99 1 Oct/05/13 Jan/17/14
Description: Edwards A52844410 Flash Interface Module New AMAT 3620-01425
turkeymakesmetired-2008 NEW - $749.99 1 Oct/05/13 Mar/13/14
Description: AMAT Applied Materials 0100-20313 Chamber Interconnect Module Board New
athomemarket Used - $1,199.99 0 Oct/05/13 Nov/04/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,199.99 0 Oct/05/13 Nov/04/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
supertechshop NEW - $199.00 0 Oct/05/13 Nov/04/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $199.00 0 Oct/05/13 Nov/04/13
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
supertechshop NEW - $975.00 0 Oct/05/13 Nov/04/13
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
egroj_miami NEW - $19.99 1 Oct/02/13 Oct/04/13
Description: Swagelok 3/8" Stainless Steel Braided Hose SS-6BHT-24 New
cappy95 NEW - $99.99 0 Oct/06/13 Nov/05/13
Description: NEW AMAT 0190-13448 Supply Manifold Valve, Hoke 7387001S - Warranty
cappy95 NEW - $174.99 0 Oct/06/13 Oct/25/13
Description: NEW AMAT 0010-11537 FCW Valve Assembly CENTURA 1.0 GPM Parker Valve
cappy95 NEW - $149.99 0 Oct/06/13 Nov/05/13
Description: NEW AMAT 3870-01603 FUJIKIN FPR-UDDF-71-6.35-2-NL-UP; PNEUMATIC VALVE 1/4 VCR
chunkysemiconductor NEW - $250.00 0 Oct/06/13 Oct/28/13
Description: APPLIED MATERIALS AMAT 0040-63107 MANIFOLD AL CH. 2 PRODUCER SE - NEW
sellyoursurplus NEW - $250.00 0 Oct/06/13 Oct/13/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
sellyoursurplus NEW - $495.00 0 Oct/06/13 Oct/13/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
sellyoursurplus NEW - $400.00 0 Oct/06/13 Oct/13/13
Description: Lot of 60 New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assem
athomemarket NEW - $958.99 0 Oct/06/13 Nov/05/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $89.99 0 Oct/06/13 Nov/05/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $699.99 0 Oct/06/13 Nov/05/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $53.99 0 Oct/06/13 Nov/05/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $188.99 0 Oct/06/13 Nov/05/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $66.99 0 Oct/06/13 Nov/05/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
svcstore NEW - $499.99 0 Oct/06/13 Oct/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $105.00 1 Oct/07/13 Jun/17/17
Description: AMAT 0150-00370 ASSY, COAX RF PWR Ⅱ, NEW
keykorea NEW - $12,000.00 1 Oct/07/13 Mar/13/17
Description: AMAT 0040-32905 REV.002 150MM WTM ESC, NEW
used1eqsales NEW - $3,510.13 1 Oct/07/13 Feb/20/14
Description: LAM Base Plate Auto Tune 853-025903-001 new
athomemarket NEW - $39.99 0 Oct/07/13 Nov/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $17.99 0 Oct/07/13 Nov/06/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
usedeqsales NEW - $505.07 11 Oct/07/13 Nov/21/13
Description: MEI-XMP-SERCOS-PCI Motion Controller Board AMAT 0190-15828 New
tumble92 NEW - $999.99 1 Oct/07/13 Jan/22/14
Description: Novellus ASSY, CUP, 296, LOW PRO 02-132970-00 New MAKE ME A OFFER!!
svcstore NEW - $399.99 0 Oct/07/13 Oct/10/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $600.00 1 Oct/08/13 May/22/20
Description: LAM RESEARCH 715-443098-001 RING CLP UPPER ELECTRODE, NEW
keykorea NEW - $620.00 1 Oct/08/13 Jan/26/16
Description: AMAT 0200-00638 COVER RING Applied Materials, NEW
keykorea NEW - $490.00 1 Oct/08/13 Aug/03/16
Description: AMAT 0100-20036 wPCB ASSY, SYSTEM POWER DISTRIBUTION, NEW
keykorea NEW - $600.00 0 Oct/08/13 Oct/28/16
Description: LAM RESEARCH 716-008854-001 DISK DIFFUSER DUOCEL 6", NEW
keykorea NEW - $900.00 1 Oct/08/13 Jun/13/19
Description: LAM RESEARCH 230-140148-308 CAP, ELCTD, JDA, ESC, 8", MONO, NEW
usedeqsales NEW - $3,604.05 1 Oct/08/13 Jan/19/14
Description: Rapid F AE Advanced Energy 3151801-004 Remote Plasma Source AMAT 0190-13025 New
athomemarket NEW - $211.99 0 Oct/08/13 Nov/07/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $211.99 0 Oct/08/13 Nov/07/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $30.99 0 Oct/08/13 Nov/07/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Oct/08/13 Nov/07/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
imca00 NEW - $4,499.00 0 Oct/08/13 Oct/13/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/08/13 Oct/13/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
athomemarket NEW - $495.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $116.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $234.99 0 Oct/09/13 Nov/08/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $131.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $129.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $263.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $45.99 0 Oct/09/13 Oct/16/13
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $184.99 0 Oct/09/13 Nov/08/13
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $174.99 0 Oct/09/13 Nov/08/13
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $116.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $39.99 0 Oct/09/13 Nov/08/13
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $79.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $636.99 0 Oct/09/13 Nov/08/13
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
prism_electronics5 Used - $278.97 0 Oct/09/13 Aug/12/22
Description: New Novellus Style D Pedestal Adaptor 15-042189-00 - Great Deal!
sparepartssolution NEW - $1,500.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-10328 SHELL ASSY,200MM,FLAT(1S 5MIC,0.8THK,SI NEW
sparepartssolution NEW - $4,000.00 0 Oct/11/13 Nov/09/14
Description: AMAT APPLIED MATERIALS 0010-09912 ASP, LAMP MODULE BASIC ASSY, 1000W NEW
sparepartssolution NEW - $1,500.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09574 PEDESTAL ASSY OX/MLR/NIT 125MM FLAT NEW
sparepartssolution NEW - $500.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09418 ASSY. NESLAB H.EX. HOSE,50FT NEW
svcstore NEW - $399.99 0 Oct/10/13 Oct/13/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $49.99 0 Oct/10/13 Nov/09/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $995.00 0 Oct/10/13 Nov/09/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Oct/10/13 Nov/09/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $399.00 0 Oct/10/13 Nov/09/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Oct/10/13 Nov/09/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Oct/10/13 Nov/09/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
adickson NEW - $24.75 0 Oct/10/13 Oct/15/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
sparepartssolution NEW - $1,000.00 0 Oct/10/13 Dec/25/14
Description: AMAT APPLIED MATERIALS 0010-07348 BLANK-OFF, DPS METAL, THROTTLE VALVE NEW
sparepartssolution NEW - $2,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-05904 ASSY, 200MM PROD. UNIVERSAL SIGMA TV W/I NEW
svcstore NEW - $39.99 0 Oct/10/13 Oct/13/13
Description: NEW Ushio AMAT 0190-31284 REV3 JIH 120V 2000W BNA8 Finned Base Halogen Lamp Bulb
svcstore NEW - $39.99 0 Oct/10/13 Oct/13/13
Description: NEW Ushio AMAT 0190-22509 REV3 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
supertechshop NEW - $99.99 0 Oct/10/13 Nov/09/13
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
mattron747 NEW - $750.00 0 Oct/10/13 Nov/09/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
hot!cardz NEW - $11,500.00 0 Oct/10/13 Oct/20/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
surplusssam NEW - $15.99 1 Oct/11/13 Dec/16/15
Description: NEW AMAT 3300-01348 KF-50 NW50 BLANK BLIND FLANGE VACUUM STAINLESS STEEL
athomemarket NEW - $196.99 0 Oct/11/13 Nov/10/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $1,080.99 0 Oct/11/13 Oct/18/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,080.99 0 Oct/11/13 Oct/18/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,419.99 0 Oct/11/13 Nov/10/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
athomemarket NEW - $299.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $162.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $269.99 0 Oct/11/13 Nov/10/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $61.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $232.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $64.99 0 Oct/11/13 Nov/10/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $114.99 0 Oct/11/13 Nov/10/13
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $355.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $69.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
prism_electronics1 NEW - $529.99 0 Oct/11/13 Jun/09/16
Description: LAM RESEARCH 715-803265-001 COVER ESC FACILITY ANODIZED ALUMINUM NEW
sparepartssolution NEW - $350.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21789 ASSEMBLY, DC BIAS NEW
sparepartssolution NEW - $1,800.00 1 Oct/12/13 Jun/24/15
Description: AMAT APPLIED MATERIALS 0010-21335 SHUTTER ENCLOSURE ASSY @ POSITION C NEW
sparepartssolution NEW - $1,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-17406 ASSY, LH, PIVOT AND BEARING, 300MM ROBOT NEW
sparepartssolution NEW - $1,300.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM NEW
sparepartssolution NEW - $850.00 3 Oct/12/13 Jun/12/14
Description: AMAT APPLIED MATERIALS 0010-13928 ASSY, CABLE,RF MATCH INTERLOCK, PRECLEAN NEW
sparepartssolution NEW - $1,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-13912 ASSY, VGA MONITOR STAND ALONE NEW
sparepartssolution NEW - $2,200.00 0 Oct/12/13 Jun/17/14
Description: AMAT APPLIED MATERIALS 0010-13901 ASSY, 2/5 PHASE DVR ENCLOSURE ASSY NEW
athomemarket NEW - $137.99 0 Oct/12/13 Nov/11/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $152.99 0 Oct/12/13 Nov/11/13
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,237.99 0 Oct/12/13 Nov/11/13
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $214.99 0 Oct/12/13 Nov/11/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
supertechshop NEW - $199.00 0 Oct/12/13 Nov/11/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $99.00 0 Oct/12/13 Nov/11/13
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $499.00 0 Oct/12/13 Nov/11/13
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $495.00 0 Oct/12/13 Nov/11/13
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $74.99 0 Oct/12/13 Nov/11/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
forestnorth NEW - $1,500.00 2 Oct/12/13 Oct/12/13
Description: NEW Applied Materials 300mm Ceramic Heater CVD Producer 0010-30838
svcstore NEW - $499.99 0 Oct/12/13 Oct/15/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $413.99 0 Oct/13/13 Nov/12/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $199.99 0 Oct/13/13 Nov/12/13
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $3,699.99 0 Oct/13/13 Nov/12/13
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $520.99 0 Oct/13/13 Nov/12/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $109.99 0 Oct/13/13 Nov/12/13
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
svcstore NEW - $399.99 0 Oct/13/13 Oct/16/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $150.00 0 Oct/13/13 Oct/20/13
Description: AMAT 1270-01803 SW Press Flowthru 25 Torr GaugeM, NEW
keykorea NEW - $35.00 0 Oct/13/13 Oct/20/13
Description: AMAT 0020-20499 WINDOW, PROTECTIVE, NEW
keykorea NEW - $500.00 0 Oct/13/13 Oct/20/13
Description: AMAT 0190-14247 GAUGE PRESS-1 TO. 7MPA/30″HG-100PSI 21N, NEW
svcstore NEW - $39.99 0 Oct/13/13 Oct/16/13
Description: NEW Ushio AMAT 0190-22509 REV3 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
keykorea NEW - $1,000.00 0 Oct/13/13 Oct/20/13
Description: LAM RESEARCH 715-028754-004 Upper Plate Seal 8" LRC, NEW
svcstore NEW - $39.99 0 Oct/13/13 Oct/16/13
Description: NEW Ushio AMAT 0190-31284 REV3 JIH 120V 2000W BNA8 Finned Base Halogen Lamp Bulb
keykorea NEW - $9,000.00 0 Oct/14/13 Oct/21/13
Description: AMAT 0010-01183 ASSY, FILTER BOX, HT CATHODE, DPS, NEW
keykorea NEW - $300.00 0 Oct/14/13 Oct/21/13
Description: AMAT 0630-01510 COMET MINI-CAP MC1C-210E/ 1505, NEW
keykorea NEW - $50.00 0 Oct/14/13 Oct/21/13
Description: AMAT 0190-19374 LAMP 120V 2100W LP, NEW
sparepartssolution NEW - $1,800.00 0 Oct/15/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0020-23046 ADAPTER 13 SOURCE WIDE BODY CHAMBER NEW
sparepartssolution NEW - $1,300.00 2 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-30057 ASSEMBLY, CATHODE LINER, MXP+ NEW
sparepartssolution NEW - $5,000.00 0 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-22930 FACILITY PLATE, POSITION 2, WIRED NEW
sparepartssolution NEW - $1,000.00 0 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21868 COOLDOWN MANIFOLD 200 MM NEW
keykorea NEW - $9,500.00 0 Oct/15/13 Mar/23/18
Description: AMAT 0010-21748 ASSY, RF MATCH, 300MM PRECLEAN/RPC PVD, NEW
keykorea NEW - $200.00 0 Oct/15/13 Nov/14/13
Description: AMAT 0040-37504 SLIT VALVE DOOR, CENTURA PDD E38 BONDED, NEW
keykorea NEW - $500.00 1 Oct/15/13 Jun/11/15
Description: AMAT 0200-10191 COMMON SILANE SHIELD, NEW
athomemarket NEW - $282.99 0 Oct/14/13 Nov/13/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $254.99 0 Oct/14/13 Nov/13/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
keykorea NEW - $450.00 1 Oct/15/13 Feb/12/20
Description: LAM RESEARCH 715-007469-001 ELECTRODE LOWER 8" 4 PIN, NEW
keykorea NEW - $330.00 1 Oct/15/13 Apr/24/14
Description: LAM RESEARCH 715-008721-001 ELECTRODE ALUMINUM ANODIZED, NEW
visionsemi NEW - $775.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS PRECLEAN BELL JAR 0040-13509 ZCOAT NEW
visionsemi NEW - $675.00 0 Oct/15/13 Nov/14/13
Description: NEW! AMAT APPLIED MATERIALS SHIELD 8" TIW ARC SPRAY 0020-25893
visionsemi NEW - $17.50 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-20114 ISOLATOR DC BIAS CERAMICS REV-C (NEW)
visionsemi NEW - $17.50 0 Oct/15/13 Nov/14/13
Description: AMAT 0020-20113 HUB DC BIAS SHIELD (NEW)
visionsemi NEW - $1,200.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 716-020905-001 RING FILLER LOWER WAFER CLAMP NEW OEM
visionsemi NEW - $1,575.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 716-440054-108 RING FOCUS ADAPTER ALUMINA PLT NEW OEM
visionsemi NEW - $500.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 716-140139-001 RING HOT EDGE HI WALL ESC 8" NEW OEM
visionsemi NEW - $200.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 715-021471-001 PLATE SEAL OUTER GATE W/AIR CUR NEW OEM
visionsemi NEW - $2,450.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 716-494968-001 LINER EXTENDED HEATED CERAMIC NEW OEM
visionsemi Used - $41.00 0 Oct/15/13 Nov/14/13
Description: NEW!!! LAM RESEARCH GASKET EMI 742-008991-001
visionsemi NEW - $195.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 796-093055-001 BONNET SEAL KIT (NEW)
visionsemi NEW - $1,050.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 713-028553-002 CAP, RING CONFINEMENT (NEW)
visionsemi NEW - $1,350.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 716-011923-001 PLATE WAFER CLAMP ATTACHMENT NEW OEM
usedeqsales NEW - $1,810.13 0 Oct/15/13 Apr/30/15
Description: NR-498-P035 SMC Control Panel Display Unit AMAT 0190-00645 New
usedeqsales NEW - $410.13 0 Oct/15/13 May/05/15
Description: BES-9239-33A SMC LPM Flow Switch Manual Valve AMAT 0910-28878 New
athomemarket NEW - $99.99 0 Oct/15/13 Nov/14/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 Oct/15/13 Nov/14/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $212.99 0 Oct/15/13 Nov/14/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,199.99 0 Oct/15/13 Nov/14/13
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $71.99 0 Oct/15/13 Nov/14/13
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
usedeqsales NEW - $660.13 1 Oct/15/13 Oct/13/14
Description: SDU10 Yamatake 6-Channel Temperature Controller AMAT 0190-22205 New
athomemarket NEW - $252.99 0 Oct/15/13 Nov/14/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $2,221.99 0 Oct/15/13 Nov/14/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $255.99 0 Oct/15/13 Nov/14/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
keykorea NEW - $500.00 0 Oct/15/13 Apr/14/19
Description: AMAT 0041-05327 RETAINING RING COMPOSITE 8 TITAN HEAD, NEW
keykorea NEW - $11,000.00 0 Oct/15/13 Oct/02/14
Description: AMAT 0010-29446 ASSY, LAMP MOD 1000W DELTA, NEW
svcstore NEW - $499.99 0 Oct/15/13 Oct/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $2,500.00 1 Oct/16/13 Nov/10/15
Description: AMAT 3870-04338 VALVE ASSY SLIT DBL ACT ACTUATOR 35X336, NEW
keykorea NEW - $8,000.00 0 Oct/16/13 Feb/05/15
Description: AMAT 0010-30419 WxZ Heater 8" Assembly, NEW
neilan1987 NEW - $399.99 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
sparepartssolution NEW - $5,000.00 1 Oct/16/13 Mar/04/15
Description: AMAT APPLIED MATERIALS 0010-01886 ASSY, CERAMIC DOME, ES DTCU, V-ELECTRODE NEW
alvin1462 NEW - $555.00 6 Oct/16/13 Oct/10/17
Description: AMAT Applied Materials 0190-41388 DRVR,100W,DNET,SIGMA II, SGDH-01AE-N3Y920 NEW
paulchou2000sourceprocess NEW - $20,900.00 0 Oct/16/13 Oct/23/13
Description: AMAT Ultima ESC 0040-48594 Brand New OEM
power4u09 NEW - $24.99 0 Oct/16/13 Apr/18/15
Description: NEW LAM PART # 766-090815-001 PNEUM ACTUATOR ASSY, N. O VALVE
usedeqsales NEW - $1,510.13 0 Oct/16/13 May/01/15
Description: Celerity FC-260V Mass Flow Controller AMAT 0190-00058 New
usedeqsales NEW - $410.13 0 Oct/16/13 May/05/15
Description: SMC BES-9239-34A LPM Flow Switch Manual Valve AMAT 0190-28880 New
usedeqsales NEW - $360.13 0 Oct/16/13 May/05/15
Description: MFD-3006 Applied Materials 50 FT DC Cable AMAT 0190-01560 New
usedeqsales NEW - $1,010.13 2 Oct/16/13 Oct/13/14
Description: PM-6 VAT 650PM-24JG-ADK1 Adaptive Pressure Controller AMAT 0190-25901 New
usedeqsales NEW - $410.13 0 Oct/16/13 May/05/15
Description: MFD-1307 Applied Materials 75 FT DC Cable AMAT 0190-01559 New
usedeqsales NEW - $1,510.13 0 Oct/16/13 May/01/15
Description: FC-260V Millipore Mass Flow Controller AMAT 0190-00043 New
usedeqsales NEW - $410.13 1 Oct/16/13 Nov/07/14
Description: Applied Materials ULP-2-.350-N/S Low Pass Filter AMAT 0190-09186 Lot of 2 New
usedeqsales NEW - $310.13 3 Oct/16/13 Oct/01/14
Description: Applied Materials 911-62-001 Shortened U-Jet with Crossover AMAT 0190-00199 New
usedeqsales NEW - $1,010.13 1 Oct/16/13 Nov/01/13
Description: Model 452A Teledyne M452-VCR Process Ozone Sensor AMAT 0190-19307 New
usedeqsales NEW - $610.13 1 Oct/16/13 Jun/14/14
Description: Type 640 MKS Instruments 640A-26197 Pressure Controller AMAT 0190-19522 New
usedeqsales NEW - $705.07 4 Oct/16/13 Nov/24/13
Description: 0190-05276 AMAT Applied Materials CDO Lower Double Secondary New
athomemarket NEW - $190.99 0 Oct/16/13 Nov/15/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
prism_electronics5 NEW - $5,999.95 5 Oct/16/13 Nov/26/14
Description: NEW! Lam Research 853-495374-521 Control Panel Assembly w/ Boards 810-707183-001
athomemarket NEW - $105.99 0 Oct/16/13 Nov/15/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $155.99 0 Oct/16/13 Nov/15/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
prism_electronics6 Used - $74.97 0 Oct/16/13 Aug/02/22
Description: NEW Lam Research WLDMT, Foreline, SATM to VTM Sealed with Cert., 839-024695-001
svcstore NEW - $399.99 0 Oct/16/13 Oct/19/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $500.00 1 Oct/16/13 Jun/11/14
Description: AMAT 0020-08674 SHIELD, LOWER, AL ARC-SPRAY SST, SIP, NEW
svcstore NEW - $39.99 0 Oct/16/13 Oct/17/13
Description: NEW Ushio AMAT 0190-22509 REV3 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
adickson NEW - $24.75 0 Oct/17/13 Oct/22/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
keykorea NEW - $650.00 0 Oct/17/13 Oct/18/16
Description: AMAT 0040-13509 PRECLEAN BELL JAR 300MM, NEW
athomemarket NEW - $111.99 0 Oct/18/13 Nov/17/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $314.99 0 Oct/18/13 Nov/17/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $45.99 0 Oct/17/13 Nov/16/13
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $89.99 0 Oct/17/13 Nov/16/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $105.99 0 Oct/17/13 Nov/16/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $139.99 0 Oct/17/13 Oct/24/13
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket NEW - $99.99 0 Oct/17/13 Nov/16/13
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
athomemarket NEW - $149.99 0 Oct/17/13 Oct/24/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
usedeqsales NEW - $660.13 0 Oct/17/13 May/04/15
Description: AMAT Applied Materials 26167-1 T/C PRSP Thermocouple 0190-09185 Lot of 4 New
athomemarket NEW - $75.99 0 Oct/18/13 Nov/17/13
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
athomemarket Refurbished - $176.99 0 Oct/18/13 Nov/06/13
Description: NEW AMAT Applied 0020-22499 Collimator Lower Shield 8"
athomemarket NEW - $29.99 0 Oct/18/13 Nov/17/13
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $49.99 0 Oct/17/13 Nov/16/13
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $42.99 0 Oct/17/13 Nov/16/13
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $79.99 0 Oct/17/13 Nov/16/13
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $699.99 0 Oct/17/13 Nov/16/13
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $916.99 0 Oct/17/13 Nov/16/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $159.99 0 Oct/17/13 Oct/24/13
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $52.99 0 Oct/17/13 Nov/16/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $105.99 0 Oct/17/13 Nov/16/13
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
usedeqsales NEW - $1,010.13 2 Oct/17/13 Mar/22/15
Description: Danaher Motion T008-0007 eXMP SynqNet System AMAT 0190-23897 New
usedeqsales NEW - $1,010.13 0 Oct/17/13 May/04/15
Description: Process Probe SensArray 1501A-8-0548 Instrumented Wafer AMAT 0190-21426 New
keykorea NEW - $5,500.00 0 Oct/17/13 Sep/20/16
Description: AMAT 0010-21127 Magnet Assy Ti Dura Source 13″, NEW
prism_electronics6 Used - $32.97 0 Oct/18/13 Aug/02/22
Description: NEW Lam Research Tool Machine Plate, 839-040495-001
prism_electronics6 NEW - $157.48 1 Oct/18/13 Dec/19/19
Description: NEW Lam Research - HSG, MDL RCTN CHAMBER, FXD GAP, 715-330106-004
svcstore NEW - $499.99 0 Oct/18/13 Oct/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $916.99 0 Oct/19/13 Nov/18/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $299.99 0 Oct/19/13 Nov/18/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $86.99 0 Oct/19/13 Nov/18/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $616.99 0 Oct/19/13 Nov/18/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $49.99 0 Oct/19/13 Nov/18/13
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $49.99 0 Oct/19/13 Nov/18/13
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $1,008.99 0 Oct/19/13 Nov/18/13
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
turkeymakesmetired-2008 NEW - $349.99 1 Oct/19/13 Apr/22/15
Description: Applied Materials AMAT 0100-09145 RS232 Video Interconnect BD Assy Board New
turkeymakesmetired-2008 NEW - $249.99 2 Oct/19/13 Jun/26/14
Description: Applied Materials AMAT 200mm Top Plate Ceramic Liner 0200-04092 New
turkeymakesmetired-2008 NEW - $399.99 1 Oct/19/13 Oct/23/13
Description: Applied Materials AMAT 0200-10169 Plate Edge Cover DXZ GECO New
turkeymakesmetired-2008 NEW - $999.99 1 Oct/19/13 Feb/05/14
Description: Applied Materials AMAT 0100-09299 Serial Sideboard Assy Board New
svcstore NEW - $399.99 0 Oct/19/13 Oct/22/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $99.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $99.99 0 Oct/20/13 Nov/19/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
imca00 NEW - $4,499.00 0 Oct/20/13 Oct/25/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/20/13 Oct/25/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
supertechshop NEW - $299.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $24.99 0 Oct/20/13 Nov/19/13
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $199.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $499.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $24.99 0 Oct/20/13 Nov/19/13
Description: NEW AMAT Bushing Flat Roller 15K/25K Ceramic 0040-74466 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
turkeymakesmetired-2008 NEW - $1,499.99 0 Oct/20/13 Nov/03/16
Description: Applied Materials 0010-93007 20640-RPE Manual Lid Hoist Bracket Mainframe New
athomemarket NEW - $1,699.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $499.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $219.99 0 Oct/20/13 Nov/19/13
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $328.99 0 Oct/20/13 Nov/19/13
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $81.99 0 Oct/20/13 Nov/19/13
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $92.99 0 Oct/20/13 Nov/19/13
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
keykorea NEW - $150.00 0 Oct/20/13 Jun/04/15
Description: AMAT 1270-01803 SW Press Flowthru 25 Torr GaugeM, NEW
keykorea NEW - $35.00 2 Oct/20/13 May/29/14
Description: AMAT 0020-20499 WINDOW, PROTECTIVE, NEW
grandbirdnet NEW - $1,000.00 1 Oct/20/13 Aug/01/22
Description: LAM RESEARCH 715-028754-004 Upper Plate Seal 8" LRC, NEW
partminer2012 NEW - $120.00 0 Oct/21/13 Dec/07/14
Description: Novellus 10-055444-00 ASSY, MANF A/B CHMBR, 300MM PEC - NEW
usedeqsales NEW - $4,004.05 1 Oct/21/13 Feb/19/14
Description: DCG-200Z OPTIMA ENI DCG-200ZH-00 DC Power Supply AMAT 0190-22931 New
usedeqsales NEW - $1,610.13 0 Oct/21/13 May/01/15
Description: Quality Model 8496 Power Distribution Panel Assembly AMAT 0190-24710 New
athomemarket NEW - $234.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $1,080.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $92.99 0 Oct/21/13 Nov/20/13
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $1,314.99 0 Oct/21/13 Nov/20/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $134.99 0 Oct/21/13 Nov/20/13
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $312.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $112.99 0 Oct/21/13 Nov/20/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $99.99 0 Oct/21/13 Nov/20/13
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $305.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $749.99 0 Oct/21/13 Nov/20/13
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $1,080.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
grandbirdnet NEW - $9,000.00 0 Oct/21/13 Oct/30/23
Description: AMAT 0010-01886 ASSY, CERAMIC DOME, ES DTCU, NEW
svcstore NEW - $499.99 0 Oct/21/13 Oct/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $199.95 0 Oct/22/13 Nov/21/13
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
supertechshop NEW - $249.00 0 Oct/22/13 Nov/21/13
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
supertechshop NEW - $249.00 0 Oct/22/13 Nov/21/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $499.00 0 Oct/22/13 Nov/21/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $899.95 0 Oct/22/13 Nov/21/13
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $149.95 0 Oct/22/13 Nov/21/13
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $149.95 0 Oct/22/13 Nov/21/13
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $199.95 0 Oct/22/13 Nov/21/13
Description: NEW AMAT Inficon 0190-23497 Dual Manometer Heated Valve 3-ft 208V 40W
adickson NEW - $24.75 0 Oct/22/13 Oct/27/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
athomemarket NEW - $69.99 0 Oct/22/13 Nov/21/13
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $99.99 0 Oct/22/13 Nov/21/13
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $1,333.99 0 Oct/22/13 Nov/21/13
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $79.99 0 Oct/22/13 Nov/21/13
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
athomemarket NEW - $126.99 0 Oct/25/13 Nov/01/13
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket NEW - $135.99 0 Oct/25/13 Nov/01/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
usedeqsales NEW - $1,510.13 0 Oct/25/13 May/01/15
Description: Applied Materials 37222302 9ft Industrial Brush AMAT 0190-29777 New
svcstore NEW - $499.99 0 Oct/24/13 Oct/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $112.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $69.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $199.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $392.99 0 Oct/23/13 Nov/22/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $144.99 0 Oct/25/13 Nov/01/13
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
farmoninc NEW - $30.00 9 Oct/25/13 Jan/08/18
Description: NEW 17 HPS 100319113 ISO-KF to VCR-M Adapter AMAT 3300-03436
neilan1987 NEW - $129.99 1 Oct/25/13 Apr/14/14
Description: GRANVILLE-PHILLIPS Convectron Gauge 275592 AMAT 3310-00313 NEW
keykorea NEW - $20.00 0 Oct/25/13 Oct/24/14
Description: AMAT 0020-78177 GASKET ROBOT BLADE, NEW
keykorea NEW - $630.00 1 Oct/25/13 Jan/25/14
Description: AMAT 0020-17787 GEAR ROTATION SHAFT,HEAD ROTATION,PAD CO, NEW
keykorea NEW - $286.00 1 Oct/25/13 Feb/18/14
Description: AMAT 0190-77410 CABLE ASSY, SENSOR Z, FLEX 1, WALKING BE, NEW
keykorea NEW - $224.00 1 Oct/25/13 Jul/21/16
Description: AMAT 0190-77116 FLEXIBLE DISK, NEW
grandbirdnet NEW - $80.00 0 Oct/25/13 Mar/17/22
Description: AMAT 3700-01260 O RING ID 1.614 CSD .070 , NEW, LOT 9
keykorea NEW - $200.00 0 Oct/25/13 Jun/01/15
Description: AMAT 0190-77131 BEARING, SHOULDER,LONG ROBOT, NEW, LOT 2
keykorea NEW - $295.00 1 Oct/25/13 Aug/11/17
Description: AMAT 0020-77298 RETAINR DRIVE BELT PULLEY, NEW
keykorea NEW - $95.00 4 Oct/25/13 Jun/25/15
Description: AMAT 0020-77374 GEAR, HEAD DRIVING, NEW
keykorea NEW - $50.00 1 Oct/25/13 Aug/25/16
Description: AMAT 3690-01089 SCR CAP SKT HD 8-32 X 3/8L HEX SKT SST, NEW, LOT 49
keykorea NEW - $81.00 1 Oct/24/13 Nov/06/15
Description: AMAT 0020-78434 COUPLING,IDLER PULLY,LONG ROBOT, NEW
usedeqsales NEW - $250.55 1 Oct/24/13 Nov/20/13
Description: SMC IR2000-N02 Modular Precision Regulator AMAT 1190-01025 Lot of 10 New
qc-computer NEW - $150.00 0 Oct/25/13 Nov/24/13
Description: LAM Research Orifice Ring LRC 716-011036-001 New Never Opened!
pentagontechgroup NEW - $1,650.00 0 Oct/25/13 Sep/28/21
Description: Novellus Shield Ring Ceramic 300mm Cu , p/n 15-307111-00 Brand New
pentagontechgroup NEW - $1,800.00 0 Oct/23/13 Sep/28/21
Description: Novellus Shield Ring Ceramic 300mm, p/n 15-306819-00 Brand New
sparepartssolution NEW - $1,200.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0190-35975 CABLE ASSY,FIBER OPTIC,25',RECESS ETCH NEW
sparepartssolution NEW - $1,000.00 0 Oct/25/13 Jul/13/15
Description: AMAT APPLIED MATERIALS 0021-35819 CHUCK, ASP, 200MM, TOP MOUNT TYPE NEW
sparepartssolution NEW - $3,200.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-29214 COIL 1/8 THK, CENTER HOLES-KNURLED, VEC NEW
svcstore NEW - $399.99 0 Oct/25/13 Oct/28/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $179.99 0 Oct/26/13 Nov/25/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $175.99 0 Oct/26/13 Nov/25/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
cappy95 NEW - $449.99 0 Oct/26/13 Nov/25/13
Description: NEW AMAT 0010-24172 CII, HX, Valve Assembly
supertechshop NEW - $299.00 0 Oct/27/13 Nov/26/13
Description: NEW AMAT 0190-33933 Horiba STEC Injection Valve IV-2410AV-02H-180S-N / Warranty
athomemarket NEW - $1,199.99 0 Oct/27/13 Nov/26/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Oct/27/13 Nov/26/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
keykorea NEW - $20.00 0 Oct/27/13 Aug/10/14
Description: AMAT 3780-01187 WAVE SPRING PAD COND, NEW
keykorea NEW - $15.00 0 Oct/27/13 Aug/18/14
Description: AMAT 0015-01152 NUT,MODIFIED,PAD CONDITIONER SHAFT, NEW
keykorea NEW - $35.00 4 Oct/27/13 Feb/19/20
Description: AMAT 0140-77490 E/P REGULATOR CABLE,MEMBRANE, NEW
keykorea NEW - $176.00 0 Oct/27/13 Oct/06/16
Description: AMAT 0090-77179 GRIPPER SENSOR HARNESS, ARM 1, NEW
keykorea NEW - $55.00 2 Oct/27/13 Sep/05/18
Description: AMAT 0020-79072 FLANGE, NEW
svcstore NEW - $499.99 0 Oct/27/13 Oct/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $49.99 0 Oct/28/13 Nov/26/13
Description: NEW AMAT 0190-31284 Lamp 2KW Ushio J1H 120V 2000W Bulb Finned Base / Avail QTY
keykorea NEW - $110.00 6 Oct/28/13 Jul/18/14
Description: AMAT 3870-02674 BURKERT,HEAD PNEUMATIC(RR)(6106T1,6FPMPA), NEW
keykorea NEW - $25.00 16 Oct/28/13 Nov/26/17
Description: AMAT 0020-20673 PIN,PLATEN LOCK, NEW
keykorea NEW - $83.00 0 Oct/28/13 Apr/11/18
Description: AMAT 0140-78027 HARNESS, INPUT/MEG ROT MOTOR POWER, NEW
keykorea NEW - $120.00 13 Oct/28/13 Apr/27/16
Description: AMAT 3870-02513 VLV PNEU DIAPH 3WAY 20P, NEW
keykorea NEW - $145.00 0 Oct/28/13 Apr/11/18
Description: AMAT 0140-78025 HARNESS, SRD/INPUT/MN ROT MOTOR, ENCODER, NEW
supertechshop NEW - $1,150.00 0 Oct/28/13 Nov/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Oct/28/13 Nov/26/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
partminer2012 NEW - $150.00 0 Oct/28/13 Dec/07/14
Description: LAM RESEARCH 839-042575-002 - NEW
keykorea NEW - $3,000.00 1 Oct/28/13 Jun/10/19
Description: AMAT 0020-60201 DEP RING,8" SNNF,ESC,9MM,AL W/ MASK,S/B, NEW
keykorea NEW - $80.00 0 Oct/28/13 Aug/28/20
Description: AMAT 0021-78144 SHAFT PULLEY INPUT SHUTTLE, NEW, LOT 2
keykorea NEW - $350.00 0 Oct/28/13 Aug/28/20
Description: AMAT 0015-77191 PULLEY FOLLOWER INPUT SHUTTLE, NEW, LOT 2
keykorea NEW - $300.00 6 Oct/28/13 Sep/28/14
Description: AMAT 0630-01510 COMET MINI-CAP MC1C-210E/ 1505, NEW
keykorea NEW - $9,000.00 0 Oct/28/13 Dec/12/13
Description: AMAT 0010-01183 ASSY, FILTER BOX, HT CATHODE, DPS, NEW
visionsemi NEW - $17.50 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-20114 ISOLATOR DC BIAS CERAMICS REV-C (NEW)
visionsemi NEW - $675.00 0 Oct/28/13 Dec/27/13
Description: NEW! AMAT APPLIED MATERIALS SHIELD 8" TIW ARC SPRAY 0020-25893
visionsemi NEW - $775.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS PRECLEAN BELL JAR 0040-13509 ZCOAT NEW
visionsemi NEW - $17.50 0 Oct/28/13 Dec/27/13
Description: AMAT 0020-20113 HUB DC BIAS SHIELD (NEW)
visionsemi NEW - $2,450.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 716-494968-001 LINER EXTENDED HEATED CERAMIC NEW OEM
visionsemi NEW - $1,050.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 713-028553-002 CAP, RING CONFINEMENT (NEW)
visionsemi NEW - $1,200.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 716-020905-001 RING FILLER LOWER WAFER CLAMP NEW OEM
visionsemi Used - $41.00 0 Oct/28/13 Dec/27/13
Description: NEW!!! LAM RESEARCH GASKET EMI 742-008991-001
visionsemi NEW - $1,575.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 716-440054-108 RING FOCUS ADAPTER ALUMINA PLT NEW OEM
visionsemi NEW - $195.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 796-093055-001 BONNET SEAL KIT (NEW)
visionsemi NEW - $200.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 715-021471-001 PLATE SEAL OUTER GATE W/AIR CUR NEW OEM
visionsemi NEW - $1,350.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 716-011923-001 PLATE WAFER CLAMP ATTACHMENT NEW OEM
visionsemi NEW - $500.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 716-140139-001 RING HOT EDGE HI WALL ESC 8" NEW OEM
imca00 NEW - $3,999.00 0 Oct/28/13 Nov/07/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/28/13 Nov/07/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
hot!cardz NEW - $11,500.00 0 Oct/28/13 Nov/07/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
adickson NEW - $24.75 10 Oct/28/13 Feb/27/14
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
conquer_2011 NEW - $75.00 0 Oct/28/13 Nov/04/13
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
athomemarket NEW - $229.99 1 Oct/28/13 Nov/04/13
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
usedeqsales NEW - $2,010.13 0 Oct/28/13 Dec/04/13
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
farmoninc NEW - $1,150.00 0 Oct/28/13 Jun/17/19
Description: NEW AMAT 3870-02135 Valve PNE HEATED SHIELD CHAMBER 1.50 PORT,6 FT Cable
farmoninc NEW - $800.00 1 Oct/28/13 Feb/06/14
Description: 2 NEW AMAT 0010-11537 FCW Valve Assembly CENTURA 1.0 GPM Parker Valve
athomemarket NEW - $449.99 0 Oct/28/13 Nov/04/13
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $329.99 0 Oct/28/13 Nov/04/13
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
farmoninc NEW - $1,950.00 0 Oct/28/13 Aug/30/17
Description: NEW MKS Throttle Valve type 683 control valve, 683B-28961, AMAT 3870-06169
athomemarket NEW - $933.99 0 Oct/28/13 Nov/27/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
keykorea NEW - $25.00 1 Oct/28/13 Aug/04/15
Description: AMAT 3140-01088 BUSHING, NEW
svcstore NEW - $399.99 0 Oct/28/13 Oct/31/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $405.00 3 Oct/28/13 Nov/21/14
Description: AMAT 0100-77043 DUAL WAFER LOSS BOARD,HEAD, NEW
keykorea NEW - $330.00 0 Oct/29/13 Jun/18/18
Description: AMAT 0020-78947 RR MANIFOLD ASSY, UPPER PNEUMATIC TITAN, NEW
keykorea NEW - $515.00 1 Oct/29/13 Jan/20/17
Description: AMAT 0020-78432 DRIVE PULLEY, X-AXIS BELT,LONG ROBOT, NEW
keykorea NEW - $270.00 0 Oct/29/13 Jul/21/15
Description: AMAT 0020-79081 SHOULDER FLAG, LONG ROBOT, NEW
keykorea NEW - $280.00 0 Oct/29/13 Feb/11/15
Description: AMAT 0020-78958 WORM GEAR SHAFT,SHOULDER,LONG ROBOT, NEW
keykorea NEW - $220.00 2 Oct/29/13 Jul/01/15
Description: AMAT 0020-09655 DDF3 HUB FR2 PAD CONDITIONER, NEW
keykorea NEW - $380.00 0 Oct/29/13 Jan/27/21
Description: AMAT 3870-02057 3-WAY TEFLON VALVE, SLURRY (3870-02057), NEW
keykorea NEW - $125.00 0 Oct/29/13 Aug/31/19
Description: AMAT 0020-78126 MANIFOLD, SLRRY DELIVERY MODULE, NEW
keykorea NEW - $1,300.00 0 Oct/29/13 May/01/15
Description: AMAT 0140-08230 HARNESS, MEGASONIC RECIRCULATION FLOW SW, NEW
grandbirdnet NEW - $320.00 1 Oct/29/13 Feb/08/24
Description: AMAT 0090-77156 WAFER PRESENT SWITCH, BRUSH UNIT #1,2, NEW
keykorea NEW - $260.00 0 Oct/29/13 Aug/18/14
Description: AMAT 0020-78718 IT MANIFOLD ASSY, UPPER PNEUMATIC TITAN, NEW
keykorea NEW - $1,230.00 0 Oct/29/13 Nov/04/13
Description: AMAT 0015-77080 HARMONIC DRIVE, BASE ASSEMBLY, NEW
keykorea NEW - $650.00 0 Oct/29/13 Jul/28/15
Description: AMAT 0190-01759 HEAD SWEEP BALL SCREW & NUT, NEW
usedeqsales NEW - $410.13 0 Oct/29/13 Jan/16/14
Description: Advantech PCA-6002VE Single Board Computer AMAT 0190-30302 New
usedeqsales NEW - $510.13 1 Oct/29/13 Aug/26/14
Description: Penny+Giles SLS130 Sealable Load Cup Linear Sensor AMAT 0090-01271 New
tdindustrial NEW - $20.00 0 Oct/29/13 Nov/19/21
Description: LAM Weldment 839-460853-001 Rev B, New Sealed
athomemarket NEW - $349.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
tdindustrial NEW - $5.00 0 Oct/29/13 Nov/19/21
Description: LAM Screen Vacuum Port 714-347431-001 Rev B, New, Sealed
athomemarket NEW - $99.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $379.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $379.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
tdindustrial NEW - $15.00 0 Oct/29/13 Nov/19/21
Description: LAM Compass Components Wiring Harness 853-015871-001 Rev C, New, Sealed
athomemarket NEW - $349.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $249.99 1 Oct/29/13 Nov/05/13
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
testeqe NEW - $999.99 8 Oct/29/13 Dec/01/14
Description: NEW AMAT PN: 0242-43419 Kit, RF Filter Per Twin Chamber Producer S SE
athomemarket NEW - $5,899.99 0 Oct/29/13 Nov/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 Oct/29/13 Nov/28/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $5,899.99 0 Oct/29/13 Nov/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $1,654.99 0 Oct/29/13 Nov/28/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
dvkelectronics NEW - $425.00 0 Oct/29/13 Nov/28/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
keykorea NEW - $430.00 0 Oct/29/13 Dec/29/14
Description: AMAT 0090-77298 RR PRESSURE TRANSDUCER(-14.7 TO 15 PSI), NEW
keykorea NEW - $190.00 0 Oct/29/13 Feb/02/15
Description: AMAT 0090-77028 LONG ROBOT SHOULDER SENSOR (0090-77028), NEW
keykorea NEW - $495.00 2 Oct/29/13 Dec/09/15
Description: AMAT 0190-77134 BEARING, 1.97 ID x 3.15 OD, NEW
keykorea NEW - $110.00 0 Oct/29/13 Jan/01/18
Description: AMAT 0090-77173 WAFER PRESENT SWITCH, OUTPUT, NEW
keykorea NEW - $210.00 1 Oct/29/13 Feb/10/15
Description: AMAT 0100-77058 ASS'Y, PCB ROBOT WROST, NEW
keykorea NEW - $176.00 0 Oct/29/13 Oct/06/16
Description: AMAT 0090-77183 5 GRIPPER SENSOR HARNESS, ARM 5, NEW
keykorea NEW - $225.00 0 Oct/29/13 Dec/03/15
Description: AMAT 0090-77034 ASSY,SENSR,SLURRY DISPENSE ARM HOME LIMT, NEW
keykorea NEW - $255.00 2 Oct/29/13 May/06/15
Description: AMAT 0100-77026 WRIST HOME, X AXIA HOME & LMIT SENSOR, NEW
keykorea NEW - $750.00 2 Oct/29/13 Sep/26/14
Description: AMAT 0090-77325 HARNESS, SWITCH, CHEM FLOW, BRUSH LDM, NEW
keykorea NEW - $570.00 0 Oct/29/13 Aug/13/20
Description: AMAT 0020-78969 LONG ROBOT, SHOULDER BLOCK, NEW
keykorea NEW - $220.00 3 Oct/30/13 Apr/29/14
Description: AMAT 0020-79226 COVERBASE ASS'Y,PAD CONDITIONER, NEW
keykorea NEW - $195.00 2 Oct/30/13 Nov/28/16
Description: AMAT 0020-79002 PIVOT ARM, NEW
keykorea NEW - $50.00 0 Oct/30/13 Dec/23/15
Description: AMAT 0190-77132 BEARING.625 ID X1.0625,LONG ROBOT, NEW
keykorea NEW - $100.00 3 Oct/30/13 Nov/03/13
Description: AMAT 0140-77626 C/A ROBOT WRIST,FLEX CABLE, NEW
keykorea NEW - $175.00 1 Oct/30/13 Apr/29/14
Description: AMAT 0020-79230 BRACKET-B, MOTOR, NEW
paulchou2000sourceprocess NEW - $20,900.00 0 Oct/30/13 Nov/06/13
Description: AMAT Ultima ESC 0040-48594 Brand New OEM
usedeqsales NEW - $1,510.13 0 Oct/30/13 May/01/15
Description: Horiba STEC SEC-A21DM Mass Flow Controller AMAT 3030-00770 New
usedeqsales NEW - $1,510.13 0 Oct/30/13 May/01/15
Description: Horiba STEC SEC-A21DM Mass Flow Controller AMAT 3030-00782 New
athomemarket NEW - $4,199.99 0 Oct/30/13 Nov/29/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $119.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $5,799.99 0 Oct/30/13 Nov/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $99.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
usedeqsales NEW - $1,510.13 0 Oct/30/13 May/01/15
Description: Horiba STEC SEC-A21DM Mass Flow Controller AMAT 3030-00804 New
usedeqsales NEW - $1,510.13 0 Oct/30/13 May/01/15
Description: Horiba STEC SEC-A21DM Mass Flow Controller AMAT 3030-00778 New
athomemarket NEW - $419.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
keykorea NEW - $50.00 1 Oct/30/13 Feb/19/14
Description: AMAT 0020-78506 CATCH,CENTERING JAW,HCLU, NEW
grandbirdnet NEW - $80.00 0 Oct/30/13 Jan/21/24
Description: AMAT 3730-01009 SHIM(0.01INCH THICK), NEW
keykorea NEW - $45.00 1 Oct/30/13 Oct/14/14
Description: AMAT 0020-77293 CAP,PULLY SPINDLE,LONG ROBOT, NEW
keykorea NEW - $35.00 0 Oct/30/13 Aug/18/14
Description: AMAT 0020-79073 DRIVE PULLEY, NEW
keykorea NEW - $110.00 0 Oct/30/13 Jun/27/15
Description: AMAT 0020-79229 BRACKET-A, MOTOR, BASE ASSY, NEW
keykorea NEW - $250.00 0 Oct/30/13 Aug/18/14
Description: AMAT 0020-17606 BELLOWS, HCLU, NEW
keykorea NEW - $1,100.00 1 Oct/30/13 Dec/04/13
Description: AMAT 0190-77114 SERVO MOTOR, CROSS, NEW
keykorea NEW - $400.00 0 Oct/30/13 Aug/18/14
Description: AMAT 0190-16235 MOTOR ENCODER ASSY, ROBOT SHOULDER, MIRR, NEW
svcstore NEW - $499.99 0 Oct/30/13 Nov/02/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
grandbirdnet NEW - $350.00 2 Oct/31/13 Aug/11/22
Description: AMAT 0020-79186 OUTER BEARING SPACER PAD COND, NEW
keykorea NEW - $30.00 1 Oct/31/13 Oct/14/14
Description: AMAT 0020-77305 BELT GUARDS, X-AXIS BELT,LONG ROBOT, NEW
keykorea NEW - $25.00 0 Oct/31/13 Feb/02/15
Description: AMAT 0190-77113 COUPLING,SHOULDER,LONG ROBOT, NEW
keykorea NEW - $80.00 0 Oct/31/13 Oct/17/17
Description: AMAT 0020-20672 CHAMBER PLUG, LOWER PLATEN, NEW
keykorea NEW - $40.00 2 Oct/31/13 Jul/10/17
Description: AMAT 0140-77471 BURKERT VAVLE CABLE, INNER TUBE, NEW
keykorea NEW - $250.00 2 Oct/31/13 Jan/21/15
Description: AMAT 0020-79228 DRIVE,HOUSING,BASE ASS'Y,PAD CONDITIONER, NEW
usedeqsales NEW - $460.13 1 Oct/31/13 Feb/17/14
Description: Vicor MX3-73510 DC Power Supply PFC Megapac AMAT 1140-00108 New
athomemarket NEW - $219.99 1 Oct/31/13 Nov/07/13
Description: NEW Applied Materials 0090-00362 Enhanced OTF Control Centerfinder PCB Board
athomemarket NEW - $129.99 0 Oct/31/13 Nov/07/13
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $5,499.99 0 Oct/31/13 Nov/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $473.99 0 Oct/31/13 Nov/30/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
athomemarket NEW - $139.99 0 Oct/31/13 Nov/07/13
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
keykorea NEW - $5,557.50 0 Oct/31/13 Apr/27/21
Description: AMAT 0010-77463 FLYWHEEL,200MM,DRYER(0010-77463), NEW
keykorea NEW - $275.00 1 Oct/31/13 May/19/15
Description: AMAT 0020-78984 ADATPTER PLATE, NEW
keykorea NEW - $1,200.00 0 Oct/31/13 Nov/01/15
Description: AMAT 0190-77182 SERVO MOTOR, INPUT SHTTLE, 200W, NEW
svcstore NEW - $399.99 0 Oct/31/13 Nov/03/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $1,860.00 1 Oct/31/13 May/01/15
Description: AMAT 0040-77600 DRIVEN PULLEY,MIRRA, NEW
grandbirdnet NEW - $160.00 0 Oct/31/13 Nov/25/22
Description: AMAT 0021-78583 UPPER SHIELD, BU, NEW
grandbirdnet NEW - $290.00 0 Oct/31/13 Nov/25/22
Description: AMAT 0021-78582 UPPER SHIELD, SRD, NEW
keykorea NEW - $6,200.00 0 Oct/31/13 Apr/11/18
Description: AMAT 0190-18386 ASS'Y ELCETRONIC BOX SYSTEM LOWER, BRUSH, NEW
keykorea NEW - $9,000.00 0 Nov/01/13 Nov/01/13
Description: AMAT 0040-79920 LINER CATHODE MAG RING WAFFLE EMAX, NEW
usedeqsales NEW - $11,011.10 0 Nov/01/13 Apr/30/15
Description: STP-XH3203P Edwards Turbomolecular Pump PT55-0Z-000 AMAT 3620-00343 New
usedeqsales NEW - $305.57 1 Nov/01/13 Feb/05/14
Description: MKS Instruments 1179A00111CR1BV Mass-Flo Controller AMAT 3030-05858 New
athomemarket NEW - $99.99 0 Nov/01/13 Dec/01/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $75.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $77.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $234.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $296.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $295.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $399.99 0 Nov/01/13 Dec/01/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $368.99 0 Nov/01/13 Dec/01/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $104.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $756.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $369.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $8,436.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $384.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $294.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $500.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
testeqe NEW - $499.99 0 Nov/01/13 Jun/18/16
Description: NEW Applied Materials/AMAT PN: 0010-00215 (00215C) Phase/Mag Detector
athomemarket NEW - $339.99 0 Nov/02/13 Dec/02/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $459.99 0 Nov/02/13 Dec/02/13
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
svcstore NEW - $499.99 0 Nov/02/13 Nov/05/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
bjnaf4 NEW - $875.60 0 Nov/03/13 Dec/03/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $140.99 0 Nov/03/13 Dec/03/13
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $13,055.00 0 Nov/03/13 Dec/03/13
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $2,645.99 0 Nov/03/13 Dec/03/13
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $437.99 0 Nov/03/13 Dec/03/13
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,003.99 0 Nov/03/13 Dec/03/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $413.99 0 Nov/03/13 Dec/03/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
systasemi NEW - $900.00 0 Nov/03/13 Dec/03/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
tdindustrial NEW - $19.95 32 Nov/04/13 Feb/24/14
Description: AMAT Bulb 2P-Tungston, 1010-01088 1KW, Clear, T-8, 1500Hr, New in Box, Sealed
conquer_2011 NEW - $85.00 0 Nov/04/13 Dec/04/13
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
athomemarket NEW - $219.99 0 Nov/04/13 Nov/11/13
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $329.99 0 Nov/04/13 Nov/11/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $126.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket NEW - $1,199.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,199.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $135.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $329.99 0 Nov/04/13 Nov/11/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $144.99 0 Nov/04/13 Dec/04/13
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
keykorea NEW - $30.00 0 Nov/04/13 Mar/30/18
Description: AMAT 0090-77098 ASSY RBT DOOR SW WAFER MA, NEW
svcstore NEW - $399.99 0 Nov/04/13 Nov/07/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $199.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
keykorea NEW - $230.00 2 Nov/04/13 May/27/15
Description: AMAT 0190-77184 BEARING, BALL, 2.5IDX3.0DX.25W, UNOCOL U, NEW
keykorea NEW - $88.00 0 Nov/04/13 Mar/31/16
Description: AMAT 0020-79171 BEARING CLAMP, NEW
keykorea NEW - $48.00 0 Nov/04/13 Aug/16/15
Description: AMAT 0020-79244 KEY SPACER TRAVEL HUB, NEW
keykorea NEW - $120.00 5 Nov/04/13 Feb/13/14
Description: AMAT 0020-79227 SENSOR PLATE, NEW
keykorea NEW - $720.00 0 Nov/04/13 Jun/18/18
Description: AMAT 0100-77002 ASSY, PCB SYSTEM ELECT, NEW
keykorea NEW - $46.00 2 Nov/04/13 May/07/14
Description: AMAT 0140-77613 CABLE, SLIPRINGS-DETEC. NEW
supertechshop NEW - $475.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Chamber Quartz Window 14.75" Dia 1" Thk Polycarbonate 0021-62026 Sealed
keykorea NEW - $1,450.00 3 Nov/04/13 May/02/14
Description: AMAT 0190-77015 PC. 1/ROT INC ENCODER SERVMOTOR, NEW
supertechshop NEW - $975.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
keykorea NEW - $360.00 0 Nov/04/13 Dec/29/14
Description: AMAT 0090-77263 IC PRESSURE TRANSDUCER -14.7 TO 10 -14.7 to +10 psig, NEW
supertechshop NEW - $750.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $495.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $750.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $750.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $199.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
supertechshop NEW - $975.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $299.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
keykorea NEW - $336.00 0 Nov/04/13 Dec/29/14
Description: AMAT 0090-77262 TRANSDUCER, UC PRESSURE - 14.7 TO 10, NEW
keykorea NEW - $3,900.00 0 Nov/04/13 Sep/15/15
Description: AMAT 0010-07729 ASSY, ISCAN, NEW
keykorea NEW - $28,100.00 0 Nov/04/13 Nov/25/15
Description: AMAT 0010-30838 ASSY, CERAMIC HEATER, MC, HA-12, ETERNA, NEW
supertechshop NEW - $49.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $24.99 0 Nov/04/13 Dec/04/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
keykorea NEW - $698.00 0 Nov/04/13 Sep/11/14
Description: AMAT 0100-01793 PCB ASSY, I/O INTERFACE BOARD W/COM, 200, NEW
keykorea NEW - $2,100.00 1 Nov/04/13 Aug/09/16
Description: AMAT 0010-77138 SPRAY GUN ASSY, NEW
keykorea NEW - $300.00 0 Nov/04/13 Mar/07/16
Description: AMAT 0040-46173 BEARING HOUSING, BASE ASSY 200MM PAD CON, NEW
supertechshop NEW - $475.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
keykorea NEW - $365.00 0 Nov/04/13 Jan/19/16
Description: AMAT 0140-77419 CABLE, LOWER PNEUMATIC, NEW
keykorea NEW - $210.00 0 Nov/04/13 Apr/11/18
Description: AMAT 0140-78022 HARNESS, BURSH&ROLLER MOTOR POWER, NEW
keykorea NEW - $190.00 0 Nov/04/13 Nov/24/15
Description: AMAT 0140-78024 HARNESS, BRUSH POS MOTOR POWER, BRUSH2, NEW
keykorea NEW - $100.00 1 Nov/05/13 Dec/03/14
Description: AMAT 0020-78957 BLADDER DECHUCK UPPER 8.00 TITAN HEAD, NEW, LOT 4
keykorea NEW - $100.00 0 Nov/05/13 Jun/09/16
Description: AMAT 0021-28010 SST SEAL CLAMP(UNIVERSAL), HEAD ASSY, PAD CONDITIONER 200MM, NEW
grandbirdnet NEW - $30.00 0 Nov/05/13 Jul/12/23
Description: AMAT 3880-01643 WSHRSEALING 3/8 .364ID X .666OD SST W/VI, NEW, LOT 8
keykorea NEW - $35.00 1 Nov/05/13 Feb/25/15
Description: AMAT 0020-75160 MEMBRANE, WAFER, 8 TITAN HD NO MARKING, NEW
keykorea NEW - $250.00 0 Nov/05/13 Aug/29/18
Description: AMAT 0021-23336 ROD SPLASH GUARD HOUSING SCRUBBER, NEW
keykorea NEW - $35.00 0 Nov/05/13 Apr/14/14
Description: AMAT 0020-79323 SUPPORT PAD, MEMBRANE, 8.00 TITAN HEAD, NEW
keykorea NEW - $460.00 0 Nov/05/13 Aug/15/16
Description: AMAT 0090-00443 PLATEN UNION TEMPERATURE SENSOR, NEW
keykorea NEW - $900.00 1 Nov/05/13 Jul/15/20
Description: AMAT 1080-00260 MOTOR SERVO AMPLIFIER W/DYNAMIC BRAKE 20, NEW
athomemarket NEW - $69.99 0 Nov/05/13 Nov/12/13
Description: (14) NEW Swagelok/AMAT 0050-86359 Male/Female 1/4" VCR Weldment Zig-Zag Fittings
athomemarket NEW - $405.99 0 Nov/05/13 Nov/12/13
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $297.99 0 Nov/05/13 Nov/12/13
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $958.99 0 Nov/05/13 Dec/05/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $89.99 0 Nov/05/13 Dec/05/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $229.99 10 Nov/05/13 Dec/05/13
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $53.99 0 Nov/05/13 Dec/05/13
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $188.99 0 Nov/05/13 Dec/05/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $66.99 0 Nov/05/13 Dec/05/13
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $699.99 0 Nov/05/13 Dec/05/13
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
cappy95 NEW - $99.99 0 Nov/05/13 Dec/05/13
Description: NEW AMAT 0190-13448 Supply Manifold Valve, Hoke 7387001S - Warranty
cappy95 NEW - $99.99 0 Nov/05/13 Dec/05/13
Description: NEW AMAT 3870-01603 FUJIKIN FPR-UDDF-71-6.35-2-NL-UP; PNEUMATIC VALVE 1/4 VCR
paulchou2000sourceprocess NEW - $20,900.00 0 Nov/06/13 Nov/13/13
Description: AMAT Ultima ESC 0040-48594 Brand New OEM
usedeqsales NEW - $2,011.13 3 Nov/06/13 Jan/21/15
Description: Yaskawa XU-ACL4230 Robot Linear Track AMAT 0190-14739 New
athomemarket NEW - $342.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $90.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $342.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $315.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $39.99 0 Nov/06/13 Dec/06/13
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $17.99 0 Nov/06/13 Dec/06/13
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
athomemarket NEW - $315.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $249.99 0 Nov/06/13 Dec/06/13
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
usedeqsales NEW - $1,511.13 0 Nov/06/13 May/01/15
Description: Horiba STEC LV-F504P Liquid Flow Controller AMAT 3030-16315 New
svcstore NEW - $499.99 0 Nov/06/13 Nov/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $140.00 0 Nov/06/13 Apr/11/18
Description: AMAT 0140-78043 CABLE, SENSOR-CONTROL, BLKHD 1, SCRUBBER, NEW
keykorea NEW - $120.00 0 Nov/06/13 Mar/31/16
Description: AMAT 0020-79900 DDF3 O-BRG CLP, NEW
keykorea NEW - $180.00 1 Nov/07/13 Sep/18/15
Description: AMAT 0020-79024 BRACKET, NEW
keykorea NEW - $52.00 0 Nov/07/13 Feb/10/15
Description: AMAT 0021-23135 SPACER, BEARING, BRUSH MOUNT ASSY. FLOW, NEW
grandbirdnet NEW - $100.00 0 Nov/07/13 Jun/28/22
Description: AMAT 0010-31060 SWITHCH, EMO TURN TO RELEASE WITHOUT GUARD CE MARK, NEW
keykorea NEW - $195.00 1 Nov/07/13 Mar/10/14
Description: AMAT 0100-77040 ASSY, PCB, TITAN HEAD PNUEUMATICS, NEW
athomemarket NEW - $211.99 0 Nov/07/13 Dec/07/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $211.99 0 Nov/07/13 Dec/07/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $108.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $90.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $30.99 0 Nov/07/13 Dec/07/13
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Nov/07/13 Dec/07/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket NEW - $378.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
svcstore NEW - $399.99 0 Nov/07/13 Nov/10/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
helixtek NEW - $400.00 0 Nov/07/13 Dec/07/13
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
usedeqsales NEW - $3,507.79 0 Nov/08/13 Jan/23/14
Description: Yaskawa XU-CN1170A Robot Controller AMAT 0190-23873 New
usedeqsales NEW - $604.45 0 Nov/08/13 Feb/21/14
Description: Aera FC-780C Mass Flow Controller AMAT 3030-04204 New
athomemarket NEW - $117.99 0 Nov/08/13 Nov/15/13
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
usedeqsales NEW - $1,011.13 0 Nov/08/13 May/01/15
Description: Photon Control FGA-0204A Fluotemp Analyzer AMAT 0550-00065 New
athomemarket NEW - $495.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $263.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $234.99 0 Nov/08/13 Dec/08/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $131.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $116.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $129.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $184.99 0 Nov/08/13 Dec/08/13
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
usedeqsales NEW - $350.13 0 Nov/08/13 May/05/15
Description: Panasonic MUMS3AZA1E0S Servo Motor MUDB3A2AAD Drive Kit AMAT 0190-30647 New
athomemarket NEW - $116.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $39.99 0 Nov/08/13 Dec/08/13
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $636.99 0 Nov/08/13 Dec/08/13
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $174.99 0 Nov/08/13 Dec/08/13
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $79.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $219.99 1 Nov/08/13 Nov/11/13
Description: NEW Applied Materials 0090-00362 Enhanced OTF Control Centerfinder PCB Board
athomemarket NEW - $126.99 0 Nov/08/13 Nov/15/13
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
supertechshop NEW - $699.00 0 Nov/11/13 Dec/11/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Nov/11/13 Dec/11/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $399.00 0 Nov/11/13 Dec/11/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $399.00 0 Nov/11/13 Dec/11/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $49.99 0 Nov/11/13 Dec/11/13
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $995.00 0 Nov/11/13 Dec/11/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
athomemarket NEW - $198.99 0 Nov/12/13 Nov/19/13
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $413.99 0 Nov/12/13 Dec/12/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $520.99 0 Nov/12/13 Dec/12/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $297.99 0 Nov/12/13 Nov/19/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $297.99 0 Nov/13/13 Dec/13/13
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $297.99 0 Nov/12/13 Nov/19/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
svcstore NEW - $499.99 0 Nov/12/13 Nov/15/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
trees_for_a_better_tomorrow NEW - $250.00 0 Nov/12/13 Nov/19/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
athomemarket NEW - $6,199.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $405.99 0 Nov/13/13 Dec/13/13
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
svcstore NEW - $399.99 0 Nov/13/13 Nov/16/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $315.99 1 Nov/14/13 Dec/14/13
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $315.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $99.99 0 Nov/14/13 Dec/14/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $252.99 0 Nov/14/13 Dec/14/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $212.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $342.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $90.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $342.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $2,221.99 0 Nov/14/13 Dec/14/13
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $255.99 0 Nov/14/13 Dec/14/13
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $71.99 0 Nov/14/13 Dec/14/13
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
athomemarket NEW - $6,199.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
usedeqsales NEW - $1,511.13 0 Nov/14/13 May/01/15
Description: Horba STEC LF-F404M-A-EVD Mass Flow Controller AMAT 0190-38186 New
athomemarket NEW - $282.99 0 Nov/13/13 Dec/13/13
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $254.99 0 Nov/13/13 Dec/13/13
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
athomemarket NEW - $63.99 1 Nov/13/13 Nov/20/13
Description: (14) NEW Swagelok/AMAT 0050-86359 Male/Female 1/4" VCR Weldment Zig-Zag Fittings
athomemarket NEW - $214.99 0 Nov/11/13 Dec/11/13
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,419.99 0 Nov/10/13 Dec/10/13
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
mattron747 NEW - $750.00 0 Nov/09/13 Dec/09/13
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
supertechshop NEW - $249.00 0 Nov/14/13 Dec/14/13
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop NEW - $74.99 0 Nov/11/13 Dec/11/13
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
hot!cardz NEW - $11,500.00 0 Nov/11/13 Nov/21/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
supertechshop NEW - $295.00 0 Nov/14/13 Dec/14/13
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
neilan1987 NEW - $399.99 0 Nov/14/13 Dec/14/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
conquer_2011 NEW - $80.00 1 Nov/15/13 Nov/19/13
Description: NEW SPAN Inst. AMAT # 0150-20736 HE Compound Vacuum Pressure Gauge Cable Assy
usedeqsales NEW - $2,011.13 0 Nov/15/13 May/01/15
Description: VAT 0340X-CA24-BMU2 Slit Valve AMAT 0190-26698 New
athomemarket NEW - $90.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $108.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $190.99 0 Nov/15/13 Dec/15/13
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $155.99 0 Nov/15/13 Dec/15/13
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $105.99 0 Nov/15/13 Dec/15/13
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
tdindustrial NEW - $395.00 2 Nov/15/13 Dec/02/15
Description: LAM Facing Middle Baffle 716-140125-200 Rev B, New
athomemarket NEW - $378.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
svcstore NEW - $499.99 0 Nov/15/13 Nov/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $69.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
svcstore NEW - $399.99 0 Nov/22/13 Nov/25/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $392.99 0 Nov/22/13 Dec/22/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
trees_for_a_better_tomorrow NEW - $250.00 0 Nov/22/13 Nov/29/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
imca00 NEW - $550.00 0 Nov/22/13 Nov/01/14
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
neilan1987 NEW - $279.99 0 Nov/21/13 Dec/21/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
svcstore NEW - $499.99 0 Nov/21/13 Nov/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $249.00 0 Nov/21/13 Dec/21/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
usedeqsales NEW - $411.13 0 Nov/21/13 May/05/15
Description: AMAT Applied Materials 10762200 75FT RF Cable 0620-02771 New
imca00 NEW - $3,999.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
athomemarket NEW - $119.99 0 Nov/22/13 Dec/22/13
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $305.99 0 Nov/22/13 Dec/22/13
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $44.99 0 Nov/22/13 Dec/22/13
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $8,501.99 0 Nov/22/13 Dec/22/13
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
keykorea NEW - $160.00 0 Nov/22/13 Apr/01/19
Description: AMAT 0090-02509 ELECTRICAL ASSY, MONITOR/PC SELECT SWITC, NEW
keykorea NEW - $410.00 3 Nov/22/13 Sep/22/14
Description: AMAT 0010-05679 ROLLER MOUNT ASSY, ROLLER ASSY BRUSH MOD, NEW
keykorea NEW - $50.00 2 Nov/22/13 Dec/16/15
Description: AMAT 0021-77647 DDF3 IC4 NUT, DDF3 HEAD, D1 PAD CONDITIO, NEW
keykorea NEW - $70.00 0 Nov/22/13 May/05/17
Description: AMAT 0020-77423 ADJUSTING BRACKET, NEW
keykorea NEW - $28.00 0 Nov/21/13 Jan/13/14
Description: AMAT 0020-79161 SEAL, NEW
supertechshop NEW - $499.00 0 Nov/21/13 Dec/21/13
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
northtexascontainer NEW - $500.00 0 Nov/22/13 Nov/25/13
Description: Lam Research 810-017013-001 PCB Board Brand New
supertechshop NEW - $249.00 0 Nov/21/13 Dec/21/13
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
hot!cardz NEW - $11,500.00 0 Nov/21/13 Dec/01/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket NEW - $112.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
keykorea NEW - $160.00 0 Nov/24/13 Apr/11/18
Description: AMAT 0140-78063 CABLE, EXTENSION, MNFRM BKHD-ROBOT BKHD, NEW
qc-computer NEW - $120.00 0 Nov/24/13 Dec/24/13
Description: LAM Research Orifice Ring LRC 716-011036-001 New Never Opened!
svcstore NEW - $499.99 0 Nov/24/13 Nov/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
trees_for_a_better_tomorrow NEW - $200.00 1 Nov/24/13 Dec/01/13
Description: Lot of 4 New Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355
keykorea NEW - $1,600.00 0 Nov/24/13 Mar/06/14
Description: AMAT 3060-01694 PLATEN BEARING W/ LUBE, NEW
keykorea NEW - $1,530.00 0 Nov/25/13 Dec/12/14
Description: AMAT 0020-51397 RAE-03773 RINGER, ROBOT, NEW
keykorea NEW - $3,500.00 0 Nov/25/13 Jul/19/16
Description: AMAT 1080-00255 MOTOR SERVO AC, NEW
usedeqsales NEW - $1,507.12 5 Nov/25/13 Jul/31/14
Description: MKS Instruments 640B-29126 Pressure Controller AMAT 1350-00654 New
northtexascontainer NEW - $500.00 0 Nov/25/13 Nov/28/13
Description: Lam Research 810-017013-001 PCB Board Brand New
trees_for_a_better_tomorrow NEW - $150.00 0 Nov/25/13 Dec/02/13
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $295.00 0 Nov/25/13 Dec/02/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $450.00 0 Nov/25/13 Dec/02/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
athomemarket NEW - $249.99 0 Nov/25/13 Dec/02/13
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
trees_for_a_better_tomorrow NEW - $125.00 0 Nov/25/13 Dec/02/13
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $80.00 0 Nov/25/13 Dec/02/13
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $95.00 0 Nov/25/13 Dec/02/13
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
usedeqsales NEW - $1,406.11 0 Nov/25/13 May/01/15
Description: Yaskawa SGLTW-80A400B-AM21 Linear Motor AMAT 0190-31915 New
athomemarket NEW - $175.99 0 Nov/25/13 Dec/25/13
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $179.99 0 Nov/25/13 Dec/25/13
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
trees_for_a_better_tomorrow NEW - $75.00 0 Nov/25/13 Dec/02/13
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $95.00 0 Nov/25/13 Dec/02/13
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $195.00 0 Nov/25/13 Dec/02/13
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $195.00 0 Nov/25/13 Dec/02/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
trees_for_a_better_tomorrow NEW - $125.00 0 Nov/25/13 Dec/02/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
svcstore NEW - $399.99 0 Nov/25/13 Nov/28/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $410.00 0 Nov/25/13 May/10/15
Description: AMAT 3870-03130 M-VF-T11-001-001 VALVE RLF 35PSI 1/4T FLARE PFA RTANG, NEW
keykorea NEW - $83.00 1 Nov/25/13 Feb/18/16
Description: AMAT 0015-77088 PULLEY,DRIVE, NEW
keykorea NEW - $30.00 0 Nov/25/13 Dec/23/15
Description: AMAT 0190-77124 BEARING, .25ID X .625 OD, NEW
trees_for_a_better_tomorrow NEW - $195.00 0 Nov/25/13 Dec/02/13
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
sparepartssolution NEW - $59.99 1 Nov/26/13 Sep/02/15
Description: (125-0102) AMAT APPLIED MATERIALS 0020-20521 SPACER, INSULATOR LIFTER NEW
keykorea NEW - $220.00 1 Nov/25/13 Sep/22/14
Description: AMAT 0090-77203 HARNESS SHUTTLE WAFER PRESENT SWITCH, NEW
athomemarket NEW - $1,199.99 0 Nov/26/13 Dec/26/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Nov/26/13 Dec/26/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
athomemarket NEW - $144.99 0 Nov/27/13 Dec/27/13
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $933.99 0 Nov/27/13 Dec/27/13
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
keykorea NEW - $650.00 0 Nov/27/13 Aug/10/14
Description: AMAT 0100-01996 ASSY, PCB SEI, NEW
svcstore NEW - $499.99 0 Nov/27/13 Nov/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $2,850.00 1 Nov/27/13 Dec/23/19
Description: AMAT 0010-27023 PUSHER VAPOR DRYER DESICA, NEW
keykorea NEW - $97.00 0 Nov/27/13 Dec/06/17
Description: AMAT 0020-79087 INNER CLAMP, NEW
keykorea NEW - $3,200.00 0 Nov/28/13 Jul/30/14
Description: AMAT 0190-09496 PLATE ASSY, PLASMA CHUCK, 200MM, 2ND NEW
supertechshop NEW - $1,150.00 0 Nov/28/13 Dec/28/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Nov/28/13 Dec/28/13
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket NEW - $5,899.99 0 Nov/28/13 Dec/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Nov/28/13 Dec/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 Nov/28/13 Dec/28/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,654.99 0 Nov/28/13 Dec/28/13
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
svcstore NEW - $399.99 0 Nov/28/13 Dec/01/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
dvkelectronics NEW - $425.00 0 Nov/29/13 Dec/28/13
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
athomemarket NEW - $4,199.99 0 Nov/29/13 Dec/29/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,799.99 0 Nov/29/13 Dec/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,499.99 0 Nov/30/13 Dec/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $473.99 0 Nov/30/13 Dec/30/13
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
svcstore NEW - $499.99 0 Nov/30/13 Dec/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $77.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $99.99 0 Dec/01/13 Dec/31/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $295.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $756.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $234.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $8,436.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $368.99 0 Dec/01/13 Dec/31/13
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $384.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $294.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $104.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $500.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $75.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $296.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $369.99 1 Dec/01/13 Dec/24/13
Description: NEW AMAT 0021-76029 15-Slot Wafer Storage Elevator Base
athomemarket NEW - $399.99 0 Dec/01/13 Dec/31/13
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
keykorea NEW - $150.00 1 Dec/01/13 Apr/29/14
Description: AMAT 0040-77742 EXHAUST BRACKET, PHOTOHELIC MOUNTING, NEW
keykorea NEW - $38.00 0 Dec/01/13 Dec/21/16
Description: AMAT 3020-01130 CYLINDER, AIR 12MM, STRK, NEW
keykorea NEW - $300.00 0 Dec/02/13 Apr/18/19
Description: AMAT 0040-80603 SHIELD, AC 5 PHASE DRIVER, NEW
usedeqsales NEW - $1,012.13 0 Dec/02/13 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11993 1 L He New
usedeqsales NEW - $1,512.13 0 Dec/02/13 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12186 100 SCCM CH2F2 New
usedeqsales NEW - $1,512.13 0 Dec/02/13 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11267 15 L He New
farmoninc NEW - $495.00 0 Dec/02/13 Sep/16/15
Description: NEW AMAT 0020-24572 Clamp ring, 6 inch, HLZ-090-001
usedeqsales NEW - $301.11 0 Dec/02/13 May/04/15
Description: Sumitomo 0190-27302 Cryo Return Flexible Hose AMAT 25A x 15m New
farmoninc NEW - $499.00 0 Dec/02/13 Oct/08/21
Description: NEW AMAT 0020-34090 GDP 37 Hole, MXP Etch chamber, Distribution plate
athomemarket NEW - $339.99 0 Dec/02/13 Jan/01/14
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $459.99 0 Dec/02/13 Jan/01/14
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
trees_for_a_better_tomorrow NEW - $250.00 0 Dec/02/13 Dec/09/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
trees_for_a_better_tomorrow NEW - $80.00 0 Dec/02/13 Dec/09/13
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $450.00 0 Dec/02/13 Dec/09/13
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $75.00 0 Dec/02/13 Dec/09/13
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $125.00 0 Dec/02/13 Dec/09/13
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/02/13 Dec/09/13
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $125.00 0 Dec/02/13 Dec/09/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $295.00 0 Dec/02/13 Dec/09/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Dec/02/13 Dec/09/13
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/02/13 Dec/09/13
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
svcstore NEW - $399.99 0 Dec/02/13 Dec/05/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $49.99 0 Dec/02/13 Jan/01/14
Description: NEW AMAT 0190-31284 Lamp 2KW Ushio J1H 120V 2000W Bulb Finned Base / Avail QTY
supertechshop NEW - $299.00 0 Dec/02/13 Jan/01/14
Description: NEW AMAT 0190-33933 Horiba STEC Injection Valve IV-2410AV-02H-180S-N / Warranty
conquer_2011 NEW - $3,200.00 0 Dec/02/13 Dec/12/13
Description: NEW AMAT 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM w/ VEXTA SERVO
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/02/13 Dec/09/13
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
conquer_2011 NEW - $2,500.00 0 Dec/02/13 Dec/12/13
Description: NEW ASSY: AMAT Part 3870-01334,AMAT Part 0050-25013,AMAT Part number 0050-25242
keykorea NEW - $65.00 8 Dec/02/13 Oct/18/16
Description: AMAT 0021-79220 CLAMP, 200MM, WALKING BEAM, NEW
supertechshop NEW - $399.00 0 Dec/02/13 Jan/01/14
Description: NEW AMAT Nor-Cal 3870-06775 Pneumatic 3.5" Gate Valve Applied Materials
supertechshop NEW - $299.00 0 Dec/02/13 Jan/01/14
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
supertechshop NEW - $950.00 0 Dec/02/13 Jan/01/14
Description: NEW AMAT 3870-03322 Nor-Cal 021010-1 Pneumatic 3" Gate Valve / Warranty
usedeqsales NEW - $362.13 0 Dec/03/13 Jan/17/14
Description: Alcatel A215300-017-C2-C2 68in Magpower Turbo Pump Cable AMAT 0620-06556 New
farmoninc NEW - $290.00 0 Dec/03/13 Jun/07/18
Description: NEW AMAT 0150-16013 Cable assymbely, Neslab control, 50 FT
farmoninc NEW - $95.00 0 Dec/03/13 Dec/13/19
Description: NEW AMAT 0010-01904 Assymbely, Interlock, Upper, ES DTCU, DPS
farmoninc NEW - $350.00 1 Dec/03/13 Apr/25/18
Description: NEW AMAT 0620-02907 Cable DC MTR INTEGRA INTL
hot!cardz NEW - $11,500.00 0 Dec/03/13 Dec/13/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
farmoninc NEW - $350.00 0 Dec/03/13 Apr/02/14
Description: NEW AMAT 1410-00030 HTR JKT Straight 208 VAC Foreline, 9515-0501, HTR 1.5
usedeqsales NEW - $1,012.13 1 Dec/03/13 Sep/01/14
Description: Lam Research 810-017098-100 Power Supply PCB 422CE New
bjnaf4 NEW - $875.60 0 Dec/03/13 Jan/02/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $13,055.00 0 Dec/03/13 Jan/02/14
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $2,645.99 0 Dec/03/13 Jan/02/14
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $140.99 0 Dec/03/13 Jan/02/14
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
farmoninc NEW - $60.00 1 Dec/03/13 Nov/25/16
Description: NEW AMAT 0021-08157 PAD, GAS PANEL DOOR LATCH, 300 MM TPG, 300MM
athomemarket NEW - $413.99 0 Dec/03/13 Jan/02/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
tdindustrial NEW - $53.70 0 Dec/03/13 Oct/05/18
Description: AMAT Pipe Nipples 3300-00841 (lot of 2) FTG Hex 1-1/4NPT, 2.41" LG SST, New
farmoninc NEW - $1,700.00 1 Dec/03/13 Oct/16/14
Description: NEW AMAT 0040-21178 PRECLEAN II BELL JAR
athomemarket NEW - $2,003.99 0 Dec/03/13 Jan/02/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $437.99 0 Dec/03/13 Jan/02/14
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
farmoninc NEW - $95.00 0 Dec/03/13 Apr/02/14
Description: NEW AMAT 0021-79624 cover
trees_for_a_better_tomorrow NEW - $195.00 0 Dec/03/13 Dec/10/13
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
supertechshop NEW - $299.00 0 Dec/03/13 Jan/02/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $199.00 0 Dec/03/13 Jan/02/14
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
supertechshop NEW - $249.00 0 Dec/03/13 Jan/02/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 Dec/03/13 Jan/02/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
keykorea NEW - $1,000.00 2 Dec/03/13 Aug/11/17
Description: AMAT 0190-15997 OEMNMCB3 PID CONTROLLER, SINGLE CH, DUAL OUTP, RS, NEW
conquer_2011 NEW - $85.00 0 Dec/04/13 Dec/06/13
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
usedeqsales Used - $1,508.09 4 Dec/04/13 Sep/07/21
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
farmoninc NEW - $125.00 0 Dec/04/13 Sep/28/16
Description: NEW AMAT 0140-77480 cable, robot, DC, K17 TO, K TEC electronics, 0140-77480-SPOT
farmoninc NEW - $250.00 1 Dec/04/13 Jan/23/14
Description: NEW AMAT 0100-20263 PCB, MCA RF AC BOX INTERLOCK
farmoninc NEW - $150.00 0 Dec/04/13 Apr/03/14
Description: NEW AMAT 0040-09472 BRACKET, N2/MID-FILL VALVES REMOTE
usedeqsales NEW - $2,001.10 2 Dec/04/13 Apr/30/15
Description: Berkeley Process Control 100-000-928 BX-2 Controller AMAT 0190-00304 New Surplus
athomemarket NEW - $126.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,199.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,199.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
farmoninc NEW - $770.00 0 Dec/04/13 Dec/13/13
Description: NEW AMAT 0200-35003 Blade Robot 150MM QUARTZ, Roboto blade 150 MM, 0200-35003D
tdindustrial NEW - $495.00 1 Dec/04/13 Mar/02/17
Description: AMAT Adapt Kit 0242-08032, TTF FCW, Weldments 0050-27491, 0050-27492 New, Sealed
farmoninc NEW - $199.00 0 Dec/04/13 Feb/10/15
Description: NEW AMAT 0140-01216 HARNESS ASSY ROBOT CONT PWR 300MM WAFER LDR
tdindustrial NEW - $49.95 1 Dec/04/13 Jun/02/14
Description: LAM Cover 714-021881-001 3 CMP, New
athomemarket NEW - $135.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
conquer_2011 NEW - $8,500.00 0 Dec/04/13 Dec/14/13
Description: NEW AMAT WxZ CVD Chamber Assembly, Isolation Valve, 200mm, 0010-36278
usedeqsales NEW - $1,512.13 0 Dec/04/13 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-19316 280 SCCM N2 New
farmoninc NEW - $175.00 1 Dec/04/13 Oct/07/14
Description: NEW AMAT 0020-78415 Guard spray 6 inch load cup
systasemi NEW - $900.00 0 Dec/04/13 Jan/03/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
svcstore NEW - $499.99 0 Dec/04/13 Dec/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
cappy95 NEW - $249.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT 0010-24172 CII, HX, Valve Assembly
athomemarket NEW - $144.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $958.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $89.99 0 Dec/05/13 Jan/04/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $229.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $188.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $699.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $53.99 0 Dec/05/13 Jan/04/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $66.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
svcstore NEW - $399.99 0 Dec/05/13 Dec/08/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $99.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $99.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $299.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
supertechshop NEW - $650.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT MKS T3Bi Exhaust Throttle Control Valve T3BIB-30925 Hi-Speed 0190-42648
supertechshop NEW - $199.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $475.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Chamber Quartz Window 14.75" Dia 1" Thk Polycarbonate 0021-62026 Sealed
supertechshop NEW - $975.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $750.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $495.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $750.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $750.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $199.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
capitolareatech Used - $500.00 0 Dec/06/13 Oct/02/14
Description: AMAT 3620-02332 TM2500-001M Controller - CTI-CRYOGENICS Keypad looks new
supertechshop NEW - $975.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $299.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
usedeqsales NEW - $756.07 1 Dec/06/13 Dec/09/13
Description: RadiSys 61-0532-40 RAM VME Bus Pentium SBC P133 AMAT 0660-01847 New
usedeqsales NEW - $756.07 1 Dec/06/13 Dec/09/13
Description: RadiSys 61-0532-42 RAM VME Bus Pentium SBC P133 AMAT 0660-01847 New
athomemarket NEW - $39.99 0 Dec/06/13 Jan/05/14
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $17.99 0 Dec/06/13 Jan/05/14
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
athomemarket NEW - $249.99 1 Dec/06/13 Jan/05/14
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
supertechshop NEW - $24.99 0 Dec/06/13 Jan/05/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $49.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $475.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
conquer_2011 NEW - $325.00 0 Dec/06/13 Dec/10/13
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
athomemarket NEW - $211.99 0 Dec/07/13 Jan/06/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $211.99 0 Dec/07/13 Jan/06/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $30.99 0 Dec/07/13 Jan/06/14
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Dec/07/13 Jan/06/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore NEW - $499.99 0 Dec/07/13 Dec/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
cappy95 NEW - $99.99 0 Dec/08/13 Jan/07/14
Description: NEW AMAT 0190-13448 Supply Manifold Valve, Hoke 7387001S - Warranty
cappy95 NEW - $99.99 0 Dec/08/13 Jan/07/14
Description: NEW AMAT 3870-01603 FUJIKIN FPR-UDDF-71-6.35-2-NL-UP; PNEUMATIC VALVE 1/4 VCR
helixtek NEW - $350.00 0 Dec/08/13 Jan/07/14
Description: Amat Cmp Mirra 200mm Robot pulley New 0020-78432
athomemarket NEW - $268.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $238.99 0 Dec/08/13 Jan/07/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $134.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $121.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $136.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $121.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $178.99 0 Dec/08/13 Jan/07/14
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $84.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $41.99 0 Dec/08/13 Jan/07/14
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $640.99 0 Dec/08/13 Jan/07/14
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $500.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $189.99 0 Dec/08/13 Jan/07/14
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
svcstore NEW - $399.99 0 Dec/08/13 Dec/11/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
farmoninc NEW - $1,475.00 1 Dec/09/13 Mar/10/20
Description: NEW AMAT 3870-00154 Slit valve DBL ACTG 49MM ST
farmoninc NEW - $2,500.00 0 Dec/09/13 Apr/08/14
Description: NEW AMAT 0040-21178 PRECLEAN II BELL JAR, Quartz dom lid Centura
mattron747 NEW - $750.00 0 Dec/09/13 Jan/08/14
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
conquer_2011 NEW - $85.00 0 Dec/09/13 Dec/16/13
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
usedeqsales NEW - $256.07 37 Dec/10/13 Dec/14/13
Description: Yaskawa SGM-01U3B4CL AC Servo Motor SGM INCR Encoder AMAT 1080-01243 New
usedeqsales NEW - $1,002.13 3 Dec/10/13 Jun/04/14
Description: Edwards PT17Y1B15 Right Angle Downwards Cable Connection AMAT 0620-02196 New
athomemarket NEW - $304.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $166.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $273.99 0 Dec/10/13 Jan/09/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $236.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $65.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $199.99 0 Dec/10/13 Jan/09/14
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $72.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $119.99 0 Dec/10/13 Jan/09/14
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $360.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $69.99 0 Dec/10/13 Jan/09/14
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $1,438.99 0 Dec/10/13 Jan/09/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
svcstore NEW - $499.99 0 Dec/10/13 Dec/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $880.00 1 Dec/10/13 Jan/08/15
Description: AMAT 1350-01028 XDCRPRESS 2-1000 TORR CAJON 8 VCR FEM FT, NEW
keykorea NEW - $130.00 0 Dec/10/13 Mar/25/15
Description: AMAT 0140-77424 CABLE MIDDLE COVER SWITCH CABLE/HARNESS, NEW
epicrew01 NEW - $500.00 0 Dec/11/13 Feb/05/18
Description: 0200-35003 BRADE ROBOT 150mm for AMAT Centura, New (# NEW-3-1)
epicrew01 NEW - $1,500.00 0 Dec/11/13 Jul/30/19
Description: 0200-35007 CHAMBER UPPER DOME QTZ for AMAT Centura, New (# NEW-1)
epicrew01 NEW - $1,500.00 3 Dec/11/13 Oct/01/15
Description: 0200-36763 Susceptor 150mm for AMAT Centura, New (# NEW-5-1,-2,-3)
epicrew01 NEW - $299.00 1 Dec/11/13 Sep/30/14
Description: 0200-35020 INJECT Baffle 2ea for AMAT Centura, New (# NEW-4-1,-2)
athomemarket NEW - $109.99 0 Dec/11/13 Dec/18/13
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
athomemarket NEW - $140.99 0 Dec/11/13 Jan/10/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $154.99 0 Dec/11/13 Jan/10/14
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,277.99 0 Dec/11/13 Jan/10/14
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $216.99 0 Dec/11/13 Jan/10/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
keykorea NEW - $50.00 0 Dec/11/13 Aug/25/20
Description: AMAT 0720-04020 CONN BOOT KIT, ROTARY, NEW
keykorea NEW - $40.00 0 Dec/11/13 May/16/16
Description: AMAT 0020-79496 SENSOR MOUNT, NEW
keykorea NEW - $75.00 1 Dec/11/13 Jan/03/14
Description: AMAT 0021-79576 WINDOW, ISRM, COMMON UPPER PLATEN, NEW
svcstore NEW - $399.99 0 Dec/11/13 Dec/14/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
everything4copiers NEW - $250.00 0 Dec/11/13 Jan/10/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
everything4copiers NEW - $495.00 0 Dec/11/13 Jan/10/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
everything4copiers NEW - $400.00 0 Dec/11/13 Jan/10/14
Description: Lot of 60 New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assem
keykorea NEW - $780.00 1 Dec/12/13 Jan/15/15
Description: AMAT 0100-01321 ASSY PCB DIGITAL I/O DIO BD, NEW
grandbirdnet Used - $250.00 4 Dec/11/13 Oct/06/21
Description: AMAT 0190-15401 Turck SDNL-0404D-0003S DeviceNet Hubs, NEW
keykorea NEW - $4,000.00 1 Dec/12/13 Nov/25/16
Description: AMAT 0022-77001 BODY, 4 SLURRY DISPENSE ARM, MIRRA CMP, NEW
usedeqsales NEW - $512.13 7 Dec/12/13 Oct/19/14
Description: Kaydon 15754001 REALI-SLIM Ball Bearing AMAT 6000-00026 New
usedeqsales NEW - $512.13 3 Dec/12/13 Oct/19/14
Description: Kaydon 15661001 REALI-SLIM Ball Bearing AMAT 6000-00026 New
supertechshop NEW - $995.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $49.99 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $399.00 0 Dec/12/13 Jan/11/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
athomemarket NEW - $522.99 0 Dec/12/13 Jan/11/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
supertechshop NEW - $399.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Dec/12/13 Jan/11/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
athomemarket NEW - $415.99 0 Dec/12/13 Jan/11/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $111.99 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
athomemarket NEW - $199.99 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
usedeqsales NEW - $812.13 3 Dec/12/13 May/04/15
Description: Astech ATL-100RA Low Frequency Matching Box AMAT 1110-01006 AE 3150086-003 New
athomemarket NEW - $3,734.99 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
supertechshop NEW - $199.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $99.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $495.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $99.99 0 Dec/12/13 Jan/11/14
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
supertechshop NEW - $499.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $74.99 0 Dec/12/13 Jan/11/14
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
keykorea NEW - $7,000.00 0 Dec/12/13 Nov/25/14
Description: AMAT 0010-77195 ASSEMBLY SENSING, NOTCHED OXIDE 8.00 TIT, NEW
conquer_2011 NEW - $1,999.00 0 Dec/12/13 Dec/22/13
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
conquer_2011 NEW - $2,900.00 0 Dec/13/13 Dec/20/13
Description: NEW AMAT 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM w/ VEXTA SERVO
farmoninc NEW - $1,100.00 0 Dec/13/13 Jun/17/15
Description: NEW AMAT 0200-10555 Base Plate with Baffle Quartz, West Coast Quartz
hot!cardz NEW - $11,500.00 0 Dec/13/13 Dec/23/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket NEW - $305.99 0 Dec/13/13 Jan/12/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $413.99 0 Dec/13/13 Jan/12/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
farmoninc NEW - $275.00 8 Dec/13/13 Jul/16/17
Description: NEW AMAT 3870-01141 MDC KAV-150-P Pneumatic Angle Valve, 311074
athomemarket NEW - $284.99 0 Dec/13/13 Jan/12/14
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $256.99 0 Dec/13/13 Jan/12/14
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
semipart NEW - $200.00 1 Dec/13/13 Dec/14/13
Description: APPLIED MATERIALS 0190-21067 Filter Diffuser, Assy. New, Sealed 3 available
svcstore NEW - $499.99 0 Dec/13/13 Dec/16/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $400.00 0 Dec/14/13 Jan/13/14
Description: AMAT 0090-02980 MOTOR BRAKE ENCODER ASSY, New and Sealed
semipart NEW - $75.00 0 Dec/14/13 Jan/03/14
Description: New Applied Materials 0190-30355 LIGHT PEN, 10 AVAILABES
semipart NEW - $800.00 1 Dec/14/13 Dec/29/13
Description: NEW AMAT LASER ORIENTER ASSY, ENDURA 0010-08185
athomemarket NEW - $254.99 0 Dec/14/13 Jan/13/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $106.99 0 Dec/14/13 Jan/13/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $214.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,256.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $257.99 0 Dec/14/13 Jan/13/14
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $2,243.99 0 Dec/14/13 Jan/13/14
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $6,256.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $73.99 0 Dec/14/13 Jan/13/14
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
athomemarket NEW - $318.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $318.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $97.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $345.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
conquer_2011 NEW - $6,375.00 0 Dec/14/13 Dec/24/13
Description: NEW AMAT WxZ CVD Chamber Assembly, Isolation Valve Assembly, 200mm, 0010-36278
svcstore NEW - $399.99 0 Dec/14/13 Dec/17/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $97.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $115.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $191.99 0 Dec/15/13 Jan/14/14
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $107.99 0 Dec/15/13 Jan/14/14
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $157.99 0 Dec/15/13 Jan/14/14
Description: 12 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $380.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
conquer_2011 Used - $5,484.00 0 Dec/16/13 Dec/26/13
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW?? Priced as Used
farmoninc NEW - $600.00 0 Dec/16/13 Mar/25/19
Description: 2 NEW AMAT 0242-23671 KIT, DPS-11 Foreline, Heated
athomemarket NEW - $101.99 0 Dec/16/13 Jan/15/14
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
athomemarket NEW - $54.99 0 Dec/16/13 Jan/15/14
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $107.99 0 Dec/16/13 Jan/15/14
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $47.99 0 Dec/16/13 Jan/15/14
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $107.99 0 Dec/16/13 Jan/15/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $91.99 0 Dec/16/13 Jan/15/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $49.99 0 Dec/16/13 Jan/15/14
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $701.99 0 Dec/16/13 Jan/15/14
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $80.99 0 Dec/16/13 Jan/15/14
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $918.99 0 Dec/16/13 Jan/15/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $43.99 0 Dec/16/13 Jan/15/14
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
conquer_2011 NEW - $85.00 0 Dec/16/13 Dec/23/13
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
svcstore NEW - $499.99 0 Dec/16/13 Dec/19/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $1,600.00 0 Dec/21/13 Jan/20/14
Description: AMAT APPLIED MATERIALS 0010-20133 BLADE ASSY 8" Transfer, New
svcstore NEW - $399.99 0 Dec/20/13 Dec/23/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $206.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $1,091.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $300.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $300.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $1,091.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $315.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $114.99 0 Dec/20/13 Jan/19/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $236.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 Dec/20/13 Jan/19/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
sparepartssolution NEW - $5,000.00 0 Dec/20/13 Aug/12/14
Description: AMAT APPLIED MATERIALS 0010-21246 ASSEMBLY, NON-NTM ADVANCED 101 - 8" NEW
svcstore NEW - $499.99 0 Dec/19/13 Dec/22/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $299.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $99.99 0 Dec/19/13 Jan/18/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
athomemarket NEW - $225.99 0 Dec/19/13 Dec/26/13
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $1,817.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,716.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $502.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $128.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $119.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $88.99 0 Dec/18/13 Jan/17/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $918.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $618.99 0 Dec/18/13 Jan/17/14
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $301.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
usedeqsales NEW - $5,512.13 1 Dec/18/13 Aug/30/14
Description: AMAT Applied Materials 0270-02115 Vac Ring Tool Assembly XP Robot New
farmoninc NEW - $1,750.00 1 Dec/20/13 Jan/20/22
Description: NEW MKS Throttle valve 683B-26112, Type 683 control valve, AMAT 3870-04465
athomemarket NEW - $751.99 0 Dec/20/13 Jan/19/14
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $136.99 0 Dec/20/13 Jan/19/14
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $94.99 0 Dec/20/13 Jan/19/14
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $307.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $1,327.99 0 Dec/20/13 Jan/19/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
usedeqsales NEW - $1,058.49 5 Dec/20/13 Apr/12/15
Description: Brooks 6256SCZVCLDC Mass Flow Controller 6256S AMAT 3030-13309 New
usedeqsales NEW - $1,512.13 0 Dec/20/13 May/01/15
Description: Brooks 6256SCZVCLDC Mass Flow Controller 6256S AMAT 3030-12021 New
usedeqsales NEW - $1,512.13 0 Dec/20/13 May/01/15
Description: Brooks 6256SCZVCLDC Mass Flow Controller 6256S AMAT 3030-12020 New
usedeqsales NEW - $1,512.13 0 Dec/20/13 May/01/15
Description: Brooks 6256SCZVCLDC Mass Flow Controller 6256S AMAT 3030-13310 New
athomemarket NEW - $64.99 0 Dec/20/13 Dec/27/13
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
usedeqsales NEW - $1,058.49 2 Dec/20/13 Apr/12/15
Description: Brooks 6256SCZVCLDC Mass Flow Controller AMAT 3030-13311 300 SCCM N2 New
usedeqsales NEW - $1,512.13 1 Dec/20/13 May/01/15
Description: SAM SFC480F3PD Mass Flow Controller AMAT 3030-00607 New Surplus
usedeqsales NEW - $1,512.13 0 Dec/20/13 May/01/15
Description: SAM SFC480F3PD Mass Flow Controller AMAT 3030-03429 New Surplus
conquer_2011 NEW - $2,999.00 0 Dec/20/13 Jan/19/14
Description: NEW AMAT 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM w/ VEXTA SERVO
supertechshop NEW - $24.99 0 Dec/19/13 Jan/18/14
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $24.99 0 Dec/19/13 Jan/18/14
Description: NEW AMAT Bushing Flat Roller 15K/25K Ceramic 0040-74466 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $295.00 0 Dec/17/13 Jan/16/14
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
supertechshop NEW - $249.00 0 Dec/17/13 Jan/16/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
semipart Used - $1,200.00 0 Dec/21/13 Dec/28/13
Description: 8" BUFFER W/SLOT FROG LEG, AMAT 0021-35713 NEW, BLADE AMAT 0021-70285 USED
neilan1987 NEW - $399.99 0 Dec/21/13 Apr/21/14
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
athomemarket NEW - $100.99 0 Dec/21/13 Jan/20/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $71.99 0 Dec/21/13 Jan/20/14
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,347.99 0 Dec/21/13 Jan/20/14
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $81.99 0 Dec/21/13 Jan/20/14
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
logansemi NEW - $65.00 1 Dec/22/13 Mar/12/14
Description: Lam Chain, Roller, #25 SS 52", New, 750-007781-001
athomemarket NEW - $394.99 0 Dec/22/13 Jan/21/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $121.99 0 Dec/22/13 Jan/21/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $45.99 0 Dec/22/13 Jan/21/14
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $307.99 0 Dec/22/13 Jan/21/14
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $8,579.99 0 Dec/22/13 Jan/21/14
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
svcstore NEW - $499.99 0 Dec/22/13 Dec/25/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $650.00 0 Dec/23/13 Mar/31/14
Description: AMAT 0190-14372 DRVR,SGD,100W,200V,SPEED CNTL, NEW
keykorea NEW - $3,600.00 0 Dec/23/13 Jun/19/19
Description: AMAT 0010-77357 DDF3 PNEUM CNTRL UNIT, NEW
semipart NEW - $800.00 0 Dec/26/13 Jan/25/14
Description: New, Applied Materials (AMAT) 0020-70285 8" Robot Buffer Blade
athomemarket NEW - $1,211.99 0 Dec/26/13 Jan/25/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore NEW - $499.99 0 Dec/25/13 Dec/28/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sparepartssolution NEW - $59.99 0 Dec/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-20521 SPACER, INSULATOR LIFTER NEW
trees_for_a_better_tomorrow NEW - $597.50 1 Dec/24/13 Feb/22/14
Description: ★ New AMAT Applied Materials 0100-35232 Match Detector 13.56 MHz RF ★
supertechshop NEW - $249.00 0 Dec/24/13 Jan/23/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
mercury_man NEW - $89.99 0 Dec/23/13 Dec/30/13
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
supertechshop NEW - $299.00 0 Dec/23/13 Jan/22/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 Dec/23/13 Jan/22/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
svcstore NEW - $399.99 0 Dec/23/13 Dec/26/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $114.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $71.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $206.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $116.99 0 Dec/26/13 Jan/25/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
qc-computer NEW - $120.00 0 Dec/24/13 Jan/23/14
Description: LAM Research Orifice Ring LRC 716-011036-001 New Never Opened!
athomemarket NEW - $90.99 0 Dec/23/13 Jan/02/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
supertechshop NEW - $249.00 0 Dec/24/13 Jan/23/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
svcstore NEW - $399.99 0 Dec/26/13 Dec/29/13
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
ace449parts2010 NEW - $750.00 0 Dec/27/13 Jan/03/14
Description: AMAT 0010-33890 New Magnet G3-Encapsulated
semipart NEW - $75.00 7 Dec/27/13 Jan/03/14
Description: New Applied Materials 0190-30355 LIGHT PEN,
semipart NEW - $1,500.00 0 Dec/27/13 Jan/26/14
Description: 8" BUFFER W/SLOT FROG LEG, AMAT 0021-35713 NEW, BLADE AMAT 0021-70285 USED
athomemarket NEW - $227.99 0 Dec/27/13 Jan/26/14
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $935.99 0 Dec/27/13 Jan/26/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $146.99 0 Dec/27/13 Jan/26/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
visionsemi NEW - $495.00 2 Dec/27/13 Jun/24/14
Description: LAM RESEARCH 716-020905-001 RING FILLER LOWER WAFER CLAMP NEW OEM
visionsemi NEW - $1,050.00 0 Dec/27/13 Apr/03/14
Description: LAM RESEARCH 713-028553-002 CAP, RING CONFINEMENT (NEW)
visionsemi NEW - $520.00 0 Dec/27/13 Mar/18/16
Description: LAM RESEARCH 716-494968-001 LINER EXTENDED HEATED CERAMIC NEW OEM
athomemarket NEW - $5,954.99 0 Dec/28/13 Jan/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Dec/28/13 Jan/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Dec/28/13 Jan/27/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,671.99 0 Dec/28/13 Jan/27/14
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
dvkelectronics NEW - $425.00 0 Dec/28/13 Jan/27/14
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
visionsemi NEW - $17.50 0 Dec/28/13 Mar/18/16
Description: AMAT 0020-20113 HUB DC BIAS SHIELD (NEW)
svcstore NEW - $499.99 0 Dec/28/13 Dec/31/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $5,853.99 0 Dec/29/13 Jan/28/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Dec/29/13 Jan/28/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,550.99 0 Dec/30/13 Jan/29/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $476.99 0 Dec/30/13 Jan/29/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
mercury_man NEW - $85.99 0 Dec/30/13 Jan/06/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
athomemarket NEW - $415.99 0 Jan/02/14 Feb/01/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/02/14 Jan/09/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/02/14 Jan/09/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/02/14 Jan/09/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $450.00 0 Jan/02/14 Jan/09/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/02/14 Jan/09/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $75.00 0 Jan/02/14 Jan/09/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/02/14 Jan/09/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/02/14 Jan/09/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/14 Jan/09/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $250.00 0 Jan/02/14 Jan/08/14
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
bjnaf4 NEW - $875.60 0 Jan/02/14 Feb/01/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
visionsemi NEW - $308.00 0 Jan/02/14 Jun/20/16
Description: NEW! AMAT APPLIED MATERIALS SHIELD 8" TIW ARC SPRAY 0020-25893
supertechshop NEW - $399.00 0 Jan/02/14 Feb/01/14
Description: NEW AMAT Nor-Cal 3870-06775 Pneumatic 3.5" Gate Valve Applied Materials
svcstore NEW - $399.99 0 Jan/01/14 Jan/04/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $499.99 0 Jan/01/14 Jan/04/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $341.99 0 Jan/01/14 Jan/31/14
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
semipart NEW - $400.00 0 Jan/01/14 Jan/31/14
Description: AMAT 0660-01699 Convectron Module card, GP VGC 332140 New, open for Picture
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/14 Jan/09/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
athomemarket NEW - $13,174.00 0 Jan/02/14 Feb/01/14
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $142.99 0 Jan/02/14 Feb/01/14
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $2,671.99 0 Jan/02/14 Feb/01/14
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $439.99 0 Jan/02/14 Feb/01/14
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,023.99 0 Jan/02/14 Feb/01/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $67.99 0 Jan/02/14 Jan/09/14
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
visionsemi NEW - $1,575.00 0 Jan/02/14 Nov/23/15
Description: LAM RESEARCH 716-440054-108 RING FOCUS ADAPTER ALUMINA PLT NEW OEM
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/02/14 Jan/09/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
farmoninc NEW - $10.00 0 Jan/03/14 Jan/25/24
Description: NEW AMAT 3700-01987 Center Ring, KF-50, NW50 with ORING
farmoninc NEW - $60.00 0 Jan/03/14 Oct/01/21
Description: NEW AMAT 3300-03211 Flex Hoss 1/4 inch VCR
farmoninc NEW - $1,250.00 0 Jan/03/14 May/10/17
Description: NEW AMAT 0020-70284 REV 002 Tube Robot 119423
farmoninc NEW - $60.00 1 Jan/03/14 Sep/16/14
Description: 2 NEW AMAT 3060-01464 BRG BALL 1.0625 BORE, 150 O.D. .281W
athomemarket NEW - $1,212.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,212.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $92.99 0 Jan/03/14 Feb/02/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
athomemarket NEW - $137.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $128.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
conquer_2011 NEW - $975.00 0 Jan/03/14 Feb/02/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
visionsemi NEW - $699.00 0 Jan/03/14 Jan/14/16
Description: AMAT APPLIED MATERIALS PRECLEAN BELL JAR 0040-13509 ZCOAT NEW
farmoninc NEW - $75.00 0 Jan/04/14 Aug/28/20
Description: 4 new AMAT 3870-00078 HPS 93-0057 KF50 Vacuum bellows NW-50
cappy95 NEW - $224.99 1 Jan/04/14 Jan/06/14
Description: NEW AMAT 0010-24172 CII, HX, Valve Assembly
athomemarket NEW - $145.99 0 Jan/04/14 Feb/03/14
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $960.99 0 Jan/04/14 Feb/03/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $91.99 0 Jan/04/14 Feb/03/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $232.99 0 Jan/04/14 Feb/03/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $54.99 0 Jan/04/14 Feb/03/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $190.99 0 Jan/04/14 Feb/03/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $68.99 0 Jan/04/14 Feb/03/14
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $700.99 0 Jan/04/14 Feb/03/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
conquer_2011 NEW - $15.00 0 Jan/04/14 Feb/03/14
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
conquer_2011 Used - $5,999.00 0 Jan/04/14 Feb/02/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
svcstore NEW - $399.99 0 Jan/04/14 Jan/07/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $499.99 0 Jan/04/14 Jan/07/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
esprprts NEW - $50.00 1 Jan/05/14 Jan/08/14
Description: Applied Materials 3320-02241 GSKT 6" CFF OFHC COPPER - 5 new gaskets
athomemarket NEW - $252.99 0 Jan/05/14 Feb/04/14
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $40.99 0 Jan/05/14 Feb/04/14
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
athomemarket NEW - $19.99 0 Jan/05/14 Feb/04/14
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
systasemi NEW - $900.00 0 Jan/05/14 Feb/04/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
usedeqsales NEW - $1,501.14 0 Jan/06/14 May/01/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0015-03011 New
usedeqsales NEW - $1,501.14 0 Jan/06/14 May/01/15
Description: Aera FCPI980CBAXDIDJAA Mass Flow Controller AMAT 0015-02993 New
usedeqsales NEW - $600.46 1 Jan/06/14 Feb/18/14
Description: Aera FCPI980CBAXDIDJAA Mass Flow Controller AMAT 0190-34210 New
tdindustrial NEW - $11.25 0 Jan/06/14 Nov/19/21
Description: AMAT Ontrak Part 0020-15275 Rev 002, New
usedeqsales NEW - $1,501.14 0 Jan/06/14 May/01/15
Description: STEC SEC-7350M Mass Flow Controller AMAT 3030-12277 New
visionsemi NEW - $150.00 0 Jan/06/14 Jun/24/16
Description: LAM RESEARCH 796-093055-001 BONNET SEAL KIT (NEW)
athomemarket NEW - $213.99 0 Jan/06/14 Feb/05/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $213.99 0 Jan/06/14 Feb/05/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $32.99 0 Jan/06/14 Feb/05/14
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Jan/06/14 Feb/05/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
mercury_man NEW - $84.99 0 Jan/07/14 Jan/14/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
cappy95 NEW - $99.99 0 Jan/07/14 Feb/06/14
Description: NEW AMAT 0190-13448 Supply Manifold Valve, Hoke 7387001S - Warranty
cappy95 NEW - $99.99 0 Jan/07/14 Feb/06/14
Description: NEW AMAT 3870-01603 FUJIKIN FPR-UDDF-71-6.35-2-NL-UP; PNEUMATIC VALVE 1/4 VCR
athomemarket NEW - $265.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $236.99 0 Jan/07/14 Feb/06/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $131.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $118.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $133.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $40.99 0 Jan/07/14 Feb/06/14
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $118.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $176.99 0 Jan/07/14 Feb/06/14
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $638.99 0 Jan/07/14 Feb/06/14
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $81.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $497.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $186.99 0 Jan/07/14 Feb/06/14
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
hot!cardz NEW - $11,500.00 0 Jan/07/14 Jan/17/14
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
svcstore NEW - $399.99 0 Jan/07/14 Jan/10/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $499.99 0 Jan/07/14 Jan/10/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $318.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $318.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $97.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $345.99 1 Jan/13/14 Feb/12/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $254.99 0 Jan/13/14 Feb/12/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $106.99 0 Jan/13/14 Feb/12/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $214.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,256.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $305.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $413.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
semipart NEW - $400.00 0 Jan/13/14 Feb/12/14
Description: AMAT 0090-02980 MOTOR BRAKE ENCODER ASSY, New and Sealed
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/11/14 Jan/18/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $75.00 0 Jan/11/14 Jan/18/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/11/14 Jan/18/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/11/14 Jan/18/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/11/14 Jan/18/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/11/14 Jan/18/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $450.00 0 Jan/11/14 Jan/18/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/11/14 Jan/18/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/11/14 Jan/18/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
athomemarket NEW - $522.99 0 Jan/11/14 Feb/10/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $415.99 0 Jan/11/14 Feb/10/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $257.99 0 Jan/13/14 Feb/12/14
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $2,243.99 0 Jan/13/14 Feb/12/14
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $6,256.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $73.99 0 Jan/13/14 Feb/12/14
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-3165 Mass Flow Controller AMAT 0190-24671 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-17627 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26239 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-12167 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-11188 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8561C Mass Flow Controller AMAT 3030-12305 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12110 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11299 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8561C Mass Flow Controller AMAT 3030-12310 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11377 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11662 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8561C Mass Flow Controller AMAT 3030-12307 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12101 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8561C Mass Flow Controller AMAT 3030-12304 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-14423 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-13111 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26228 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-19993 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-26525 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-13308 New
usedeqsales NEW - $1,501.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24842 New
keykorea NEW - $6,000.00 1 Jan/12/14 Jul/26/16
Description: AMAT 0020-39360 RACE, UPPER,BEARING, UPPER ROTATION, RTP, NEW
athomemarket NEW - $216.99 0 Jan/10/14 Feb/09/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,433.99 0 Jan/09/14 Feb/08/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
mattron747 NEW - $750.00 0 Jan/08/14 Feb/07/14
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/11/14 Jan/18/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
svcstore NEW - $399.99 0 Jan/13/14 Jan/16/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $499.99 0 Jan/13/14 Jan/16/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
mercury_man NEW - $83.00 0 Jan/14/14 Jan/21/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
usedeqsales NEW - $1,501.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-3165 Mass Flow Controller AMAT 0190-24829 New
usedeqsales NEW - $1,501.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-14634 New
surplusssam NEW - $49.99 1 Jan/14/14 Feb/04/14
Description: 6 NEW MEC TECH MEC81105-209L TRAY SUPPORT AMAT# 1120-01179
usedeqsales NEW - $1,501.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-15863 New
athomemarket NEW - $199.99 0 Jan/14/14 Jan/21/14
Description: NEW Exactus IFM8-915 8-Channel 100-240 VAC 60W Serial Port HUB AMAT 0190-28683
usedeqsales NEW - $1,501.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11503 New
usedeqsales NEW - $1,501.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8561C Mass Flow Controller AMAT 3030-12311 New
athomemarket NEW - $115.99 0 Jan/14/14 Feb/13/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
usedeqsales NEW - $1,501.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11373 New
usedeqsales NEW - $1,501.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-13618 New
usedeqsales NEW - $1,501.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12069 New
usedeqsales NEW - $1,501.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-12194 New
keykorea NEW - $7,500.00 0 Jan/14/14 Feb/07/14
Description: AMAT 0040-32927 HOUSING, BRUSH MODULE, 200MM, NEW
keykorea NEW - $600.00 0 Jan/15/14 Jan/19/15
Description: AMAT 0020-78642 FLANGE, UPPER EXHAUST REV. P1, NEW
keykorea NEW - $190.00 0 Jan/15/14 Sep/29/15
Description: AMAT 0021-77895 STUD, NEW
semipart NEW - $350.00 0 Jan/17/14 Feb/16/14
Description: AMAT APPLIED MATERIALS 3870-01213 VALVE NEW
athomemarket NEW - $128.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $119.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
supertechshop NEW - $49.99 0 Jan/17/14 Feb/16/14
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $995.00 0 Jan/17/14 Feb/16/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Jan/17/14 Feb/16/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
athomemarket NEW - $918.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $88.99 0 Jan/17/14 Feb/16/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $618.99 0 Jan/17/14 Feb/16/14
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $301.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
supertechshop NEW - $399.00 0 Jan/17/14 Feb/16/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Jan/17/14 Feb/16/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
svcstore NEW - $399.99 0 Jan/16/14 Jan/19/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $499.99 0 Jan/16/14 Jan/19/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $113.99 0 Jan/16/14 Feb/15/14
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $316.99 0 Jan/16/14 Feb/15/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
supertechshop NEW - $99.00 0 Jan/16/14 Feb/15/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Jan/16/14 Feb/15/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
farmoninc NEW - $250.00 0 Jan/17/14 Feb/18/14
Description: NEW Veriflo 42600719 Valve Pneumtic Diaphram 944AOPLPNCSFSF, AMAT 3870-01306
farmoninc NEW - $450.00 0 Jan/17/14 Aug/22/19
Description: NEW AMAT 3870-01913 Fujikin 316L-P Valve
farmoninc NEW - $500.00 0 Jan/17/14 Jun/08/15
Description: NEW AMAT 3870-01767 Valve Pneumatic Diaphram 140PSIG 1/4-F/F, NC 1/8 FIT VIM VAR
farmoninc NEW - $250.00 1 Jan/17/14 Dec/01/14
Description: NEW AMAT 3870-00787 Valve assymbely Pneumatic Diaphram NC/NC 3 way valve
athomemarket NEW - $51.99 0 Jan/17/14 Feb/16/14
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $51.99 0 Jan/17/14 Feb/16/14
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $1,019.99 0 Jan/17/14 Feb/16/14
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $473.99 0 Jan/17/14 Jan/24/14
Description: NEW AMAT 0190-13840 Yaskawa Servopack SGDF-A2CSY39 24VDC 2A 20W Motor Driver
usedeqsales NEW - $1,501.14 0 Jan/17/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-15609 New
usedeqsales NEW - $1,501.14 0 Jan/17/14 May/01/15
Description: Celerity UFC-8160 Mass Flow Controller AMAT 3030-02233 New
usedeqsales NEW - $1,501.14 0 Jan/17/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26222 New
usedeqsales NEW - $1,501.14 0 Jan/17/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-13031 New
usedeqsales NEW - $1,501.14 0 Jan/17/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26105 New
usedeqsales NEW - $1,501.14 0 Jan/17/14 May/01/15
Description: Unit Instruments UFC-3165 Mass Flow Controller AMAT 0190-08914 New
keykorea NEW - $1,100.00 0 Jan/17/14 Apr/13/14
Description: AMAT 0021-02395 INSERT RING, ALUMINUM, DXZ SACVD, NEW
supertechshop NEW - $295.00 0 Jan/17/14 Feb/16/14
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
supertechshop NEW - $74.99 0 Jan/16/14 Feb/15/14
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
supertechshop NEW - $1,250.00 0 Jan/18/14 Feb/17/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
athomemarket NEW - $1,716.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,817.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $502.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $330.99 0 Jan/18/14 Feb/17/14
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $219.99 0 Jan/18/14 Feb/17/14
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $83.99 0 Jan/18/14 Feb/17/14
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $94.99 0 Jan/18/14 Feb/17/14
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
conquer_2011 NEW - $2,159.28 0 Jan/19/14 Feb/18/14
Description: NEW AMAT 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM w/ VEXTA SERVO
turkeymakesmetired-2008 NEW - $1,499.99 1 Jan/19/14 Mar/19/14
Description: AMAT Applied Materials 0010-09019 Non-Heated Throttle Valve Assembly New
athomemarket NEW - $1,091.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $300.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $300.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $1,091.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $315.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $236.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $114.99 0 Jan/19/14 Feb/18/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $99.99 0 Jan/19/14 Feb/18/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $751.99 0 Jan/19/14 Feb/18/14
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $1,327.99 0 Jan/19/14 Feb/18/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $307.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $136.99 0 Jan/19/14 Feb/18/14
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $94.99 0 Jan/19/14 Feb/18/14
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $206.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
trees_for_a_better_tomorrow NEW - $450.00 0 Jan/19/14 Jan/26/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/19/14 Jan/26/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/19/14 Jan/26/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/19/14 Jan/26/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $75.00 0 Jan/19/14 Jan/26/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/19/14 Jan/26/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/19/14 Jan/26/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/19/14 Jan/26/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/19/14 Jan/26/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/19/14 Jan/26/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/19/14 Jan/26/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/19/14 Jan/26/14
Description: ★ New AMAT Applied Materials 0100-35232 Match Detector 13.56 MHz RF ★
keykorea NEW - $830.00 1 Jan/19/14 Feb/10/15
Description: AMAT 0040-46602 FLEXURE HEAD ASSEMBLY, NEW
svcstore NEW - $399.99 0 Jan/19/14 Jan/22/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $499.99 0 Jan/19/14 Jan/22/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $6,500.00 0 Jan/20/14 Oct/17/16
Description: AMAT 0190-15840 REGULATOR, NEW
semipart NEW - $1,600.00 0 Jan/20/14 Feb/19/14
Description: AMAT APPLIED MATERIALS 0010-20133 BLADE ASSY 8" Transfer, New
usedeqsales NEW - $1,501.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0010-42177 MultiFlo SC22 New
usedeqsales NEW - $1,501.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0010-29291 MultiFlo SC22 New
usedeqsales NEW - $1,501.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0010-29292 MultiFlo SC22 New
usedeqsales NEW - $1,501.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-26052 MultiFlo SC28 New
athomemarket NEW - $71.99 1 Jan/20/14 Feb/19/14
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $100.99 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $1,347.99 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $81.99 1 Jan/20/14 Feb/13/14
Description: NEW AMAT 9240-04145 Fiber Optic Source Cable Pro QX Kit
usedeqsales NEW - $1,501.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-28856 MultiFlo SC21 New
supertechshop NEW - $299.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $99.99 0 Jan/20/14 Feb/19/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $399.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 3030-05748 Stec LF-310A-EVD Mass Flow Controller Liquid TEPO 0.2 g/Min
supertechshop NEW - $24.99 0 Jan/20/14 Feb/19/14
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $24.99 4 Jan/20/14 Feb/19/14
Description: NEW AMAT Bushing Flat Roller 15K/25K Ceramic 0040-74466 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $249.00 0 Jan/20/14 Feb/19/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
jabedow NEW - $500.00 1 Jan/20/14 Jan/27/14
Description: AMAT APPLIED MATERIALS 0100-20100 ANALOG I/O PCB NEW OUT OF BOX
epicrew01 NEW - $1,999.00 1 Jan/21/14 Jul/10/14
Description: 8" BUFFER HP+, AMAT 0021-22485 NEW, BLADE,#59-1
sparepartssolution NEW - $18,000.00 0 Jan/21/14 Apr/20/15
Description: (19) AMAT APPLIED MATERIALS 0010-05995 ASSY ESC RF 200MM FLAT EMAX BRAND NEW
mercury_man NEW - $82.99 0 Jan/21/14 Jan/28/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
visionsemi NEW - $1,350.00 0 Jan/21/14 Feb/20/14
Description: LAM RESEARCH 716-011923-001 PLATE WAFER CLAMP ATTACHMENT NEW OEM
visionsemi NEW - $500.00 0 Jan/21/14 Feb/20/14
Description: LAM RESEARCH 716-140139-001 RING HOT EDGE HI WALL ESC 8" NEW OEM
farmoninc NEW - $250.00 1 Jan/21/14 May/02/14
Description: NEW AMAT 3870-90121 Swagelok SS-HBVCR4-CM, 3 Port Solenoid valve N/C
athomemarket NEW - $8,579.99 0 Jan/21/14 Feb/20/14
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
tdindustrial NEW - $99.50 2 Jan/21/14 Feb/20/14
Description: AMAT Kit 0240-05806 Controller Transfer Ch. LCF, See List Below, New
tdindustrial NEW - $69.50 2 Jan/21/14 May/19/15
Description: AMAT Kit 0240-05650 LCF I/O Panel, Mainframe, See List Below, New
tdindustrial NEW - $60.00 8 Jan/21/14 Mar/12/19
Description: AMAT Kit 0240-05642 LCF Blank-Off Buffer/Transfer CH. , See List Below, New
tdindustrial NEW - $20.00 0 Jan/21/14 Nov/19/21
Description: AMAT Kit 0010-09126 ASSY, Main Skins, New
tdindustrial NEW - $7.50 0 Jan/21/14 Nov/19/21
Description: AMAT Kit 0010-02330 Blankoff Plate, 3U/4HP Euroccard, See List Below, New
tdindustrial NEW - $6.25 0 Jan/21/14 Nov/19/21
Description: AMAT 0150-20183 Cable ASSY Chassis GND MDX Slave, New, Sealed
athomemarket NEW - $394.99 0 Jan/21/14 Feb/20/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $45.99 0 Jan/21/14 Feb/20/14
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $121.99 0 Jan/21/14 Feb/20/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $307.99 0 Jan/21/14 Feb/20/14
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
farmoninc NEW - $375.00 1 Jan/21/14 Jan/22/21
Description: 2 NEW AMAT 3870-00685 Veriflo Division valve 45800348
farmoninc NEW - $250.00 0 Jan/21/14 Feb/18/14
Description: NEW AMAT 0190-20015 GAS LINE NO.3 PRECLEAN CHAMBER, Veriflo 43700513
keykorea NEW - $280.00 0 Jan/22/14 Jan/11/17
Description: AMAT 0021-79492 CKET 2 DI FLOW VALVE DDF3, NEW
keykorea NEW - $250.00 0 Jan/22/14 Jan/11/17
Description: AMAT 0021-79491 BRACKET 1 DI FLOW VALVE DDF3, NEW
usedeqsales NEW - $1,501.14 0 Jan/22/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-15532 New
usedeqsales NEW - $1,501.14 0 Jan/22/14 Nov/03/14
Description: Brooks Instruments GF125C-902550 Mass Flow Controller AMAT 0190-32363 New
usedeqsales NEW - $1,501.14 0 Jan/22/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-28959 MultiFlo SC22 New
usedeqsales NEW - $1,501.14 0 Jan/22/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-28960 MultiFlo SC23 New
usedeqsales NEW - $1,501.14 0 Jan/22/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-12077 New
usedeqsales NEW - $1,501.14 0 Jan/22/14 May/01/15
Description: Celerity UFC-8564 Mass Flow Controller AMAT 3030-14322 New
conquer_2011 NEW - $2,399.00 0 Jan/22/14 Feb/21/14
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
usedeqsales NEW - $1,501.14 0 Jan/22/14 May/01/15
Description: Celerity UFC-8161C Mass Flow Controller AMAT 3030-12413 New
athomemarket NEW - $180.99 0 Jan/22/14 Jan/29/14
Description: NEW Exactus IFM8-915 8-Channel 100-240 VAC 60W Serial Port HUB AMAT 0190-28683
athomemarket NEW - $71.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $114.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore NEW - $399.99 0 Jan/22/14 Jan/25/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $499.99 0 Jan/22/14 Jan/25/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
usedeqsales NEW - $425.57 1 Jan/23/14 Feb/08/14
Description: Agilent 8481A Power Sensor AMAT 1140-01290 New
farmoninc NEW - $487.50 0 Jan/23/14 Jan/25/21
Description: NEW AMAT 4060-00364 Pneumatic valve, 32 station manifold
conquer_2011 NEW - $85.00 0 Jan/23/14 Feb/02/14
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
farmoninc NEW - $225.00 0 Jan/24/14 Aug/24/16
Description: NEW AMAT 3870-05476 Fujikin FP-UDDF-71-9.52-2-NL-PA Valve 3870-05476
athomemarket NEW - $177.99 0 Jan/24/14 Feb/23/14
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $181.99 0 Jan/24/14 Feb/23/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
farmoninc NEW - $250.00 1 Jan/24/14 Jul/03/15
Description: NEW AMAT 3870-01914 Fujikin Valve assembely FUDDFL-71-6.35-316LP
supertechshop NEW - $39.99 0 Jan/24/14 Feb/23/14
Description: NEW AMAT 0190-35451 Halogen Photo Optic Lamp 82V 410W Osram 4100/JKT /2-Pin Bulb
supertechshop NEW - $99.00 0 Jan/24/14 Feb/23/14
Description: NEW Thermo D8901 Ion Filter High Capacity Cartridge / AMAT 4020-01140 / Sealed
supertechshop NEW - $495.00 0 Jan/24/14 Feb/23/14
Description: NEW AMAT 0190-17462 DC Power Supply Yamatake PGU502V04000 Soft Start / Warranty
supertechshop NEW - $249.00 0 Jan/25/14 Feb/24/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $299.00 0 Jan/25/14 Feb/24/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 Jan/25/14 Feb/24/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $499.00 0 Jan/25/14 Feb/24/14
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $899.95 0 Jan/25/14 Feb/24/14
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $149.95 0 Jan/25/14 Feb/24/14
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $149.95 0 Jan/25/14 Feb/24/14
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $199.95 0 Jan/25/14 Feb/24/14
Description: NEW AMAT Inficon 0190-23497 Dual Manometer Heated Valve 3-ft 208V 40W
supertechshop NEW - $199.95 0 Jan/25/14 Feb/24/14
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
athomemarket NEW - $1,211.99 0 Jan/25/14 Feb/24/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $116.99 0 Jan/25/14 Feb/24/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $399.99 0 Jan/25/14 Jan/28/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $499.99 0 Jan/25/14 Jan/28/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 NEW - $75.00 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket NEW - $227.99 0 Jan/26/14 Feb/25/14
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $935.99 0 Jan/26/14 Feb/25/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $146.99 0 Jan/26/14 Feb/25/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
keykorea NEW - $40.00 0 Jan/26/14 Apr/25/17
Description: AMAT 0200-09366 PLUG DC GRAPHITE POLY 150MM, NEW
keykorea NEW - $630.00 1 Jan/26/14 Apr/29/14
Description: AMAT 0020-17787 GEAR ROTATION SHAFT,HEAD ROTATION,PAD CO, NEW
grandbirdnet NEW - $50.00 18 Jan/26/14 Aug/31/21
Description: AMAT 3700-02884 Oring, seal. ORING ID 11.984 CSD .139 POLYURETHANE, NEW
keykorea NEW - $3,700.00 0 Jan/27/14 Mar/20/14
Description: AMAT 0240-10175 CONTRACT OPTION KIT FELON COTATED, NEW
semipart NEW - $1,500.00 1 Jan/27/14 Feb/19/14
Description: 8" BUFFER W/SLOT FROG LEG, AMAT 0021-35713 NEW, BLADE AMAT 0021-70285 USED
usedeqsales NEW - $601.14 1 Jan/27/14 Nov/07/14
Description: AMAT Applied Materials 0240-30104 Delta TEOS Or Delta Nitride Gas Box Kit New
federal_trades NEW - $399.00 0 Jan/27/14 May/22/14
Description: New Yaskawa Servopack SGDH-01AE , SGDH-01AEYA11 Applied Material 1080-00080
qc-computer NEW - $120.00 0 Jan/27/14 Feb/26/14
Description: LAM Research Orifice Ring LRC 716-011036-001 New Never Opened!
athomemarket NEW - $5,954.99 0 Jan/27/14 Feb/26/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Jan/27/14 Feb/26/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Jan/27/14 Feb/26/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,671.99 0 Jan/27/14 Feb/26/14
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
dvkelectronics NEW - $425.00 0 Jan/27/14 Feb/26/14
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
keykorea NEW - $80.00 0 Jan/28/14 Oct/18/17
Description: AMAT 0015-77115 DIN RAIL MODIFIED, NEW
usedeqsales NEW - $701.14 0 Jan/28/14 May/04/15
Description: Allen Bradley 140U-J-GFP1503 Ground Fault Protector Module AMAT 0680-02691 New
athomemarket NEW - $4,239.99 0 Jan/28/14 Feb/27/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,853.99 0 Jan/28/14 Feb/27/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $473.99 0 Jan/28/14 Feb/27/14
Description: NEW AMAT 0190-13840 Yaskawa Servopack SGDF-A2CSY39 24VDC 2A 20W Motor Driver
usedeqsales NEW - $301.14 0 Jan/28/14 May/04/15
Description: MKS Instruments 99Q1102 Angle Valve KF50 AMAT 3870-06213 New
supertechshop NEW - $249.00 0 Jan/28/14 Feb/27/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
keykorea NEW - $190.00 1 Jan/28/14 Apr/29/14
Description: AMAT 0020-79192 GEAR,SWEEP, NEW
mercury_man NEW - $79.99 0 Jan/29/14 Feb/05/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
athomemarket NEW - $5,550.99 0 Jan/29/14 Feb/28/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $476.99 0 Jan/29/14 Feb/28/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
comwaysind NEW - $98.00 0 Jan/30/14 May/19/16
Description: New NOVELLUS 60-116648-00 BES 7136-01 bay advanced technologies
athomemarket NEW - $77.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $99.99 0 Jan/30/14 Mar/01/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $79.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $162.89 0 Jan/30/14 Mar/01/14
Description: NEW Exactus IFM8-915 8-Channel 100-240 VAC 60W Serial Port HUB AMAT 0190-28683
athomemarket NEW - $386.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $370.99 0 Jan/30/14 Mar/01/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $236.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $297.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $8,514.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $296.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $298.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $758.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0040-37033 Flat Wafer Shell Assembly 6"/150mm
athomemarket NEW - $400.99 0 Jan/30/14 Mar/01/14
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $105.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $502.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
prism_electronics6 NEW - $299.95 1 Jan/30/14 May/04/15
Description: NEW Lam Research 716-031257-521 Quartz 14" O.D. Edge Ring, Plastic Sealed
semipart NEW - $700.00 0 Jan/30/14 Mar/01/14
Description: AMAT 0100-00975 stepper controller, New, open for picture
svcstore NEW - $499.99 0 Jan/30/14 Feb/02/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $341.99 0 Jan/31/14 Mar/02/14
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket NEW - $461.99 0 Jan/31/14 Mar/02/14
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
semipart NEW - $400.00 0 Jan/31/14 Mar/02/14
Description: AMAT 0660-01699 Convectron Module card, GP VGC 332140 New, open for Picture
bjnaf4 NEW - $744.26 0 Feb/01/14 Mar/03/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $415.99 0 Feb/01/14 Mar/03/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $13,174.00 0 Feb/01/14 Mar/03/14
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $142.99 0 Feb/01/14 Mar/03/14
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $439.99 0 Feb/01/14 Mar/03/14
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,023.99 0 Feb/01/14 Mar/03/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $2,671.99 0 Feb/01/14 Mar/03/14
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
conquer_2011 Used - $5,699.00 0 Feb/02/14 Feb/09/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
conquer_2011 NEW - $815.00 0 Feb/02/14 Feb/09/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
conquer_2011 NEW - $65.00 0 Feb/02/14 Feb/09/14
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
athomemarket NEW - $128.99 0 Feb/02/14 Mar/04/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,212.99 0 Feb/02/14 Mar/04/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,212.99 0 Feb/02/14 Mar/04/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $92.99 0 Feb/02/14 Mar/04/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
svcstore NEW - $499.99 0 Feb/02/14 Feb/05/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $137.99 0 Feb/03/14 Mar/05/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
cappy95 NEW - $174.99 0 Feb/03/14 Mar/05/14
Description: NEW Nor-Cal 3870-01212 3-Port SS Bellows Loadlock ISO Valve KF40 Lam AMAT
athomemarket NEW - $91.99 0 Feb/03/14 Mar/05/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $232.99 0 Feb/03/14 Mar/05/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $700.99 0 Feb/03/14 Mar/05/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $54.99 0 Feb/03/14 Mar/05/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
conquer_2011 NEW - $15.00 0 Feb/03/14 Mar/05/14
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
keykorea NEW - $260.00 0 Feb/03/14 Aug/04/16
Description: AMAT 0015-77087 BALL SLEEVE, NEW
athomemarket NEW - $145.99 0 Feb/03/14 Mar/05/14
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $960.99 0 Feb/03/14 Mar/05/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
keykorea NEW - $1,920.00 0 Feb/03/14 Feb/13/14
Description: AMAT 0020-27207 CLAMP RING 6 SMF PADDED HTHU HTR, NEW
athomemarket NEW - $190.99 0 Feb/03/14 Mar/05/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $68.99 0 Feb/03/14 Mar/05/14
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
trees_for_a_better_tomorrow NEW - $150.00 0 Feb/03/14 Feb/10/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $95.00 0 Feb/03/14 Feb/10/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $125.00 0 Feb/03/14 Feb/10/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $295.00 0 Feb/03/14 Feb/10/14
Description: ★ New AMAT Applied Materials 0100-35232 Match Detector 13.56 MHz RF ★
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/03/14 Feb/10/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $125.00 0 Feb/03/14 Feb/10/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $450.00 0 Feb/03/14 Feb/10/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $195.00 0 Feb/03/14 Feb/10/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $80.00 0 Feb/03/14 Feb/10/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $295.00 0 Feb/03/14 Feb/10/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $95.00 0 Feb/03/14 Feb/10/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
trees_for_a_better_tomorrow NEW - $195.00 0 Feb/03/14 Feb/10/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
usedeqsales NEW - $502.14 0 Feb/04/14 May/05/15
Description: AMAT Applied Materials 0242-00898 BE Aero Chiller Deionizer Kit New
usedeqsales NEW - $5,002.14 0 Feb/04/14 Apr/30/15
Description: AE Advanced Energy 3155149-002 RF Match Navigator Z-Scan AMAT 1110-00047 New
supertechshop NEW - $399.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT Nor-Cal 3870-06775 Pneumatic 3.5" Gate Valve Applied Materials
athomemarket NEW - $19.99 0 Feb/04/14 Mar/06/14
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
athomemarket NEW - $40.99 0 Feb/04/14 Mar/06/14
Description: 100 NEW AMAT 0720-01293 AMP 640545-2 Gold Pin & Socket Connectors 26-18 AWG
supertechshop NEW - $299.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
supertechshop NEW - $950.00 1 Feb/04/14 Feb/06/14
Description: NEW AMAT 3870-03322 Nor-Cal 021010-1 Pneumatic 3" Gate Valve / Warranty
supertechshop NEW - $1,150.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
supertechshop NEW - $299.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT 0190-33933 Horiba STEC Injection Valve IV-2410AV-02H-180S-N / Warranty
jteam-surplus NEW - $999.00 1 Feb/04/14 Feb/06/17
Description: NEW surplus - AMAT 0010-00171 Gate Valve Actuator Assembly
systasemi NEW - $900.00 0 Feb/05/14 Mar/07/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
lolly711 NEW - $12,500.00 0 Feb/04/14 Feb/11/14
Description: ASYST SCI INDEXER 2200 PN: 9700-3260-01 (New) 200mm
comwaysind Used - $4,987.00 0 Feb/05/14 Dec/27/15
Description: New 0190-32946 Rev 01 ISAC CP I/O Block 2 Top Applied Materials
usedeqsales NEW - $310.10 5 Feb/05/14 Feb/05/14
Description: Furon 1110712 Manifold DIN Mount CMF AMAT 0190-14386 Lot of 2 New
usedeqsales NEW - $502.14 1 Feb/05/14 Feb/05/14
Description: Zaytran M030827L Gripper Magnum AMAT 3920-00515 New
usedeqsales NEW - $1,202.14 0 Feb/05/14 May/04/15
Description: Riken Keiki GD-K71D-DVAII Smart Gas Detector AMAT 0820-00069 New
usedeqsales NEW - $1,202.14 0 Feb/05/14 May/04/15
Description: Riken Keiki GD-K71D-DVA Smart Gas Detector AMAT 0820-00068 New
athomemarket NEW - $252.99 0 Feb/05/14 Mar/07/14
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $213.99 0 Feb/05/14 Mar/07/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $213.99 0 Feb/05/14 Mar/07/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $32.99 0 Feb/05/14 Mar/07/14
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Feb/05/14 Mar/07/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
mercury_man NEW - $79.99 0 Feb/05/14 Feb/12/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
usedeqsales NEW - $802.14 0 Feb/05/14 Feb/19/14
Description: ASTeX FI20164-1 Precision Power Detector AMAT 0820-01041 New
svcstore NEW - $499.99 0 Feb/05/14 Feb/08/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
turkeymakesmetired-2008 NEW - $349.99 3 Feb/06/14 Jul/10/14
Description: Edwards A52844410 Flash Interface Module New AMAT 3620-01425
aromaworks! NEW - $55.00 0 Feb/06/14 Apr/12/14
Description: Electroglas EG New Cascade Isolation Relay Assy 268513-001 Rev C S14145
west_tech NEW - $350.00 0 Feb/03/14 Feb/10/14
Description: NEW Edwards A441103000 Vacuum Inlet Catchpot ITO-300
usedeqsales NEW - $502.14 0 Feb/06/14 May/05/15
Description: AMAT Applied Materials 0242-25144 7-Emitter Ionizer Bar Kit New
usedeqsales NEW - $7,002.14 1 Feb/06/14 Feb/16/14
Description: NSK 0870-01064 Megatorque Motor And Driver AMAT 1080-00036 New
usedeqsales NEW - $802.14 1 Feb/06/14 Feb/07/14
Description: Yaskawa JZMQZ-TB40 Link Teaching Pendant AMAT 0980-00013 New
athomemarket NEW - $497.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
cappy95 NEW - $99.99 0 Feb/06/14 Mar/08/14
Description: NEW AMAT 0190-13448 Supply Manifold Valve, Hoke 7387001S - Warranty
usedeqsales NEW - $602.14 0 Feb/06/14 Dec/04/14
Description: AMAT Applied Materials 3920-01631 Quarterly Brush Station Tool New
athomemarket NEW - $236.99 0 Feb/06/14 Mar/08/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $265.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $131.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $118.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $133.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $186.99 0 Feb/06/14 Mar/08/14
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
cappy95 NEW - $99.99 0 Feb/06/14 Mar/08/14
Description: NEW AMAT 3870-01603 FUJIKIN FPR-UDDF-71-6.35-2-NL-UP; PNEUMATIC VALVE 1/4 VCR
athomemarket NEW - $176.99 0 Feb/06/14 Mar/08/14
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $118.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $81.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $638.99 0 Feb/06/14 Mar/08/14
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $40.99 0 Feb/06/14 Mar/08/14
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
pohyh Used - $50.00 0 Feb/06/14 Oct/04/14
Description: 1536 (181) AMAT SIDE NOZZLES 0200-18093 NEW
conquer_2011 NEW - $950.00 0 Feb/07/14 Feb/14/14
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
mattron747 NEW - $750.00 0 Feb/07/14 Mar/09/14
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
athomemarket NEW - $164.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $271.99 0 Feb/08/14 Mar/10/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $63.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $234.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $66.99 0 Feb/08/14 Mar/10/14
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $116.99 0 Feb/08/14 Mar/10/14
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $71.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $357.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $1,433.99 0 Feb/08/14 Mar/10/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
athomemarket NEW - $301.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
svcstore NEW - $499.99 0 Feb/08/14 Feb/11/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
turkeymakesmetired-2008 NEW - $1,999.99 1 Feb/08/14 Apr/09/15
Description: LAM Research 810-017050-002 PCB ADIO TCP ASSY Assembly Board New
turkeymakesmetired-2008 Used - $74.99 2 Feb/08/14 May/14/14
Description: AMAT Applied Materials 3250-01008 Heat Exchanger Adapter Lock Ring New
conquer_2011 Used - $5,699.00 0 Feb/09/14 Feb/16/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
conquer_2011 NEW - $65.00 0 Feb/09/14 Feb/16/14
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
conquer_2011 NEW - $815.00 0 Feb/09/14 Feb/16/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
athomemarket NEW - $139.99 0 Feb/09/14 Mar/11/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $66.99 0 Feb/09/14 Mar/11/14
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $4,277.99 0 Feb/09/14 Mar/11/14
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $154.99 0 Feb/09/14 Mar/11/14
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $216.99 0 Feb/09/14 Mar/11/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
keykorea NEW - $1,000.00 2 Feb/10/14 May/28/21
Description: AMAT 0020-27309 COVER RING 8 TI 101%, NEW
yayais2012 NEW - $220.00 0 Feb/10/14 Mar/12/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
yayais2012 NEW - $220.00 0 Feb/10/14 Mar/12/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
athomemarket NEW - $522.99 0 Feb/10/14 Mar/12/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $415.99 0 Feb/10/14 Mar/12/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
ultra_clean_warehouse NEW - $65.03 0 Feb/10/14 May/07/14
Description: SHAFT TRAVEL CIP PAD COND REFLEXN - APPLIED MATERIALS 0021-80632 REV 01, USA NEW
ultra_clean_warehouse NEW - $105.10 0 Feb/10/14 May/07/14
Description: SHAFT GUIDE CIP PAD COND REFLEXN - APPLIED MATERIALS 0041-32819 REV 01 USA, NEW
athomemarket NEW - $199.99 0 Feb/10/14 Mar/12/14
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $111.99 1 Feb/10/14 Feb/13/14
Description: NEW AMAT 9240-03775 Fiber Optic Source Cable PX Kit T4
athomemarket NEW - $3,734.99 0 Feb/10/14 Mar/12/14
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
ultra_clean_warehouse NEW - $50.74 0 Feb/09/14 May/07/14
Description: PIVOT ARM CH-A UPPER LAMP MODU - APPLIED MATERIALS 0040-49847 REV 002 - USA, NEW
ultra_clean_warehouse NEW - $155.07 0 Feb/10/14 May/07/14
Description: DRIVE BELT BRACKET ROBOT TRACK ASSEMBLY APPLIED MATERIALS 0020-78867 REV 001 NEW
athomemarket NEW - $69.99 0 Feb/11/14 Feb/18/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $109.99 0 Feb/11/14 Feb/18/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $69.99 0 Feb/11/14 Feb/18/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
tdindustrial NEW - $695.00 2 Feb/11/14 Sep/07/17
Description: AMAT Applied Materials Robot Wrist Shaft Drive, 0020-78959 , New
athomemarket NEW - $284.99 0 Feb/11/14 Mar/12/14
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $256.99 0 Feb/11/14 Mar/13/14
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
semipart NEW - $150.00 0 Feb/11/14 Mar/13/14
Description: New Applied Materials 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC AMAT Rev C
svcstore NEW - $499.99 0 Feb/11/14 Feb/14/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $400.00 1 Feb/12/14 Feb/27/14
Description: AMAT 0090-02980 MOTOR BRAKE ENCODER ASSY, New and Sealed
athomemarket NEW - $106.99 0 Feb/12/14 Mar/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,256.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $214.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $305.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $413.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $6,256.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $73.99 0 Feb/12/14 Mar/14/14
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
athomemarket NEW - $318.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $97.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $345.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $254.99 0 Feb/12/14 Mar/14/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $2,243.99 0 Feb/12/14 Mar/14/14
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $257.99 0 Feb/12/14 Mar/14/14
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
svcstore NEW - $399.99 0 Feb/12/14 Feb/15/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
mercury_man NEW - $74.00 0 Feb/13/14 Feb/20/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
surplusssam NEW - $259.99 0 Feb/13/14 Dec/24/19
Description: NEW MATSUSHITA NAIS FP3 A/D CONVERTER UNIT AFP34009 AROMAT AMAT 0010-21997 LASER
hi-techstuff NEW - $146.25 1 Feb/13/14 Sep/24/14
Description: LAM Research 771-090453-001 Granville-Phillips 275185 ¼” Convectron Gauge New
imca00 NEW - $12,500.00 0 Feb/13/14 Feb/18/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
supertechshop NEW - $199.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 Feb/13/14 Mar/15/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $49.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
athomemarket NEW - $318.99 0 Feb/13/14 Mar/15/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
supertechshop NEW - $975.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $475.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
athomemarket NEW - $115.99 0 Feb/13/14 Mar/15/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
tdindustrial NEW - $249.50 1 Feb/13/14 Feb/21/14
Description: AMAT 0090-77026 CABLE ASSY, HCLU/PEDESTAL SENSO, New, Sealed
supertechshop NEW - $475.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Chamber Quartz Window 14.75" Dia 1" Thk Polycarbonate 0021-62026 Sealed
supertechshop NEW - $299.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $750.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $495.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $750.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $750.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $199.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
supertechshop NEW - $199.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
supertechshop NEW - $249.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $99.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $99.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $299.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
supertechshop NEW - $650.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT MKS T3Bi Exhaust Throttle Control Valve T3BIB-30925 Hi-Speed 0190-42648
supertechshop NEW - $975.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $299.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
keykorea NEW - $210.00 2 Feb/14/14 Mar/15/15
Description: AMAT 0021-02983 SHIELD, INNER, TXZ, STD, NEW
keykorea NEW - $400.00 0 Feb/14/14 Feb/25/14
Description: AMAT 0020-26311 SHIELD LOWER 8″ DURA TTN SST, NEW
athomemarket NEW - $394.99 0 Feb/20/14 Mar/22/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $63.99 0 Feb/19/14 Feb/26/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $99.99 0 Feb/19/14 Feb/26/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Feb/19/14 Feb/26/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
imca00 NEW - $4,500.00 0 Feb/19/14 Feb/24/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
semipart NEW - $1,800.00 0 Feb/19/14 Mar/21/14
Description: AMAT APPLIED MATERIALS 0010-20133 BLADE ASSY 8" Transfer, New
svcstore NEW - $399.99 0 Feb/18/14 Feb/21/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $206.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $1,091.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $300.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $300.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $1,091.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $315.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $114.99 0 Feb/18/14 Mar/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $236.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 Feb/18/14 Mar/20/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
imca00 NEW - $12,500.00 0 Feb/18/14 Feb/23/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
semipart NEW - $350.00 1 Feb/18/14 Feb/27/14
Description: AMAT APPLIED MATERIALS 3870-01213 VALVE NEW
athomemarket NEW - $45.99 0 Feb/20/14 Mar/22/14
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $121.99 0 Feb/20/14 Mar/22/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $307.99 0 Feb/20/14 Mar/22/14
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $8,579.99 0 Feb/20/14 Mar/22/14
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
usedeqsales NEW - $302.14 0 Feb/20/14 May/04/15
Description: EHWA A1U-080740-R Silicon Wafer CMP Pad Conditioners AMAT 0190-32069 New
farmoninc NEW - $450.00 0 Feb/20/14 Dec/03/19
Description: NEW AMAT 0021-11363 Bridge, Support, Ch C, EMAX
neilan1987 NEW - $699.99 1 Feb/19/14 Jun/24/14
Description: Horiba STEC SEC-Z514MGX Digital MFC AMAT 0190-33184 NEW
athomemarket NEW - $1,347.99 0 Feb/19/14 Mar/21/14
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $100.99 0 Feb/19/14 Mar/21/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $71.99 0 Feb/19/14 Mar/21/14
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
supertechshop NEW - $295.00 0 Feb/17/14 Mar/19/14
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
supertechshop NEW - $74.99 0 Feb/17/14 Mar/19/14
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
dnrdave NEW - $395.00 2 Feb/15/14 Mar/07/14
Description: NEW Nordson 1075164 Magnetron Pair 3KW Coolwave 1095764 Novellus 27-293896-00
supertechshop Used - $149.00 0 Feb/20/14 Mar/22/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $399.00 0 Feb/20/14 Mar/22/14
Description: NEW AMAT 3030-05748 Stec LF-310A-EVD Mass Flow Controller Liquid TEPO 0.2 g/Min
supertechshop NEW - $24.99 0 Feb/20/14 Mar/22/14
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $24.99 0 Feb/20/14 Mar/22/14
Description: NEW AMAT Bushing Flat Roller 15K/25K Ceramic 0040-74466 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Feb/20/14 Mar/22/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $249.00 0 Feb/20/14 Mar/22/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore NEW - $499.99 0 Feb/20/14 Feb/23/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
conquer_2011 NEW - $1,919.20 0 Feb/21/14 Mar/19/14
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
usedeqsales NEW - $1,502.14 0 Feb/21/14 May/01/15
Description: Unit Instruments UFC-1100 Mass Flow Controller AMAT 3030-01486 New
testeqe NEW - $174.99 1 Feb/21/14 Mar/27/15
Description: NEW Lam Research PN: 716-021879-001 Electrode Cooling Duct ISO
usedeqsales NEW - $302.14 0 Feb/21/14 May/04/15
Description: TRU TRU-11811-09 RF Generator Cable AMAT 0227-11706 New
athomemarket NEW - $71.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $114.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
usedeqsales NEW - $502.14 1 Feb/21/14 Mar/07/14
Description: Nor-Cal 021010-1 Throttle Control Gate Valve AMAT 3870-03322 New
usedeqsales NEW - $302.14 0 Feb/21/14 May/04/15
Description: SMC BES-9239-08B Digital Flow Switch AMAT 0190-16604 New
usedeqsales NEW - $202.14 1 Feb/21/14 Mar/18/14
Description: MDC AV-150M-P-03 Angle Valve 313029-03 AMAT 3870-01805 New
supertechshop NEW - $299.00 0 Feb/21/14 Mar/23/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Feb/21/14 Mar/23/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Feb/21/14 Mar/23/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Feb/21/14 Mar/23/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
svcstore NEW - $399.99 0 Feb/21/14 Feb/24/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $499.00 0 Feb/21/14 Mar/23/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
imca00 NEW - $12,500.00 0 Feb/23/14 Feb/28/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket NEW - $177.99 0 Feb/23/14 Mar/25/14
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $181.99 0 Feb/23/14 Mar/25/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore NEW - $499.99 0 Feb/23/14 Feb/26/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
imca00 NEW - $4,500.00 0 Feb/24/14 Mar/01/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
usedeqsales NEW - $302.14 0 Feb/24/14 May/04/15
Description: NSK LH250280YLK2W02PCZ Linear Slide AMAT 0190-15317 300mm LPCVD New
farmoninc NEW - $750.00 0 Feb/24/14 Dec/24/21
Description: NEW AMAT 4020-00230 Regulator, Parker veriflo, 54017395, SQ420E1002PFSBFM
usedeqsales NEW - $2,002.14 0 Feb/24/14 Dec/04/14
Description: AMAT Applied Materials 0195-09094 SYCAMORE Polisher I/O Assembly New
athomemarket NEW - $1,211.99 0 Feb/24/14 Mar/26/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $116.99 0 Feb/24/14 Mar/26/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $399.99 0 Feb/24/14 Feb/27/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
usedeqsales NEW - $802.14 0 Feb/25/14 May/04/15
Description: Covalent BFA-08-AM4 Ceramic Filter AMAT 4020-00513 Lot of 16 New
usedeqsales NEW - $602.14 1 Feb/25/14 May/30/14
Description: TRU RG-217/U RF Cable 30M AMAT 0620-02770 New
neilan1987 NEW - $1,499.99 0 Feb/25/14 Apr/21/14
Description: Applied Materials AMAT 0200-05638 300mm Ceramic Open Robot Blade NEW
usedeqsales NEW - $1,502.14 1 Feb/25/14 Apr/06/14
Description: AMAT Applied Materials MFD-0508 RF Cable 20M 0190-28182 New
athomemarket NEW - $227.99 0 Feb/25/14 Mar/27/14
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $935.99 0 Feb/25/14 Mar/27/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
athomemarket NEW - $146.99 0 Feb/25/14 Mar/27/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
farmoninc NEW - $125.00 0 Feb/25/14 Dec/25/21
Description: NEW AMAT 3870-04085 Parker Ball Valve XV502SS-16
visionsemi NEW - $500.00 2 Feb/25/14 Aug/04/14
Description: LAM RESEARCH 716-140139-001 RING HOT EDGE HI WALL ESC 8" NEW OEM
visionsemi NEW - $1,350.00 2 Feb/25/14 Feb/25/14
Description: LAM RESEARCH 716-011923-001 PLATE WAFER CLAMP ATTACHMENT NEW OEM
farmoninc NEW - $750.00 0 Feb/26/14 Dec/26/21
Description: NEW AMAT 0190-35503 Cart, SST, AMDA PC, 19 inch Rack, 48 inch High, CVD, in BOX
athomemarket NEW - $89.99 0 Feb/26/14 Mar/05/14
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
qc-computer NEW - $90.00 0 Feb/26/14 Mar/28/14
Description: LAM Research Orifice Ring LRC 716-011036-001 New Never Opened!
usedeqsales NEW - $602.14 1 Feb/26/14 Apr/06/14
Description: AMAT Applied Materials MFD-0310 Generator Cable 20M 0620-03165 New
athomemarket NEW - $5,954.99 0 Feb/26/14 Mar/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Feb/26/14 Mar/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Feb/26/14 Mar/28/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,671.99 0 Feb/26/14 Mar/28/14
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
leapingserver NEW - $125.99 5 Feb/27/14 Oct/10/14
Description: New Sanyo Denki PM Driver D5-1-40174-1 PMDPC1C3PA1 Applied Materials 1080-00153
yayais2012 NEW - $75.00 0 Feb/27/14 Mar/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
alvin1462 NEW - $488.00 1 Feb/27/14 Nov/19/15
Description: AMAT APPLIED MATERIALS 0190-38517 HALOGEN LAMPS 10 BULBS OPTIC NEW
alvin1462 NEW - $488.00 4 Feb/27/14 Mar/31/15
Description: Applied Materials AMAT 0190-38516 Lamp Assembly Pack of 10 Bulb NEW
alvin1462 NEW - $888.00 2 Feb/27/14 Apr/08/14
Description: AMAT Applied Materials Test Check Table for 0100-01973 PCB 300mm RADIANCE NEW
alvin1462 NEW - $888.00 2 Feb/27/14 Oct/13/15
Description: AMAT APPLIED MATERIALS 0246-01928 MKS Throttle valve 683B-29016 NEW
logansemi NEW - $65.00 1 Feb/27/14 Feb/04/15
Description: Lam Chain, Roller, #35 SS 75", New, 750-007782-001
alvin1462 NEW - $3,888.00 1 Feb/27/14 Nov/12/16
Description: AMAT Applied Materials 0190-14175 STATOR, MAG LEV, WRLD 300MM RADIANCE NEW
farmoninc NEW - $1,100.00 1 Feb/27/14 Apr/07/20
Description: 4 NEW AMAT 3870-05747 Diaphragm valve
athomemarket NEW - $473.99 0 Feb/27/14 Mar/29/14
Description: NEW AMAT 0190-13840 Yaskawa Servopack SGDF-A2CSY39 24VDC 2A 20W Motor Driver
athomemarket NEW - $63.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $99.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $5,853.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
farmoninc NEW - $250.00 0 Feb/27/14 Feb/02/18
Description: NEW AMAT 0140-05215 Harness Assy, W-ALN EC Valve 300MM Endur
supertechshop NEW - $249.00 0 Feb/27/14 Mar/29/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
supertechshop NEW - $249.00 0 Feb/27/14 Mar/29/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $299.00 0 Feb/27/14 Mar/29/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $299.00 0 Feb/27/14 Mar/29/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $499.00 0 Feb/27/14 Mar/29/14
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $39.99 0 Feb/27/14 Mar/29/14
Description: NEW AMAT 0190-35451 Halogen Photo Optic Lamp 82V 410W Osram 4100/JKT /2-Pin Bulb
supertechshop NEW - $149.95 0 Feb/27/14 Mar/29/14
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $199.95 0 Feb/27/14 Mar/29/14
Description: NEW AMAT Inficon 0190-23497 Dual Manometer Heated Valve 3-ft 208V 40W
supertechshop NEW - $99.00 0 Feb/27/14 Mar/29/14
Description: NEW Thermo D8901 Ion Filter High Capacity Cartridge / AMAT 4020-01140 / Sealed
supertechshop NEW - $149.95 0 Feb/27/14 Mar/29/14
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $899.95 0 Feb/27/14 Mar/29/14
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $495.00 0 Feb/27/14 Mar/29/14
Description: NEW AMAT 0190-17462 DC Power Supply Yamatake PGU502V04000 Soft Start / Warranty
supertechshop NEW - $199.95 0 Feb/27/14 Mar/29/14
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
svcstore NEW - $399.99 0 Feb/27/14 Mar/02/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
usedeqsales NEW - $502.14 0 Feb/28/14 May/05/15
Description: SMC IR1020-01-M Precision Regulator Valve Assembly AMAT 4060-00723 New
imca00 NEW - $12,500.00 0 Feb/28/14 Mar/05/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
usedeqsales NEW - $202.14 0 Feb/28/14 May/01/15
Description: Swagelok 6LVV-P1D122P-AA-12935 3-Way Diaphragm Valve AMAT 3870-05059 New
athomemarket NEW - $5,550.99 0 Feb/28/14 Mar/30/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $476.99 0 Feb/28/14 Mar/30/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
sparepartssolution NEW - $499.99 0 Sep/06/12 Sep/02/15
Description: (127-0401) AMAT APPLIED MATERIALS 0660-01669 CARD CONVECTRON MODULE 5-CH R NEW
svcstore NEW - $399.99 0 Mar/12/14 Mar/15/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $139.99 0 Mar/12/14 Apr/11/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
esolutions1 NEW - $179.10 0 Mar/12/14 Dec/21/14
Description: NEW Applied Materials 0021-42735 VIEWPORT POLYCARBONATE
yayais2012 NEW - $220.00 0 Mar/12/14 Apr/11/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
yayais2012 NEW - $220.00 0 Mar/12/14 Apr/11/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/12/14 Mar/19/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
athomemarket NEW - $301.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $164.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $234.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $63.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $271.99 0 Mar/11/14 Apr/10/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
surplusssam NEW - $586.49 0 Mar/11/14 Jul/24/19
Description: NEW AMAT APPLIED MATERIALS 3700-04313 GATE SEAL 25X560 VITON
keykorea NEW - $3,800.00 0 Mar/12/14 Mar/20/14
Description: AMAT 0040-07256 UPPER PLATEN, NEW
athomemarket NEW - $66.99 0 Mar/12/14 Apr/11/14
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $154.99 0 Mar/12/14 Apr/11/14
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,277.99 0 Mar/12/14 Apr/11/14
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
farmoninc NEW - $750.00 0 Mar/12/14 Aug/02/17
Description: 2 NEW AMAT 0010-10640 MXP SIM CATH, Bellows Assy
farmoninc NEW - $200.00 0 Mar/11/14 Jun/02/15
Description: 2 NEW AMAT 1400-01028 Levelite, Sensor Probe Liquid Level
usedeqsales NEW - $603.14 1 Mar/11/14 Apr/12/14
Description: Swagelok 6LVV-ALD6THFR4-P-NO Diaphragm Valve AMAT 3870-06530 Lot of 6 New
farmoninc NEW - $150.00 1 Mar/11/14 Jul/02/18
Description: NEW AMAT 0020-30320 Ring, Focusing, Lexan, 4 inch
athomemarket NEW - $66.99 0 Mar/11/14 Apr/10/14
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $116.99 0 Mar/11/14 Apr/10/14
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $357.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $71.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
usedeqsales NEW - $151.57 4 Mar/11/14 Dec/17/14
Description: DuPont Kalrez UltraPure AS-568A O-Ring Seal K# 220 AMAT 3700-00612 Lot of 10 New
testeqe NEW - $74.99 0 Mar/12/14 Jun/29/16
Description: NEW Lam Research PN: 853-014678-001 Solenoid Valve SOLEN GEN ASSY VALVE
athomemarket NEW - $216.99 0 Mar/12/14 Apr/11/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,433.99 0 Mar/11/14 Apr/10/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
mattron747 NEW - $750.00 0 Mar/09/14 Apr/08/14
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
testeqe NEW - $49.99 0 Mar/07/14 Jun/24/16
Description: NEW Lam Research PN: 856-210425-003 Retrofit Kit, AC/DC ENLC TCP RETRO
athomemarket NEW - $92.99 0 Mar/04/14 Apr/03/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
testeqe NEW - $194.99 0 Mar/03/14 May/03/15
Description: NEW Lam Research PN: 810-190346-002 ESC Filter, XL Bd. Circuit Board
systasemi NEW - $900.00 0 Mar/09/14 Apr/08/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/08/14 Mar/15/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
athomemarket NEW - $6,999.99 0 Mar/07/14 Apr/06/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
farmoninc NEW - $400.00 0 Mar/13/14 Dec/03/15
Description: NEW AMAT 0190-12567 PHOTOHELIC SWITCH 0-125 PA, 300MM GAS PA
farmoninc NEW - $500.00 0 Mar/13/14 Aug/24/17
Description: NEW AMAT 0150-35886 C/A 55', MAINFRAME UMBILICAL EXHAUST, RT CABLE
usedeqsales NEW - $1,503.14 0 Mar/13/14 May/01/15
Description: STEC SEC-Z11DWM Mass Flow Controller AMAT 0190-13117 New
athomemarket NEW - $415.99 0 Mar/13/14 Apr/12/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $522.99 0 Mar/13/14 Apr/12/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $3,734.99 0 Mar/13/14 Apr/12/14
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $199.99 0 Mar/13/14 Apr/12/14
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
usedeqsales NEW - $343.14 2 Mar/13/14 Jul/06/14
Description: DuPont Kalrez Sahara AS-568A O-Ring Seal K# 151 AMAT 3700-00680 Lot of 12 New
testeqe NEW - $249.99 0 Mar/13/14 Jun/30/16
Description: NEW Lam Research PN: 853-035882-002 Heated Line Elbow Fitting Assy, CA, ELB FTG
usedeqsales NEW - $243.14 0 Mar/13/14 Sep/25/14
Description: DuPont Kalrez UltraPure K# NW25 Custom O-Ring Seal AMAT 3700-06050 Lot of 6 New
usedeqsales NEW - $303.14 0 Mar/13/14 Apr/12/14
Description: DuPont Kalrez UltraPure AS-568A O-Ring Seal K# 237 AMAT 3700-00735 Lot of 9 New
usedeqsales NEW - $243.14 0 Mar/13/14 May/01/15
Description: DuPont Kalrez UltraPure AS-568A O-Ring Seal K# 226 AMAT 3700-00483 Lot of 7 New
usedeqsales NEW - $303.14 1 Mar/13/14 May/04/15
Description: DuPont Kalrez UltraPure AS-568 O-Ring Seal K# 010 AMAT 3700-06084 Lot of 10 New
usedeqsales NEW - $383.14 0 Mar/13/14 Apr/12/14
Description: DuPont Kalrez Sahara AS-568A O-Ring Seal K# 015 AMAT 3700-06202 Lot of 15 New
usedeqsales NEW - $303.14 0 Mar/13/14 May/04/15
Description: DuPont Kalrez Sahara AS-568A O-Ring Seal K# 314 AMAT 3700-03977 Lot of 10 New
usedeqsales NEW - $283.14 0 Mar/13/14 May/04/15
Description: DuPont Kalrez Sahara AS-568A O-Ring Seal K# 232 AMAT 3700-04039 Lot of 8 New
usedeqsales NEW - $283.14 2 Mar/13/14 Feb/17/15
Description: DuPont Kalrez Sahara AS-568A O-Ring Seal K# 117 AMAT 3700-06203 Lot of 8 New
usedeqsales NEW - $303.14 0 Mar/14/14 May/04/15
Description: DuPont Kalrez UltraPure AS-568 O-Ring Seal K# 240 AMAT 3700-06566 Lot of 6 New
semipart NEW - $150.00 5 Mar/13/14 Mar/19/14
Description: New Applied Materials 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC AMAT Rev C
usedeqsales NEW - $383.14 1 Mar/14/14 Mar/18/14
Description: DuPont Kalrez UltraPure AS-568 O-Ring Seal K# 222 AMAT 3700-00736 Lot of 15 New
usedeqsales NEW - $323.14 2 Mar/14/14 Feb/17/15
Description: DuPont Kalrez Sahara AS-568A O-Ring Seal K# 210 AMAT 3700-06161 Lot of 13 New
usedeqsales NEW - $353.14 0 Mar/14/14 May/05/15
Description: DuPont Kalrez Sahara AS-568 O-Ring Seal K# 010 AMAT 3700-00528 New
usedeqsales NEW - $363.14 0 Mar/14/14 Mar/23/15
Description: DuPont Kalrez Sahara AS-568A O-Ring Seal K# 109 AMAT 3700-03928 Lot of 13 New
usedeqsales NEW - $303.14 0 Mar/14/14 May/04/15
Description: DuPont Kalrez K# 2960 O-Ring Seal AMAT 3700-00791 Lot of 10 New
usedeqsales NEW - $283.14 1 Mar/14/14 Jun/18/14
Description: DuPont Kalrez UltraPure AS-568 O-Ring Seal K# 217 AMAT 3700-06065 Lot of 9 New
usedeqsales NEW - $113.26 1 Mar/14/14 May/11/14
Description: DuPont Kalrez UltraPure AS-568A O-Ring Seal K# 120 AMAT 3700-06210 Lot of 8 New
usedeqsales NEW - $350.14 2 Mar/14/14 May/04/15
Description: Panasonic MUMS3AZA1E0S Servo Motor MUDB3A2AAD Drive Kit AMAT 0190-32127 New
usedeqsales NEW - $121.26 1 Mar/14/14 Apr/24/14
Description: DuPont Kalrez UltraPure AS-568A O-Ring Seal K# 125 AMAT 3700-06211 Lot of 10 New
usedeqsales NEW - $323.14 0 Mar/14/14 May/05/15
Description: DuPont Kalrez Sahara AS-568A O-Ring Seal K# 331 AMAT 3700-00214 Lot of 12 New
usedeqsales NEW - $323.14 0 Mar/14/14 May/05/15
Description: DuPont Kalrez Sahara AS-568A O-Ring Seal K# 221 AMAT 3700-06242 Lot of 12 New
usedeqsales Used - $353.14 0 Mar/14/14 May/05/15
Description: Perlast G75H O-Ring Seal AMAT 3700-00989 Lot of 11 New
athomemarket NEW - $256.99 0 Mar/14/14 Apr/13/14
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
athomemarket NEW - $81.99 0 Mar/14/14 Apr/13/14
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
athomemarket NEW - $413.99 0 Mar/14/14 Apr/13/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $305.99 0 Mar/14/14 Apr/13/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $318.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $97.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $214.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $345.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $106.99 0 Mar/15/14 Apr/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,256.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $254.99 0 Mar/15/14 Apr/14/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $6,256.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $73.99 2 Mar/15/14 Mar/27/14
Description: NEW Parker HV233-01-FL Rotary HV Step Motor AMAT 0090-20120 Assy. Stepper
athomemarket NEW - $2,243.99 0 Mar/15/14 Apr/14/14
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $257.99 0 Mar/15/14 Apr/14/14
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $318.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
svcstore NEW - $399.99 0 Mar/15/14 Mar/18/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
trees_for_a_better_tomorrow NEW - $450.00 0 Mar/16/14 Mar/23/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/16/14 Mar/23/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/16/14 Mar/23/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $295.00 0 Mar/16/14 Mar/23/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/16/14 Mar/23/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $80.00 0 Mar/16/14 Mar/23/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/16/14 Mar/23/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $150.00 0 Mar/16/14 Mar/23/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/16/14 Mar/23/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
athomemarket NEW - $97.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $380.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $115.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/16/14 Mar/23/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
athomemarket NEW - $191.99 0 Mar/16/14 Apr/15/14
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
conquer_2011 NEW - $950.00 0 Mar/16/14 Apr/15/14
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/16/14 Mar/23/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
surplusssam NEW - $89.99 0 Mar/17/14 Mar/27/14
Description: NEW MEC TECH MEC83106-2038L PEDESTAL RING FLUSH S/I BUT AMAT 0045-00030
usedeqsales NEW - $9,003.14 1 Mar/17/14 Nov/20/14
Description: Alcatel ATH 1600 M Turbopump P25621B8 AMAT 3620-00272 New
athomemarket NEW - $206.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $300.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $300.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $1,091.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Mar/21/14 Apr/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,091.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $315.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $236.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 Mar/21/14 Apr/20/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
fablogic NEW - $1,500.00 1 Mar/20/14 Aug/17/14
Description: AFX Ozone Analyzer Applied Materials part # 0190-09367 (NEW)
fablogic NEW - $900.00 0 Mar/20/14 Aug/17/14
Description: 0040-03083 Applied Materials WXZ Heater, 200 mm ( New)
svcstore NEW - $299.99 0 Mar/20/14 Mar/23/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $1,716.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $502.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,817.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $136.99 0 Mar/21/14 Apr/20/14
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $751.99 0 Mar/21/14 Apr/20/14
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $307.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $94.99 0 Mar/21/14 Apr/20/14
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $1,327.99 0 Mar/21/14 Apr/20/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
usedeqsales NEW - $203.14 0 Mar/21/14 Oct/16/14
Description: Synetics Solutions UZZZ0082FK Yaskawa Driver Power Cable AMAT 0190-14744 New
usedeqsales NEW - $503.14 0 Mar/21/14 Oct/16/14
Description: Synetics Solutions UZZZ0082FM Yaskawa Servo Encoder Cable AMAT 0190-14743 New
usedeqsales NEW - $203.14 0 Mar/21/14 Oct/16/14
Description: Synetics Solutions UZZZ006198 Yaskawa Controller Power Cable AMAT 0190-07154 New
svcstore NEW - $399.99 0 Mar/21/14 Mar/24/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $399.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Mar/22/14 Apr/21/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $99.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
fablogic NEW - $550.00 0 Mar/21/14 Aug/18/14
Description: 0010-10038 N2 Doping Kit Applied Materials (New)
supertechshop NEW - $399.00 0 Mar/22/14 Apr/21/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $1,250.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop NEW - $495.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $499.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $295.00 0 Mar/22/14 Apr/21/14
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
supertechshop NEW - $74.99 0 Mar/22/14 Apr/21/14
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
supertechshop NEW - $995.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $49.99 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $99.99 0 Mar/22/14 Apr/21/14
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
fablogic NEW - $3,000.00 0 Mar/21/14 Aug/18/14
Description: 0010-21403 Applied Materials PVD Magnet ( New)
semipart NEW - $1,800.00 2 Mar/22/14 May/22/14
Description: AMAT APPLIED MATERIALS 0010-20133 BLADE ASSY 8" Transfer, New 2 available
athomemarket NEW - $1,347.99 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $71.99 0 Mar/22/14 Apr/21/14
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $100.99 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
dvkelectronics NEW - $425.00 0 Mar/22/14 Apr/01/14
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
fablogic NEW - $650.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials 0660-01583 Power Supply, ETO (NEW)
fablogic NEW - $1,000.00 0 Mar/22/14 Aug/19/14
Description: AMAT PVD 0010-20287 & 0010-20288 Handler, Assy. LT & RT (NEW; 1 pair)
athomemarket NEW - $394.99 0 Mar/23/14 Apr/22/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $8,579.99 0 Mar/23/14 Apr/22/14
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $45.99 0 Mar/23/14 Apr/22/14
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $121.99 0 Mar/23/14 Apr/22/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $307.99 0 Mar/23/14 Apr/22/14
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
fablogic NEW - $9,995.00 0 Mar/23/14 Aug/20/14
Description: 0010-20768 Applied Materials PVD Magnet , G 12 8" ( New)
trees_for_a_better_tomorrow NEW - $80.00 0 Mar/23/14 Mar/30/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $450.00 0 Mar/23/14 Mar/30/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/23/14 Mar/30/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $150.00 0 Mar/23/14 Mar/30/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/23/14 Mar/30/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/23/14 Mar/30/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/23/14 Mar/30/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/23/14 Mar/30/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $295.00 0 Mar/23/14 Mar/30/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/23/14 Mar/30/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/23/14 Mar/30/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
svcstore NEW - $299.99 0 Mar/23/14 Mar/26/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
fablogic NEW - $350.00 0 Mar/23/14 Apr/21/14
Description: AMAT 3700-01893 O-Ring, Chemraz Greene Tweed GT P/N 9280-SC520 (NEW)
athomemarket NEW - $114.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $71.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
conquer_2011 NEW - $2,488.00 0 Mar/24/14 Apr/23/14
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
usedeqsales NEW - $203.14 0 Mar/24/14 May/01/15
Description: Parker 2-217-UHP O-Ring Seal AMAT 3700-02466 Lot of 10 New
usedeqsales NEW - $303.14 0 Mar/24/14 May/04/15
Description: Parker 2-229-UHP O-Ring Seal AMAT 3700-06865 Lot of 10 New
usedeqsales NEW - $303.14 0 Mar/24/14 May/04/15
Description: Parker 2-010-UHP O-Ring Seal AMAT 3700-02720 Lot of 20 New
usedeqsales NEW - $273.14 0 Mar/24/14 May/04/15
Description: Parker 2-279-UHP O-Ring Seal AMAT 3700-02744 Lot of 8 New
usedeqsales NEW - $303.14 0 Mar/24/14 May/04/15
Description: Parker 2-127-UHP O-Ring Seal AMAT 3700-02439 Lot of 20 New
svcstore NEW - $399.99 0 Mar/24/14 Mar/27/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
powersell007 Used - $59.00 10 Mar/25/14 Mar/25/23
Description: *NEW* APPLIED MATERIALS AMAT 0021-81369 MEMBRANE, 300mm TITAN PROFILER 5 - ZONE
usedeqsales NEW - $303.14 0 Mar/25/14 May/04/15
Description: Parker 2-009-UHP O-Ring Seal AMAT 3700-05159 Lot of 21 New
usedeqsales NEW - $203.14 0 Mar/25/14 Oct/16/14
Description: Synetics Solutions UZZZ0082FF Yaskawa Driver I/O Cable AMAT 0190-14748 New
usedeqsales NEW - $303.14 0 Mar/25/14 May/04/15
Description: Parker 2-202-UHP O-Ring Seal AMAT 3700-02469 Lot of 20 New
usedeqsales NEW - $303.14 0 Mar/24/14 May/04/15
Description: Perlast 3700-00017 O-Ring Seal AMAT Applied Materials Lot of 10 New
supertechshop NEW - $99.99 0 Mar/26/14 Apr/25/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $499.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $24.99 0 Mar/26/14 Apr/25/14
Description: NEW AMAT Bushing Flat Roller 15K/25K Ceramic 0040-74466 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $249.00 0 Mar/26/14 Apr/25/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop NEW - $299.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $399.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 3030-05748 Stec LF-310A-EVD Mass Flow Controller Liquid TEPO 0.2 g/Min
supertechshop NEW - $24.99 0 Mar/26/14 Apr/25/14
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
athomemarket NEW - $181.99 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $177.99 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
svcstore NEW - $299.99 0 Mar/26/14 Mar/29/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $1,211.99 0 Mar/27/14 Apr/26/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $116.99 0 Mar/27/14 Apr/26/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
austieiscute NEW - $649.99 1 Mar/27/14 Sep/08/15
Description: New Spellman X3208 Rev C1 High Voltage Power Supply Module AMAT 0190-17029
svcstore NEW - $399.99 0 Mar/27/14 Mar/30/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/27/14 Mar/30/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
surplusssam NEW - $89.99 0 Mar/28/14 Apr/04/14
Description: NEW MEC TECH MEC83106-2038L PEDESTAL RING FLUSH S/I BUT AMAT 0045-00030
athomemarket NEW - $227.99 0 Mar/28/14 Apr/27/14
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $146.99 0 Mar/28/14 Apr/27/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $935.99 0 Mar/28/14 Apr/27/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
qc-computer NEW - $70.00 0 Mar/28/14 Apr/27/14
Description: LAM Research Orifice Ring LRC 716-011036-001 New Never Opened!
athomemarket NEW - $99.99 0 Mar/28/14 Apr/04/14
Description: NEW Applied Materials/AMAT 0100-01603 Rev. 002 Spill Sensor PCB Board Assembly
legacy_technologies NEW - $2,100.00 1 Mar/29/14 Sep/17/14
Description: AMAT 0190-14502 V6U/DSP MEI 0660-01820 Motion Controller NEW
athomemarket NEW - $5,954.99 0 Mar/29/14 Apr/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Mar/29/14 Apr/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Mar/29/14 Apr/28/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,671.99 0 Mar/29/14 Apr/28/14
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
svcstore NEW - $299.99 0 Mar/29/14 Apr/01/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
leapingserver NEW - $42.99 0 Mar/30/14 May/24/14
Description: NEW Ushio 2200w 120v 1KZ Lamp Bulb Applied Materials AMAT 0190-39974 for EPI RTP
athomemarket NEW - $4,239.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $99.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $63.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $5,853.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $473.99 0 Mar/30/14 Apr/29/14
Description: NEW AMAT 0190-13840 Yaskawa Servopack SGDF-A2CSY39 24VDC 2A 20W Motor Driver
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/30/14 Apr/02/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
svcstore NEW - $399.99 0 Mar/30/14 Apr/02/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/30/14 Apr/06/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/30/14 Apr/06/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $295.00 0 Mar/30/14 Apr/06/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/30/14 Apr/06/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $150.00 0 Mar/30/14 Apr/06/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/30/14 Apr/06/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/30/14 Apr/06/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $450.00 0 Mar/30/14 Apr/06/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $80.00 0 Mar/30/14 Apr/06/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/30/14 Apr/06/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/30/14 Apr/06/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
yayais2012 NEW - $75.00 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
systechaz6024370100 NEW - $929.99 1 Mar/31/14 Sep/26/17
Description: Lam Research Model: 718-098591-001 VAT Paddle Pendulum Gate. New Old Stock <J
systechaz6024370100 NEW - $429.99 1 Mar/31/14 Oct/07/20
Description: Lam Research Model: 716-330915-001 Ceramic Insulator Ring. New Old Stock <J
usedeqsales NEW - $2,003.14 2 Mar/31/14 Apr/25/14
Description: MKS Instruments 012-1117-131 Comm Tray AS00383-04 AMAT 0195-01528 New
athomemarket NEW - $69.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $59.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $476.99 0 Mar/31/14 Apr/30/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
supertechshop NEW - $249.00 0 Mar/31/14 Apr/30/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $299.00 0 Mar/31/14 Apr/30/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 Mar/31/14 Apr/30/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
athomemarket NEW - $5,550.99 0 Mar/31/14 Apr/30/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
supertechshop NEW - $499.00 0 Mar/31/14 Apr/30/14
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $39.99 0 Mar/31/14 Apr/30/14
Description: NEW AMAT 0190-35451 Halogen Photo Optic Lamp 82V 410W Osram 4100/JKT /2-Pin Bulb
supertechshop NEW - $99.00 0 Mar/31/14 Apr/30/14
Description: NEW Thermo D8901 Ion Filter High Capacity Cartridge / AMAT 4020-01140 / Sealed
supertechshop NEW - $899.95 0 Mar/31/14 Apr/30/14
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $149.95 0 Mar/31/14 Apr/30/14
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $149.95 0 Mar/31/14 Apr/30/14
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $199.95 2 Mar/31/14 Apr/08/14
Description: NEW AMAT Inficon 0190-23497 Dual Manometer Heated Valve 3-ft 208V 40W
supertechshop NEW - $495.00 0 Mar/31/14 Apr/30/14
Description: NEW AMAT 0190-17462 DC Power Supply Yamatake PGU502V04000 Soft Start / Warranty
supertechshop NEW - $199.95 0 Mar/31/14 Apr/30/14
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
supertechshop NEW - $249.00 0 Mar/31/14 Apr/30/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
usedeqsales NEW - $10,010.10 0 Mar/31/14 Apr/01/14
Description: DCG-200Z OPTIMA ENI DCG-200ZH-00 DC Power Supply AMAT 0190-22931 New
testeqe NEW - $399.99 0 Apr/01/14 Jun/19/16
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly
athomemarket NEW - $99.99 0 Apr/01/14 May/01/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $79.99 0 Apr/01/14 May/01/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $77.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $236.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $400.99 0 Apr/01/14 May/01/14
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $298.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $370.99 0 Apr/01/14 May/01/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $8,514.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $386.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $297.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $296.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $502.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $105.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
dvkelectronics NEW - $425.00 0 Apr/01/14 Apr/11/14
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
imca00 NEW - $12,500.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
athomemarket NEW - $69.99 0 Apr/02/14 Apr/09/14
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $99.99 0 Apr/02/14 Apr/09/14
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $69.99 0 Apr/02/14 Apr/09/14
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $461.99 0 Apr/02/14 May/02/14
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
bjnaf4 NEW - $770.53 0 Apr/02/14 May/02/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $69.99 0 Apr/02/14 Apr/09/14
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
usedeqsales NEW - $224.14 0 Apr/02/14 May/01/15
Description: Parker 2-326 O-Ring Seal AMAT 3700-06124 Lot of 14 New
svcstore NEW - $299.99 0 Apr/02/14 Apr/05/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $415.99 0 Apr/03/14 May/03/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $2,671.99 0 Apr/03/14 May/03/14
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $13,174.00 0 Apr/03/14 May/03/14
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $142.99 0 Apr/03/14 May/03/14
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $2,023.99 0 Apr/03/14 May/03/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $439.99 0 Apr/03/14 May/03/14
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
usedeqsales NEW - $5,504.14 2 Apr/03/14 Oct/14/20
Description: Shimadzu EI-3203MD-A1 TMP Turbopump Power Unit AMAT 3620-01616 New
svcstore NEW - $399.99 0 Apr/03/14 Apr/06/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
systasemi NEW - $780.00 0 Apr/03/14 Jun/01/23
Description: AMAT 0020-17121 CONNECTOR HEATER 300MM ULTIMA X, OEM NEW
farmoninc NEW - $250.00 0 Apr/04/14 May/22/18
Description: NEW AMAT 0020-39409 Cover, Rotation, Left, RTP Chamber
farmoninc NEW - $35.00 1 Apr/04/14 Mar/18/15
Description: NEW AMAT 1200-90056 Relay 24V DPDT DIL
athomemarket NEW - $128.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,212.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,212.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
farmoninc NEW - $650.00 1 Apr/04/14 Aug/15/14
Description: NEW AMAT 0200-35003 Blade Robot 150MM Quartz, 0200-35003D
surplusssam NEW - $89.99 0 Apr/04/14 Apr/11/14
Description: NEW MEC TECH MEC83106-2038L PEDESTAL RING FLUSH S/I BUT AMAT 0045-00030
athomemarket NEW - $92.99 0 Apr/04/14 May/04/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
athomemarket NEW - $137.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
conquer_2011 NEW - $15.00 0 Apr/04/14 May/04/14
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
cappy95 NEW - $139.99 1 Apr/05/14 Apr/14/14
Description: NEW Nor-Cal 3870-01212 3-Port SS Bellows Loadlock ISO Valve KF40 Lam AMAT
athomemarket NEW - $232.99 1 Apr/05/14 May/05/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $960.99 0 Apr/05/14 May/05/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $91.99 0 Apr/05/14 May/05/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $145.99 0 Apr/05/14 May/05/14
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $54.99 0 Apr/05/14 May/05/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $190.99 0 Apr/05/14 May/05/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $68.99 0 Apr/05/14 May/05/14
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $700.99 0 Apr/05/14 May/05/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
svcstore NEW - $299.99 0 Apr/05/14 Apr/08/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
tech11 NEW - $60.00 1 Apr/05/14 Aug/01/14
Description: New Applied Materials (AMAT) 3800-01116 SRH 3111-N02 Regulator
athomemarket NEW - $19.99 0 Apr/06/14 May/06/14
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
svcstore NEW - $399.99 0 Apr/06/14 Apr/09/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $7,500.00 0 Apr/07/14 May/09/14
Description: AMAT 0010-77775 HEAD ASSY. 8 TITAN HEAD II, NEW
imca00 NEW - $4,500.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket NEW - $252.99 0 Apr/07/14 May/07/14
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $213.99 0 Apr/07/14 May/07/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Apr/07/14 May/07/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $32.99 0 Apr/07/14 May/07/14
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Apr/07/14 May/07/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket NEW - $99.99 0 Apr/07/14 May/07/14
Description: NEW Applied Materials/AMAT 0100-01603 Rev. 002 Spill Sensor PCB Board Assembly
usedeqsales NEW - $5,510.13 0 Apr/07/14 Apr/30/15
Description: AE Advanced Energy 3155169-009 RF Match Navigator AMAT 0190-23122 New
keykorea NEW - $60.00 0 Apr/08/14 Nov/11/14
Description: AMAT 0020-79015 SHAFT BUSHING LOADCUP, NEW
usedeqsales NEW - $1,504.14 1 Apr/08/14 Mar/12/15
Description: MKS Instruments 640A21TW1VA2V Adaptive Pressure Controller AMAT 3920-00385 New
athomemarket NEW - $69.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $265.99 0 Apr/08/14 May/08/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $236.99 0 Apr/08/14 May/08/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $497.99 1 Apr/08/14 May/02/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $118.99 0 Apr/08/14 May/08/14
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $133.99 0 Apr/08/14 May/08/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $131.99 0 Apr/08/14 May/08/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $449.99 0 Apr/08/14 Apr/15/14
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
supertechshop NEW - $399.00 2 Apr/08/14 Apr/09/14
Description: NEW AMAT Nor-Cal 3870-06775 Pneumatic 3.5" Gate Valve Applied Materials
athomemarket NEW - $186.99 0 Apr/08/14 May/08/14
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $40.99 0 Apr/08/14 May/08/14
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $176.99 0 Apr/08/14 May/08/14
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $638.99 0 Apr/08/14 May/08/14
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $118.99 0 Apr/08/14 May/08/14
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $81.99 0 Apr/08/14 May/08/14
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
supertechshop NEW - $1,150.00 0 Apr/08/14 May/08/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Apr/08/14 May/08/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
supertechshop NEW - $299.00 0 Apr/08/14 May/08/14
Description: NEW AMAT 0190-33933 Horiba STEC Injection Valve IV-2410AV-02H-180S-N / Warranty
supertechshop NEW - $299.00 0 Apr/08/14 May/08/14
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
athomemarket NEW - $69.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $59.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
svcstore NEW - $299.99 0 Apr/08/14 Apr/11/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
mattron747 NEW - $750.00 0 Apr/08/14 May/08/14
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
cappy95 NEW - $94.99 0 Apr/08/14 May/08/14
Description: NEW AMAT 0190-13448 Supply Manifold Valve, Hoke 7387001S - Warranty
cappy95 NEW - $94.99 0 Apr/08/14 May/08/14
Description: NEW AMAT 3870-01603 FUJIKIN FPR-UDDF-71-6.35-2-NL-UP; PNEUMATIC VALVE 1/4 VCR
systasemi NEW - $900.00 0 Apr/08/14 May/08/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
catalystparts NEW - $90.00 1 Apr/09/14 Jan/18/15
Description: Applied Materials Hub Spacer 0040-22802 NEW
usedeqsales NEW - $3,504.14 0 Apr/09/14 May/01/15
Description: Daihen RMN-50N4 Dual Frequency RF Match Box AMAT 0190-19174 New
usedeqsales NEW - $1,004.14 0 Apr/09/14 May/01/15
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-01722 New
usedeqsales NEW - $1,004.14 0 Apr/09/14 May/01/15
Description: Unit Instruments UFC-8160 Mass Flow Controller AMAT 0226-44596 New
usedeqsales NEW - $254.14 0 Apr/09/14 May/04/15
Description: Unit Instruments UFC-8160 Mass Flow Controller AMAT 0224-43650 New
conquer_2011 NEW - $275.00 0 Apr/09/14 May/09/14
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
svcstore NEW - $399.99 0 Apr/09/14 Apr/12/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $1,500.00 0 Apr/09/14 Feb/18/18
Description: AMAT 0100-20004 wPCB ASSY, CHAMBER INTERCONNECT, NEW
keykorea NEW - $600.00 0 Apr/10/14 Feb/12/15
Description: AMAT 0140-77632 C/A HD SWP1-4 MTR DRIVER, NEW
keykorea NEW - $900.00 20 Apr/09/14 May/04/17
Description: AMAT 3920-00490 Zaytran M990606 Pneumatic Parallel Gripper, NEW
keykorea NEW - $750.00 0 Apr/09/14 Sep/30/15
Description: AMAT 0021-77922 HOUSING, GIMBAL BASE, NEW
sfwish NEW - $379.97 1 Apr/10/14 Mar/16/15
Description: NEW Lam Research 715-011900-001 REV.A 6" Upper Baffle Plate
svcstore NEW - $399.99 0 Apr/12/14 Apr/15/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ciarasheltie NEW - $3.00 0 Apr/12/14 Apr/19/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
athomemarket NEW - $415.99 0 Apr/12/14 May/12/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $522.99 0 Apr/12/14 May/12/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
imca00 NEW - $12,500.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
svcstore NEW - $299.99 0 Apr/11/14 Apr/14/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $139.99 0 Apr/11/14 May/11/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $3,734.99 0 Apr/12/14 May/12/14
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $199.99 0 Apr/12/14 May/12/14
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
dvkelectronics NEW - $425.00 0 Apr/11/14 May/11/14
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
athomemarket NEW - $66.99 0 Apr/11/14 May/11/14
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $154.99 0 Apr/11/14 May/11/14
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,277.99 0 Apr/11/14 May/11/14
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
usedeqsales NEW - $304.14 0 Apr/11/14 May/04/15
Description: Parker 2-205-UHP O-Ring Seal AMAT 3700-00175 Lot of 20 New
azbidder68 NEW - $425.00 0 Apr/11/14 Jun/06/14
Description: Lam Research Gate Valve PM Kit 200mm 849-030994-001 NEW!!
athomemarket NEW - $216.99 0 Apr/11/14 May/11/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,433.99 0 Apr/10/14 May/10/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
athomemarket NEW - $256.99 0 Apr/13/14 May/13/14
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
yayais2012 NEW - $220.00 0 Apr/14/14 May/14/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
yayais2012 NEW - $220.00 0 Apr/14/14 May/14/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
keykorea NEW - $4,700.00 1 Apr/14/14 Jul/23/18
Description: AMAT 0270-00689 INSTALL, TOOL COLLAR, 300MM HDPCVD,NEW
usedeqsales NEW - $304.14 0 Apr/14/14 May/04/15
Description: Parker 2-109 O-Ring Seal AMAT 3700-06112 Lot of 21 New
catalystparts NEW - $75.00 0 Apr/14/14 May/26/17
Description: Applied Materials 0040-40360 Rev 001 **NEW**
athomemarket NEW - $214.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $345.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $97.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $106.99 0 Apr/14/14 May/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $318.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $6,256.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $254.99 0 Apr/14/14 May/14/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $413.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $81.99 0 Apr/14/14 May/14/14
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
athomemarket NEW - $305.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $6,256.99 0 Apr/14/14 May/14/14
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $2,243.99 0 Apr/14/14 May/14/14
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $257.99 0 Apr/14/14 May/14/14
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
grandbirdnet NEW - $3,200.00 0 Apr/15/14 May/03/22
Description: AMAT 3700-04313 GATE SEAL,25x560 VITON, NEW
conquer_2011 NEW - $855.00 0 Apr/15/14 May/15/14
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
athomemarket NEW - $115.99 0 Apr/15/14 May/15/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $318.99 2 Apr/15/14 May/15/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
supertechshop NEW - $299.00 0 Apr/15/14 May/15/14
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
farmoninc NEW - $650.00 0 Apr/15/14 Nov/10/15
Description: NEW AMAT 0100-91054 PWB ASSY DUAL ANGLE INTERLOCK
svcstore NEW - $399.99 0 Apr/15/14 Apr/18/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $830.00 1 Apr/16/14 Oct/22/18
Description: AMAT 0270-00318 FLOWMETER CALIBRATION TOOL,FACILITIES, NEW
keykorea NEW - $1,600.00 0 Apr/16/14 Jul/01/14
Description: AMAT 0020-27667 CLAMP RING 6 SMF TI STD EE @ C D THRU, NEW
athomemarket NEW - $214.99 0 Apr/16/14 Apr/23/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket NEW - $101.99 1 Apr/16/14 May/09/14
Description: NEW Lot of 7 Applied Materials/AMAT 3300-03451 FTG TBG ADPTR 1/2T PUSHLOK 2.42
athomemarket NEW - $107.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $91.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $449.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
athomemarket NEW - $97.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $380.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $80.99 0 Apr/16/14 May/16/14
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $701.99 0 Apr/16/14 May/16/14
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $54.99 0 Apr/16/14 May/16/14
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $107.99 0 Apr/16/14 May/16/14
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $918.99 0 Apr/16/14 May/16/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $49.99 0 Apr/16/14 May/16/14
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $43.99 0 Apr/16/14 May/16/14
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $99.99 0 Apr/16/14 May/16/14
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $107.99 0 Apr/16/14 May/16/14
Description: 8 NEW GT 5641-0488-SC520 Chemraz Inner Door DSQ O-Rings PTFE LAM 734-093789-001
athomemarket NEW - $47.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
grandbirdnet NEW - $5,600.00 1 Apr/16/14 Mar/04/22
Description: AMAT 3030-14377 MKS FRCA-26434 RATIO FLOW CONTROLLER, 2000/500SCCM 1/4V, NEW
keykorea NEW - $5,500.00 1 Apr/17/14 Feb/11/15
Description: AMAT 0660-01815 PCB CPU GESPAC 68030, NEW
keykorea NEW - $9,000.00 0 Apr/17/14 Jan/14/15
Description: AMAT 0100-01973 PCB ASSEMBLY, IMPROVED LAMP FAILURE DETE, NEW
trees_for_a_better_tomorrow NEW - $150.00 0 Apr/17/14 Apr/24/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $95.00 0 Apr/17/14 Apr/24/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $450.00 0 Apr/17/14 Apr/24/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $125.00 0 Apr/17/14 Apr/24/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $295.00 0 Apr/17/14 Apr/24/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/17/14 Apr/24/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $125.00 0 Apr/17/14 Apr/24/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/17/14 Apr/24/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
imca00 NEW - $12,500.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
trees_for_a_better_tomorrow NEW - $95.00 0 Apr/17/14 Apr/24/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/17/14 Apr/20/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $80.00 0 Apr/17/14 Apr/24/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/17/14 Apr/24/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
farmoninc NEW - $450.00 0 Apr/17/14 Apr/26/17
Description: NEW AMAT 0200-00411 Top Ring, Quartz, 200MM, Oxide, 200 mm
athomemarket NEW - $113.99 0 Apr/17/14 May/17/14
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $199.99 0 Apr/17/14 May/17/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $77.99 0 Apr/17/14 May/17/14
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
athomemarket NEW - $30.99 0 Apr/17/14 May/17/14
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
farmoninc NEW - $495.00 0 Apr/17/14 Jun/28/21
Description: NEW AMAT 0100-20263 PCB, MCA+ RF AC BOX INTERLOCK
supertechshop NEW - $199.00 0 Apr/17/14 May/17/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $49.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $24.99 0 Apr/17/14 May/17/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $475.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Chamber Quartz Window 14.75" Dia 1" Thk Polycarbonate 0021-62026 Sealed
supertechshop NEW - $975.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
svcstore NEW - $299.99 0 Apr/17/14 Apr/20/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $299.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $475.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
supertechshop NEW - $199.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
supertechshop NEW - $249.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $99.00 0 Apr/17/14 May/17/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $99.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $299.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
supertechshop NEW - $750.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $750.00 0 Apr/17/14 May/17/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $495.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $750.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $199.00 0 Apr/17/14 May/17/14
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
supertechshop NEW - $650.00 0 Apr/17/14 May/17/14
Description: NEW AMAT MKS T3Bi Exhaust Throttle Control Valve T3BIB-30925 Hi-Speed 0190-42648
supertechshop NEW - $975.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $299.00 0 Apr/17/14 May/17/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
keykorea NEW - $1,660.00 0 Apr/17/14 Aug/05/14
Description: AMAT 0020-91244 SHIELD, 1-PIECE, AL, CLEANCOAT, C3.0, PV, NEW
grandbirdnet NEW - $5,500.00 1 Apr/18/14 Jun/09/22
Description: AMAT 3870-03322 VALVE GATE 3" ID NW57 PNEU N/C W/O POSIT, NEW
grandbirdnet NEW - $4,000.00 0 Apr/18/14 Dec/03/21
Description: MKS AS00385-02 COMMUNICATIONS TRAY, 300MM HDPCVD GEN RA, NEW
keykorea NEW - $400.00 0 Apr/18/14 Sep/27/16
Description: AMAT 0240-15790 KIT, SHELD, PLATEN MIRRA CMP REV. 001, NEW
usedeqsales NEW - $4,004.14 0 Apr/18/14 Apr/30/15
Description: AB Allen-Bradley AMAT 0195-08932 Chamber AC Rack Radiance Plus 300mm Vantage New
conquer_2011 NEW - $895.50 0 Apr/18/14 May/18/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
usedeqsales NEW - $81.66 0 Apr/18/14 Apr/25/14
Description: AMAT Applied Materials 0690-03261 8" V Band Clamp Lot of 2 New
conquer_2011 NEW - $58.50 0 Apr/18/14 May/18/14
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
usedeqsales NEW - $174.14 0 Apr/18/14 May/01/15
Description: MKS HPS 100886000 6" Non-Rotatable THRU CF Flange Blank AMAT 3300-04623 New
usedeqsales NEW - $154.14 0 Apr/18/14 May/01/15
Description: MKS HPS 100885000 4.5" Non-Rotatable Thru CF Flange Blank AMAT 3300-07862 New
conquer_2011 Used - $6,299.10 0 Apr/18/14 May/18/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
athomemarket NEW - $119.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $88.99 0 Apr/18/14 May/18/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $128.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $301.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $51.99 0 Apr/18/14 May/18/14
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $1,019.99 0 Apr/18/14 May/18/14
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $51.99 0 Apr/18/14 May/18/14
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $918.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
svcstore NEW - $399.99 0 Apr/18/14 Apr/21/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
conquer_2011 NEW - $2,699.10 0 Apr/19/14 May/19/14
Description: NEW AMAT 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM w/ VEXTA SERVO
athomemarket NEW - $502.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,817.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,716.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $330.99 0 Apr/19/14 May/19/14
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $94.99 0 Apr/19/14 May/19/14
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $83.99 0 Apr/19/14 May/19/14
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $219.99 0 Apr/19/14 May/19/14
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $1,091.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Apr/20/14 May/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $300.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $1,091.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $300.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $206.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $315.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $236.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $99.99 0 Apr/20/14 May/20/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $136.99 0 Apr/20/14 May/20/14
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $307.99 0 Apr/20/14 May/20/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $94.99 0 Apr/20/14 May/20/14
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $1,327.99 0 Apr/20/14 May/20/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $751.99 0 Apr/20/14 May/20/14
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
svcstore NEW - $299.99 0 Apr/20/14 Apr/23/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
grandbirdnet NEW - $2,260.00 0 Apr/20/14 Jan/26/16
Description: AMAT 0190-22793 MANIFOLD, HEAT EXCHANGER, PROD S, SST FA, NEW
usedeqsales NEW - $4,004.14 0 Apr/21/14 Apr/30/15
Description: Comet 20062678 High Impedance HF RF Filter Assembly AMAT 0190-41422 New
usedeqsales NEW - $1,304.14 0 Apr/21/14 May/01/15
Description: Praxair DP Plus Edge CMP Polishing Pad 30.5" AMAT 0190-38793 Lot of 10 New
ciarasheltie NEW - $3.00 0 Apr/21/14 Apr/28/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
athomemarket NEW - $71.99 0 Apr/21/14 May/21/14
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,347.99 0 Apr/21/14 May/21/14
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $100.99 0 Apr/21/14 May/21/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore NEW - $399.99 0 Apr/21/14 Apr/24/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
grandbirdnet NEW - $540.00 1 Apr/21/14 Jun/15/23
Description: AMAT 0270-00736 ALIGN-PIN WAFER TEMP PROBE ULTIMA HDP-CV, NEW
keykorea NEW - $45.00 0 Apr/21/14 Mar/24/15
Description: AMAT 0020-17924 ALIGNMENT TOOL, TOP CLEAN ULTIMA PLUS, NEW
keykorea NEW - $500.00 0 Apr/21/14 Apr/20/21
Description: AMAT 0240-04276 LID ASSEMBLY, CALIBRATION/SP, NEW
keykorea NEW - $230.00 1 Apr/21/14 Aug/22/19
Description: AMAT 0270-00740 PIN, ALIGN CATHODE ASSY, 300MM HDP-CVD, LOT 2, NEW
keykorea NEW - $450.00 0 Apr/21/14 Dec/12/15
Description: AMAT 0270-00741 SLEEVE, ALIGN CATHODE ASSY, 300MM HDP-CV, LOT 2, NEW
keykorea NEW - $3,600.00 1 Apr/22/14 Jun/16/15
Description: AMAT 0270-02726 BLANKOFF, CHAMBER LID, 300MM HDPCVD ULTI, NEW
keykorea NEW - $1,100.00 0 Apr/22/14 Jun/20/17
Description: AMAT 0021-02395 INSERT RING, ALUMINUM, DXZ SACVD, NEW
keykorea NEW - $300.00 0 Apr/22/14 Jan/15/15
Description: AMAT 0020-78641 FLANGE, LOWER EXHAUST REV. P1, NEW
usedeqsales NEW - $2,004.14 0 Apr/22/14 May/01/15
Description: VAT 9400X-XA24-AEA1 Atmospheric Door AMAT 0190-37463 New
athomemarket NEW - $394.99 0 Apr/22/14 May/22/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $8,579.99 0 Apr/22/14 May/22/14
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $307.99 0 Apr/22/14 May/22/14
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $45.99 0 Apr/22/14 May/22/14
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $121.99 0 Apr/22/14 May/22/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
visionsemi NEW - $480.00 4 Apr/22/14 Oct/10/17
Description: LAM RESEARCH 716-018614-082 Focus Ring 200MM Jeida ESC 4420 NEW
supertechshop NEW - $99.00 0 Apr/22/14 May/22/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $499.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $399.00 0 Apr/22/14 May/22/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $1,250.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop NEW - $49.99 0 Apr/22/14 May/22/14
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $995.00 0 Apr/22/14 May/22/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Apr/22/14 May/22/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Apr/22/14 May/22/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $99.99 0 Apr/22/14 May/22/14
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
supertechshop NEW - $495.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $295.00 0 Apr/22/14 May/22/14
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
supertechshop NEW - $74.99 0 Apr/22/14 May/22/14
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
usedeqsales NEW - $811.12 0 Apr/23/14 May/04/15
Description: SMC HEBC002-HW10-X1 Thermo Electric Liquid Tank Bath HEB AMAT 3380-00065 New
usedeqsales NEW - $1,404.14 0 Apr/23/14 May/01/15
Description: Yaskawa SGMCS-1CR3A-YA23 AC Servo Motor AMAT 1080-00745 New
pcnomad.com NEW - $2,499.99 1 Apr/23/14 Jun/09/14
Description: NEW AMAT 0190-24854 MKS REV3.0 G4 PLUS 4-PORT UPA 300MM REFL
farmoninc NEW - $350.00 0 Apr/23/14 May/06/14
Description: NEW AMAT 0620-02907 Cable DC Motor INTEGRA,12-9982-008 Pittman GM9413L115-R1
conquer_2011 NEW - $2,488.00 0 Apr/23/14 May/23/14
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
athomemarket NEW - $206.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $114.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $71.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $206.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
usedeqsales NEW - $904.14 0 Apr/23/14 May/07/14
Description: SMC HEBC002-CB-X1 Thermo Chiller Thermo-Con AMAT 3930-00311 New
svcstore NEW - $299.99 0 Apr/23/14 Apr/26/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
bobsgoodies NEW - $1,750.00 0 Apr/24/14 Jun/17/14
Description: AMAT 0010-77138 Spray Gun Assembly CMP New, unused sruplus
farmoninc NEW - $1,062.50 0 Apr/24/14 Jun/24/20
Description: NEW AMAT 0100-90149 PWBA SPIN SCAN REGULATOR
svcstore NEW - $399.99 0 Apr/24/14 Apr/27/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $146.99 0 Apr/27/14 May/27/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $227.99 0 Apr/27/14 May/27/14
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
svcstore NEW - $299.99 0 Apr/26/14 Apr/29/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/26/14 Apr/29/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $125.00 0 Apr/26/14 May/03/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/26/14 May/03/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $295.00 0 Apr/26/14 May/03/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/26/14 May/03/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $150.00 0 Apr/26/14 May/03/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $95.00 0 Apr/26/14 May/03/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 Regulator SQMicro
trees_for_a_better_tomorrow NEW - $125.00 0 Apr/26/14 May/03/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $80.00 0 Apr/26/14 May/03/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $450.00 0 Apr/26/14 May/03/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
athomemarket NEW - $1,211.99 0 Apr/26/14 May/26/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
neilan1987 NEW - $399.99 0 Apr/25/14 Jun/27/14
Description: Applied Materials AMAT 0040-23525 Slit Valve Door Faceplate NEW
neilan1987 NEW - $399.99 0 Apr/25/14 Jun/27/14
Description: Applied Materials AMAT 0040-23526 Slit Valve Door Faceplate NEW
usedeqsales NEW - $704.14 0 Apr/25/14 May/04/15
Description: AMAT Applied Materials 0041-27044 Lid Loadlock Condor New
usedeqsales NEW - $404.14 0 Apr/25/14 Dec/04/14
Description: AMAT Applied Materials 0010-51957 Water Manifold Assembly New Surplus
surplusssam NEW - $99.99 0 Apr/25/14 May/02/14
Description: NEW AMAT APPLIED MATERIALS 0040-05069 SWLL BRACKET ASSY INDEXER
usedeqsales NEW - $404.14 0 Apr/25/14 Dec/04/14
Description: AMAT Applied Materials 0010-51957 Water Manifold Assembly New
athomemarket NEW - $935.99 0 Apr/27/14 May/27/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
trees_for_a_better_tomorrow NEW - $95.00 0 Apr/26/14 May/03/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
athomemarket NEW - $116.99 0 Apr/26/14 May/26/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $49.99 0 Apr/25/14 Apr/28/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
farmoninc NEW - $1,750.00 0 Apr/25/14 Jul/11/17
Description: NEW AMAT 1200-01514 Relay Contactor 3P AC/DC control, telemecanique LCI F500
farmoninc NEW - $600.00 1 Apr/25/14 Jan/27/15
Description: 5 NEW AMAT 0020-85089 Electrode, Suppression
athomemarket NEW - $177.99 0 Apr/25/14 May/25/14
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $181.99 0 Apr/25/14 May/25/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/26/14 May/03/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
svcstore NEW - $399.99 0 Apr/27/14 Apr/30/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
qc-computer NEW - $70.00 6 Apr/28/14 May/06/14
Description: LAM Research Orifice Ring LRC 716-011036-001 New Never Opened!
usedeqsales NEW - $1,304.14 0 Apr/28/14 May/01/15
Description: AMAT Applied Materials 0140-19341 Harness Assembly Lamp Outer Zone Neon New
usedeqsales NEW - $284.14 0 Apr/28/14 May/04/15
Description: Yaskawa SGMAH-01A1F41 AC Servo Motor AMAT 1080-00392 New
usedeqsales NEW - $2,004.14 0 Apr/28/14 May/01/15
Description: Quality Transformer And Electronics 8712 Transformer AMAT 0190-28973 New
athomemarket NEW - $5,954.99 0 Apr/28/14 May/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Apr/28/14 May/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Apr/28/14 May/28/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
farmoninc NEW - $195.00 2 Apr/28/14 Jul/05/17
Description: NEW AMAT 0020-06202 NEST, 200mm YP-5073 CASSETTE NEST, WBL
farmoninc NEW - $1,150.00 1 Apr/28/14 May/17/16
Description: NEW AMAT 0010-12988 ASSY, EDGE CONTACT BLADE, MIRRA 200mm, AMAT 0190-08910
y.t.r2011 NEW - $200.00 0 Apr/28/14 Nov/15/14
Description: New AMAT 0190-37833 MKS AS00497-01 Module
usedeqsales NEW - $284.14 0 Apr/28/14 May/04/15
Description: Yaskawa SGMAH-02A1F-YA11 AC Servo Motor AMAT 1080-00393 New
athomemarket NEW - $1,671.99 0 Apr/28/14 May/28/14
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
supertechshop Used - $149.00 0 Apr/28/14 May/28/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
farmoninc NEW - $1,100.00 0 Apr/28/14 Jun/17/15
Description: NEW AMAT 0200-10555 Baseplate, With Baffle Quartz
supertechshop NEW - $399.00 0 Apr/28/14 May/28/14
Description: NEW AMAT 3030-05748 Stec LF-310A-EVD Mass Flow Controller Liquid TEPO 0.2 g/Min
supertechshop NEW - $24.99 0 Apr/28/14 May/28/14
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $24.99 10 Apr/28/14 May/28/14
Description: NEW AMAT Bushing Flat Roller 15K/25K Ceramic 0040-74466 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Apr/28/14 May/28/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $249.00 0 Apr/28/14 May/28/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop NEW - $299.00 0 Apr/28/14 May/28/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Apr/28/14 May/28/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Apr/28/14 May/28/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Apr/28/14 May/28/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
svcstore NEW - $49.99 0 Apr/28/14 May/01/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
supertechshop NEW - $499.00 0 Apr/28/14 May/28/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
usedeqsales NEW - $404.14 1 Apr/29/14 May/05/15
Description: Leybold A215300-020-C2D Mag Power Cable AMAT 0620-05850 New
usedeqsales NEW - $404.14 0 Apr/29/14 Dec/04/14
Description: AMAT Applied Materials 0041-33571 MFLD DIW Distribution ECSA LDM New
imca00 NEW - $12,500.00 0 Apr/29/14 May/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
usedeqsales NEW - $204.14 0 Apr/29/14 May/01/15
Description: AMAT Applied Materials 162972-5113 8-Port AI/AO Block AMAT 0090-02288 New
usedeqsales NEW - $200.14 0 Apr/29/14 May/01/15
Description: Pansonic MQDB012AAD02 AC Servo Drive AMAT 0190-15328 New
farmoninc NEW - $250.00 0 Apr/29/14 Jul/18/17
Description: NEW AMAT 0040-06541 NEST 25 SLOT MOD EP UNIVERSAL CASSETTE N
farmoninc NEW - $650.00 0 Apr/29/14 Jul/29/15
Description: NEW AMAT 0200-00436 Shadow Ring Quartz 150mm Flat
farmoninc NEW - $495.00 0 Apr/29/14 Jun/13/15
Description: NEW AMAT 0200-00435 Top Ring Silicon 150mm
farmoninc NEW - $650.00 0 Apr/29/14 Nov/20/15
Description: NEW AMAT 0020-33811 Cover, Side, Lower Chamber, DPS MEC
usedeqsales NEW - $1,504.14 0 Apr/29/14 May/01/15
Description: A-B Allen-Bradley 1000A-0195-05504 Modular AC 300mm Control AMAT 0195-05504 New
usedeqsales NEW - $404.14 0 Apr/29/14 May/05/15
Description: Watlow DB10-24C0-S000 Solid State Power Controller Lot of 8 AMAT 1200-94297 New
usedeqsales NEW - $1,004.14 0 Apr/29/14 May/07/14
Description: AMAT Applied Materials 0010-35126 Neon 300mm Boom Assembly New
athomemarket NEW - $99.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $63.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $4,239.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,853.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $473.99 0 Apr/29/14 May/29/14
Description: NEW AMAT 0190-13840 Yaskawa Servopack SGDF-A2CSY39 24VDC 2A 20W Motor Driver
svcstore NEW - $299.99 0 Apr/29/14 May/02/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
usedeqsales NEW - $604.14 0 Apr/30/14 May/07/14
Description: AMAT Applied Materials 0200-07196 Neon 350mm Exhaust Ring Short New
usedeqsales NEW - $404.14 0 Apr/30/14 Dec/04/14
Description: AMAT Applied Materials 0041-34170 DIW Distribution Manifold ECSA LDM New
usedeqsales NEW - $4,004.14 0 Apr/30/14 Apr/30/15
Description: AMAT Applied Materials 0010-33724 RF Match High EFF RF-BIAS New
tdindustrial NEW - $195.00 2 Apr/30/14 May/27/15
Description: Applied Materials AMAT Bearing, 0190-77184 New, Sealed
usedeqsales NEW - $504.14 5 Apr/30/14 May/07/14
Description: Edwards B75130050 TMP To Digital Controller AMAT 0620-04644 3M New
yayais2012 NEW - $75.00 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
tdindustrial NEW - $45.00 0 Apr/30/14 Aug/23/20
Description: AMAT 0020-77280 Hub Driven Pulley Robot Track Assy, 119423, New, Sealed
usedeqsales NEW - $504.14 0 Apr/30/14 Oct/20/14
Description: MKS Instruments LDM-B12PA2CC3 Local Display Module AMAT 1040-01215 Lot of 5 New
usedeqsales NEW - $1,504.14 0 Apr/30/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller Unit 8165 AMAT 0190-26357 New
usedeqsales NEW - $204.14 21 Apr/30/14 Aug/28/14
Description: Entegris ES-SP-119 Capacitive Liquid Level Sensor AMAT 0820-90081 New
farmoninc NEW - $495.00 1 Apr/30/14 Jul/16/14
Description: NEW AMAT 0200-35265 WINDOW ADAPTOR PLATE MOD 4mm
usedeqsales NEW - $504.14 0 Apr/30/14 May/05/15
Description: AMAT Applied Materials 0041-48869 Orienter Mount Plate New
usedeqsales NEW - $384.14 0 Apr/30/14 May/05/15
Description: Omega PX656-50DI Differential Pressure Transmitter AMAT 1220-00045 New
usedeqsales NEW - $204.14 0 Apr/30/14 Dec/04/14
Description: Entegris ES-SP-118 Capacitive Liquid Level Sensor 1M AMAT 0820-90088 New
usedeqsales NEW - $204.14 21 Apr/30/14 Aug/28/14
Description: Entegris ES-SP-120 Capacitive Liquid Level Sensor AMAT 0820-90100 New
usedeqsales NEW - $504.14 0 Apr/30/14 Oct/20/14
Description: MKS Instruments LDM-B12PA2CC1 Local Display Module AMAT 1040-01169 Lot of 5 New
athomemarket NEW - $214.99 0 Apr/30/14 May/30/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket NEW - $5,550.99 1 Apr/30/14 May/27/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
usedeqsales NEW - $304.14 0 Apr/30/14 May/04/15
Description: Omega PX154-025DI Pressure Transducer AMAT 1220-00044 Lot of 3 New
usedeqsales NEW - $504.14 1 Apr/30/14 May/05/15
Description: MOXA Nport 6650-16-AMAT 16-Port Secure Device Server AMAT 0190-33981 New
usedeqsales NEW - $404.14 1 Apr/30/14 Oct/31/14
Description: GLI E33A1NN Electrodeless Conductivity Analyzer E33 AMAT 1070-90058 New
usedeqsales NEW - $197.69 0 Apr/30/14 Apr/14/15
Description: Galil ICM-20500-D Motion Control PCB AMAT 0950-99053 New
athomemarket NEW - $476.99 0 Apr/30/14 May/30/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
usedeqsales NEW - $204.14 1 Apr/30/14 Dec/04/14
Description: Entegris ES-SP-117 Capacitive Liquid Level Sensor 2M AMAT 0820-90087 New
usedeqsales NEW - $204.14 0 Apr/30/14 Dec/04/14
Description: Entegris ES-SP-117 Capacitive Liquid Level Sensor 1M AMAT 0820-90087 New
usedeqsales NEW - $1,004.14 1 Apr/30/14 May/28/14
Description: Yaskawa SGMCS-02B3C11 AC Servo Motor AMAT 1080-00823 New
yericomfg NEW - $4,450.00 0 Apr/30/14 Jun/15/16
Description: ENI GHW12Z-13DF2NH-001 RF Generator AMAT 0190-25529 NEW
ciarasheltie NEW - $3.00 0 May/01/14 May/08/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
usedeqsales NEW - $505.14 1 May/01/14 Jul/18/14
Description: Jokab Safety Pluto A20 Saftey PLC 2007003 AMAT 1200-94306 New Surplus
usedeqsales NEW - $705.14 0 May/01/14 May/07/14
Description: AMAT Applied Materials 0010-44004 Leveling Susceptor Vacuum Lid New
usedeqsales NEW - $205.14 0 May/01/14 May/06/14
Description: AMAT Applied Materials 4020-00462 Filter 111396 Reseller Lot of 20 New
usedeqsales NEW - $305.14 0 May/01/14 May/04/15
Description: Parker Veriflo 45600444 Manual Regulator Valve AMAT 3800-00391 Lot of 2 New
usedeqsales NEW - $305.14 1 May/01/14 Sep/17/14
Description: PIAB M100B6CEV Vacuum Pump Air Analyzer AMAT 3620-00564 New
usedeqsales NEW - $305.14 1 May/01/14 Aug/06/14
Description: Parker PV-11-001-01 Pneumatic Diaphragm Valve AMAT 3870-99109 Lot of 4 New
usedeqsales NEW - $305.14 0 May/01/14 May/04/15
Description: Griffco BPT050KS19 Back Pressure Valve AMAT 0041-10234 Lot of 2 New
usedeqsales NEW - $205.14 2 May/01/14 Aug/29/14
Description: Furon 1109117-002 Diaphragm Valve Assembly AMAT 3870-99093 New
usedeqsales NEW - $205.14 1 May/01/14 May/01/15
Description: Cole-Parmer 7017-21 Masterflex Pump Head AMAT 3620-01428 New
usedeqsales NEW - $355.14 3 May/01/14 Feb/18/15
Description: Parker Veriflo 43600895EU Manual Regulator Valve AMAT 3800-00389 Lot of 2 New
athomemarket NEW - $99.99 0 May/01/14 May/31/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $77.99 0 May/01/14 May/31/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $79.99 0 May/01/14 May/31/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $105.99 0 May/01/14 May/31/14
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $386.99 0 May/01/14 May/31/14
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $502.99 0 May/01/14 May/31/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $296.99 0 May/01/14 May/31/14
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $236.99 0 May/01/14 May/31/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $8,514.99 0 May/01/14 May/31/14
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $370.99 0 May/01/14 May/31/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $297.99 0 May/01/14 May/31/14
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $298.99 0 May/01/14 May/31/14
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $400.99 0 May/01/14 May/31/14
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
supertechshop NEW - $249.00 0 May/01/14 May/31/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $299.00 0 May/01/14 May/31/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 May/01/14 May/31/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
svcstore NEW - $49.99 0 May/01/14 May/04/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
supertechshop NEW - $499.00 0 May/01/14 May/31/14
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $39.99 0 May/01/14 May/31/14
Description: NEW AMAT 0190-35451 Halogen Photo Optic Lamp 82V 410W Osram 4100/JKT /2-Pin Bulb
supertechshop NEW - $99.00 0 May/01/14 May/31/14
Description: NEW Thermo D8901 Ion Filter High Capacity Cartridge / AMAT 4020-01140 / Sealed
supertechshop NEW - $899.95 0 May/01/14 May/31/14
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $149.95 0 May/01/14 May/31/14
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $149.95 0 May/01/14 May/31/14
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $495.00 0 May/01/14 May/31/14
Description: NEW AMAT 0190-17462 DC Power Supply Yamatake PGU502V04000 Soft Start / Warranty
supertechshop NEW - $199.95 0 May/01/14 May/31/14
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
supertechshop NEW - $249.00 0 May/01/14 May/31/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
svcstore NEW - $399.99 0 May/01/14 May/04/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
keykorea NEW - $3,500.00 2 May/02/14 Mar/30/15
Description: AMAT 0010-37867 ASSY, INT. ISRM MODULE , NEW
keykorea NEW - $350.00 3 May/02/14 May/13/16
Description: AMAT 0090-77067 IT PRESSURE TRANSDUCER , NEW
keykorea NEW - $1,200.00 1 May/02/14 May/24/16
Description: AMAT 0020-27122 PEDESTAL, PCII 6 JMF/SMF @ A OR PCII , NEW
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: AMAT Applied Materials 0190-33038 Heater Jacket UAPM13565RSN-274 New
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: AMAT Applied Materials 0190-33043 Heater Jacket UAPM13565RSN-269 New
usedeqsales NEW - $305.14 3 May/02/14 May/03/14
Description: AMAT Applied Materials 0051-00743 IPA Exhaust Gas Box Reflexion GT New
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: AMAT Applied Materials 0190-33427 Heater Jacket UAPM13565RSN-277 New
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: AMAT Applied Materials 0190-33040 Heater Jacket UAPM13565RSN-273 New
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: Lambda Research Optics HPB-30.0B-532 Beam Splitter AMAT 1120-00547 New
surplusssam NEW - $99.99 0 May/02/14 May/09/14
Description: NEW AMAT APPLIED MATERIALS 0040-05069 SWLL INDEXER CRYO CRYOGENIC COVER CHUCK ?
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: Watlow 010047503 Heater Jacket AMAT 0190-23836 New
athomemarket NEW - $461.99 0 May/02/14 Jun/01/14
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
bjnaf4 NEW - $875.60 0 May/02/14 Jun/01/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
svcstore NEW - $299.99 0 May/02/14 May/05/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
y.t.r2011 NEW - $200.00 0 May/03/14 Feb/13/15
Description: New AMAT 0190-38344 CPCI-3720TB/C42 MKS AS03720-34
athomemarket NEW - $415.99 0 May/03/14 Jun/02/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $2,671.99 0 May/03/14 Jun/02/14
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $13,174.00 0 May/03/14 Jun/02/14
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $142.99 0 May/03/14 Jun/02/14
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $2,023.99 0 May/03/14 Jun/02/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $439.99 0 May/03/14 Jun/02/14
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
imca00 NEW - $12,500.00 0 May/04/14 May/09/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket NEW - $128.99 0 May/04/14 Jun/03/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,212.99 0 May/04/14 Jun/03/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,212.99 0 May/04/14 Jun/03/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $92.99 0 May/04/14 Jun/03/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
svcstore NEW - $49.99 0 May/04/14 May/07/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
svcstore NEW - $49.99 0 May/04/14 May/07/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
conquer_2011 NEW - $15.00 0 May/04/14 Jun/03/14
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
athomemarket NEW - $199.99 0 May/17/14 Jun/16/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $113.99 1 May/17/14 Jun/03/14
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
svcstore NEW - $299.99 0 May/16/14 May/19/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $47.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $91.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $449.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
athomemarket NEW - $380.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $97.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
catalystparts NEW - $350.00 1 May/16/14 May/26/17
Description: Applied Materials AMAT Valve Gate Kalrez 3870-04392 **NEW**
usedeqsales NEW - $205.14 0 May/15/14 May/01/15
Description: AMAT Applied Materials 0010-34966 Operator Interface New
athomemarket NEW - $115.99 0 May/15/14 Jun/14/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $318.99 0 May/15/14 Jun/14/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
conquer_2011 Used - $6,500.00 0 May/18/14 Jun/17/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
conquer_2011 NEW - $995.00 0 May/18/14 Jun/17/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
conquer_2011 NEW - $65.00 0 May/18/14 Jun/17/14
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
svcstore NEW - $49.99 0 May/17/14 May/20/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
farmoninc NEW - $450.00 5 May/17/14 Dec/11/18
Description: NEW AMAT 0150-01412 Cable Assy, 2 Mhz Coaxial, 75 ft, Reacti
athomemarket NEW - $30.99 0 May/17/14 Jun/16/14
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $77.99 0 May/17/14 Jun/16/14
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
farmoninc NEW - $450.00 0 May/17/14 May/11/17
Description: NEW AMAT 0040-32020 Weldment, Bellows, Upper, Exz
farmoninc NEW - $2,500.00 1 May/17/14 Mar/30/15
Description: NEW AMAT 0010-03967 ASSY., Narrow
farmoninc NEW - $750.00 0 May/17/14 Sep/12/14
Description: NEW AMAT 0020-27863 Shield 8" 101 SST 11.3"
athomemarket NEW - $107.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 May/16/14 Jun/15/14
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $701.99 0 May/16/14 Jun/15/14
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $54.99 0 May/16/14 Jun/15/14
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $918.99 0 May/16/14 Jun/15/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $107.99 0 May/16/14 Jun/15/14
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $43.99 0 May/16/14 Jun/15/14
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $49.99 0 May/16/14 Jun/15/14
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $99.99 0 May/16/14 Jun/15/14
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
farmoninc NEW - $650.00 2 May/16/14 Mar/27/15
Description: NEW AMAT 0020-34484 SHIELD, GND 8 inch CATHODE, DPS
farmoninc NEW - $220.00 0 May/16/14 May/23/18
Description: NEW AMAT 3870-02910 Valve AO-PFA 1/4" Con-1/4"
farmoninc NEW - $175.00 0 May/16/14 Dec/07/15
Description: 2 NEW SMC P5E510-R06 Pressure Sensor, 0-1MPA, AMAT 1350-01193
farmoninc NEW - $150.00 0 May/16/14 May/23/18
Description: NEW AMAT 0227-98257 IND XDCR 3.5DIG LCD 250PSIG 24VDC 40"
farmoninc NEW - $150.00 0 May/16/14 May/23/18
Description: 3 NEW AMAT 0200-00574 Insulator, Left Lamp
catalystparts NEW - $450.00 1 May/15/14 Apr/28/15
Description: Lam Research Anodized Aluminum Electrode 715-011531-008 **NEW**
athomemarket NEW - $216.99 0 May/11/14 Jun/10/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,433.99 0 May/10/14 Jun/09/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
starburymytalk NEW - $99.99 0 May/10/14 Jun/09/14
Description: LAM Research 715-013639-009 NEW NIB
starburymytalk NEW - $199.99 0 May/09/14 Jun/08/14
Description: LAM Research 716-800330-063 Insulator Bottom Insular Ring NEW NIB
starburymytalk NEW - $199.99 1 May/09/14 May/29/14
Description: LAM Research 839-044157-031 Silicon Electrode Assembly Assy NEW NIB
mattron747 NEW - $750.00 0 May/08/14 Jun/07/14
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
trees_for_a_better_tomorrow NEW - $195.00 0 May/12/14 May/19/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
athomemarket NEW - $918.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $128.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $119.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $88.99 0 May/18/14 Jun/17/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $301.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $51.99 0 May/18/14 Jun/17/14
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $51.99 0 May/18/14 Jun/17/14
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $1,019.99 0 May/18/14 Jun/17/14
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
surplusssam NEW - $99.99 0 May/19/14 May/26/14
Description: NEW AMAT APPLIED MATERIALS 0040-05069 SWLL INDEXER CRYO CRYOGENIC COVER CHUCK ?
high-techparts NEW - $199.00 0 May/19/14 May/26/14
Description: New OEM APPLIED MATERIALS P/N 0020-70271 PIVOT ONE PIECE LEFT(BLADE SHAFT ASSY)
high-techparts NEW - $199.00 0 May/19/14 May/26/14
Description: New OEM APPLIED MATERIALS P/N 0020-70272 BLADE SHAFT ASSY. RIGHT
farmoninc NEW - $750.00 0 May/19/14 Feb/02/18
Description: NEW AMAT 0140-78256 CABLE ASSY, PORT SERVER ISRM, KTEC ELECTRONIC
farmoninc NEW - $650.00 0 May/19/14 Oct/01/18
Description: NEW AMAT 0150-16086 Cable ASSY Robot Control, KTEC Electronics
conquer_2011 NEW - $2,999.00 0 May/19/14 May/29/14
Description: NEW AMAT 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM w/ VEXTA SERVO
usedeqsales NEW - $405.14 0 May/19/14 May/05/15
Description: MKS Instruments 852B61PCA2GC Baratron Pressure Transducer AMAT 1350-01083 New
farmoninc NEW - $350.00 1 May/19/14 Aug/09/17
Description: NEW AMAT 0021-13156 Panel, Right Side Bottom CVD gas box
athomemarket NEW - $502.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,716.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,817.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $94.99 0 May/19/14 Jun/18/14
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $83.99 0 May/19/14 Jun/18/14
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $330.99 0 May/19/14 Jun/18/14
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $219.99 0 May/19/14 Jun/18/14
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
svcstore NEW - $299.99 0 May/19/14 May/22/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $199.00 0 May/20/14 Jun/19/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 May/20/14 Jun/19/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $49.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $475.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Chamber Quartz Window 14.75" Dia 1" Thk Polycarbonate 0021-62026 Sealed
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $199.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
supertechshop NEW - $249.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $99.00 0 May/20/14 Jun/19/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $975.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $99.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
supertechshop NEW - $650.00 0 May/20/14 Jun/19/14
Description: NEW AMAT MKS T3Bi Exhaust Throttle Control Valve T3BIB-30925 Hi-Speed 0190-42648
supertechshop NEW - $750.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $495.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $750.00 0 May/20/14 Jun/19/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $750.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $199.00 0 May/20/14 Jun/19/14
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
usedeqsales NEW - $1,005.14 2 May/20/14 Jan/14/15
Description: VAT 10846-XE24-AYK1 UHV Gate Valve AMAT 0190-33700 New
supertechshop NEW - $975.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $475.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
usedeqsales NEW - $4,505.14 0 May/20/14 Jun/23/14
Description: MDX Pinnacle AE Advanced Energy 3152411-234 DC Power Supply AMAT 0190-37170 New
usedeqsales NEW - $4,505.14 0 May/20/14 Jun/23/14
Description: MDX Pinnacle AE Advanced Energy 3152411-116 DC Power Supply AMAT 0190-37166 New
athomemarket NEW - $300.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $1,091.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 May/20/14 Jun/19/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $300.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $1,091.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $206.99 0 May/20/14 Jun/04/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $315.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $99.99 0 May/20/14 Jun/19/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $236.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
usedeqsales NEW - $4,505.14 0 May/20/14 Jan/06/15
Description: MDX Pinnacle AE Advanced Energy 3152411-218 DC Power Supply AMAT 0190-37074 New
farmoninc NEW - $300.00 1 May/20/14 Dec/19/17
Description: NEW AMAT 3160-01087 COVER THROTTLE VALVE XY-TABLE
farmoninc NEW - $1,500.00 0 May/20/14 Dec/02/15
Description: NEW AMAT 0190-21434 TARGET MONOBLOCK, ALCU 1/SI 1
farmoninc NEW - $1,500.00 0 May/20/14 Dec/17/14
Description: NEW AMAT 0010-38166 ASSY, CHAMBER LID, PHASE III, TRENCH NG KIT
athomemarket NEW - $136.99 0 May/20/14 Jun/19/14
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $94.99 0 May/20/14 Jun/19/14
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $751.99 0 May/20/14 Jun/19/14
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $307.99 0 May/20/14 Jun/19/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $1,327.99 0 May/20/14 Jun/19/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
usedeqsales NEW - $4,505.14 0 May/20/14 Jun/17/14
Description: MDX Pinnacle AE Advanced Energy 3152411-400 DC Power Supply AMAT 0190-37076 New
usedeqsales NEW - $205.14 1 May/20/14 Oct/29/14
Description: Oriental Motor CSD5807N-T 5-Phase Driver AMAT 0190-36071 New
usedeqsales NEW - $6,505.14 0 May/20/14 Jan/06/15
Description: MDX Pinnacle AE Advanced Energy 3152411-303 DC Power Supply AMAT 0190-34648 New
svcstore NEW - $49.99 0 May/20/14 May/23/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
keykorea NEW - $13,000.00 2 May/20/14 Mar/05/15
Description: AMAT 0240-78292 DUAL BLADE 100" ROBOT ASSEMBLY FOR MIRRA CMP , NEW
fablogic NEW - $325.00 3 May/21/14 May/22/14
Description: Applied Materials PVD 0200-00221 Insulator, 200MM PCII (New)
athomemarket NEW - $749.99 1 May/21/14 May/28/14
Description: NEW Applied Materials/AMAT 0021-09104 Cathode Lower Liner for Etcher MXP Etch
usedeqsales NEW - $1,505.14 0 May/21/14 May/01/15
Description: Horiba STEC LF-F20M-A-EVD Liquid Mass Flow Meter AMAT 3030-15253 New
athomemarket NEW - $1,347.99 0 May/21/14 Jun/20/14
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $71.99 0 May/21/14 Jun/20/14
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $100.99 0 May/21/14 Jun/20/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
usedeqsales NEW - $205.14 1 May/21/14 Sep/19/14
Description: Mykrolis NTT 205 Pressure Transducer AMAT 1350-01106 Lot of 4 New
xl-t_com NEW - $15,700.00 1 May/22/14 Mar/19/15
Description: Kawasaki 30C61E-B014 Robot Master Controller AMAT 0190-17941 NEW
usedeqsales NEW - $309.11 0 May/22/14 May/05/15
Description: Schroff 23021-616 Type M Test Adapter Card AMAT 0270-90075 New
athomemarket NEW - $394.99 0 May/22/14 Jun/21/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $194.99 0 May/22/14 May/29/14
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
usedeqsales NEW - $205.14 1 May/22/14 Dec/04/14
Description: Entegris ES-SP-113 Capacitive Liquid Level Sensor 4M AMAT 0820-00154 New
athomemarket NEW - $121.99 0 May/22/14 Jun/21/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $8,579.99 0 May/22/14 Jun/21/14
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $307.99 0 May/22/14 Jun/21/14
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $45.99 0 May/22/14 Jun/21/14
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
fablogic NEW - $275.00 3 May/22/14 Aug/20/14
Description: Applied Materials PVD 0200-00221 Insulator, 200MM PCII (New)
keykorea NEW - $200.00 2 May/23/14 Aug/28/14
Description: AMAT 0020-79322 MEMBRANE SUPPORT 8.00 TITAN HEAD , NEW
us.v240 NEW - $1,100.00 0 May/22/14 Jun/01/14
Description: 0190-09459 New AMAT 25 ft fiber optic cable
usedeqsales NEW - $305.14 0 May/23/14 May/04/15
Description: CKD SUS316L Pneumatic N.C. Diaphragm Valve AMAT 3870-03336 Lot of 3 New
athomemarket NEW - $206.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $114.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $71.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
farmoninc NEW - $150.00 2 May/23/14 May/05/16
Description: NEW AMAT 0240-10513 Replacement Kit Boltdown Chamber Lid Heater
conquer_2011 NEW - $2,488.00 0 May/23/14 Jun/22/14
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
svcstore NEW - $299.99 0 May/23/14 May/26/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $49.99 0 May/23/14 May/26/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
fablogic NEW - $300.00 0 May/23/14 Aug/21/14
Description: AMAT 3700-01893 O-Ring, Chemraz Greene Tweed GT P/N 9280-SC520 (NEW)
athomemarket NEW - $177.99 0 May/25/14 Jun/24/14
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $181.99 0 May/25/14 Jun/24/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
harrington2howry NEW - $1,995.00 0 May/25/14 Jun/24/14
Description: AMAT 0010-70264 HP Robot Driver Upper & Lower BRAND NEW
bassmstr21 NEW - $1,499.99 0 May/26/14 Jan/11/20
Description: AMAT Applied Materials Lot of NEW UHV Clean Parts 0021-18623 x4 0021-18626 x1
athomemarket NEW - $1,211.99 0 May/26/14 Jun/25/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
esolutions1 NEW - $99.00 1 May/26/14 Jun/16/14
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 0190-19075 New
athomemarket NEW - $116.99 0 May/26/14 Jun/25/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $299.99 0 May/26/14 May/29/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $49.99 0 May/26/14 May/29/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
ninanoma NEW - $1,000.00 0 May/26/14 Jun/05/14
Description: AMAT 0190-33943 New part vat valve slit valve vat-NR.303104 slit plate KLR9100.
farmoninc NEW - $325.00 0 May/27/14 Jun/13/17
Description: 2 new AMAT 0040-13579 hose supply right, chilled FAC
athomemarket NEW - $227.99 0 May/27/14 Jun/26/14
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $146.99 0 May/27/14 Jun/26/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $935.99 0 May/27/14 Jun/26/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
fablogic NEW - $450.00 0 May/27/14 Jun/25/14
Description: Applied Materials 0660-01583 Power Supply, ETO (NEW)
supertechshop NEW - $699.00 0 May/28/14 Jun/27/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $99.00 0 May/28/14 Jun/27/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $499.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $399.00 0 May/28/14 Jun/27/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $1,250.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop NEW - $49.99 0 May/28/14 Jun/27/14
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $995.00 0 May/28/14 Jun/27/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $399.00 0 May/28/14 Jun/27/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $74.99 0 May/28/14 Jun/27/14
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
supertechshop NEW - $495.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $295.00 0 May/28/14 Jun/27/14
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
supertechshop NEW - $74.99 0 May/28/14 Jun/27/14
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
athomemarket NEW - $509.99 0 May/28/14 Jun/27/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $5,954.99 0 May/28/14 Jun/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 May/28/14 Jun/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
farmoninc NEW - $95.00 1 May/28/14 Oct/12/15
Description: New AMAT 1270-01044 Barksdale Pressure Activated Switch
athomemarket NEW - $1,671.99 0 May/28/14 Jun/27/14
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
farmoninc NEW - $25.00 0 May/28/14 Jun/01/23
Description: New AMAT 0020-86377 Shielding, Side
conquer_2011 NEW - $1,999.00 0 May/29/14 Jun/05/14
Description: NEW AMAT 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM w/ VEXTA SERVO
excess-solutions NEW - $100.00 0 May/29/14 Jun/03/14
Description: Granville Phillips Applied Materials mini convectron 275 275838 NEW 3310-01088
athomemarket NEW - $63.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $63.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $4,239.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $99.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $5,853.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $473.99 0 May/29/14 Jun/28/14
Description: NEW AMAT 0190-13840 Yaskawa Servopack SGDF-A2CSY39 24VDC 2A 20W Motor Driver
usedeqsales NEW - $605.14 1 May/29/14 Sep/11/14
Description: Iwaki EHE55FF-23UPE12 Electronic Metering Pump AMAT 3620-99073 New
svcstore NEW - $299.99 0 May/29/14 Jun/01/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $49.99 0 May/29/14 Jun/01/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
keykorea NEW - $800.00 1 May/29/14 Apr/18/15
Description: AMAT 0021-09630 SHIELD,GROUND,200MM CATHODE,DPS , NEW
athomemarket NEW - $214.99 0 May/30/14 Jun/29/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket NEW - $476.99 0 May/30/14 Jun/29/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
athomemarket NEW - $194.99 0 May/30/14 Jun/29/14
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
farmoninc NEW - $90.00 0 May/30/14 Jun/01/23
Description: New AMAT 0150-02448 Assy, CBL Mag Wheel Down PM1
imca00 NEW - $4,500.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
grandbirdnet NEW - $2,200.00 0 May/30/14 Jun/01/23
Description: AMAT 0051-00743 IPA EXHAUST GAS BOX REFLEXION GT , NEW
yayais2012 NEW - $75.00 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
grandbirdnet Used - $1,000.00 0 May/30/14 Jun/01/23
Description: AMAT 0020-24804 COVER RING SST 8" 101 , NEW
grandbirdnet Used - $1,000.00 0 May/30/14 Jun/01/23
Description: AMAT 0020-22237 COVER RING 8" 101% TIN COVERAGE , NEW
fablogic NEW - $6,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-39852 Cathode, Assy. Super EMXP+ Oxide (NEW) AMAT
fablogic NEW - $3,750.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-36617 RF Feedthru, Assy. DTCU DPS Poly (NEW) AMAT
fablogic NEW - $8,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-36388 Lamp Module, Assy. DTCU DPS Poly (NEW) AMAT
fablogic NEW - $8,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials CVD 0010-30246 Susceptor, Assy. 150 MM SW cheese DCS (NEW)
fablogic NEW - $3,750.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials Etch 0010-10973 H.O.T. Detector, Assy. (NEW) AMAT
waste-not-recycling NEW - $19.99 0 Jul/30/14 Aug/06/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
fablogic NEW - $4,900.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials MXP Etch 0010-10759 Top Lid, Assy. SSGD (NEW) AMAT
fablogic NEW - $7,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials CVD 0010-09940 Gas Box, WSI (NEW) AMAT
fablogic NEW - $3,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials P-5000 0010-09843 Manifold, H2O VDS (NEW) AMAT
athomemarket NEW - $99.99 0 Jul/30/14 Aug/29/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $77.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $79.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
harrington2howry NEW - $25.00 0 Jul/30/14 Aug/29/14
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
fablogic NEW - $2,750.00 0 Jul/29/14 Aug/28/14
Description: Applied Materials 0010-02991 Susceptor, 150 MM WCVD (New) AMAT
fablogic NEW - $1,250.00 0 Jul/29/14 Aug/28/14
Description: Applied Materials 0010-76409 Slit Door, Assy. RTP (New)
fablogic NEW - $4,500.00 0 Jul/29/14 Aug/28/14
Description: Applied Materials 0010-02419 Clamped Lid, Assy. (NEW) AMAT
athomemarket NEW - $214.99 0 Jul/29/14 Aug/28/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
nps NEW - $49.99 1 Jul/29/14 Aug/05/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket NEW - $63.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $99.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $5,853.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
imca00 NEW - $4,500.00 0 Jul/28/14 Aug/02/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
athomemarket NEW - $236.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $105.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $298.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $386.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $370.99 0 Jul/30/14 Aug/29/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $297.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $8,514.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $296.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $502.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $400.99 0 Jul/30/14 Aug/29/14
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
farmoninc NEW - $850.00 0 Jul/30/14 Sep/17/18
Description: NEW AMAT 0240-07468 Wafer Vacuum Wand, Handling, tip, hoses, vacuum trap
farmoninc NEW - $150.00 0 Jul/30/14 Dec/20/18
Description: NEW AMAT 0021-28931 Cover, Imp RF Match, Coil, rex cover
farmoninc NEW - $450.00 0 Jul/30/14 Jun/01/23
Description: NEW AMAT 0040-99185 Tube Assy, O2 Bleed, Straight
athomemarket NEW - $194.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
svcstore NEW - $41.99 0 Jul/29/14 Aug/01/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
usedeqsales NEW - $287.14 0 Jul/29/14 May/04/15
Description: Yaskawa SGMJV-A5A3A61 AC Servo Motor AMAT 1080-00840 New
starburymytalk NEW - $69.99 0 Jul/11/14 Aug/10/14
Description: LAM Research 715-013639-009 NEW NIB
starburymytalk NEW - $149.99 0 Jul/11/14 Aug/10/14
Description: LAM Research 716-800330-063 Insulator Bottom Insular Ring NEW NIB
athomemarket NEW - $216.99 0 Jul/10/14 Aug/09/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,433.99 0 Jul/09/14 Aug/08/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
prism_electronics7 NEW - $215.99 0 Jul/29/14 Nov/21/15
Description: NEW Novellus 00-686124-00 Ion Gauge 075 Huntington IK-100
athomemarket NEW - $476.99 0 Jul/29/14 Aug/28/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
prism_electronics7 NEW - $9.00 0 Jul/28/14 Dec/15/20
Description: NEW Novellus 40" Chain Drive MTR 00-685508-00 Rev. F
grandbirdnet NEW - $600.00 0 Jul/25/14 Jun/22/22
Description: NOVELLUS 22-133800-00 GATE,L-TYPE VLV,32X222,SMC , NEW
grandbirdnet NEW - $1,400.00 0 Jul/30/14 Jun/01/23
Description: AMAT 0190-22280 336MM GATE ASSY, ALUM WITH G67P ORING , NEW
svcstore NEW - $299.99 0 Jul/30/14 Aug/02/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $700.00 0 Jul/31/14 Jun/04/17
Description: AMAT 0100-00292 PCB ASSEMBLY, LOCAL CENTERFINDER BACKPLA , NEW
grandbirdnet NEW - $600.00 1 Jul/31/14 Jun/22/21
Description: AMAT 0020-26822 SHIELD 8 HTHU DEPO LOW KNEE , NEW
keykorea NEW - $400.00 0 Jul/31/14 Feb/02/15
Description: AMAT 0090-77084 RR PRESSURE TRANSDUCER , NEW
keykorea NEW - $400.00 0 Jul/31/14 Mar/03/15
Description: AMAT 0090-77083 PP PRESSURE TRANSDUCER , NEW
keykorea NEW - $1,200.00 0 Jul/31/14 May/25/16
Description: AMAT 0140-77637 C/A DIGITAL I/O HEAD PNEUMATIC , NEW
athomemarket NEW - $461.99 0 Jul/31/14 Aug/30/14
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
fablogic NEW - $2,200.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-30059 Pedestal, 200 MM, Flat, ESC (NEW) AMAT Etch
fablogic NEW - $500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-10045 Pump Stack Htr. AC Box, Assy. (NEW) AMAT Etch
fablogic NEW - $5,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-10636 P-Chuck, Assy. 200 MM (NEW) CVD AMAT
fablogic NEW - $1,950.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-09092 Ozonator, Assy. CVD (New) AMAT
fablogic NEW - $2,000.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-00724 Heat Exchanger, Assy. ITC (NEW) AMAT
fablogic NEW - $3,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0200-10173 Plate, Cover 200 MM DXZ Heater (NEW) AMAT
fablogic NEW - $500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0200-09567 Shield, CVD (NEW) AMAT
fablogic NEW - $600.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0200-09266 Shadow Ring, Inner CVD (NEW) AMAT
fablogic NEW - $1,600.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0020-30602 Pumping Plate, 200 MM (NEW) CVD AMAT
fablogic NEW - $3,800.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-35961 Sensor, Optical (NEW) AMAT
fablogic NEW - $2,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0020-20586 Insert, Preclean Mod. (NEW) PVD AMAT
fablogic NEW - $1,900.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-60013 Susceptor, 125 MM BWCVD (NEW) CVD
keykorea NEW - $750.00 1 Aug/01/14 Oct/09/14
Description: AMAT 0010-30380 CONNECTOR, HV MODULE, SHI , NEW
supertechshop NEW - $74.99 0 Aug/01/14 Aug/31/14
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
bjnaf4 NEW - $779.28 0 Aug/01/14 Aug/31/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
supertechshop NEW - $74.99 0 Aug/01/14 Aug/31/14
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
yayais2012 NEW - $75.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
usedeqsales NEW - $1,507.14 0 Aug/01/14 May/01/15
Description: Aera FC-DN780CPBA Mass Flow Controller AMAT 3030-13110 100 sccm N2 New
fablogic NEW - $1,000.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-10348 Window, Heater Sapphire Coated (NEW) AMAT CVD
waste-not-recycling NEW - $69.99 0 Aug/01/14 Aug/31/14
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged.
waste-not-recycling NEW - $699.99 0 Aug/01/14 Aug/08/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
athomemarket NEW - $415.99 0 Aug/01/14 Aug/31/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
fablogic NEW - $650.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0660-01583 Power Supply, ETO (NEW)
athomemarket NEW - $439.99 0 Aug/01/14 Aug/31/14
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,023.99 0 Aug/01/14 Aug/31/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $13,174.00 0 Aug/01/14 Aug/31/14
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $142.99 0 Aug/01/14 Aug/31/14
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $2,671.99 0 Aug/01/14 Aug/31/14
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $74.99 0 Aug/01/14 Aug/31/14
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
fablogic NEW - $3,000.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-36679 Blade, Ceramic E HP-CR Robot (NEW) AMAT Centura
fablogic NEW - $5,500.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-36630 Plate Cover, Heater 8" DXZ (NEW) AMAT CVD
fablogic NEW - $2,800.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials Centura 0200-35693 Blade, Ceramic (NEW) AMAT
fablogic NEW - $2,500.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-35325 Susceptor, Solid Pin 200 MM Poly (NEW) AMAT
fablogic NEW - $2,250.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-35325 Focus Ring, ESC DPS (NEW) AMAT
fablogic NEW - $3,250.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-35208 SHAFT SUSCEPTOR SUPPORT POLY/BCCD R3 ROT, (NEW)
fablogic NEW - $1,850.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-32320 Gas Distribution Plate (NEW) AMAT Etch
fablogic NEW - $1,900.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-22476 Susceptor, 125 MM MAC (NEW) AMAT PVD
fablogic NEW - $900.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-20986 Shield, Pedestal (NEW) AMAT PVD
fablogic NEW - $2,000.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-04189 Adaptor, Top Mark II Etch Cheamber (NEW) AMAT
fablogic NEW - $3,500.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0242-86045 Kit, H.O.T. Pack 365 NM (NEW) AMAT Etch
fablogic NEW - $1,850.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-10380 RING,SINGLE,195MM SEMI NOTCH,LOW CERAMIC (NEW) AMAT
fablogic NEW - $725.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-30342 Pedestal, 8", Metal, Notch (NEW) AMAT Etch
fablogic NEW - $600.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-30073 Clamp Cylinder, 200 mm (NEW) AMAT Etch
fablogic NEW - $850.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-27805 Shutter Disk (NEW) AMAT PVD
fablogic NEW - $995.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-26578 Clamp, 4 Point (NEW) AMAT PVD
fablogic NEW - $695.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-24386 Cover Ring , 150 MM (NEW) AMAT PVD
fablogic NEW - $1,995.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-23354 Insulator, Quartz PVD (NEW) AMAT
fablogic NEW - $400.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-23065 Pedestal, 150 MM TIN 101% (NEW) AMAT PVD
fablogic NEW - $2,895.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0040-21897 Bell Jar, W/Handles Preclean II (NEW) AMAT PVD
fablogic NEW - $2,900.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0021-03488 Face Plate, Delta MF Nitride, 200 MM (NEW) AMAT CVD
stifflersequipmentllc NEW - $82.50 0 Aug/02/14 Dec/01/15
Description: APPLIED MATERIALS 0020-18497 002018497 BAND TOP COIL/ IV SENSOR 81335WFORD NEW
imca00 NEW - $12,500.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
athomemarket NEW - $128.99 0 Aug/02/14 Sep/01/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,212.99 0 Aug/02/14 Sep/01/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,212.99 0 Aug/02/14 Sep/01/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $92.99 0 Aug/02/14 Sep/01/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
fablogic NEW - $1,175.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09920 Ring, Clamp (NEW) AMAT Etch
fablogic NEW - $375.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09468 Ring, Cover SXTAL (NEW) AMAT Etch
fablogic NEW - $800.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09318 Ring, Inner 200 MM (NEW) AMAT Etch
fablogic NEW - $450.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09082 Shield, 200 MM Sputter Etch (NEW) AMAT
fablogic NEW - $950.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-00262 Dome, Center Gas Feed (NEW) AMAT
fablogic NEW - $765.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09818 Ring, Pedestal (NEW) AMAT Etch
fablogic NEW - $4,250.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-10054 Ring, Inner WXZ (NEW) AMAT CVD
fablogic NEW - $495.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-10039 Outer Ring (NEW) AMAT Etch
fablogic NEW - $1,795.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09996 Ring, Outer WXZ (NEW) AMAT CVD
fablogic NEW - $475.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09426 Focus Ring, Quartz 200 MM (NEW) AMAT Etch
fablogic NEW - $1,125.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-35113 Susceptor, Rotation 200 MM EPI (NEW) AMAT
fablogic NEW - $1,000.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-35086 Ring, Preheat (NEW) AMAT
fablogic NEW - $995.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-35083 Ring, Preheat (NEW) AMAT
fablogic NEW - $1,850.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-20064 Insulator, Quartz (NEW) AMAT PVD
fablogic NEW - $1,500.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-20056 Insulator, TI Pedestal (NEW) AMAT PVD
fablogic NEW - $450.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-10555 Cover Ring, Quartz (NEW) AMAT Etch
svcstore NEW - $41.99 0 Aug/02/14 Aug/05/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
fablogic NEW - $995.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 3620-01011 Pump, Heat Exchanger AMAT-0 (NEW)
conquer_2011 NEW - $15.00 0 Aug/02/14 Sep/01/14
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
svcstore NEW - $299.99 0 Aug/02/14 Aug/05/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
athomemarket NEW - $91.99 0 Aug/03/14 Sep/02/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $960.99 0 Aug/03/14 Sep/02/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $232.99 0 Aug/03/14 Sep/02/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $137.99 0 Aug/03/14 Sep/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $145.99 0 Aug/03/14 Sep/02/14
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $190.99 0 Aug/03/14 Sep/02/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $68.99 0 Aug/03/14 Sep/02/14
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $700.99 0 Aug/03/14 Sep/02/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $54.99 0 Aug/03/14 Sep/02/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
grandbirdnet NEW - $290.00 0 Aug/03/14 Aug/13/21
Description: AMAT 0090-03592 SENSOR ASSY PAD CONDITIONER UP CMP REFLE , NEW
grandbirdnet NEW - $590.00 0 Aug/03/14 Jun/01/23
Description: AMAT 0040-87712 LIFT PIN NAIL HEAD PRODUCER ETCH , NEW
grandbirdnet NEW - $550.00 34 Aug/04/14 Jun/20/22
Description: AMAT 0190-77499 UNIVERSAL 3M DIAMOND DISK , NEW
keykorea NEW - $900.00 2 Aug/04/14 Sep/23/14
Description: AMAT 0200-02576 LIFT RING, FIXED LIFT PIN, 200MM PRODUCER , NEW
fablogic NEW - $1,625.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-36524 Liner, Lid TXZ (NEW) AMAT Centura
fablogic NEW - $1,200.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-35460 Adapter, Ring 200 MM (NEW) AMAT Centura
fablogic NEW - $1,100.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-35256 Ring, Preheat (NEW) AMAT Centura
fablogic NEW - $1,100.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-20055 Insulator, Quartz (NEW) AMAT Endura PVD
usedeqsales NEW - $10,008.10 0 Aug/04/14 Apr/30/15
Description: Spectrum B-5002 MKS ENI B-5002-01 RF Generator AMAT 0920-00062 New
fablogic NEW - $2,995.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0242-13897 Kit, WB W/Shutter Ceramic Insulator (NEW) Endura
fablogic NEW - $850.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 3420-01080 Insulation Blanket, Heat Shield (NEW) AMAT Centura
fablogic NEW - $1,495.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-09678 Focus Ring, 150 MM AL (NEW) AMAT Etch
fablogic NEW - $320.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-09135 Adapter, Pumping Plate 150 MM (NEW) AMAT CVD
usedeqsales NEW - $708.14 0 Aug/04/14 Aug/27/14
Description: MKS Instruments 651DD2S1N2 Pressure Controller Series 600 AMAT 0500-00014 New
fablogic NEW - $1,950.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-75226 Throttle Valve, CVD 200 MM (NEW) AMAT
conquer_2011 NEW - $1,999.00 0 Aug/04/14 Sep/03/14
Description: NEW AMAT 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM w/ VEXTA SERVO
athomemarket NEW - $19.99 0 Aug/04/14 Sep/03/14
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
grandbirdnet NEW - $1,400.00 0 Aug/05/14 Jun/01/23
Description: AMAT 0190-08770 CONTROL 30-3 (FIXLOAD) , NEW
nps NEW - $49.99 1 Aug/05/14 Aug/12/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket NEW - $99.99 0 Aug/05/14 Sep/04/14
Description: NEW Applied Materials/AMAT 0100-01603 Rev. 002 Spill Sensor PCB Board Assembly
athomemarket NEW - $252.99 0 Aug/05/14 Sep/04/14
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $213.99 0 Aug/05/14 Sep/04/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Aug/05/14 Sep/04/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $32.99 0 Aug/05/14 Sep/04/14
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Aug/05/14 Sep/04/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore NEW - $41.99 0 Aug/05/14 Aug/08/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
svcstore NEW - $299.99 0 Aug/05/14 Aug/08/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
usedeqsales NEW - $1,208.14 0 Aug/06/14 Aug/06/14
Description: VAT 0340X-MH24-API1 Slit Valve MK-II P5000 AMAT 0010-05574 New
usedeqsales NEW - $4,508.14 0 Aug/06/14 Apr/30/15
Description: Seiko Seiki SCU-H1000C Turbomolecular Pump Control Unit AMAT 3930-01036 New
usedeqsales NEW - $808.14 0 Aug/06/14 May/04/15
Description: Kawasaki 50480-0106 TX210 200mm Robot Blade STD Deck Pads AMAT 0190-39315 New
athomemarket NEW - $59.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $265.99 0 Aug/06/14 Sep/05/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $236.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $131.99 0 Aug/06/14 Sep/05/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $133.99 0 Aug/06/14 Sep/05/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $118.99 0 Aug/06/14 Sep/05/14
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $186.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $638.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $40.99 0 Aug/06/14 Sep/05/14
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $81.99 0 Aug/06/14 Sep/05/14
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $118.99 0 Aug/06/14 Sep/05/14
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $176.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
waste-not-recycling NEW - $29.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
athomemarket NEW - $69.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
fablogic NEW - $1,950.00 0 Aug/06/14 Aug/06/14
Description: Applied Materials 0200-09996 Ring, Outer WXZ CVD (NEW) AMAT
usedeqsales NEW - $1,008.14 0 Aug/07/14 May/04/15
Description: Cortec Precision 0270-00795 300mm Hoop Alignment Tool AMAT Applied Materials New
supertechshop NEW - $995.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $49.99 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $399.00 0 Aug/07/14 Sep/06/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $399.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $1,250.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop NEW - $399.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $699.00 0 Aug/07/14 Sep/06/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $99.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $750.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $750.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $750.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $495.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $299.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $199.00 1 Aug/07/14 Aug/21/14
Description: NEW AMAT MKS T3Bi Exhaust Throttle Control Valve T3BIB-30925 Hi-Speed 0190-42648
supertechshop NEW - $975.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $299.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $499.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $295.00 0 Aug/07/14 Sep/06/14
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
mattron747 NEW - $750.00 0 Aug/07/14 Sep/06/14
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
conquer_2011 NEW - $206.25 0 Aug/07/14 Sep/06/14
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
usedeqsales NEW - $678.14 0 Aug/07/14 May/04/15
Description: Praxair P3425-80-120-H-2 DP Plus Polishing Pad AMAT 0041-32726 Lot of 5 New
imca00 NEW - $4,500.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
usedeqsales NEW - $400.14 0 Aug/07/14 May/05/15
Description: Yaskawa SGDS-02A31A Servopack Servo Drive Amplifier AMAT 1080-00385 New
fablogic NEW - $2,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0200-10540 Source, SI (NEW) AMAT
fablogic NEW - $7,500.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 3750-01112 TUBE PLASMA SOURCE SAPPHIRE 1/4VCR (NEW) Astex AMAT
usedeqsales NEW - $6,508.14 0 Aug/07/14 Jan/06/15
Description: MDX Pinnacle AE Advanced Energy 3152411-214 DC Power Supply AMAT 0190-34930 New
fablogic NEW - $1,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0190-02264 Module, HD Analog (NEW) AMAT
fablogic NEW - $350.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0200-09424 Focus Ring, Quartz Alum 200 MM (NEW) AMAT Etch
usedeqsales NEW - $6,508.14 0 Aug/07/14 Jan/06/15
Description: MDX Pinnacle AE Advanced Energy 3152411-223 DC Power Supply AMAT 0190-37075 New
fablogic NEW - $1,150.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-25071 Clamp Ring, 200 MM Chamber E Degas (NEW) AMAT PVD
fablogic NEW - $1,795.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0040-09958 Shell, Assy. 200 MM Notch (NEW) AMAT
fablogic NEW - $895.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0021-76026 Deposition Ring (NEW) AMAT
fablogic NEW - $995.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0021-35095 Oxide Clamp Carrier, 5200 (NEW) AMAT Centura
usedeqsales NEW - $1,808.14 3 Aug/07/14 Sep/18/14
Description: Eimac YU191B Power Water Cooled Triod AMAT 0540-00024 New
fablogic NEW - $1,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-60019 Susceptor, Shadow Ring 100 MM (NEW) AMAT CVD
fablogic NEW - $1,295.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-00730 Geneva Wheel (NEW) Precision 8300 Etch
fablogic NEW - $465.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-31020 Blocker Plate, 200 MM BSE BWCVD (NEW) AMAT
fablogic NEW - $375.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-31797 Gas Distribution Plate (NEW) AMAT Etch
fablogic NEW - $1,650.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0190-01870 ASP Interlock (NEW) AMAT Centura
fablogic NEW - $995.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-01322 Slit Door, Assy. SHO (NEW) AMAT Centura
fablogic NEW - $1,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-09732 Pedestal, Assy. 200 MM (NEW) AMAT Etch
svcstore NEW - $1,499.99 0 Aug/07/14 Aug/10/14
Description: NEW NSK SSB014FN536 Megatorque Motor Motion Control Unit AMAT 0190-44340
svcstore NEW - $1,999.99 0 Aug/07/14 Aug/10/14
Description: NEW NSK ELA-B014CFB-03 Dual-Axis Robot Servo Driver Controller AMAT 0190-03545
athomemarket NEW - $318.99 0 Aug/13/14 Sep/12/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
usedeqsales NEW - $1,008.14 0 Aug/15/14 May/04/15
Description: AMAT Applied Materials 300750-4512-660017 Pulsed Integration Box 0010-49945 New
yayais2012 NEW - $220.00 0 Aug/15/14 Sep/14/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
yayais2012 NEW - $220.00 0 Aug/15/14 Sep/14/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
athomemarket NEW - $47.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $107.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $91.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $449.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
athomemarket NEW - $380.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $97.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
visionsemi NEW - $85.00 0 Aug/14/14 Jul/10/15
Description: APPLIED MATERIALS AMAT 0090-02868 ASSY RESISTOR ELECTRICAL TRAY NEW
usedeqsales NEW - $208.14 0 Aug/14/14 May/01/15
Description: AMAT Applied Materials 11938000 C/A Communication Cable 0150-03027 New
usedeqsales NEW - $208.14 0 Aug/14/14 May/01/15
Description: AMAT Applied Materials 300750-3513-640001 Motor Cable Assembly 0150-24422 New
athomemarket NEW - $115.99 0 Aug/13/14 Sep/12/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
visionsemi NEW - $40.00 1 Aug/13/14 May/24/15
Description: 0190-75039 APPLIED MATERIALS VHP ROBOT BEARING NEW LOT OF 4EA 7/8OD x 3/8ID 7/3
athomemarket NEW - $80.99 0 Aug/14/14 Sep/13/14
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $701.99 0 Aug/14/14 Sep/13/14
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $54.99 0 Aug/14/14 Sep/13/14
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $918.99 0 Aug/14/14 Sep/13/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $43.99 0 Aug/14/14 Sep/13/14
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $107.99 0 Aug/14/14 Sep/13/14
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $49.99 0 Aug/14/14 Sep/13/14
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $99.99 0 Aug/14/14 Sep/13/14
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
tdindustrial NEW - $295.00 0 Aug/14/14 Oct/27/16
Description: SensorTechnics Robot Pressure Transducer, 97551, AMAT 0090-77059 Rev A, New
starburymytalk NEW - $59.99 0 Aug/14/14 Sep/13/14
Description: LAM Research 715-013639-009 NEW NIB
starburymytalk NEW - $129.99 0 Aug/14/14 Sep/13/14
Description: LAM Research 716-800330-063 Insulator Bottom Insular Ring NEW NIB
athomemarket NEW - $216.99 0 Aug/09/14 Sep/08/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,433.99 0 Aug/08/14 Sep/07/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
keykorea NEW - $2,500.00 1 Aug/11/14 Jun/11/20
Description: NOVELLUS 15-150002-00 RING, GUARD, LTM, HDP300 , NEW
supertechshop NEW - $249.00 0 Aug/11/14 Sep/10/14
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
supertechshop NEW - $249.00 0 Aug/11/14 Sep/10/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
conquer_2011 NEW - $65.00 0 Aug/16/14 Sep/15/14
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
conquer_2011 NEW - $995.00 0 Aug/16/14 Sep/15/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
conquer_2011 Used - $6,500.00 0 Aug/16/14 Sep/15/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
kennyenstrom0619 NEW - $300.00 0 Aug/16/14 Aug/23/14
Description: Exactus IFM8-915 8 Channel Hub PYRO/EM AMAT 0190-32884 NEW
svcstore NEW - $37.99 0 Aug/16/14 Aug/19/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
svcstore NEW - $1,499.99 0 Aug/16/14 Aug/19/14
Description: NEW NSK SSB014FN536 Megatorque Motor Motion Control Unit AMAT 0190-44340
svcstore NEW - $1,999.99 0 Aug/16/14 Aug/19/14
Description: NEW NSK ELA-B014CFB-03 Dual-Axis Robot Servo Driver Controller AMAT 0190-03545
trees_for_a_better_tomorrow NEW - $125.00 0 Aug/17/14 Aug/27/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $300.00 0 Aug/17/14 Aug/27/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $100.00 0 Aug/17/14 Aug/27/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $80.00 0 Aug/17/14 Aug/27/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/18/14
Description: New Amat Applied Material 402340R03-PJ-C 3800-00073 3800-00038 RegulatorSQMicro*
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
keykorea NEW - $1,500.00 1 Aug/17/14 Nov/06/17
Description: AMAT 0010-35511 ASSY,PID CONTROLLER-R2 CHMBR CENTURA , NEW
athomemarket NEW - $502.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,817.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,716.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $918.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $119.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $128.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $88.99 0 Aug/18/14 Sep/17/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $301.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $199.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $94.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $330.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $83.99 0 Aug/18/14 Sep/17/14
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $219.99 0 Aug/18/14 Sep/17/14
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $79.99 0 Aug/18/14 Sep/17/14
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $49.99 5 Aug/18/14 Sep/17/14
Description: NEW CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless Cv=0.30
athomemarket NEW - $51.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $77.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0020-70145 Storage Elevator C-Side Window
athomemarket NEW - $51.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $30.99 0 Aug/18/14 Sep/17/14
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $1,019.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket Used - $199.99 1 Aug/18/14 Sep/09/14
Description: NEW Applied Materials 0100-38018 Rev. 003 RF Match Control PCB Board/Card
athomemarket NEW - $300.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $259.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $114.99 0 Aug/18/14 Sep/17/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,091.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $315.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $300.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $109.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials/AMAT 0200-09453 Rev. B Ceramic Collar, T2 Welded Susceptor
athomemarket NEW - $99.99 0 Aug/18/14 Sep/17/14
Description: 2 NEW Applied Materials/AMAT 0190-77112 Duplex Thrust Bearings (JMB ME-1107DL)
athomemarket NEW - $1,091.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $236.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $136.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $94.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $751.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $1,327.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $307.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
grandbirdnet NEW - $1,100.00 1 Aug/19/14 Jun/01/23
Description: AMAT 3870-03490 VALVE BLOCK DIAPH 3WAY 1/4VCR-F/F/M NC/N , NEW
keykorea NEW - $12,000.00 0 Aug/19/14 Jul/15/15
Description: AMAT 0090-77106 ASSY, RTD ELECTRONIC AND , NEW
used1eqsales NEW - $6,008.14 0 Aug/19/14 May/26/17
Description: AMAT 0010-37386 Center Water Feedthru Source 300mm Rev 03 new surplus
nps NEW - $49.99 0 Aug/19/14 Aug/26/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket NEW - $179.99 0 Aug/19/14 Sep/18/14
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
supertechshop NEW - $299.00 0 Aug/19/14 Sep/18/14
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
athomemarket NEW - $1,347.99 0 Aug/19/14 Sep/18/14
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
supertechshop NEW - $1,150.00 0 Aug/19/14 Sep/18/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Aug/19/14 Sep/18/14
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
athomemarket NEW - $71.99 0 Aug/19/14 Sep/18/14
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $100.99 0 Aug/19/14 Sep/18/14
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
svcstore NEW - $1,999.99 0 Aug/19/14 Aug/22/14
Description: NEW NSK ELA-B014CFB-03 Dual-Axis Robot Servo Driver Controller AMAT 0190-03545
svcstore NEW - $37.99 0 Aug/19/14 Aug/22/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
svcstore NEW - $1,499.99 0 Aug/19/14 Aug/22/14
Description: NEW NSK SSB014FN536 Megatorque Motor Motion Control Unit AMAT 0190-44340
exper-tech NEW - $135.00 0 Aug/20/14 Jun/25/15
Description: AMAT Applied Materials 0150-00096 System I/O Interconnect Cable Assy 21'FT, New
used1eqsales NEW - $2,008.14 0 Aug/20/14 May/26/17
Description: AMAT 0041-05925 Ceramic Platen DLN ESC 300mm Rev. DA new surplus
used1eqsales NEW - $2,008.14 0 Aug/20/14 May/26/17
Description: AMAT 0021-32269 ESC Interface 300mm Rev. A new surplus
athomemarket NEW - $394.99 0 Aug/20/14 Sep/19/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $8,579.99 0 Aug/20/14 Sep/19/14
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $121.99 0 Aug/20/14 Sep/19/14
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $45.99 0 Aug/20/14 Sep/19/14
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $307.99 0 Aug/20/14 Sep/19/14
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
prism_electronics6 NEW - $0.99 0 Aug/21/14 Aug/28/14
Description: ** NEW ** Lam Research Gate Valve 853-007859-223 Rev F Alliance
athomemarket NEW - $206.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $114.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $71.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $206.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
exper-tech NEW - $80.00 1 Aug/21/14 Jan/16/18
Description: AMAT Applied Materials 0050-36923 Exhaust Line, DLL NW40 ISO-KF, New
conquer_2011 NEW - $2,488.00 0 Aug/21/14 Sep/20/14
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
athomemarket NEW - $284.99 0 Aug/21/14 Sep/20/14
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
xeseyer NEW - $105.00 0 Aug/22/14 Sep/02/14
Description: AMAT Applied Materials 0020-34732 RevA RF Input Strap DXZ, New
exper-tech NEW - $275.00 0 Aug/22/14 Oct/30/18
Description: AMAT Applied Materials 3870-02340 ALVE BLOCK DIAPH 3WAY 1/4VCR-F/F/M, NEW
svcstore NEW - $37.99 0 Aug/22/14 Aug/25/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
svcstore NEW - $1,999.99 0 Aug/22/14 Aug/25/14
Description: NEW NSK ELA-B014CFB-03 Dual-Axis Robot Servo Driver Controller AMAT 0190-03545
svcstore NEW - $1,499.99 0 Aug/23/14 Aug/26/14
Description: NEW NSK SSB014FN536 Megatorque Motor Motion Control Unit AMAT 0190-44340
athomemarket NEW - $49.99 0 Aug/23/14 Sep/22/14
Description: NEW Wasco SP129-51W2B-X/7466 Pressure Switch AMAT 0090-09163 Vacuum SP120
athomemarket NEW - $177.99 0 Aug/23/14 Sep/22/14
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $181.99 0 Aug/23/14 Sep/22/14
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
kennyenstrom0619 NEW - $300.00 0 Aug/23/14 Aug/30/14
Description: Exactus IFM8-915 8 Channel Hub PYRO/EM AMAT 0190-32884 NEW
athomemarket NEW - $1,211.99 0 Aug/24/14 Sep/23/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $116.99 0 Aug/24/14 Sep/23/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
exper-tech NEW - $125.00 1 Aug/25/14 Aug/26/14
Description: AMAT Applied Materials 0190-02841 CDO Ceramic Packing, 1 Bag of 250+ pcs, New
athomemarket NEW - $227.99 0 Aug/25/14 Sep/24/14
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $146.99 0 Aug/25/14 Sep/24/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $935.99 0 Aug/25/14 Sep/24/14
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $199.99 0 Aug/25/14 Aug/28/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
supertechshop NEW - $299.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $199.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 Aug/25/14 Sep/24/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $49.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $99.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $199.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
supertechshop NEW - $249.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $475.00 2 Aug/25/14 Sep/22/14
Description: NEW AMAT Chamber Quartz Window 14.75" Dia 1" Thk Polycarbonate 0021-62026 Sealed
supertechshop NEW - $99.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $299.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
supertechshop NEW - $975.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $199.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
supertechshop NEW - $495.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $475.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
svcstore NEW - $37.99 0 Aug/25/14 Aug/28/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
svcstore NEW - $1,999.99 3 Aug/25/14 Aug/28/14
Description: NEW NSK ELA-B014CFB-03 Dual-Axis Robot Servo Driver Controller AMAT 0190-03545
keykorea NEW - $400.00 8 Aug/26/14 Nov/24/14
Description: AMAT 0090-77057 SLURRY PUMP MOTOR FOR MIRRA CMP , NEW
nps NEW - $49.99 0 Aug/26/14 Sep/02/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales NEW - $1,008.14 19 Aug/26/14 Dec/20/16
Description: Lam Research 810-800256-005 Node Board Type 3 PCB 800256-005 New
athomemarket NEW - $5,954.99 0 Aug/26/14 Sep/25/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Aug/26/14 Sep/25/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Aug/26/14 Sep/25/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,671.99 1 Aug/26/14 Sep/25/14
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
exper-tech NEW - $125.00 0 Aug/26/14 Jan/08/19
Description: AMAT Applied Materials 0050-39078 Foreline Mainframe DPS Chamber POS A/B, New
exper-tech NEW - $75.00 0 Aug/26/14 Oct/29/18
Description: AMAT Applied Materials 0050-36171 Line Process Chamber EXH Baratron Mount, New
exper-tech NEW - $155.00 0 Aug/26/14 Jan/07/15
Description: AMAT Applied Materials 0050-30383 Weldment Foreline Upper,PMS,WXZ, New
svcstore NEW - $1,499.99 0 Aug/26/14 Aug/29/14
Description: NEW NSK SSB014FN536 Megatorque Motor Motion Control Unit AMAT 0190-44340
surplusssam NEW - $139.99 5 Aug/26/14 Jul/25/19
Description: NEW GRANVILLE PHILLIPS 275838 275 MINI-CONVECTRON MODULE 1/4" AMAT 3310-01088
athomemarket NEW - $99.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $63.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $5,853.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
keykorea NEW - $50.00 0 Aug/27/14 Sep/11/14
Description: AMAT 0620-03040 CABLE SCSI-3 2-DEVICE TPE 68-PIN FLAT 3FT , NEW
keykorea NEW - $40.00 0 Aug/27/14 Jul/23/15
Description: AMAT 0720-07060 CON ADTR SCSI3 TO SCSI1 , NEW
grandbirdnet NEW - $50.00 0 Aug/27/14 Mar/03/22
Description: AMAT 0650-00267 TERMINATOR SCSI-3 ACTIVE 68PIN FEMALE 68-PIN FEMAL , NEW
katiil3 NEW - $550.00 0 Aug/27/14 Sep/26/14
Description: NEW AMAT 0021-07905 SHILED,LOWER SIP CU LTBESC 8" , 25 BASE 90 STEP
katiil3 NEW - $850.00 1 Aug/27/14 Sep/01/14
Description: NEW AMAT 0020-27702 CLAMP RING 8 SNNF, TI,3.404MM, 6 PADS.
grandbirdnet NEW - $3,900.00 0 Aug/27/14 Jun/01/23
Description: AMAT 0190-16739 Deublin 971-625 Rotary Union assy# 0010-43645 , NEW
yericomfg NEW - $8,000.00 1 Aug/28/14 Mar/10/16
Description: AMAT Applied Materials; AE Heat Exchanger, 3380-00035 with Drain,Tem (New)
trees_for_a_better_tomorrow NEW - $125.00 0 Aug/28/14 Sep/07/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $100.00 0 Aug/28/14 Sep/07/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $300.00 0 Aug/28/14 Sep/07/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
exper-tech NEW - $250.00 0 Aug/28/14 Jun/25/15
Description: AMAT Applied Materials 0020-20962 Clamp Ring 8" TIN/TIW, New
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $80.00 0 Aug/28/14 Sep/07/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
usedeqsales NEW - $1,508.14 0 Aug/28/14 May/01/15
Description: Horiba STEC SEC-Z522MGXN Mass Flow Controller AMAT 3030-15620 New
actionsystems NEW - $89.00 1 Aug/28/14 Nov/11/15
Description: Qty. 2 Novellus 15-052620-00 Retainer, Tong, Ring Lift -Semiconductor Part NEW
athomemarket NEW - $214.99 0 Aug/28/14 Sep/27/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket NEW - $476.99 0 Aug/28/14 Sep/27/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
svcstore NEW - $199.99 0 Aug/28/14 Aug/31/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
trees_for_a_better_tomorrow NEW - $40.00 0 Aug/28/14 Sep/07/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
svcstore NEW - $37.99 0 Aug/28/14 Aug/31/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
grandbirdnet NEW - $1,500.00 0 Aug/29/14 Oct/12/15
Description: AMAT 0190-21447 TOP COVERDIFFUSER/FILTERFAST VENT , NEW
springfieldsurplus2014 NEW - $25.00 0 Aug/29/14 Sep/28/14
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
usedeqsales NEW - $908.14 0 Aug/29/14 May/04/15
Description: AE Advanced Energy 16099 Electrically Tuned RF Cable AMAT 0190-32977 New
athomemarket NEW - $99.99 0 Aug/29/14 Sep/28/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $79.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $77.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $296.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $297.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $386.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $236.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $502.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $370.99 0 Aug/29/14 Sep/28/14
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $8,514.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $298.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $400.99 0 Aug/29/14 Sep/28/14
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $105.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $194.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
svcstore NEW - $1,499.99 0 Aug/29/14 Sep/01/14
Description: NEW NSK SSB014FN536 Megatorque Motor Motion Control Unit AMAT 0190-44340
athomemarket NEW - $461.99 0 Aug/30/14 Sep/29/14
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
kennyenstrom0619 NEW - $300.00 0 Aug/30/14 Sep/06/14
Description: Exactus IFM8-915 8 Channel Hub PYRO/EM AMAT 0190-32884 NEW
bjnaf4 NEW - $875.60 0 Aug/31/14 Sep/30/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $74.99 0 Aug/31/14 Sep/30/14
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
athomemarket NEW - $415.99 0 Aug/31/14 Sep/30/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $2,671.99 0 Aug/31/14 Sep/30/14
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $13,174.00 0 Aug/31/14 Sep/30/14
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $142.99 0 Aug/31/14 Sep/30/14
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $439.99 0 Aug/31/14 Sep/30/14
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,023.99 0 Aug/31/14 Sep/30/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
svcstore NEW - $199.99 0 Aug/31/14 Sep/03/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
grandbirdnet NEW - $800.00 0 Aug/31/14 Nov/15/22
Description: AMAT 0090-01271 LINEAR SENSOR, SEALABLE LOAD CUP, 25MM , NEW
grandbirdnet NEW - $450.00 0 Aug/31/14 Aug/03/22
Description: AMAT 3870-04958 VALVE, 2-WAY NO PNEUMATIC, 3/8" FLARE NXT-DRP41AFDFD-0 , NEW
svcstore NEW - $37.99 0 Aug/31/14 Sep/03/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
keykorea NEW - $1,850.00 0 Sep/01/14 Apr/23/15
Description: NOVELLUS 17-308972-00 SHIELD, BARREL, ARCS, PVD300 INOVA , NEW
keykorea NEW - $1,850.00 0 Sep/01/14 May/26/15
Description: NOVELLUS 17-308908-00 SHIELD, ADAPTER, AL, TWAS, PVD300 INOVA , NEW
keykorea NEW - $2,200.00 0 Sep/01/14 May/26/15
Description: NOVELLUS 17-306818-00 SHIELD, PEDESTAL, AL, TWAS, TA PVD300 INOVA , NEW
exper-tech NEW - $375.00 1 Sep/01/14 Sep/02/14
Description: AMAT Applied Materials 0500-01047 End Point Detector Unit Assy DXZ, New
athomemarket NEW - $128.99 0 Sep/01/14 Oct/01/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,212.99 0 Sep/01/14 Oct/01/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,212.99 0 Sep/01/14 Oct/01/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $92.99 0 Sep/01/14 Oct/01/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
svcstore NEW - $1,499.99 0 Sep/01/14 Sep/04/14
Description: NEW NSK SSB014FN536 Megatorque Motor Motion Control Unit AMAT 0190-44340
conquer_2011 NEW - $15.00 0 Sep/01/14 Oct/01/14
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
keykorea NEW - $1,200.00 1 Sep/02/14 Mar/13/20
Description: AMAT 0190-15905 CABLE ASSY SQS(M) R/A TO SQS(M) R/A CXN3 , NEW
yayais2012 NEW - $75.00 0 Sep/02/14 Oct/02/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
exper-tech NEW - $65.00 0 Sep/02/14 Jun/23/16
Description: AMAT Applied Materials 0050-00023 Gasline SLD Transition, Lot of 2, New
athomemarket NEW - $232.99 0 Sep/02/14 Oct/02/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $91.99 0 Sep/02/14 Oct/02/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $960.99 0 Sep/02/14 Oct/02/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $137.99 0 Sep/02/14 Oct/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $68.99 0 Sep/02/14 Oct/02/14
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $145.99 0 Sep/02/14 Oct/02/14
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $54.99 0 Sep/02/14 Oct/02/14
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $190.99 0 Sep/02/14 Oct/02/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $700.99 0 Sep/02/14 Oct/02/14
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
waste-not-recycling NEW - $59.99 0 Sep/02/14 Oct/02/14
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged.
grandbirdnet NEW - $2,200.00 0 Sep/03/14 Jun/01/23
Description: LAM RESEARCH 839-443215-001 ELCTD,HP SILICON,8",SM HOLE , NEW
grandbirdnet NEW - $2,700.00 0 Sep/03/14 Jun/01/23
Description: NOVELLUS 17-260362-00 SHIELD BARREL GRIT BLAST PVD300, NEW
bobsgoodies2 Used - $65.00 1 Sep/03/14 Mar/04/21
Description: AMAT 0150-70162 CABLE SEC GEN RACK WATER FLOW INTLK New
exper-tech NEW - $125.00 0 Sep/03/14 Jun/26/15
Description: AMAT Applied Materials 0040-32677 and 0040-32679 Roller Catch Clamp Assy, New
conquer_2011 NEW - $1,999.00 0 Sep/03/14 Oct/03/14
Description: NEW AMAT 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM w/ VEXTA SERVO
exper-tech NEW - $105.00 0 Sep/03/14 Jun/24/16
Description: Lam Research 853-017804-003 Interconnect Coax Upper Cable Assembly 5 Feet, New
svcstore NEW - $199.99 0 Sep/03/14 Sep/06/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $37.99 0 Sep/03/14 Sep/06/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
athomemarket NEW - $18.00 15 Sep/04/14 Oct/04/14
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
exper-tech NEW - $75.00 1 Sep/04/14 Nov/05/14
Description: AMAT Applied Materials 0090-20043 SMC NVJ3123Y 4-Way Solenoid Valve,Lot of 2,New
nps NEW - $49.99 0 Sep/04/14 Sep/11/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
exper-tech NEW - $105.00 3 Sep/04/14 Feb/09/15
Description: AMAT 3870-02798 Manual Diaphragm Valve 1/4"MFVCR 1/4" Short HDL, Lot of 3, New
athomemarket NEW - $213.99 0 Sep/04/14 Oct/04/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $213.99 0 Sep/04/14 Oct/04/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $252.99 0 Sep/04/14 Oct/04/14
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $32.99 0 Sep/04/14 Oct/04/14
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Sep/04/14 Oct/04/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket NEW - $99.99 0 Sep/05/14 Oct/05/14
Description: NEW Applied Materials/AMAT 0100-01603 Rev. 002 Spill Sensor PCB Board Assembly
supertechshop NEW - $74.99 0 Sep/05/14 Oct/05/14
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
supertechshop NEW - $74.99 0 Sep/05/14 Oct/05/14
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
athomemarket NEW - $69.99 0 Sep/05/14 Oct/05/14
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $265.99 0 Sep/05/14 Oct/05/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $236.99 0 Sep/05/14 Oct/05/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $131.99 0 Sep/05/14 Oct/05/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $118.99 0 Sep/05/14 Oct/05/14
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $133.99 0 Sep/05/14 Oct/05/14
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $186.99 0 Sep/05/14 Oct/05/14
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $638.99 0 Sep/05/14 Oct/05/14
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $81.99 0 Sep/05/14 Oct/05/14
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $40.99 0 Sep/05/14 Oct/05/14
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $176.99 0 Sep/05/14 Oct/05/14
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $118.99 0 Sep/05/14 Oct/05/14
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
waste-not-recycling NEW - $29.99 0 Sep/06/14 Oct/06/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
athomemarket NEW - $59.99 0 Sep/06/14 Oct/06/14
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Sep/06/14 Oct/06/14
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Sep/06/14 Oct/06/14
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Sep/06/14 Oct/06/14
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
conquer_2011 NEW - $275.00 0 Sep/06/14 Oct/06/14
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
svcstore NEW - $199.99 0 Sep/06/14 Sep/09/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
kennyenstrom0619 NEW - $300.00 0 Sep/06/14 Sep/13/14
Description: Exactus IFM8-915 8 Channel Hub PYRO/EM AMAT 0190-32884 NEW
mattron747 NEW - $750.00 0 Sep/07/14 Oct/07/14
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
usedeqsales NEW - $609.14 1 Sep/08/14 Mar/24/15
Description: CyberOptics Semiconductor WX-43-PIB Wafer Mapping Sensor AMAT 1400-98010 New
cappy95 NEW - $89.99 4 Sep/08/14 Oct/08/14
Description: NEW AMAT Applied Materials Supply Manifold Valve, Hoke 7387001S 0190-13448
cappy95 NEW - $74.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 3870-01603 FUJIKIN FPR-UDDF-71-6.35-2-NL-UP; PNEUMATIC VALVE 1/4 VCR
exper-tech NEW - $65.00 1 Sep/08/14 Sep/30/14
Description: AMAT Applied Materials 0040-09069 Cable Assembly, Lot of 5, New
exper-tech NEW - $65.00 0 Sep/08/14 Mar/01/19
Description: AMAT Applied Materials 0150-10542 TEOS Centura DCVD Heater Cable 129", New
exper-tech NEW - $65.00 1 Sep/08/14 Apr/03/15
Description: AMAT Applied Materials 3700-01756 Chemraz Greene Tweed O-ring, Lot of 8, New
exper-tech Used - $55.00 1 Sep/08/14 Feb/20/16
Description: LAM Research 853-070212-001 Mitered Elbow NW50, New
athomemarket NEW - $164.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $301.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $234.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $63.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $271.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $69.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $357.99 0 Sep/08/14 Oct/06/14
Description: NEW Applied AMAT 0040-52090 CMP Retaining Ring 8"/200mm
athomemarket NEW - $71.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $66.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $116.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $1,433.99 0 Sep/08/14 Oct/08/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
waste-not-recycling NEW - $499.99 0 Sep/08/14 Oct/08/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
athomemarket NEW - $99.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $69.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
exper-tech NEW - $30.00 0 Sep/08/14 Mar/01/19
Description: LAM Research 790-096626-003 Gexpro Thermoplastic Wheel Caster 3"diam, New
athomemarket NEW - $139.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $66.99 0 Sep/08/14 Oct/08/14
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $154.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,277.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $216.99 0 Sep/08/14 Oct/08/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
exper-tech NEW - $140.00 1 Sep/08/14 Feb/04/15
Description: AMAT Applied Materials 0270-20018 Fixture Gas Spring Assembly 13", New
svcstore NEW - $34.99 0 Sep/08/14 Sep/11/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
dvkelectronics NEW - $425.00 0 Sep/08/14 Oct/08/14
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
svcstore NEW - $1,499.99 0 Sep/08/14 Sep/11/14
Description: NEW NSK SSB014FN536 Megatorque Motor Motion Control Unit AMAT 0190-44340
supertechshop NEW - $49.99 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $995.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
athomemarket NEW - $415.99 0 Sep/09/14 Oct/09/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
supertechshop NEW - $399.00 0 Sep/09/14 Oct/09/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $399.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $399.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $699.00 0 Sep/09/14 Oct/09/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $1,250.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop NEW - $99.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 1 Sep/09/14 Sep/23/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $750.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $750.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $750.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $495.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
athomemarket NEW - $3,734.99 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $199.99 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
supertechshop NEW - $299.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $299.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $975.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $499.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $295.00 0 Sep/09/14 Oct/09/14
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
exper-tech NEW - $45.00 1 Sep/09/14 Nov/04/14
Description: AMAT Applied Materials 3700-02153 Chemraz Greene Tweed O-ring, Lot of 4, New
exper-tech NEW - $35.00 0 Sep/09/14 Mar/01/19
Description: AMAT Applied Materials 3700-02353 Chemraz Greene Tweed O-ring, Lot of 3, New
svcstore NEW - $199.99 0 Sep/09/14 Sep/12/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
exper-tech NEW - $85.00 0 Sep/09/14 Aug/12/15
Description: AMAT Applied Materials 0050-41902 Tube Weldment Exhaust Top, New
athomemarket NEW - $476.99 0 Sep/27/14 Oct/27/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/04/14 Oct/11/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow Used - $80.00 0 Oct/04/14 Oct/11/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/04/14 Oct/11/14
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $300.00 0 Oct/04/14 Oct/11/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $100.00 0 Oct/04/14 Oct/11/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $40.00 0 Oct/04/14 Oct/11/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
trees_for_a_better_tomorrow NEW - $125.00 0 Oct/04/14 Oct/11/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sfwish NEW - $79.97 0 Oct/04/14 Oct/18/17
Description: NEW Applied Materials AMAT/AKT 0200-55626 CAP INSULATOR
svcstore NEW - $183.99 0 Oct/03/14 Oct/06/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
imca00 NEW - $7,450.00 0 Oct/03/14 Oct/10/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
exper-tech NEW - $125.00 0 Oct/03/14 Jan/08/19
Description: AMAT Applied Materials 0020-18497 Band Top Coil/IV Sensor HDPCVD,Low K, New
nps NEW - $49.99 0 Oct/03/14 Oct/10/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
exper-tech NEW - $30.00 0 Oct/02/14 Nov/26/15
Description: AMAT Applied Materials 3300-01930 Union Tee Fitting 1/8x1/8x1/8 Prestolok, New
athomemarket NEW - $232.99 1 Oct/02/14 Nov/01/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $960.99 0 Oct/02/14 Nov/01/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $91.99 0 Oct/02/14 Nov/01/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $137.99 0 Oct/02/14 Nov/01/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $18.00 0 Oct/04/14 Nov/03/14
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
athomemarket NEW - $92.99 0 Oct/01/14 Oct/31/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
athomemarket Used - $89.99 0 Oct/01/14 Oct/08/14
Description: NEW LAM Research CV16-K1K1-MKVV Manual Knob NW/KF-16 Angle Valve 796-098943-002
used1eqsales NEW - $2,479.11 1 Sep/29/14 Apr/10/15
Description: Lam 716-031257-309 716-026652-002 716-012640-012 Process Kit Parts New Surplus
used1eqsales NEW - $3,009.14 1 Sep/29/14 Nov/06/15
Description: Lam Research 716-003481-815 Process Kit Part Revision C New Surplus
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/05/14 Oct/12/14
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
athomemarket NEW - $318.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $214.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $345.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $254.99 0 Oct/12/14 Nov/11/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $413.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $6,256.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $345.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $97.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
supertechshop NEW - $74.99 0 Oct/08/14 Nov/07/14
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
surplusssam NEW - $89.99 1 Oct/07/14 Apr/28/20
Description: NEW LAM RESEARCH 857-020295-104 EZ WAFER PLUNGER CLAMP
athomemarket NEW - $305.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $81.99 0 Oct/12/14 Nov/11/14
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
athomemarket NEW - $6,999.99 0 Oct/05/14 Nov/04/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
waste-not-recycling NEW - $399.99 0 Oct/09/14 Nov/08/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
athomemarket NEW - $216.99 0 Oct/09/14 Nov/08/14
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $1,433.99 0 Oct/08/14 Nov/07/14
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
athomemarket NEW - $256.99 0 Oct/11/14 Nov/10/14
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
svcstore NEW - $31.99 0 Oct/11/14 Oct/14/14
Description: NEW Ushio AMAT 0190-22509 JIH 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
conquer_2011 NEW - $950.00 0 Oct/12/14 Nov/11/14
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
athomemarket NEW - $6,256.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $106.99 0 Oct/12/14 Nov/11/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $257.99 0 Oct/12/14 Nov/11/14
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $415.99 0 Oct/10/14 Nov/09/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
svcstore NEW - $183.99 0 Oct/10/14 Oct/13/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
nihilneo NEW - $76.50 2 Oct/11/14 Feb/27/15
Description: Mott GasShield POU-05-NSV1 NEW Applied Materials 4020-90076
mattron747 NEW - $750.00 0 Oct/07/14 Nov/06/14
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
athomemarket Used - $89.99 4 Oct/09/14 Nov/08/14
Description: NEW LAM Research CV16-K1K1-MKVV Manual Knob NW/KF-16 Angle Valve 796-098943-002
athomemarket NEW - $2,243.99 0 Oct/12/14 Nov/11/14
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
jesumike NEW - $500.00 0 Oct/12/14 Oct/19/14
Description: AMAT 0020-24719 COVER RING 8" 101 AL COVERAGE NEW
jesumike NEW - $300.00 1 Oct/12/14 Oct/12/14
Description: APPLIED MATERIALS AMAT 0020-26601 SHUTTER DISK 8 SS NEW
jesumike NEW - $500.00 0 Oct/12/14 Oct/19/14
Description: AMAT 0020-22237 COVER RING 8" 101% TIN COVERAGE NEW
keykorea NEW - $495.00 0 Oct/12/14 Dec/29/14
Description: AMAT 0090-77286 PRESSURE TRANSDUCER SST , NEW
keykorea NEW - $400.00 6 Oct/13/14 May/30/16
Description: AMAT 0090-77054 SENSORTECHNICS PRESS XDCR , NEW
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/01/14 Dec/08/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
athomemarket NEW - $6,999.99 0 Dec/04/14 Jan/03/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
katsu9202408 NEW - $2,445.95 0 Dec/02/14 Sep/17/17
Description: Comet Ag Flamatt Lam research 0010-42741 REV002 New Without Box
grandbirdnet NEW - $100.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0140-12123 HARNESS ASSY POWER TOXIC, NEW
keykorea NEW - $400.00 1 Dec/03/14 Apr/21/19
Description: AMAT 0140-11688 REV : 005 11938000, NEW
supertechshop NEW - $299.00 0 Dec/03/14 Jan/02/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
grandbirdnet NEW - $50.00 0 Dec/03/14 Jun/01/23
Description: AMAT 0150-14666 MASS FLOW VERIFIER, NEW
keykorea NEW - $30.00 4 Dec/04/14 Aug/06/19
Description: AMAT 0150-11367 CABLE ASSY, MOTOR/ENCODER, NEW
keykorea NEW - $80.00 1 Dec/04/14 Jun/17/19
Description: AMAT 0140-10116 HARNESS ASSY CATHODE MAIN, NEW
athomemarket NEW - $215.99 0 Dec/04/14 Jan/03/15
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
keykorea NEW - $150.00 0 Dec/04/14 Apr/15/15
Description: AMAT 0140-18703 CABLE ASSY LINKMANAGER DC , NEW
athomemarket NEW - $476.99 0 Nov/27/14 Dec/27/14
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
supertechshop NEW - $4,950.00 0 Dec/02/14 Jan/01/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $4,750.00 0 Dec/02/14 Jan/01/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $295.00 0 Nov/13/14 Dec/13/14
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
athomemarket NEW - $94.99 0 Dec/01/14 Dec/31/14
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
grandbirdnet NEW - $30.00 0 Dec/03/14 Jun/01/23
Description: AMAT 0620-03449 MFC CABLE, NEW
grandbirdnet NEW - $100.00 0 Dec/03/14 Mar/07/22
Description: AMAT 0150-75155 CABLE ASSY, 35FT 8MK DET , NEW
grandbirdnet NEW - $800.00 0 Dec/03/14 Mar/07/22
Description: AMAT 0150-12960 CABLE, LID CVR SW CVD 300, NEW
keykorea NEW - $100.00 1 Dec/03/14 May/04/21
Description: AMAT 0140-16310 REV : 001 , NEW
keykorea NEW - $50.00 0 Dec/04/14 Jun/21/18
Description: AMAT 0150-01152 WATER LEAK SNSR CABLE 14 , NEW
grandbirdnet NEW - $140.00 0 Dec/04/14 Jun/30/22
Description: AMAT 0150-03934 CABLE ASSEMBLY PUMP EMO 6FT , NEW
grandbirdnet NEW - $70.00 0 Dec/04/14 Oct/26/21
Description: AMAT 0150-01280 CABLE ASSY LIMIT SWITCH A , NEW
keykorea NEW - $50.00 1 Dec/04/14 Oct/08/18
Description: AMAT 0620-01437 WSC RMC , NEW
grandbirdnet NEW - $100.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-25112 REV : 01 , NEW
grandbirdnet NEW - $170.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-14224 CABLE ASSY LEL MONITOR SE , NEW
grandbirdnet NEW - $70.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0140-03399 H/A 300MM EQUIPMENT SAFET , NEW
grandbirdnet NEW - $70.00 0 Dec/04/14 Mar/03/22
Description: AMAT 0140-35316 H/A DOOR SW JUMPER , NEW
grandbirdnet NEW - $100.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0140-07177 HARN ASSY, AC DRAWER POWE, NEW
grandbirdnet NEW - $220.00 1 Dec/04/14 Mar/23/22
Description: AMAT 0190-23270 PI/O, OHT HOKUYO DMS-NB1-Z28, E84 VARIABLE SENSOR, NEW
keykorea NEW - $1,900.00 0 Dec/04/14 Dec/10/14
Description: AMAT 0140-08724 HARNESS, E-CHAIN AI/O HEAD, 200MM , NEW
grandbirdnet NEW - $300.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0150-11486 C/A SRD W/DNET INPUT MN R, NEW
grandbirdnet NEW - $300.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0140-24168 HA,ADPTER SYS MDL TO GP, NEW
svcstore NEW - $149.99 0 Dec/04/14 Dec/07/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
grandbirdnet NEW - $60.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-24167 CABLE, LID-COVER SWITCH, CV, NEW
grandbirdnet NEW - $150.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0140-13121 HARNESS ASSY WATER DET TO, NEW
grandbirdnet NEW - $800.00 0 Dec/03/14 Mar/07/22
Description: AMAT 0140-14176 H/A ASSY CHAMBER CCM DIST, NEW
grandbirdnet NEW - $90.00 0 Dec/03/14 Mar/07/22
Description: AMAT 0150-21243 C/A EMO INCNCT -SYS AC TO, NEW
grandbirdnet NEW - $450.00 0 Dec/03/14 Mar/03/22
Description: AMAT 0140-17436 HARNESS ASSY CHAMBER F I/, NEW
keykorea NEW - $210.00 4 Dec/03/14 Apr/05/16
Description: AMAT 0150-39389 CABLE ASSY DIAGNOSTIC HE, NEW
svcstore NEW - $139.99 0 Dec/02/14 Dec/05/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $199.99 0 Dec/03/14 Dec/06/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $149.99 0 Dec/03/14 Dec/06/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
supertechshop NEW - $199.00 0 Dec/03/14 Jan/02/15
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $49.00 0 Dec/03/14 Jan/02/15
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $24.99 0 Dec/03/14 Jan/02/15
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
grandbirdnet NEW - $250.00 0 Dec/03/14 Mar/07/22
Description: AMAT 0150-17234 C/A AC BOT TO WATLOW BOX, NEW
grandbirdnet NEW - $80.00 0 Dec/03/14 Mar/03/22
Description: AMAT 0150-28940 AC PWR HRTS SHELF B, NEW
grandbirdnet NEW - $80.00 0 Dec/03/14 Jun/01/23
Description: AMAT 0150-07995 REV : 003 11S938000, NEW
grandbirdnet NEW - $80.00 0 Dec/03/14 Jun/01/23
Description: AMAT 0150-12342 CABLE ASSY SERIAL STP CO, NEW
grandbirdnet NEW - $70.00 0 Dec/03/14 Mar/07/22
Description: AMAT 0150-20183 CABLE ASSEMBLY CHASSIS GR, NEW
keykorea NEW - $250.00 0 Dec/03/14 Dec/08/15
Description: AMAT 0140-11613 HARNESS ASSY MEG MTR & BR, NEW
grandbirdnet NEW - $150.00 0 Dec/03/14 Jan/05/22
Description: AMAT 0150-25606 FES SERIAL CARD, NEW
grandbirdnet NEW - $50.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-07356 REV : 001, NEW
grandbirdnet NEW - $30.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-05359 CABLE ASSY GND STRAP INSU, NEW
grandbirdnet NEW - $50.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-17172 CABLE ASSY L-DOOR PCB JUM, NEW
grandbirdnet NEW - $150.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0150-12790 CABLE ASSY EMO INTERCONNE, NEW
grandbirdnet NEW - $50.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0150-11512 FG1/11-1G, NEW
grandbirdnet NEW - $50.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0140-07119 HARN ASSY, 120VAC FAN CIR, NEW
grandbirdnet NEW - $250.00 0 Dec/04/14 Mar/03/22
Description: AMAT 0150-76514 C/A CONTR INTLK PCB J3-BP , NEW
grandbirdnet NEW - $150.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0150-25269 DO NOT USEI USE SPCL A , NEW
grandbirdnet NEW - $250.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0150-25077 CABLE ASSY, HX TO DENT TEE , NEW
athomemarket NEW - $217.99 0 Dec/04/14 Jan/03/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
grandbirdnet NEW - $150.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0150-19837 CABLE ASSY, SMIF INDEXR B , NEW
grandbirdnet NEW - $220.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0150-15154 CABLE ASSY DVR CH1 TO FI , NEW
athomemarket NEW - $99.99 1 Dec/04/14 Dec/22/14
Description: NEW Applied Materials/AMAT 0100-01603 Rev. 002 Spill Sensor PCB Board Assembly
keykorea NEW - $560.00 1 Dec/04/14 Mar/25/19
Description: AMAT 0140-14832 H/A , SCNR3 P5 DNET CHI, NEW
grandbirdnet NEW - $200.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0150-16437 CABLE ASSY HEATER AC POWER, NEW
grandbirdnet NEW - $50.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-35073 CABLE ASSY CENTER WIDH GLOVES , NEW
grandbirdnet NEW - $20.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-23486 CABLE ASSY, HARD REAL TIM , NEW
grandbirdnet NEW - $600.00 0 Dec/03/14 Jun/01/23
Description: AMAT 0140-11548 HARNESS ASSY MFC PANEL 1, NEW
grandbirdnet NEW - $20.00 0 Dec/04/14 Mar/07/22
Description: AMAT 0150-10712 CABLE ASSY DIAGNOSTIC PCB, NEW
athomemarket NEW - $34.99 0 Dec/04/14 Jan/03/15
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $255.99 0 Dec/04/14 Jan/03/15
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
grandbirdnet NEW - $100.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-22503 C/A WTR LK 2ND TO 3RD, NEW
keykorea NEW - $150.00 2 Dec/04/14 Nov/23/20
Description: AMAT 0041-24855 REFLECTOR-GOLD , NEW
keykorea NEW - $300.00 0 Dec/04/14 Feb/13/18
Description: AMAT 0041-08215 HOUSING RPS VALVE, 300MM PRODUCER SE , NEW
grandbirdnet NEW - $200.00 0 Dec/04/14 Jun/01/23
Description: NOVELLUS 15-270984-00 PLATE FILLER, NEW
keykorea NEW - $400.00 0 Dec/04/14 Feb/13/18
Description: AMAT 0041-09961 SUPPORT PLATE VALVE, 300MM PRODUCER SE , NEW
keykorea NEW - $500.00 1 Dec/04/14 Nov/13/15
Description: AMAT 0090-06774 ASSY, MOTOR 5 PH STEPPER, NEW
keykorea NEW - $300.00 0 Dec/04/14 Jul/25/17
Description: AMAT 0500-00064 PWR MEASUREMENTS HEAD (PHM) 400K, NEW
grandbirdnet NEW - $150.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0242-29293 KIT, MOUNTING BRACKET, CHAMBER TO MAINFRAME, BLUE , NEW
grandbirdnet NEW - $80.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-11506 FGI/11/1G, NEW
grandbirdnet NEW - $150.00 0 Dec/05/14 Mar/07/22
Description: AMAT 0190-33293 KIT POSTION INDICATO , NEW
keykorea NEW - $700.00 0 Dec/04/14 Mar/27/16
Description: AMAT 0090-09169 E/A CATHODE MAINT. FRONT , NEW
keykorea NEW - $25.00 1 Dec/05/14 Dec/15/15
Description: AMAT 3300-07079 FTG PIPE CONN 1" MNTP SEAL-LOK BRS, NEW
grandbirdnet NEW - $20.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0150-11948 C/A CONV 24VDC FFU PID RS232 CONVERTER, NEW
grandbirdnet NEW - $100.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0242-44178 KIT, QUARTERLY PREVENTITIVE MAINTENANCE, 300MM DPN, NEW
grandbirdnet NEW - $350.00 0 Dec/05/14 Mar/03/22
Description: AMAT 0140-11685 H/A, AC SUPPLY, SHELF C VFD, 5.X FI, NEW
grandbirdnet NEW - $50.00 0 Dec/05/14 Jun/01/23
Description: NOVELLUS 03-448090-00 CBL ASSY , N2 CONS INTFC, JUMPER, NEW
grandbirdnet NEW - $50.00 0 Dec/05/14 Jun/01/23
Description: NOVELLUS 03-448088-00 CBL ASSY, FAC INTFC, JUMPER, SOLA, NEW
grandbirdnet NEW - $150.00 0 Dec/05/14 Jun/01/23
Description: NOVELLUS 03-108656-00 CABLE ASSY, C3, PMP, EMO, 25FT, NEW
powersell007 NEW - $225.00 0 Dec/05/14 May/04/15
Description: NEW,2011 CELERITY UNIT UFC-8165 2SLM AR MASS FLOW CONTROLLER MFC AMAT 3030-14768
grandbirdnet NEW - $150.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0150-00247 REV C , NEW
grandbirdnet NEW - $150.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0150-00243 REV C , NEW
grandbirdnet NEW - $150.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0150-00242 REV C , NEW
grandbirdnet NEW - $50.00 0 Dec/05/14 Mar/07/22
Description: AMAT 0150-02880 CABLE ASSY JUMPER FI SMOK , NEW
grandbirdnet NEW - $20.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0150-09364 CABLE ASSY FEEDER WIRE K4 , NEW
grandbirdnet NEW - $380.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0150-12231 CABLE ASSY INTERCONNECT R, NEW
grandbirdnet NEW - $100.00 1 Dec/05/14 Jan/14/23
Description: AMAT 0150-12204 REV 003, NEW
conquer_2011 NEW - $275.00 0 Dec/05/14 Jan/04/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
grandbirdnet NEW - $25.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0150-10410 ASSY, CABLE, JUMPER EMO P50 , NEW
grandbirdnet NEW - $80.00 0 Dec/05/14 Mar/07/22
Description: AMAT 0150-36998 CABLE ASSY, MTR DRIVER IN , NEW
keykorea NEW - $140.00 0 Dec/05/14 Aug/08/18
Description: AMAT 0150-35250 CABLE ASSY, CENTER FINDER , NEW
grandbirdnet NEW - $100.00 0 Dec/05/14 Mar/07/22
Description: AMAT 0150-22502 CLEAN ROOMOR BETTER, NEW
athomemarket NEW - $62.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $71.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $71.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $71.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $268.99 0 Dec/05/14 Jan/04/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $126.99 0 Dec/05/14 Jan/04/15
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $136.99 0 Dec/05/14 Jan/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $144.99 0 Dec/05/14 Jan/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $127.99 0 Dec/05/14 Jan/04/15
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $640.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $189.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $83.99 0 Dec/05/14 Jan/04/15
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $44.99 0 Dec/05/14 Jan/04/15
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $71.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
grandbirdnet NEW - $60.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0150-36945 UWAVE CAVITY INTRLK CABLE , NEW
athomemarket NEW - $179.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
grandbirdnet NEW - $150.00 0 Dec/05/14 Mar/07/22
Description: AMAT 0150-12307 CABLE ASSY , 100TORR MANOM, NEW
athomemarket NEW - $239.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
grandbirdnet NEW - $50.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0140-24127 HARNESS, Y, EMO SPLITTER FOR HX/CHILLERS , NEW
grandbirdnet NEW - $100.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0140-21260 HARN, PVD CHAMBER LID 300 , NEW
grandbirdnet NEW - $130.00 0 Dec/05/14 Jun/30/22
Description: AMAT 0090-04816 CABLE ASSY PC SELECT SWIT , NEW
grandbirdnet NEW - $20.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0090-35045 ASSY, TRANSIENT SUPPRESSO , NEW
grandbirdnet NEW - $100.00 0 Dec/05/14 Jun/01/23
Description: AMAT 0021-42815 SPACER, HANDLE,300M PRODUCER SE , NEW
keykorea NEW - $50.00 2 Dec/05/14 Jun/16/15
Description: AMAT 0090-20178 ELEC ASSY MAGNETIC SW LID , NEW
keykorea NEW - $300.00 1 Dec/05/14 Mar/06/15
Description: AMAT 0090-35001 ASSEMBLY VACUUM SWITCH 24 , NEW
ab-international NEW - $90.57 9 Dec/05/14 Jul/15/22
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
waste-not-recycling NEW - $299.99 0 Nov/10/14 Dec/10/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Dec/05/14 Dec/08/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $209.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $209.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $179.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $49.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $189.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $189.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
mattron747 NEW - $750.00 0 Dec/06/14 Jan/05/15
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
svcstore NEW - $149.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $199.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
trees_for_a_better_tomorrow NEW - $17.50 0 Dec/06/14 Dec/09/14
Description: New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
svcstore NEW - $149.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
easysell910 NEW - $599.99 0 Dec/07/14 Jan/06/15
Description: NEW HORIBA STEC SEC-Z714AGX DIGITAL MASS FLOW AMAT 0190-33207 As-Is/WARRANTY
athomemarket NEW - $71.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $101.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $65.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $237.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $303.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $168.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $273.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $72.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $71.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $405.99 0 Dec/07/14 Jan/06/15
Description: NEW Stec IV-2410AV-03 Injection Valve 1/4" AMAT 0190-36238
athomemarket NEW - $71.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $119.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $74.99 1 Dec/07/14 Jan/06/15
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $1,437.99 0 Dec/07/14 Jan/06/15
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/07/14 Dec/10/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/07/14 Dec/10/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $75.00 0 Dec/07/14 Dec/10/14
Description: Lg Qty New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/07/14 Dec/10/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/07/14 Dec/10/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/07/14 Dec/10/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $60.00 0 Dec/07/14 Dec/10/14
Description: New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
svcstore NEW - $149.99 0 Dec/07/14 Dec/10/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
dvkelectronics NEW - $425.00 0 Dec/07/14 Jan/06/15
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
keykorea NEW - $20.00 2 Dec/07/14 Feb/22/16
Description: AMAT 0720-03743 CONN DNET TRUNK TERMINATOR 5 POS CIRC 7 , NEW
keykorea NEW - $80.00 0 Dec/07/14 Jul/05/15
Description: AMAT 0720-05235 CONN TEE DNET DROP RSM-FKM-RKN , NEW
keykorea NEW - $80.00 1 Dec/08/14 Aug/22/18
Description: AMAT 0090-02868 ASSY, RESISTOR ELECTRICAL, NEW
grandbirdnet NEW - $50.00 0 Dec/08/14 Apr/01/22
Description: AMAT 3300-01236 FTG HOSE NIP QDISC 1/2BODY X 1/2FPT BRS EP-SEAL , NEW
keykorea NEW - $30.00 0 Dec/08/14 Dec/03/15
Description: AMAT 3300-08855 HEAT EXCHANGER/ NESLAB CABLE , NEW
athomemarket NEW - $142.99 0 Dec/08/14 Jan/07/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $69.99 0 Dec/08/14 Jan/07/15
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $158.99 0 Dec/08/14 Jan/07/15
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,279.99 0 Dec/08/14 Jan/07/15
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $219.99 0 Dec/08/14 Jan/07/15
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
nps NEW - $24.99 1 Dec/08/14 Dec/15/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
keykorea NEW - $50.00 0 Dec/08/14 Jul/06/15
Description: AMAT 3300-08855 HEAT EXCHANGER/ NESLAB CABLE , NEW
keykorea NEW - $750.00 0 Dec/08/14 Jul/06/15
Description: AMAT 0010-30380 CONNECTOR, HV MODULE, SHI , NEW
svcstore NEW - $139.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Dec/08/14 Dec/11/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $30.00 0 Dec/08/14 Jul/06/15
Description: AMAT 0600-00008 FAN FILTER ALUMINUM MILL 4.68X4.68X0.175 , NEW
grandbirdnet NEW - $150.00 0 Dec/08/14 Jul/21/22
Description: AMAT 0140-17834 HARNESS ASSY MKS THROTTLE, NEW
keykorea NEW - $600.00 1 Dec/08/14 Nov/14/17
Description: AMAT 0015-35019 SOCKET, BALL JOINT, CHAMBER VACUUM TEST , NEW
svcstore NEW - $209.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $149.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $209.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $179.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/08/14 Dec/11/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/08/14 Dec/11/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/08/14 Dec/11/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow Used - $150.00 0 Dec/08/14 Dec/11/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/08/14 Dec/11/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/08/14 Dec/11/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/08/14 Dec/11/14
Description: Lg Qty New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/08/14 Dec/11/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/08/14 Dec/11/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/08/14 Dec/11/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
svcstore NEW - $199.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/08/14 Dec/11/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/08/14 Dec/11/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
grandbirdnet NEW - $100.00 0 Dec/08/14 Nov/09/21
Description: AMAT 0242-31591 KIT, DI COOLER INTEGRATION FITTINGS AND HOSE, NEW
svcstore NEW - $199.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $189.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $199.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
gesemiconductor NEW - $85.00 1 Jul/31/12 Dec/12/14
Description: New Applied Materials 0021-03877 Flat Anodized Top Throttle Valve
svcstore NEW - $49.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
keykorea NEW - $50.00 0 Dec/08/14 Jul/12/20
Description: AMAT 3300-08884 FTG, ELBOW 1.00 FEMALE SWVL SEAL-LOK SST WITH EVL, NEW
svcstore NEW - $139.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $189.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
easysell910 NEW - $299.99 0 Dec/09/14 Jan/08/15
Description: NEW AMAT 0190-12492 MKS DIP CDN496-E-1 CPCI PCB 32- INPUT,16 OUTPUT/ WARRANTY
spiretechnicalsolutions NEW - $1,100.00 0 Dec/09/14 Jan/08/15
Description: 0040-48365 New AMAT OEM Top Coil
athomemarket NEW - $414.99 0 Dec/09/14 Dec/16/14
Description: NEW Applied Materials/AMAT 0020-31086 Susceptor T2 Base 150mm, 6mm SR-BWCVD
athomemarket NEW - $159.99 0 Dec/09/14 Dec/16/14
Description: NEW Applied Materials/AMAT 0020-22846 Cover Gas Trench, Preclean II
athomemarket NEW - $417.99 0 Dec/09/14 Jan/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $199.99 0 Dec/09/14 Jan/08/15
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $3,736.99 0 Dec/09/14 Jan/08/15
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $119.99 0 Dec/09/14 Dec/16/14
Description: (NEW) LAM Research 716-140236-002 R, Confinement, Mod, QTZ Degrease Ring
athomemarket NEW - $401.99 0 Dec/09/14 Jan/08/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
usedeqsales NEW - $406.09 2 Dec/09/14 Mar/19/18
Description: Lam Research 853-012176-008 150mm End Effector 715-130080-006 New
svcstore NEW - $149.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
esolutions1 NEW - $269.10 0 Dec/09/14 Dec/21/14
Description: LOT OF 3 AMAT APPLIED MATERIALS 0020-13959 CAP, HTHU 8" HEATER NEW
svcstore NEW - $149.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $199.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
grandbirdnet NEW - $190.00 0 Dec/09/14 Sep/28/22
Description: AMAT 0242-24504 KIT, CONTROLLER TEST TOOLS, DSM & 5.3 FI , NEW
keykorea NEW - $450.00 2 Dec/09/14 Sep/04/16
Description: AMAT 0200-09072 SHIELD, 200MM , NEW
keykorea NEW - $4,300.00 1 Dec/09/14 Feb/11/15
Description: AMAT 0040-32449 LID,PUMPING PLATE,TEAOS,GIGA-FILL SACVD , NEW
grandbirdnet NEW - $1,200.00 1 Dec/09/14 Nov/25/16
Description: AMAT 0020-75873 HOOP, 8 HTHU HEATER , NEW
trees_for_a_better_tomorrow NEW - $500.00 0 Dec/09/14 Dec/12/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $300.00 0 Dec/09/14 Dec/12/14
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
svcstore NEW - $149.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
keykorea NEW - $80.00 0 Dec/10/14 Jul/08/15
Description: AMAT 0150-11506 FGI/11/1G, NEW
keykorea NEW - $30.00 0 Dec/10/14 Jul/08/15
Description: AMAT 0150-25432 D LINK PORT 10, NEW
starburymytalk NEW - $29.99 0 Dec/10/14 Jan/09/15
Description: LAM Research 715-013639-009 NEW NIB
starburymytalk NEW - $79.99 0 Dec/10/14 Jan/09/15
Description: LAM Research 716-800330-063 Insulator Bottom Insular Ring NEW NIB
keykorea NEW - $80.00 0 Dec/10/14 Apr/24/18
Description: AMAT 0020-38074 INSULATOR, HV-FEED, NEW
grandbirdnet NEW - $850.00 1 Dec/10/14 Jul/05/22
Description: AMAT 0190-14995 SPECIFICATION GRIPPER. CRYO PUMP 300 MM, NEW
powersell007 Used - $199.00 0 Dec/10/14 Dec/10/23
Description: APPLIED MATERIALS 0040-80952 RETAINING RING COMPOSITE 8" TITAN HEAD AMAT *NEW*
grandbirdnet NEW - $20.00 0 Dec/10/14 Mar/07/22
Description: LAM 721-000964-001 WSHR, FLT, 1/4, NEW
grandbirdnet NEW - $20.00 0 Dec/10/14 Nov/29/22
Description: LAM 721-000956-002 WSHR, LK, #10, NEW
keykorea NEW - $20.00 1 Dec/10/14 Jun/05/20
Description: LAM 720-000920-004 SCR, SCH CAP,4-40, NEW
surplusssam NEW - $99.99 0 Dec/10/14 Dec/17/14
Description: NEW AMAT 0020-15335 APPLIED MATERIALS CLAMP WATER HOSE CHAMBER TOP ULTIMA+
athomemarket NEW - $259.99 0 Dec/10/14 Jan/09/15
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
svcstore NEW - $149.99 0 Dec/10/14 Dec/13/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
keykorea NEW - $1,500.00 0 Dec/10/14 Jul/08/15
Description: Lam Research 715-022497-008 COV,LWR,ELCTRD HSNG, 2300 BSR, NEW
trees_for_a_better_tomorrow NEW - $60.00 0 Dec/10/14 Dec/13/14
Description: New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/10/14 Dec/13/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/10/14 Dec/13/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/10/14 Dec/13/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
trees_for_a_better_tomorrow NEW - $75.00 0 Dec/10/14 Dec/13/14
Description: Lg Qty New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/10/14 Dec/13/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/10/14 Dec/13/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
waste-not-recycling NEW - $299.99 0 Dec/11/14 Jan/10/15
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
keykorea NEW - $50.00 0 Dec/11/14 Jul/09/15
Description: Lam Research 920-060793-009 NUT TUBE FITTINGS, NEW
athomemarket NEW - $100.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $416.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $348.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $308.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $83.99 0 Dec/11/14 Jan/10/15
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
athomemarket NEW - $321.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $108.99 0 Dec/11/14 Jan/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $348.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $217.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,258.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $257.99 0 Dec/11/14 Jan/10/15
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
conquer_2011 NEW - $950.00 0 Dec/11/14 Jan/10/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
usedeqsales NEW - $17,012.10 0 Dec/11/14 Apr/30/15
Description: ATH 1600 M Alcatel Adixen P25621B8 Turbomolecular Pump AMAT 3620-00272 New
athomemarket NEW - $6,258.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $262.99 0 Dec/11/14 Jan/10/15
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $2,245.99 0 Dec/11/14 Jan/10/15
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
usedeqsales NEW - $512.14 0 Dec/12/14 Dec/16/14
Description: VAT 233837 Pneumatic Actuator AMAT 0190-03234 New
svcstore NEW - $139.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Dec/11/14 Dec/14/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $209.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $149.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/11/14 Dec/14/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/11/14 Dec/14/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/11/14 Dec/14/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/11/14 Dec/14/14
Description: Lg Qty New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/11/14 Dec/14/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow Used - $150.00 0 Dec/11/14 Dec/14/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/11/14 Dec/14/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/11/14 Dec/14/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/11/14 Dec/14/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/11/14 Dec/14/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
svcstore NEW - $199.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/11/14 Dec/14/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
supertechshop NEW - $450.00 0 Dec/11/14 Jan/10/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
supertechshop NEW - $74.99 0 Dec/11/14 Jan/10/15
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/11/14 Dec/14/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
svcstore NEW - $189.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $199.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $209.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
autoequip81 NEW - $400.00 1 Dec/11/14 Sep/06/15
Description: NEW APPLIED MATERIAL AQUISITION MODULE 0190-38992
supertechshop NEW - $74.99 0 Dec/11/14 Jan/10/15
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
svcstore NEW - $49.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
athomemarket NEW - $321.99 0 Dec/12/14 Jan/11/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $117.99 0 Dec/12/14 Jan/11/15
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
svcstore NEW - $149.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $199.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $139.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $149.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
trees_for_a_better_tomorrow NEW - $500.00 0 Dec/12/14 Dec/19/14
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $300.00 0 Dec/12/14 Dec/19/14
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
usedeqsales NEW - $309.11 1 Sep/17/14 Dec/11/14
Description: AMAT Applied Materials 0190-10292 8 Inch Upper Shield Bearing Lot of 3 New
benta09 NEW - $135.00 1 Nov/17/14 Dec/10/14
Description: NEW Applied Materials AMAT 0040-20048 //FEEDTHRU LAMP
testeqe NEW - $14.99 1 Jul/23/14 Dec/10/14
Description: NEW AMAT/Applied Materials PN: 3300-01895 Pipe Fitting/Adapter 1/8 NPT
csi.usa NEW - $5,000.00 1 Apr/25/12 Dec/09/14
Description: APPLIED MATERIALS 0010-23715 PMAX EMISSOMETER RTP PROBE ASSY ONE NEW AN ONE USE
nps NEW - $24.99 1 Dec/01/14 Dec/08/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
keykorea NEW - $1,600.00 4 Oct/07/14 Dec/05/14
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW
interstateblue NEW - $89.50 1 Dec/30/09 Dec/04/14
Description: APPLIED MATERIALS CONTACTOR INTERLOCK 0100-37868 NEW
usedeqsales NEW - $855.11 1 May/11/11 Dec/04/14
Description: AMAT Valve Manifold Assy. 16 Station 4060-01172 new
alvin1462 NEW - $722.00 12 Nov/22/12 Nov/26/14
Description: APPLIED MATERIALS 1140-00620 power supply module HF10-937 NEW
usedeqsales NEW - $312.11 1 Dec/12/11 Nov/25/14
Description: Applied Materials AMAT 0200-09217 Quartz Ring 200MM New
usedeqsales NEW - $556.12 2 Jun/22/12 Nov/25/14
Description: AMAT Applied Materials 0242-34852 XP Robot Upgrade Harness Kit New
supertechshop NEW - $199.00 3 Nov/13/14 Nov/24/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
nps NEW - $27.11 1 Nov/17/14 Nov/24/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales NEW - $501.12 1 Jan/30/12 Nov/21/14
Description: Applied Materials AMAT 0200-09222 External Quartz Ring 8" New
used1eqsales NEW - $2,007.14 1 Jul/21/14 Nov/21/14
Description: AMAT 0020-48303 Shield Lower Cleancoat 300mm Rev 003 new surplus
usedeqsales NEW - $25,011.10 1 Nov/03/14 Nov/20/14
Description: AMAT Applied Materials 3NS410B-A014 Robot Set 0190-12314 New
svcstore NEW - $149.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
carlissa84 NEW - $2,200.00 1 Nov/17/14 Nov/18/14
Description: New MIRRA AMAT 0010-77217 / 0010-01166 SWEEP HEAD W/UNOCAL
nps NEW - $24.99 1 Nov/10/14 Nov/17/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
spiretechnicalsolutions NEW - $365.00 2 Nov/12/14 Nov/16/14
Description: 0200-18074 Applicator Quartz Sheath. New AMAT OEM
athomemarket NEW - $318.99 1 Oct/13/14 Nov/12/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
alvin1462 NEW - $488.00 1 Sep/01/09 Nov/04/14
Description: APPLIED MATERIALS 0010-20722 ASSY FL METER NESLAB NEW
athomemarket NEW - $99.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $451.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
athomemarket NEW - $383.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $49.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $110.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $94.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
cappy95 NEW - $74.99 0 Dec/13/14 Jan/12/15
Description: NEW AMAT 3870-01603 FUJIKIN PNEUMATIC DIAPHRAGM VALVE 1/4 VCR
athomemarket NEW - $83.99 0 Dec/13/14 Jan/12/15
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $103.99 0 Dec/13/14 Jan/12/15
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $704.99 0 Dec/13/14 Jan/12/15
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $109.99 0 Dec/13/14 Jan/12/15
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $49.99 0 Dec/13/14 Jan/12/15
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $44.99 0 Dec/13/14 Jan/12/15
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $921.99 0 Dec/13/14 Jan/12/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $56.99 0 Dec/13/14 Jan/12/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
trees_for_a_better_tomorrow NEW - $250.00 0 Dec/13/14 Dec/20/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
svcstore NEW - $139.99 0 Dec/13/14 Dec/16/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $149.99 0 Dec/13/14 Dec/16/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/13/14 Dec/20/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $75.00 0 Dec/13/14 Dec/20/14
Description: Lg Qty New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/13/14 Dec/20/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/13/14 Dec/20/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/13/14 Dec/20/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
trees_for_a_better_tomorrow NEW - $60.00 0 Dec/13/14 Dec/20/14
Description: New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
svcstore NEW - $179.99 0 Dec/13/14 Dec/16/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/13/14 Dec/16/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/13/14 Dec/20/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $50.00 0 Dec/14/14 Dec/21/14
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
conquer_2011 NEW - $995.00 0 Dec/14/14 Jan/13/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
conquer_2011 Used - $6,500.00 0 Dec/14/14 Jan/13/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
conquer_2011 NEW - $59.95 0 Dec/14/14 Jan/13/15
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
svcstore NEW - $139.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Dec/14/14 Dec/17/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $209.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $149.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $189.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/14/14 Dec/21/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/14/14 Dec/21/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/14/14 Dec/21/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
svcstore NEW - $199.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
trees_for_a_better_tomorrow Used - $150.00 0 Dec/14/14 Dec/21/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/14/14 Dec/21/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/14/14 Dec/21/14
Description: Lg Qty New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/14/14 Dec/21/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/14/14 Dec/21/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
svcstore NEW - $209.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/14/14 Dec/21/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/14/14 Dec/21/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/14/14 Dec/21/14
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/14/14 Dec/21/14
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
svcstore NEW - $49.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
powersell007 NEW - $1,999.00 0 Dec/15/14 Dec/22/14
Description: APPLIED MATERIALS 3380-01056 VESSL COMMON HEAT EXCHANGER PURGE/ FILL AMAT *NEW*
nps NEW - $49.99 0 Dec/15/14 Dec/22/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
kingprecision NEW - $11,500.00 0 Dec/15/14 Feb/03/16
Description: 0010-03244 NEW 200MM HP TXZ Heater Assy NEW with WARRANTY AND CERTS
svcstore NEW - $199.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $149.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $139.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $149.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
jhopkinssnnf NEW - $1,450.00 0 Dec/15/14 Feb/17/15
Description: AMAT 0190-16927 DEVICENET SCANNER INTERFACE CARD BRAND NEW
svcstore NEW - $149.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
cappy95 NEW - $299.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials 0010-40155 Wafer Detector Sensor AMAT Rev. C
usedeqsales NEW - $512.14 0 Dec/16/14 Jan/15/15
Description: Ircon MR-T399-99C Infrared Thermometer Two-Wire Transmitter AMAT 1400-01139 New
athomemarket NEW - $504.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,827.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $122.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $131.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $90.99 0 Dec/16/14 Jan/15/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $921.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $1,726.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $199.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $303.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $85.99 0 Dec/16/14 Jan/15/15
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $86.99 0 Dec/16/14 Jan/15/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $56.99 0 Dec/16/14 Jan/15/15
Description: NEW CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless Cv=0.30
athomemarket NEW - $334.99 0 Dec/16/14 Jan/15/15
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $54.99 0 Dec/16/14 Jan/15/15
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $219.99 0 Dec/16/14 Jan/15/15
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $1,024.99 0 Dec/16/14 Jan/15/15
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $54.99 0 Dec/16/14 Jan/15/15
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $31.99 0 Dec/16/14 Jan/15/15
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
keykorea NEW - $13,000.00 0 Jun/22/14 Sep/16/19
Description: AMAT 0190-24854 MKS REV3.0 G4 PLUS 4-PORT UPA 300MM REFL , NEW
svcstore NEW - $139.99 0 Dec/16/14 Dec/19/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
benta09 NEW - $999.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 3870-03490 //VALVE BLOCK DIAPH 3WAY 1/4VCR-558824
svcstore NEW - $149.99 0 Dec/16/14 Dec/19/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $179.99 0 Dec/16/14 Dec/19/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/16/14 Dec/19/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
supertechshop Used - $149.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $249.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $499.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $399.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 3030-05748 Stec LF-310A-EVD Mass Flow Controller Liquid TEPO 0.2 g/Min
supertechshop NEW - $24.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $39.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0190-35451 Halogen Photo Optic Lamp 82V 410W Osram 4100/JKT /2-Pin Bulb
supertechshop NEW - $899.95 0 Dec/17/14 Jan/16/15
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $149.95 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $149.95 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $499.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $495.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0190-17462 DC Power Supply Yamatake PGU502V04000 Soft Start / Warranty
supertechshop NEW - $199.95 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
supertechshop NEW - $249.00 0 Dec/17/14 Jan/16/15
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
supertechshop NEW - $249.00 0 Dec/17/14 Jan/16/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
jhopkinssnnf NEW - $1,670.00 0 Dec/16/14 Feb/17/15
Description: AMAT 0021-61233 Blocker DD2496 SACVD 300MM Producer Brand NEW
benta09 NEW - $450.00 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 4000-01006 //CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SN
ace449parts2010 Used - $750.00 0 Dec/17/14 Oct/20/16
Description: 3 APPLIED MATERIALS 0040-09944 BELLOWS, GASLINE, NEW
benta09 NEW - $29.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0040-09233 //BRACKET MOTOR LOAD ASSY
benta09 NEW - $34.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0040-00248 //Port Screen
benta09 NEW - $39.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0050-39339 //UPPER EXHAUST WXP
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $199.00 0 Dec/17/14 Jan/16/15
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $99.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
benta09 NEW - $115.00 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0200-20164 //INSULATORS, LAMP COVER, RIGHT
supertechshop NEW - $399.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $99.00 0 Dec/17/14 Jan/06/15
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
benta09 NEW - $249.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
supertechshop NEW - $49.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $495.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $499.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $975.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $499.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $295.00 0 Dec/17/14 Jan/16/15
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
testeqe NEW - $74.99 0 Nov/22/13 Jun/09/16
Description: NEW Oseco FSI PN: 304341-001 1.5" HP PCTFE/RYTON/PCTFE Burst Rupture Disk 113psi
benta09 NEW - $99.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
usedeqsales NEW - $412.14 2 Dec/17/14 Mar/09/15
Description: Inficon 0190-23498 TS Heated Inline Valve VAP040-Z AMAT Applied Materials New
benta09 NEW - $999.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0022-77519 //FIXTURE, PAD CENTERING
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop NEW - $99.00 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials Outer Clamp 0020-79085 AMAT Sealed in Box
supertechshop NEW - $1,495.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Applied Materials 150mm Chuck EP ESC Assy Flat 1S 0041-34851 / Warranty
powersell007 NEW - $199.00 2 Dec/17/14 Jan/06/17
Description: APPLIED MATERIALS 0021-20495 HEAT SHIELD,RIGHT HALF,HTHU REV A AMAT *NEW IN BOX*
benta09 NEW - $64.99 1 Dec/17/14 Jan/07/15
Description: NEW Applied Materials AMAT 0150-76156 //CABLE ASSY, INSERTION FLOW SENSOR MAINFR
supertechshop NEW - $995.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $199.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 300mm Pedestal PC11 Titanium RF Chamber Shield 0020-19258 / Sealed
supertechshop NEW - $750.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $750.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $750.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
athomemarket NEW - $359.99 0 Dec/17/14 Dec/24/14
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $303.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $416.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials/AMAT 0020-31086 Susceptor T2 Base 150mm, 6mm SR-BWCVD
athomemarket NEW - $111.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials/AMAT 0200-09453 Rev. B Ceramic Collar, T2 Welded Susceptor
athomemarket NEW - $260.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $303.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $1,097.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $116.99 0 Dec/17/14 Jan/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,097.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $239.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $317.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $138.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $97.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $1,338.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $311.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $96.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $755.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $162.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials/AMAT 0020-22846 Cover Gas Trench, Preclean II
athomemarket NEW - $128.99 0 Dec/17/14 Jan/16/15
Description: (NEW) LAM Research 716-140236-002 R, Confinement, Mod, QTZ Degrease Ring
benta09 NEW - $84.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0020-78238 //RETAINER
alvin1462 NEW - $1,888.00 1 Dec/17/14 Dec/18/14
Description: 3 APPLIED MATERIALS 0040-09944 BELLOWS, GASLINE, NEW
svcstore NEW - $189.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $139.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Dec/17/14 Dec/20/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $209.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $149.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $189.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $199.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $209.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $149.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
used1eqsales NEW - $902.76 1 Jun/25/14 Dec/18/14
Description: AMAT 0020-23549 Rev 007 Shield Upper AL ARC-SPRAY SST 300mm SIP TTN new surplus
benta09 NEW - $59.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0021-77484 //MOTOR MOUNT
semiexpert NEW - $650.00 0 Dec/18/14 Jan/17/15
Description: AMAT 0010-08114 ASSY, GRIPPER, UPGRADE, 200 MM MESA, NEW
surplusssam NEW - $99.99 0 Dec/18/14 Dec/25/14
Description: NEW AMAT 0020-15335 APPLIED MATERIALS CLAMP WATER HOSE CHAMBER TOP ULTIMA+
benta09 NEW - $59.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
benta09 NEW - $149.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0021-04317 //FLAP BOTTOM MAIN THROTTLE VALVE
athomemarket NEW - $181.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
athomemarket NEW - $103.99 0 Dec/18/14 Jan/17/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $73.99 0 Dec/18/14 Jan/17/15
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,353.99 0 Dec/18/14 Jan/17/15
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $314.99 0 Dec/18/14 Dec/25/14
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
aussi* NEW - $49.99 1 Oct/16/14 Dec/18/14
Description: (25) NEW OHMITE B20J10K POWER WIREWOUND RESISTORS 20 WATT 10000 OHMS
otool-jo NEW - $40.00 1 Dec/10/14 Dec/18/14
Description: ASCO 8210G1 VALVE, SOL 3/8 PIPE NEW
aaaportal NEW - $169.99 2 Dec/16/14 Dec/18/14
Description: New Semitool 16753A Motor Interface PCB Board
luc144 NEW - $115.00 1 Dec/09/14 Dec/18/14
Description: Nilfisk GM-80 HEPA Exhaust Filter Assembly, NEW
svcstore NEW - $49.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
testeqe NEW - $3,749.99 0 Dec/18/14 Jan/17/15
Description: NEW Comdel CDX-1000 13.56MHz/2MHz Dual Fre RF Generator AMAT PN: 0190-07242
svcstore NEW - $149.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $199.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $149.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
keykorea NEW - $1,800.00 0 Dec/18/14 Dec/23/14
Description: AMAT 1040-01180 060-E692-01 METER INSTR MODEL NK W/LOAD CELL MODEL 1, NEW
athomemarket NEW - $400.99 0 Dec/19/14 Jan/18/15
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $46.99 0 Dec/19/14 Jan/18/15
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $8,583.99 0 Dec/19/14 Jan/18/15
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $123.99 0 Dec/19/14 Jan/18/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $310.99 0 Dec/19/14 Jan/18/15
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
athomemarket NEW - $286.99 0 Dec/19/14 Jan/18/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
conquer_2011 NEW - $2,239.20 0 Dec/19/14 Jan/18/15
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
svcstore NEW - $139.99 0 Dec/19/14 Dec/22/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $179.99 0 Dec/19/14 Dec/22/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/19/14 Dec/22/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
athomemarket NEW - $208.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $117.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $73.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $208.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
used1eqsales NEW - $902.76 1 Jun/25/14 Dec/19/14
Description: AMAT 0020-02348 Rev 009 Clamp Lower Shield 300mm SIP new surplus
svcstore NEW - $189.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
used1eqsales NEW - $900.00 3 Nov/13/12 Dec/19/14
Description: AMAT Applied Materials 0021-11110 Ring Edge TXZ 300M New
used1eqsales NEW - $677.30 2 May/16/12 Dec/19/14
Description: AMAT Applied Materials 0200-07492 Ring Rev. 02 New Surplus
used1eqsales NEW - $902.76 1 Jun/24/14 Dec/19/14
Description: AMAT 0021-17770 Rev 008 Cover Ring 300mm SST AMAT Endura 300mm new surplus
used1eqsales NEW - $902.76 1 Jun/25/14 Dec/19/14
Description: AMAT 0020-08299 Rev 06 Clamp Inner Shield 300mm SIP new surplus
used1eqsales NEW - $1,352.76 1 Jun/25/14 Dec/17/14
Description: AMAT 0020-02344 Rev 005 Shield Lower 300mm SIP AMAT Endura 300mm new surplus
svcstore NEW - $139.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Dec/20/14 Dec/23/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $199.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $209.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $149.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $209.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $189.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
yayais2012 NEW - $220.00 0 Dec/21/14 Jan/20/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
yayais2012 NEW - $220.00 0 Dec/21/14 Jan/20/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
svcstore NEW - $49.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $149.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $199.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $139.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $149.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $289.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
testeqe NEW - $749.99 0 Dec/22/14 Jan/21/15
Description: NEW AMAT Applied Materials 0190-10257 300mm I-Cu Anode Gen 3D1 IECP Mykrolis
athomemarket NEW - $56.99 0 Dec/22/14 Jan/21/15
Description: NEW Wasco SP129-51W2B-X/7466 Pressure Switch AMAT 0090-09163 Vacuum SP120
athomemarket NEW - $192.99 0 Dec/22/14 Jan/21/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $180.99 0 Dec/22/14 Jan/21/15
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
nps NEW - $24.99 1 Dec/22/14 Dec/29/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore NEW - $139.99 0 Dec/22/14 Dec/25/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $139.99 0 Dec/22/14 Dec/25/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
grandbirdnet Used - $750.00 0 Dec/23/14 May/12/21
Description: AMAT 0100-37868 PCB ASSY, CONTACTOR INTERLOCK, PRODUCER , NEW
usedeqsales NEW - $612.14 0 Dec/23/14 May/01/15
Description: SMC LFU20-0250-DAN00243 PID Flow Sensor Assembly Kit AMAT 0620-05264 New
athomemarket NEW - $1,218.99 0 Dec/23/14 Jan/22/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $54.99 0 Dec/23/14 Jan/22/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $189.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Dec/23/14 Dec/26/14
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $209.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $149.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $209.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $189.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket NEW - $148.99 0 Dec/24/14 Jan/23/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $229.99 0 Dec/24/14 Jan/23/15
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $942.99 0 Dec/24/14 Jan/23/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $149.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $139.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $149.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $49.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $199.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $149.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
athomemarket NEW - $99.99 1 Dec/18/14 Dec/25/14
Description: (Lot of 2) NEW Pall GLFF4000VM4 Stainless In-Line Filter Assembly 1/4" Male VCR
athomemarket NEW - $5,956.99 0 Dec/25/14 Jan/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,956.99 0 Dec/25/14 Jan/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $512.99 0 Dec/25/14 Jan/24/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,673.99 0 Dec/25/14 Jan/24/15
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
svcstore NEW - $139.99 0 Dec/25/14 Dec/28/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $139.99 0 Dec/25/14 Dec/28/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
usedeqsales NEW - $1,012.13 1 Dec/26/14 Dec/10/15
Description: Lam Research 810-017098-100 Servo Amplifier PCB Copley Controls 422CE New
athomemarket NEW - $4,241.99 0 Dec/26/14 Jan/25/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $65.99 0 Dec/26/14 Jan/25/15
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $65.99 0 Dec/26/14 Jan/25/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $5,855.99 0 Dec/26/14 Jan/25/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $101.99 0 Dec/26/14 Jan/25/15
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
testeqe NEW - $149.99 0 Dec/27/14 Jul/14/17
Description: NEW AMAT/Applied Materials 0240-23257 PVD Source Cover Harness Retrofit Kit
capitolareatech NEW - $12.75 0 Dec/27/14 Dec/01/15
Description: LAM RESEARCH (LAM) 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS.
athomemarket NEW - $218.99 0 Dec/27/14 Jan/26/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket NEW - $197.99 0 Dec/27/14 Jan/26/15
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
athomemarket NEW - $478.99 0 Dec/27/14 Jan/26/15
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
svcstore NEW - $183.99 0 Dec/27/14 Dec/30/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Dec/27/14 Dec/30/14
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Dec/27/14 Dec/30/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Dec/27/14 Dec/30/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
springfieldsurplus2014 NEW - $18.60 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
athomemarket NEW - $99.99 0 Dec/28/14 Jan/27/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $82.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $79.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $109.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $389.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $301.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $8,516.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $308.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $372.99 0 Dec/28/14 Jan/27/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $298.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $240.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $505.99 0 Dec/28/14 Jan/27/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $199.99 0 Dec/28/14 Jan/27/15
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
svcstore NEW - $139.99 0 Dec/28/14 Dec/31/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
grandbirdnet NEW - $2,400.00 1 Dec/28/14 Feb/21/19
Description: AMAT 0200-76058 PEDESTAL, QUARTZ, LARGER PIN DIAMETER, 300MM PRECLEAN , NEW
rtsemisurplus NEW - $144.99 1 Dec/22/14 Dec/28/14
Description: #RT-0062 NEW SemiTool BRD ASSY Quad Serial PCB 16744-503 16744D Rev M Board PCB
svcstore NEW - $139.99 0 Dec/28/14 Dec/31/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
grandbirdnet NEW - $4,950.00 0 Dec/28/14 Nov/13/21
Description: AMAT 3380-01056 VESSL COMMON HEAT EXCHANGER PURGE/FILL , NEW
bjnaf4 NEW - $875.60 0 Dec/29/14 Jan/28/15
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
imca00 NEW - $550.00 0 Dec/29/14 May/28/15
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
imca00 NEW - $7,450.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $99.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $249.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $249.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $1,749.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $349.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 NEW - $449.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $749.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
athomemarket NEW - $464.99 0 Dec/29/14 Jan/28/15
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
testeqe NEW - $499.99 0 Dec/29/14 Jan/28/15
Description: 4: NEW AMAT Applied Materials 4020-00008 Disposable Filter .2µm Pore Kit
testeqe NEW - $34.99 0 Dec/29/14 Jan/28/15
Description: 4: NEW AMAT Applied Materials 3700-01378 Viton ID 14.975 O-Ring Oring Kit
testeqe NEW - $34.99 0 Dec/29/14 Jan/28/15
Description: 4: NEW AMAT Applied Materials 3700-01416 Viton ID 13.975 O-Ring Oring Kit
testeqe NEW - $24.99 0 Dec/29/14 Jan/28/15
Description: 4: NEW AMAT Applied Materials 3700-02986 .61ID .10CSD O-Ring Oring Kit
athomemarket NEW - $362.99 0 Dec/29/14 Jan/28/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $316.99 0 Dec/29/14 Jan/28/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $76.99 0 Dec/29/14 Jan/28/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
svcstore NEW - $137.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Dec/29/14 Jan/01/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $183.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $193.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $45.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
nps NEW - $29.88 1 Dec/30/14 Jan/06/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
surplusssam NEW - $99.99 0 Dec/30/14 Jan/06/15
Description: NEW AMAT 0020-15335 APPLIED MATERIALS CLAMP WATER HOSE CHAMBER TOP ULTIMA+
farmoninc NEW - $45.00 0 Dec/30/14 Mar/02/23
Description: 2 NEW AMAT 3060-01622 BEARING DUPLEX, CHROSTO LUBE MCG-112
athomemarket NEW - $417.99 0 Dec/30/14 Jan/29/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $2,034.99 0 Dec/30/14 Jan/29/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $443.99 0 Dec/30/14 Jan/29/15
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,673.99 0 Dec/30/14 Jan/29/15
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $13,180.00 0 Dec/30/14 Jan/29/15
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $146.99 0 Dec/30/14 Jan/29/15
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
jlx580 NEW - $248.00 1 Dec/30/14 Feb/27/15
Description: New Applied Materials 0021-03877 Flat Anodized Top Throttle Valve
svcstore NEW - $289.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
conquer_2011 NEW - $15.00 0 Dec/30/14 Jan/29/15
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
svcstore NEW - $137.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
athomemarket NEW - $1,214.99 0 Dec/31/14 Jan/30/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $116.99 0 Dec/31/14 Jan/30/15
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,219.99 0 Dec/31/14 Jan/30/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $94.99 0 Dec/31/14 Jan/30/15
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
athomemarket NEW - $140.99 0 Dec/31/14 Jan/30/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
bornalliancecom NEW - $4,500.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-02419 Clamped Lid, Assy. Emax Kalrez (NEW) AMAT
bornalliancecom NEW - $7,500.00 1 Dec/31/14 Feb/17/15
Description: Applied Materials 0010-39852 Cathode, Assy. Super EMXP+ Oxide (NEW) AMAT
bornalliancecom NEW - $7,500.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-09806 Gas Box, Assy. WSI 150 MM (New) AMAT
bornalliancecom NEW - $1,695.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-23354 Insulator, Quartz PVD (NEW) AMAT
bornalliancecom NEW - $3,095.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-02991 Susceptor, 150 MM WCVD (New) AMAT
bornalliancecom NEW - $1,750.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-22476 Susceptor, 125 MM MAC (NEW) AMAT PVD
bornalliancecom NEW - $1,050.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-76409 Slit Door, Assy. RTP (NEW) AMAT
bornalliancecom NEW - $400.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-23065 Pedestal, 150 MM TIN 101% (NEW) AMAT PVD
bornalliancecom NEW - $475.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-10045 Pump Stack Htr. AC Box, Assy. (NEW) AMAT Etch
bornalliancecom NEW - $900.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-20986 Shield, Pedestal (NEW) AMAT PVD
bornalliancecom NEW - $1,750.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-60013 Susceptor, 125 MM BWCVD (NEW) CVD
bornalliancecom NEW - $5,000.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-10636 P-Chuck, Assy. 200 MM (NEW) CVD AMAT
bornalliancecom NEW - $7,500.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-36388 Lamp Module, Assy. DTCU DPS Poly (NEW) AMAT
bornalliancecom NEW - $950.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-35560 Hose, Assy. AMAT-1 Heat Exchanger (NEW) AMAT
bornalliancecom NEW - $320.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-09418 NESLAB Heat Exchanger Hose, Assy. 50FT (New)
bornalliancecom NEW - $1,500.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-20441 RF Match, Endura 5200 PVD 6" (NEW)
bornalliancecom NEW - $1,295.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-00730 Geneva Wheel (NEW) Precision 8300 Etch
bornalliancecom NEW - $1,150.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-25071 Clamp Ring, 200 MM Chamber E Degas (NEW) AMAT PVD
bornalliancecom NEW - $1,950.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-20586 Insert, Preclean Mod. (NEW) PVD AMAT
bornalliancecom NEW - $995.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-01322 Slit Door, Assy. SHO (NEW) AMAT Centura
bornalliancecom NEW - $1,750.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-60019 Susceptor, Shadow Ring 100 MM (NEW) AMAT CVD
bornalliancecom NEW - $1,700.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-30059 Pedestal, 200 MM, Flat, ESC (NEW) AMAT Etch
bornalliancecom NEW - $1,675.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-09732 Pedestal, Assy. 200 MM (NEW) AMAT Etch
bornalliancecom NEW - $695.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-24386 Cover Ring , 150 MM (NEW) AMAT PVD
bornalliancecom NEW - $895.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-09092 Ozonator, Assy. CVD (New) AMAT
bornalliancecom NEW - $1,000.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-00724 Heat Exchanger, Assy. ITC (NEW) AMAT
bornalliancecom NEW - $1,100.00 1 Dec/31/14 May/21/15
Description: AFX Ozone Analyzer Model HAMAT-3 Applied Materials part # 0190-09367 (NEW)
bornalliancecom NEW - $995.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-26578 Clamp, 4 Point (NEW) AMAT PVD
bornalliancecom NEW - $1,400.00 0 Dec/31/14 Jan/06/15
Description: Applied Materials 0020-04189 Adaptor, Top Mark II Etch Cheamber (NEW) AMAT
bornalliancecom NEW - $4,500.00 0 Dec/31/14 Jul/29/15
Description: AMAT PVD 0010-20287 & 0010-20288 Handler, Assy. LT & RT (NEW; 1 pair)
bornalliancecom NEW - $295.00 0 Dec/31/14 Jul/29/15
Description: AMAT 3700-01893 O-Ring, Chemraz Greene Tweed GT P/N 9280-SC520 (NEW)
svcstore NEW - $139.99 0 Dec/31/14 Jan/03/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket NEW - $234.99 0 Jan/01/15 Jan/31/15
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $963.99 0 Jan/01/15 Jan/31/15
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $94.99 0 Jan/01/15 Jan/31/15
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $147.99 0 Jan/01/15 Jan/31/15
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $39.99 0 Jan/01/15 Jan/31/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $192.99 0 Jan/01/15 Jan/31/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $70.99 0 Jan/01/15 Jan/31/15
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $703.99 0 Jan/01/15 Jan/31/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
svcstore NEW - $139.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $137.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore Used - $128.99 0 Jan/01/15 Jan/05/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
yayais2012 NEW - $75.00 0 Jan/01/15 Jan/31/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
svcstore NEW - $183.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
eisale1535 NEW - $299.00 0 Jan/01/15 Jan/20/17
Description: PRO3600 Digital Protractor - MODIFIED DIGITAL LEVEL AMAT 0015-00979 NEW
trees_for_a_better_tomorrow NEW - $20.00 0 Jan/01/15 Jan/08/15
Description: New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
svcstore NEW - $193.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Jan/01/15 Jan/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
trees_for_a_better_tomorrow NEW - $200.00 0 Jan/02/15 Jan/09/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/02/15 Jan/09/15
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/02/15 Jan/09/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $100.00 0 Jan/02/15 Jan/09/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow NEW - $100.00 0 Jan/02/15 Jan/09/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/15 Jan/09/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow Used - $95.00 0 Jan/02/15 Jan/09/15
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/15 Jan/09/15
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/15 Jan/09/15
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/01/15 Jan/08/15
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/01/15 Jan/08/15
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $70.00 0 Jan/01/15 Jan/08/15
Description: Lg Qty New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $55.00 0 Jan/01/15 Jan/08/15
Description: New Amat Applied Materials 0140-06782 Harness Assy
trees_for_a_better_tomorrow NEW - $55.00 0 Jan/01/15 Jan/08/15
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $55.00 0 Jan/01/15 Jan/08/15
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
svcstore NEW - $183.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
trees_for_a_better_tomorrow NEW - $45.00 0 Jan/01/15 Jan/08/15
Description: New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $100.00 0 Jan/02/15 Jan/09/15
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
trees_for_a_better_tomorrow NEW - $200.00 0 Jan/02/15 Jan/09/15
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
usedeqsales NEW - $412.14 0 Jan/02/15 Feb/01/15
Description: Nor-Cal ISO-200-CR-AV ISO-200 Centering Ring AMAT 3700-01743 Lot of 8 New
usedeqsales NEW - $4,212.14 0 Jan/02/15 Apr/30/15
Description: Ebara 804W-A Turbo-Molecular Pump Controller AMAT 3620-01407 ET650WS ET800WS New
usedeqsales NEW - $912.14 2 Jan/02/15 Jan/12/15
Description: Eurotherm 1283324 Multi-Loop PID Process Controller Mini8 AMAT 0190-42971 New
athomemarket NEW - $8.99 0 Jan/02/15 Feb/01/15
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
usedeqsales NEW - $312.14 0 Jan/02/15 May/01/15
Description: Contemporary Controls EIS8-100T 8-Port Switching Hub AMAT 1110-00027 New
svcstore NEW - $137.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $289.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
partminer2012 NEW - $150.00 0 Jan/03/15 May/26/17
Description: LAM RESEARCH 839-042575-002 - NEW
partminer2012 NEW - $120.00 0 Jan/03/15 May/26/17
Description: Novellus 10-055444-00 ASSY, MANF A/B CHMBR, 300MM PEC - NEW
trees_for_a_better_tomorrow NEW - $595.00 0 Jan/03/15 Jan/10/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
athomemarket NEW - $255.99 0 Jan/03/15 Feb/02/15
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $215.99 0 Jan/03/15 Feb/02/15
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $217.99 0 Jan/03/15 Feb/02/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $34.99 0 Jan/03/15 Feb/02/15
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Jan/03/15 Feb/02/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore NEW - $139.99 0 Jan/03/15 Jan/06/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
supertechshop NEW - $24.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $49.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $475.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
supertechshop NEW - $99.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $975.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $299.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $199.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $495.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $199.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
supertechshop NEW - $99.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $299.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $199.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
supertechshop NEW - $299.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
supertechshop NEW - $249.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
conquer_2011 NEW - $275.00 0 Jan/04/15 Feb/03/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
athomemarket NEW - $144.99 0 Jan/04/15 Feb/03/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $126.99 0 Jan/04/15 Feb/03/15
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $136.99 0 Jan/04/15 Feb/03/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $189.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $83.99 0 Jan/04/15 Feb/03/15
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $44.99 0 Jan/04/15 Feb/03/15
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $179.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $640.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $127.99 0 Jan/04/15 Feb/03/15
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $71.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $71.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $71.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $62.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $71.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $268.99 0 Jan/04/15 Feb/03/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $239.99 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
supertechshop NEW - $1,150.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
supertechshop NEW - $4,750.00 0 Jan/04/15 Feb/03/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $4,950.00 0 Jan/04/15 Feb/03/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcstore NEW - $139.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
supertechshop NEW - $1,499.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcstore NEW - $183.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $137.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $179.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jan/04/15 Jan/07/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $193.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $183.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
usedeqsales NEW - $2,501.15 1 Jan/05/15 Jan/05/15
Description: VAT 0340X-MH24-API1 Rectangular Insert MONOVAT Valve AMAT 0010-05574 New
imca00 NEW - $749.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $249.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $1,749.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $349.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 NEW - $449.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $7,450.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $249.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $99.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
usedeqsales NEW - $301.15 2 Jan/05/15 May/01/15
Description: MKS Instruments 100760106 NW63 ISO Blank Flange AMAT 3980-00182 Lot of 6 New
usedeqsales NEW - $1,101.15 0 Jan/05/15 May/01/15
Description: MKS Instruments 100760106 NW63 ISO Blank Flange AMAT 3980-00182 Lot of 30 New
athomemarket NEW - $259.99 0 Jan/05/15 Jan/12/15
Description: NEW Cardinal Detecto 4kg 4000 g Digital Scale AP-4K AMAT 3920-01570 w/ Power Sup
surplusssam Used - $62.99 0 Jan/05/15 Mar/02/23
Description: NEW AMAT 0050-31592 VACUUM FITTING
athomemarket NEW - $459.99 0 Jan/05/15 Jan/12/15
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing 300mm E2 Assembly
athomemarket NEW - $149.99 0 Jan/05/15 Jan/12/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
keykorea NEW - $5,800.00 0 Jan/05/15 Jan/05/15
Description: AMAT 0500-00166 VME-II RACK RAE-01663 , NEW
mattron747 NEW - $750.00 0 Jan/05/15 Feb/04/15
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
svcstore NEW - $45.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $289.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
nps NEW - $49.99 0 Jan/06/15 Jan/13/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales NEW - $401.15 1 Jan/06/15 Mar/04/15
Description: Inficon 0190-23501 TS Heated Weldment AMAT Applied Materials New
athomemarket NEW - $85.99 0 Jan/06/15 Feb/05/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $289.99 0 Jan/06/15 Feb/05/15
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $223.99 0 Jan/06/15 Feb/05/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $153.99 0 Jan/06/15 Feb/05/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $53.99 0 Jan/06/15 Feb/05/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $260.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $74.99 0 Jan/06/15 Jan/13/15
Description: NEW Applied AMAT 0020-79091 200mm Wafer Retaining Ring
athomemarket NEW - $58.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $54.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $390.99 0 Jan/06/15 Feb/05/15
Description: NEW Stec IV-2410AV-03 Injection Valve 1/4" AMAT 0190-36238
athomemarket NEW - $104.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $1,416.99 0 Jan/06/15 Feb/05/15
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
athomemarket NEW - $60.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $2,499.99 0 Jan/06/15 Jan/13/15
Description: NEW Applied Materials/AMAT 0010-19337 Manifold/Coolant Plate 2-Station Assembly
athomemarket NEW - $57.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
usedeqsales NEW - $1,501.15 0 Jan/06/15 May/01/15
Description: Horiba STEC SEC-Z524MGXN Mass Flow Controller AMAT 0190-30449 New
usedeqsales NEW - $1,501.15 0 Jan/06/15 May/01/15
Description: Aera PI-DN980CBA Mass Flow Controller PI-980 AMAT 0190-26775 New
svcstore NEW - $139.99 0 Jan/06/15 Jan/09/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
esolutions1 NEW - $99.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials 3190-51003 CHAIN ROLLER AMAT NEW
esolutions1 NEW - $99.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials 3870-00134 VALVE LOCK OUT 15-150PSIG 3PORT 1/4NPT 3 AMAT NEW
esolutions1 NEW - $299.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials AMAT 0050-40653 BY-PASS ROUGHING LINE NEW
dvkelectronics NEW - $425.00 0 Jan/06/15 Feb/05/15
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
gigabitpartsolutions Refurbished - $1,500.00 2 Jan/07/15 Jan/18/15
Description: Driver Dual Arm Robot 300mm Producer AMAT 0190-11706 appear new
usedeqsales NEW - $501.15 139 Jan/07/15 Jan/13/15
Description: Yaskawa SGDA-02AS Servo Drive Servopack AMAT 0190-14373 New
bobsgoodies2 Used - $429.00 1 Jan/07/15 Mar/31/22
Description: AMAT 1200-01067 RELAY CNTACTOR ABB 300 Amp 24VAC Coil EH160 NEW EH-160
athomemarket NEW - $130.99 0 Jan/07/15 Feb/06/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $57.99 0 Jan/07/15 Feb/06/15
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $142.99 0 Jan/07/15 Feb/06/15
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,240.99 0 Jan/07/15 Feb/06/15
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $199.99 0 Jan/07/15 Feb/06/15
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
usedeqsales NEW - $521.15 0 Jan/07/15 May/01/15
Description: Praxair 0190-29441 CMP Polishing Pad 30" Window Pad Lot of 4 AMAT New
svcstore NEW - $139.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
grandbirdnet NEW - $3,500.00 0 Jan/07/15 Mar/07/22
Description: AMAT 0020-02344 SHIELD, LOWER, 300MM SIP , NEW
grandbirdnet NEW - $1,900.00 0 Jan/07/15 Mar/02/23
Description: AMAT 3030-09600 LFM LF-210A-EVD TICL4 .05GPM FS 1/4 & 1 , NEW
keykorea NEW - $700.00 1 Jan/07/15 Dec/01/17
Description: AMAT 0021-25014 DISK, SHUTTER, BESC, CENTER PIN, RPG CHB , NEW
svcstore NEW - $137.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $128.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $193.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Jan/07/15 Jan/10/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $405.00 16 Jan/08/15 Feb/07/17
Description: AMAT 0100-77043 DUAL WAFER LOSS BOARD,HEAD, NEW
usedeqsales NEW - $401.15 0 Jan/08/15 May/01/15
Description: Ushio JIHZ 120V-2000WBGXA/AM Halogen Lamp AMAT 0190-14373 Reseller Lot of 12 New
usedeqsales NEW - $401.15 0 Jan/08/15 May/01/15
Description: Ushio JCV120V-2000WC Halogen Lamp 2000w AMAT 1010-01102 Lot of 50 New
usedeqsales NEW - $301.15 0 Jan/08/15 May/01/15
Description: Praxair 0190-23945 CMP Polishing Pad 30" Window Pad Lot of 2 AMAT New
bobsgoodies NEW - $1,750.00 2 Jan/08/15 May/26/17
Description: AMAT 0010-77329 Spray Gun Assembly CMP New, unused surplus Applied Materials
testeqe NEW - $1,149.99 0 Jan/08/15 Jul/26/17
Description: NEW AMAT 3330-01083 Intl Shaft Roller Rotation Integra (Spare 0) CMP Applied
athomemarket NEW - $403.99 0 Jan/08/15 Feb/07/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $3,681.99 0 Jan/08/15 Feb/07/15
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $187.99 0 Jan/08/15 Feb/07/15
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
usedeqsales NEW - $301.15 0 Jan/08/15 May/01/15
Description: THK LM System RB25030UUCC0FS2 Linear Bearing AMAT 0190-77381 New
athomemarket NEW - $388.99 0 Jan/08/15 Feb/07/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
usedeqsales NEW - $601.15 0 Jan/08/15 May/04/15
Description: Xycarb Ceramics 255860 Infared Lamp 2000 Watt AMAT 0190-35113 Lot of 4 New
svcstore NEW - $183.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $137.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
grandbirdnet NEW - $4,700.00 0 Jan/08/15 Mar/07/22
Description: AMAT 0240-03004 KIT WATER SIP-CU UPPER ADAPTER , NEW
grandbirdnet NEW - $5,000.00 0 Jan/08/15 Feb/19/23
Description: AMAT 0040-06170 ADAPTOR, LOWER, SIP-TA, WB CHAMBER , NEW
svcstore NEW - $289.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
keykorea NEW - $2,300.00 1 Jan/08/15 Jan/04/16
Description: AMAT 0240-05877 KIT, SOURCE SIP, RF EMISSIONS SHIELD , NEW
grandbirdnet NEW - $1,600.00 0 Jan/08/15 Mar/07/22
Description: AMAT 0021-11110 RING EDGE ALUMINUM, SST HEATER TXZ 300MM , NEW
keykorea NEW - $1,600.00 5 Jan/08/15 Apr/28/17
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW
svcstore NEW - $137.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
keykorea NEW - $1,700.00 0 Jan/08/15 Mar/24/15
Description: AMAT 0020-02348 CLAMP, LOWER SHIELD, 300MM SIP , NEW
svcstore NEW - $183.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
keykorea NEW - $990.00 5 Jan/08/15 Feb/14/21
Description: AMAT 0021-22177 COVER RING, ADV. 101 300MM PVD , NEW
keykorea NEW - $1,100.00 3 Jan/08/15 Dec/13/20
Description: AMAT 0021-19342 SHIELD INNER 300MM PCII/RPC+ , NEW
keykorea NEW - $5,500.00 1 Jan/09/15 Feb/26/15
Description: AMAT 0010-29858 AC DISTRIBUTION ASSEMBLY, RF BASE HEATER, CHAMBER A PROD S , NEW
ytyc2014 NEW - $900.00 0 Jan/09/15 Feb/04/15
Description: New AMAT 0010-07727 Controller CP3308-S000297
athomemarket NEW - $244.99 0 Jan/09/15 Feb/08/15
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
bobsgoodies NEW - $1,750.00 1 Aug/27/14 Jan/08/15
Description: AMAT 0010-77138 Spray Gun Assembly CMP New, unused sruplus
usedeqsales NEW - $1,502.13 1 Feb/25/13 Jan/09/15
Description: Control Concepts 3096-1007 SCR Power Controller AMAT 0190-14927 New
svcstore NEW - $137.99 0 Jan/09/15 Jan/12/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Jan/09/15 Jan/12/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
waste-not-recycling NEW - $299.99 0 Jan/10/15 Feb/09/15
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
athomemarket NEW - $334.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $334.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $402.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $88.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $97.99 0 Jan/10/15 Feb/09/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $72.99 1 Jan/10/15 Feb/09/15
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
athomemarket NEW - $307.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $6,199.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $294.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $199.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $242.99 0 Jan/10/15 Feb/09/15
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
conquer_2011 NEW - $950.00 0 Jan/10/15 Feb/09/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
athomemarket NEW - $6,199.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $2,225.99 0 Jan/10/15 Feb/09/15
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $244.99 0 Jan/10/15 Feb/09/15
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
bornalliancecom NEW - $750.00 0 Jan/10/15 Jan/10/15
Description: Applied Materials 0010-10038 N2 Doping Kit AMAT (New)
bornalliancecom NEW - $4,750.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-36617 RF Feedthru, Assy. DTCU DPS Poly (NEW) AMAT
bornalliancecom NEW - $8,500.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-30246 Susceptor, Assy. 150 MM SW Cheese DCS (NEW) AMAT
bornalliancecom NEW - $7,500.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-09940 Gas Box, WSI CVD (new) AMAT
bornalliancecom NEW - $4,995.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials MXP Etch 0010-10759 Top Lid, Assy. SSGD (NEW) AMAT
bornalliancecom NEW - $3,650.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials P-5000 0010-09843 Manifold, H2O VDS (NEW) AMAT
bornalliancecom NEW - $3,950.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials Etch 0010-10973 H.O.T. Detector, Assy. (NEW) AMAT
bornalliancecom NEW - $3,800.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials PVD 0010-21678 Shield, Assy. Vectra IMP TI ADV 101 (NEW) AMAT
bornalliancecom NEW - $745.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials PVD 0200-00221 Insulator, 200MM PCII (New)
bornalliancecom NEW - $1,950.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35325 Focus Ring, ESC DPS (NEW) AMAT
bornalliancecom NEW - $7,500.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 3750-01112 TUBE PLASMA SOURCE SAPPHIRE 1/4VCR (NEW) Astex AMAT
bornalliancecom NEW - $2,700.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-36679 Blade, Ceramic E HP-CR Robot (NEW) AMAT Centura
bornalliancecom NEW - $2,995.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0242-13897 Kit, WB W/Shutter Ceramic Insulator (NEW) Endura
bornalliancecom NEW - $2,895.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35693 Blade, Ceramic Centura 5200 (NEW) AMAT
bornalliancecom NEW - $1,100.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35256 Ring, Preheat (NEW) AMAT Centura
bornalliancecom NEW - $950.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 3420-01080 Insulation Blanket, Heat Shield (NEW) AMAT Centura
bornalliancecom NEW - $2,150.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35690 Susceptor, Solid Pin 200 MM Poly (NEW) AMAT
bornalliancecom NEW - $1,200.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35460 Adapter, Ring 200 MM (NEW) AMAT Centura
bornalliancecom NEW - $5,100.00 1 Jan/10/15 Apr/24/15
Description: Applied Materials 0200-36630 Plate Cover, Heater 8" DXZ (NEW) AMAT CVD
bornalliancecom NEW - $650.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0660-01583 Power Supply, ETO (NEW)
bornalliancecom NEW - $1,625.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-36524 Liner, Lid TXZ (NEW) AMAT Centura
bornalliancecom NEW - $995.00 1 Jan/10/15 Jul/20/15
Description: Applied Materials 3620-01011 Pump, Heat Exchanger AMAT-0 (NEW)
bornalliancecom NEW - $3,200.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0242-86045 Kit, H.O.T. Pack 365 NM (NEW) AMAT Etch
bornalliancecom NEW - $495.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-10039 Outer Ring (NEW) AMAT Etch
bornalliancecom NEW - $2,550.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-10540 Source, SI (NEW) AMAT
bornalliancecom NEW - $1,175.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09920 Ring, Clamp (NEW) AMAT Etch
bornalliancecom NEW - $1,125.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35113 Susceptor, Rotation 200 MM EPI (NEW) AMAT
bornalliancecom NEW - $1,050.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-20055 Insulator, Quartz (NEW) AMAT Endura PVD
bornalliancecom NEW - $3,995.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-10173 Plate, Cover 200 MM DXZ Heater (NEW) AMAT
bornalliancecom NEW - $3,250.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35208 SHAFT SUSCEPTOR SUPPORT POLY/BCCD R3 ROT, (NEW)
bornalliancecom NEW - $375.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09468 Ring, Cover SXTAL (NEW) AMAT Etch
bornalliancecom NEW - $1,650.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-10380 RING,SINGLE,195MM SEMI NOTCH,LOW CERAMIC (NEW) AMAT
bornalliancecom NEW - $500.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09567 Shield, CVD (NEW) AMAT
bornalliancecom NEW - $755.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35083 Ring, Preheat (NEW) AMAT
bornalliancecom NEW - $765.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09818 Ring, Pedestal (NEW) AMAT Etch
bornalliancecom NEW - $1,850.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-20064 Insulator, Quartz (NEW) AMAT PVD
bornalliancecom NEW - $1,000.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35086 Ring, Preheat (NEW) AMAT
bornalliancecom NEW - $1,495.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09678 Focus Ring, 150 MM AL (NEW) AMAT Etch
bornalliancecom NEW - $1,595.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-10555 Base Plate, Quartz (NEW) AMAT
bornalliancecom NEW - $4,250.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-10054 Ring, Inner WXZ (NEW) AMAT CVD
bornalliancecom NEW - $1,400.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-20056 Insulator, TI Pedestal (NEW) AMAT PVD
bornalliancecom NEW - $1,795.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09996 Ring, Outer WXZ (NEW) AMAT CVD
bornalliancecom NEW - $990.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-10348 Window, Heater Sapphire Coated (NEW) AMAT CVD
bornalliancecom NEW - $950.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-00262 Dome, Center Gas Feed (NEW) AMAT
bornalliancecom NEW - $350.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09424 Focus Ring, Quartz Alum 200 MM (NEW) AMAT Etch
bornalliancecom NEW - $1,650.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0190-01870 ASP Interlock (NEW) AMAT Centura
bornalliancecom NEW - $800.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09318 Ring, Inner 200 MM (NEW) AMAT Etch
bornalliancecom NEW - $495.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09199 Tube, Plasma Quartz ( New; lot of 5)
bornalliancecom NEW - $1,795.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0040-09958 Shell, Assy. 200 MM Notch (NEW) AMAT
bornalliancecom NEW - $475.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09426 Focus Ring, Quartz 200 MM (NEW) AMAT Etch
bornalliancecom NEW - $250.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09135 Adapter, Pumping Plate 150 MM (NEW) AMAT CVD
bornalliancecom NEW - $2,795.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0040-21897 Bell Jar, W/Handles Preclean II (NEW) AMAT PVD
bornalliancecom NEW - $490.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09432 Tube, ASP Chamber Applicator (New) Lot of 6 AMAT
bornalliancecom NEW - $1,850.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0190-02264 Module, HD Analog (NEW) AMAT
bornalliancecom NEW - $600.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09266 Shadow Ring, Inner CVD (NEW) AMAT
bornalliancecom NEW - $995.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0021-76026 Deposition Ring (NEW) AMAT
bornalliancecom NEW - $450.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09082 Shield, 200 MM Sputter Etch (NEW) AMAT
bornalliancecom NEW - $1,550.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-30602 Pumping Plate, 200 MM (NEW) CVD AMAT
bornalliancecom NEW - $375.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-31797 Gas Distribution Plate (NEW) AMAT Etch
bornalliancecom NEW - $655.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-30342 Pedestal, 8", Metal, Notch (NEW) AMAT Etch
bornalliancecom NEW - $600.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-30073 Clamp Cylinder, 200 mm (NEW) AMAT Etch
bornalliancecom NEW - $1,650.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-32320 Gas Distribution Plate (NEW) AMAT Etch
bornalliancecom NEW - $995.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0021-35095 Oxide Clamp Carrier, 5200 (NEW) AMAT Centura
bornalliancecom NEW - $1,295.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0021-39519 Lift Hoop, ASP (New)
bornalliancecom NEW - $4,000.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0021-03488 Face Plate, Delta MF Nitride, 200 MM (NEW) AMAT CVD
bornalliancecom NEW - $850.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-27805 Shutter Disk (NEW) AMAT PVD
bornalliancecom NEW - $465.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-31020 Blocker Plate, 200 MM BSE BWCVD (NEW) AMAT
bornalliancecom NEW - $750.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-10038 N2 Doping Kit AMAT (New)
svcstore NEW - $137.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $189.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $144.99 0 Jan/10/15 Jan/13/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $193.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
ace449parts2010 NEW - $125.00 1 Jan/11/15 Jun/11/15
Description: AMAT 0020-90213 BLOCKER PLATE SILANE 200mm NEW
athomemarket NEW - $307.99 0 Jan/11/15 Feb/10/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $105.99 0 Jan/11/15 Feb/10/15
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
svcstore NEW - $137.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
grandbirdnet NEW - $5,800.00 0 Jan/11/15 Mar/07/22
Description: AMAT 0500-00166 VME-II RACK RAE-01663 , NEW
imca00 NEW - $7,450.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $99.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $249.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $249.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $449.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $349.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 NEW - $749.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
usedeqsales NEW - $3,501.15 0 Jan/12/15 Jan/15/15
Description: AMAT Applied Materials 0010-23172 RF Match HDPCVD 200mm Ultima X New
supertechshop NEW - $74.99 0 Jan/12/15 Feb/11/15
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
supertechshop NEW - $450.00 0 Jan/12/15 Feb/11/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
supertechshop NEW - $74.99 0 Jan/12/15 Feb/11/15
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
athomemarket NEW - $88.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $438.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
athomemarket NEW - $367.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $38.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $98.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $79.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
cappy95 NEW - $74.99 0 Jan/12/15 Feb/11/15
Description: NEW AMAT 3870-01603 FUJIKIN PNEUMATIC DIAPHRAGM VALVE 1/4 VCR
athomemarket NEW - $72.99 0 Jan/12/15 Feb/11/15
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $690.99 0 Jan/12/15 Feb/11/15
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $103.99 0 Jan/12/15 Feb/11/15
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $34.99 0 Jan/12/15 Feb/11/15
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $45.99 0 Jan/12/15 Feb/11/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $906.99 0 Jan/12/15 Feb/11/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $97.99 0 Jan/12/15 Feb/11/15
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $49.99 0 Jan/12/15 Feb/11/15
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
svcstore NEW - $137.99 0 Jan/12/15 Jan/15/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Jan/12/15 Jan/15/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
usedeqsales NEW - $2,501.13 2 Jan/13/15 Dec/11/15
Description: AMAT Applied Materials 0010-12802 300mm Titan-I Head Edge Fast Tungsten New
nps NEW - $24.99 1 Jan/13/15 Jan/20/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales NEW - $1,501.15 0 Jan/13/15 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-25224 New
usedeqsales NEW - $301.15 1 Jan/13/15 Feb/09/15
Description: Swagelok SS-12-TA-1-12ST Tube Fitting Male Tube AMAT 3300-02898 Lot of 20 New
testeqe NEW - $99.99 0 Jan/13/15 Dec/09/15
Description: NEW AMAT/Applied Materials 0020-27091 Shield, Water, Corner, Mirra, 300mm
athomemarket NEW - $133.99 0 Jan/13/15 Feb/12/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
conquer_2011 Used - $6,500.00 0 Jan/13/15 Feb/12/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
athomemarket NEW - $245.99 0 Jan/13/15 Feb/12/15
Description: NEW Cardinal Detecto 4kg 4000 g Digital Scale AP-4K AMAT 3920-01570 w/ Power Sup
conquer_2011 NEW - $59.95 0 Jan/13/15 Feb/12/15
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
usedeqsales NEW - $401.15 0 Jan/13/15 Mar/31/15
Description: Swagelok SS-CHVCR4-1 Check Valve AMAT 3870-01416 Reseller Lot of 10 New
athomemarket NEW - $459.99 1 Jan/13/15 Jan/29/15
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing 300mm E2 Assembly
conquer_2011 NEW - $995.00 0 Jan/13/15 Feb/12/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
usedeqsales NEW - $401.15 0 Jan/13/15 May/05/15
Description: Swagelok SS-4-VCR-9 Bellows Valve AMAT 3300-01940 Reseller Lot of 20 New
usedeqsales NEW - $401.15 0 Jan/13/15 Feb/25/15
Description: Swagelok SS-4P4V Vented Plug Valve AMAT 3870-01543 Reseller Lot of 15 New
usedeqsales NEW - $301.15 1 Jan/13/15 Feb/09/15
Description: Swagelok SS-1610-4 4 Port Tube AMAT 3300-04337 Reseller Lot of 5 New
usedeqsales NEW - $401.15 0 Jan/13/15 Feb/25/15
Description: Swagelok 6LV-BN8BW6-P-C Bellows Valve AMAT 3870-01998 Lot of 2 New
svcstore NEW - $128.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $189.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $193.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jan/13/15 Jan/16/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
jhopkinssnnf NEW - $2,150.00 0 Jan/13/15 Feb/17/15
Description: 0190-37767 (New P/N 0190-42005), AMAT PUMP INTERFACE DNET MODULE AS01127-1
surplusssam NEW - $112.99 1 Jan/14/15 Jun/17/20
Description: NEW AMAT 0020-15335 APPLIED MATERIALS CLAMP WATER HOSE CHAMBER TOP ULTIMA+
tdindustrial NEW - $55.00 0 Jan/14/15 Nov/19/21
Description: AMAT Membrane EPDM 200MM Titan Head, 0020-75129 (lot of 6), New
usedeqsales NEW - $451.15 2 Jan/14/15 Mar/04/15
Description: Yaskawa SGMPH-01AAE41D Servo Motor YSC-SGMPH-01-004 AMAT 3970-00044 New
usedeqsales NEW - $401.15 3 Jan/14/15 Apr/21/15
Description: MKS Instruments 750B12TCD2GA Baratron Pressure Transducer AMAT 1350-01141 New
usedeqsales NEW - $401.15 0 Jan/14/15 May/05/15
Description: MKS Instruments 890B-27230 Baratron Pressure Transducer AMAT 1350-00363 New
athomemarket NEW - $2,419.99 0 Jan/14/15 Feb/13/15
Description: NEW Applied Materials/AMAT 0010-19337 Manifold/Coolant Plate 2-Station Assembly
svcstore NEW - $45.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $183.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
ecotech.ltd NEW - $853.00 0 Jan/15/15 Feb/09/16
Description: NEW Sealed Lam Research Node Board 810-056663-004 Rev B
ecotech.ltd NEW - $699.00 0 Jan/15/15 Feb/09/16
Description: New Lam Research Node Board 810-056663-004 Rev B
usedeqsales NEW - $303.14 0 Jan/15/15 May/04/15
Description: DuPont AS-568A Kalrez UltraPure O-Ring Seal K# 220 AMAT 3700-00612 Lot of 10 New
usedeqsales NEW - $751.15 0 Jan/15/15 May/04/15
Description: MKS Instruments 100312605 Centering Ring NW40 AMAT 3700-07722 Lot of 150 New
athomemarket NEW - $491.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $78.99 0 Jan/15/15 Feb/14/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $119.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $110.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $1,799.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $902.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $1,698.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $289.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $185.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $73.99 0 Jan/15/15 Feb/14/15
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $45.99 0 Jan/15/15 Feb/14/15
Description: NEW CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless Cv=0.30
athomemarket NEW - $75.99 0 Jan/15/15 Feb/14/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $219.99 0 Jan/15/15 Feb/14/15
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $316.99 0 Jan/15/15 Feb/14/15
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $42.99 0 Jan/15/15 Feb/14/15
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $42.99 0 Jan/15/15 Feb/14/15
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $31.99 0 Jan/15/15 Feb/14/15
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $1,004.99 0 Jan/15/15 Feb/14/15
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
cappy95 NEW - $224.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials 0010-40155 Wafer Detector Sensor AMAT Rev. C
svcstore NEW - $137.99 0 Jan/15/15 Jan/18/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Jan/15/15 Jan/18/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
powersell007 NEW - $399.00 1 Jan/16/15 Jan/09/18
Description: NEW APPLIED MATERIALS 0010-75341 REV 001 ASSY,5KVA XFMR TRANSFORMER PHASE 1 AMAT
testeqe NEW - $4,999.99 0 Jan/16/15 Jul/04/17
Description: NEW ASTeX 5kW 2.0 MHz Variable Source RF Generator ARX-X324 AMAT 0190-01961 ETO
texassemicontech NEW - $855.00 0 Jan/16/15 Jul/01/18
Description: Lam Research 678-092600-001 - Rev. 1 - Watlow A0V - 120V 575W - L 040363500 NEW
athomemarket NEW - $1,073.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,073.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $289.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $403.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials/AMAT 0020-31086 Susceptor T2 Base 150mm, 6mm SR-BWCVD
athomemarket NEW - $99.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials/AMAT 0200-09453 Rev. B Ceramic Collar, T2 Welded Susceptor
athomemarket NEW - $248.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $303.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $289.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $102.99 0 Jan/16/15 Feb/15/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $224.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $82.99 0 Jan/16/15 Feb/15/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $124.99 0 Jan/16/15 Feb/15/15
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $293.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $83.99 0 Jan/16/15 Feb/15/15
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $1,310.99 0 Jan/16/15 Feb/15/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $732.99 0 Jan/16/15 Feb/15/15
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $382.99 0 Jan/18/15 Feb/17/15
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
benta09 NEW - $39.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0050-39339 //UPPER EXHAUST WXP
benta09 NEW - $450.00 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 4000-01006 //CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SN
benta09 NEW - $115.00 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0200-20164 //INSULATORS, LAMP COVER, RIGHT
benta09 NEW - $999.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0022-77519 //FIXTURE, PAD CENTERING
benta09 NEW - $59.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0021-77484 //MOTOR MOUNT
benta09 NEW - $99.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
benta09 NEW - $59.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
benta09 NEW - $29.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0040-09233 //BRACKET MOTOR LOAD ASSY
benta09 NEW - $34.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0040-00248 //Port Screen
benta09 NEW - $149.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0021-04317 //FLAP BOTTOM MAIN THROTTLE VALVE
benta09 NEW - $999.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 3870-03490 //VALVE BLOCK DIAPH 3WAY 1/4VCR-558824
benta09 NEW - $249.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
benta09 NEW - $84.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0020-78238 //RETAINER
svcstore NEW - $45.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $183.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
athomemarket NEW - $170.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
svcstore NEW - $144.99 0 Jan/16/15 Jan/19/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $128.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $189.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $139.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
athomemarket NEW - $148.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials/AMAT 0020-22846 Cover Gas Trench, Preclean II
keykorea NEW - $8,000.00 0 Jan/18/15 Aug/07/18
Description: AMAT 0660-01805 CARD PENTIUM 133MHZ 32MB RAM VME BUS DOUBLE SLOT , NEW
svcstore NEW - $137.99 0 Jan/18/15 Jan/21/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
conquer_2011 NEW - $2,488.00 0 Jan/18/15 Feb/17/15
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
athomemarket NEW - $252.99 0 Jan/18/15 Feb/17/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $8,549.99 0 Jan/18/15 Feb/17/15
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $36.99 0 Jan/18/15 Feb/17/15
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $110.99 0 Jan/18/15 Feb/17/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $295.99 0 Jan/18/15 Feb/17/15
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
svcstore NEW - $137.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
easysell910 NEW - $49.99 0 Jan/17/15 Feb/16/15
Description: NEW AMAT 0010-39665 Clippard 2012 Pneumatic 3-Way Valve Fluidics / in Bag Sealed
athomemarket NEW - $92.99 0 Jan/17/15 Feb/16/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $59.99 0 Jan/17/15 Feb/16/15
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,332.99 0 Jan/17/15 Feb/16/15
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $115.99 0 Jan/16/15 Feb/15/15
Description: (NEW) LAM Research 716-140236-002 R, Confinement, Mod, QTZ Degrease Ring
svcstore NEW - $139.99 0 Jan/18/15 Jan/21/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
grandbirdnet NEW - $11,300.00 0 Jan/19/15 Mar/07/22
Description: AMAT 0040-85747 CHAMBER LINER LEFT, YTTRIUM, STEP,PRODUC , NEW
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop NEW - $99.00 1 Jan/19/15 Jan/19/15
Description: NEW Applied Materials Outer Clamp 0020-79085 AMAT Sealed in Box
supertechshop NEW - $1,495.00 2 Jan/19/15 Feb/12/15
Description: NEW AMAT Applied Materials 150mm Chuck EP ESC Assy Flat 1S 0041-34851 / Warranty
supertechshop NEW - $995.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $49.99 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $399.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop Used - $149.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $249.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $199.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 300mm Pedestal PC11 Titanium RF Chamber Shield 0020-19258 / Sealed
supertechshop NEW - $495.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $499.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $499.00 1 Jan/19/15 Jan/29/15
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect
supertechshop NEW - $399.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 3030-05748 Stec LF-310A-EVD Mass Flow Controller Liquid TEPO 0.2 g/Min
supertechshop NEW - $24.99 0 Jan/19/15 Feb/18/15
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $39.99 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0190-35451 Halogen Photo Optic Lamp 82V 410W Osram 4100/JKT /2-Pin Bulb
supertechshop NEW - $499.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $495.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0190-17462 DC Power Supply Yamatake PGU502V04000 Soft Start / Warranty
supertechshop NEW - $199.95 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
supertechshop NEW - $249.00 1 Jan/19/15 Feb/05/15
Description: NEW Novellus SEMCO Electrostatic 200mm ESC Chuck RFSO U200 Notches 15-269720-00
supertechshop NEW - $249.00 0 Jan/19/15 Feb/18/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
usedeqsales NEW - $751.15 0 Jan/19/15 May/04/15
Description: MKS Instruments 626AX13TBE Baratron Pressure Transducer AMAT 1350-01074 New
supertechshop NEW - $899.95 0 Jan/19/15 Feb/18/15
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $149.95 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $149.95 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $499.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $750.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $750.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $750.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $975.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
athomemarket NEW - $102.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $197.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $197.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $62.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
supertechshop NEW - $199.00 0 Jan/19/15 Feb/18/15
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $295.00 0 Jan/19/15 Feb/18/15
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
tdindustrial NEW - $89.50 2 Dec/30/14 Jan/19/15
Description: Cajon Flexible Gas Line 321-4-X-12DFR FVCR 1/2" Both Ends, New
surplusssam NEW - $166.99 1 Jul/28/14 Jan/19/15
Description: NEW GRANVILLE PHILLIPS 352003-30 CONVECTRON TO 352 CABLE 30 FEET
gti-semi NEW - $690.00 1 Oct/08/14 Jan/19/15
Description: Applied Materials AMAT, PCB - I/O BOARD, p/n 0100-76124 Rev B, New
medpert NEW - $107.58 1 Aug/13/10 Jan/19/15
Description: Veeco CVC 309437 0309-437-0000 DC Switchbox NEW
medpert NEW - $11.84 2 Oct/12/10 Jan/19/15
Description: Kurt J. Lesker QF25X8MVCR KF to VCR Adapter NEW
tdindustrial NEW - $69.95 2 Jan/19/15 Jan/19/15
Description: Semitool Thru Beam Sensor Assembly 16767-01, Rev C, New
tdindustrial NEW - $295.00 1 Nov/14/12 Jan/19/15
Description: Semi Tool 245007-01 BRD Assy Analog Sensor Interface, New, Sealed.
tdindustrial NEW - $295.00 1 Mar/20/13 Jan/19/15
Description: IDI 3-Way Recirculating Valve, 3/8" Flare, 1-110-070-FG New, Sealed
interstateblue NEW - $61.59 4 Nov/25/11 Jan/19/15
Description: VERTEQ Applied Materials 1069254-501 Static Eliminator NEW
usedeqsales NEW - $5,004.13 5 Apr/08/13 Jan/18/15
Description: Yaskawa ERCR-NS01-B003 Robot Controller NXC100 without cables new
electronicswest NEW - $500.00 2 Jan/06/15 Jan/18/15
Description: Asyst 4002-7135-03 Rev B Vacuum Type End Effector Brooks Crossing *NEW*
waycha2 NEW - $30.00 2 Dec/17/14 Jan/16/15
Description: VARIAN PCB, NC OPTICAL SWITCH E15000330 New
svcstore NEW - $179.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $139.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $193.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jan/19/15 Jan/22/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 NEW - $198.00 0 Jan/20/15 Feb/19/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
yayais2012 NEW - $198.00 0 Jan/20/15 Feb/19/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
nps NEW - $29.88 1 Jan/20/15 Jan/27/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales NEW - $901.15 0 Jan/20/15 May/04/15
Description: Woodhead SST-DN3-VME DeviceNet VME Interface Card DN3-VME-4 AMAT 0660-00747 New
powersell007 NEW - $2,599.00 0 Jan/20/15 Nov/05/17
Description: APPLIED MATERIALS 0010-29280 DSDA ARM ASSY SLURRY DISPENSER REFLEXION AMAT *NEW*
usedeqsales NEW - $751.15 0 Jan/20/15 May/04/15
Description: MKS Instruments 128AA-00001B Baratron Pressure Transducer AMAT 1350-01065 New
svcstore NEW - $193.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
keykorea NEW - $698.00 7 Oct/10/14 Dec/29/14
Description: AMAT 0100-01793 PCB ASSY, I/O INTERFACE BOARD W/COM, 200, NEW
keykorea NEW - $900.00 0 Jun/06/14 Jan/18/15
Description: AMAT 0020-34445 PLATE, BLOCKER, DXZ "G , NEW
svcstore NEW - $45.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $183.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
gti-semi NEW - $690.00 0 Jan/21/15 Nov/17/15
Description: Applied Materials AMAT, PCB - I/O BOARD, p/n 0100-76124 Rev B, New
usedeqsales NEW - $1,001.15 0 Jan/21/15 May/01/15
Description: A&N Corporation 1539-S-N Vacuum Pump Actuator Valve AMAT 0190-08958 New
powersell007 NEW - $599.00 1 Jan/21/15 Jul/19/18
Description: APPLIED MATERIALS 0200-09911 COVER, QUARTZ,8",STEPPED, LIFT 2 NOTCHED AMAT *NEW*
powersell007 NEW - $599.00 1 Jan/21/15 Sep/15/15
Description: APPLIED MATERIALS 0200-35783 SHADOW RING, 200MM NOTCH(2), SI/QTZ OXID AMAT *NEW*
usedeqsales NEW - $1,001.15 0 Jan/21/15 May/01/15
Description: V-Tex Rollcam 06-014 Pneumatic Slit Valve AMAT 3870-04963 New
usedeqsales NEW - $1,201.15 0 Jan/21/15 May/04/15
Description: MKS Instruments CV7627A-01 Vacuum Isolation System AMAT 1350-01097 New
usedeqsales NEW - $401.15 0 Jan/21/15 May/05/15
Description: Keyence CZ-K1 Digital Fiber Optic Amplifier AMAT 1400-00062 Lot of 2 New
powersell007 NEW - $1,599.00 0 Jan/21/15 Nov/06/17
Description: APPLIED MATERIALS 0200-07613 ISOLATOR SILANE PUMPING RING PRODUCER AMAT *NEW*
usedeqsales NEW - $801.15 18 Jan/21/15 Jan/23/15
Description: SMC LLC2B-DUP01085 Slurry Stick Assembly AMAT 0010-42434 New
athomemarket NEW - $45.99 0 Jan/21/15 Feb/20/15
Description: NEW Wasco SP129-51W2B-X/7466 Pressure Switch AMAT 0090-09163 Vacuum SP120
athomemarket NEW - $164.99 0 Jan/21/15 Feb/20/15
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $166.99 0 Jan/21/15 Feb/20/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore NEW - $137.99 0 Jan/21/15 Jan/24/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Jan/21/15 Jan/24/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
usedeqsales NEW - $501.15 0 Jan/22/15 May/05/15
Description: NEC L195GY 19" Touch Screen Monitor AccuSync AS192-BK AMAT 0190-31970 New
usedeqsales NEW - $1,201.15 0 Jan/22/15 May/04/15
Description: D-Link DGS-3120-48TC xStack Gigabit L2 Stackable Switch AMAT 0190-44751 New
usedeqsales NEW - $501.15 1 Jan/22/15 Jan/23/15
Description: Furon Saint-Gobain 1107612 Pressure Vessel AMAT 0191-77393 New
athomemarket NEW - $1,194.99 0 Jan/22/15 Feb/21/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
usedeqsales NEW - $501.15 0 Jan/22/15 May/05/15
Description: SMC KDM10-DUM02264 KDM One Touch Multiconnector AMAT 3300-13055 Lot of 10 New
athomemarket NEW - $37.99 0 Jan/22/15 Feb/21/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $179.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $139.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $193.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Jan/22/15 Jan/25/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
usedeqsales NEW - $9,007.14 0 Jan/23/15 Apr/30/15
Description: TMP-3203 Shimadzu TMP-3203LMC-A1 Turbo Molecular Pump AMAT 3620-00145 New
usedeqsales NEW - $1,001.15 0 Jan/23/15 May/01/15
Description: Animatics SM34165DT-AKT SmartMotor Conversion Kit AMAT 0243-72961 New
usedeqsales NEW - $601.15 0 Jan/23/15 May/04/15
Description: AMAT Applied Materials 0100-09224 Expanded RS232 Interconnect Board PCB New
usedeqsales NEW - $801.15 0 Jan/23/15 May/04/15
Description: Sumitomo FLX-20FM23S0-00 Supply Flexible Cryo Hose AMAT 0190-25059 New
usedeqsales NEW - $451.15 0 Jan/23/15 May/05/15
Description: SMC KDM10-DUM02264 KDM One Touch Multiconnector AMAT 3300-13055 Lot of 8 New
athomemarket NEW - $136.99 0 Jan/23/15 Feb/22/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $211.99 0 Jan/23/15 Feb/22/15
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $920.99 0 Jan/23/15 Feb/22/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
usedeqsales NEW - $501.15 0 Jan/23/15 May/05/15
Description: SMC MDSUB7-90S-R73L Rotary Pneumatic Actuator AMAT 0520-00271 Lot of 2 New
svcstore NEW - $193.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $45.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
starburymytalk NEW - $69.99 0 Jan/23/15 Feb/22/15
Description: LAM Research 716-800330-063 Insulator Bottom Insular Ring NEW NIB
svcstore NEW - $183.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
athomemarket NEW - $5,899.99 0 Jan/24/15 Feb/23/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Jan/24/15 Feb/23/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $497.99 0 Jan/24/15 Feb/23/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,627.99 0 Jan/24/15 Feb/23/15
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
starburymytalk NEW - $24.99 0 Jan/24/15 Feb/23/15
Description: LAM Research 715-013639-009 NEW NIB
svcstore NEW - $137.99 0 Jan/24/15 Jan/27/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Jan/24/15 Jan/27/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
trees_for_a_better_tomorrow NEW - $595.00 0 Jan/25/15 Feb/04/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow NEW - $200.00 0 Jan/25/15 Feb/04/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
trees_for_a_better_tomorrow NEW - $200.00 0 Jan/25/15 Feb/04/15
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/25/15 Feb/04/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/25/15 Feb/04/15
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
athomemarket NEW - $4,163.99 0 Jan/25/15 Feb/24/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $88.99 0 Jan/25/15 Feb/24/15
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $5,806.99 0 Jan/25/15 Feb/24/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $54.99 0 Jan/25/15 Feb/24/15
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $54.99 0 Jan/25/15 Feb/24/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
svcstore NEW - $179.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $193.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
usedeqsales NEW - $2,001.15 1 Jan/26/15 Mar/23/15
Description: AMAT Applied Materials 0015-77080 Harmonic Drive Base Assembly New
usedeqsales NEW - $3,001.15 0 Jan/26/15 Apr/14/15
Description: VAT 65150-PHCG-AMK3 Pendulum Gate Valve AMAT 0190-25521 New
athomemarket NEW - $199.99 0 Jan/26/15 Feb/25/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket NEW - $37.99 0 Jan/26/15 Feb/25/15
Description: NEW Clippard 2012 3-Way Pneumatic Valve AMAT 0010-39665
athomemarket NEW - $428.99 0 Jan/26/15 Feb/25/15
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
usedeqsales NEW - $1,507.14 0 Jan/26/15 May/01/15
Description: Aera FC-PN980CBA Mass Flow Controller AMAT 3030-11802 New
athomemarket NEW - $185.99 0 Jan/26/15 Feb/25/15
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
usedeqsales NEW - $801.15 3 Jan/26/15 Jan/31/15
Description: SMC 1082300-1213 Slurry Stick Assembly AMAT 0010-52207 New
svcstore NEW - $183.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $193.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $144.99 0 Jan/26/15 Jan/29/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $183.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
usedeqsales NEW - $501.15 0 Jan/27/15 May/05/15
Description: TRU TRU-11305-20 20ft RF Coaxial Cable 10762200 AMAT 0620-05023 New
usedeqsales NEW - $301.15 0 Jan/27/15 May/04/15
Description: Swagelok 6LV-DFMR8-P-C Pneumatic Diaphragm Valve Lot of 4 AMAT 3870-06602 New
nps NEW - $29.88 1 Jan/27/15 Feb/03/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
imca00 NEW - $749.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $349.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 NEW - $249.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $249.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $449.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $99.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $7,450.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
usedeqsales NEW - $551.15 0 Jan/27/15 May/04/15
Description: TRU RG-217/U 50ft RF Coaxial Cable 10762200-0811-0028 AMAT 0190-28642 New
athomemarket NEW - $99.99 0 Jan/27/15 Feb/26/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $65.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $70.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $360.99 0 Jan/27/15 Feb/26/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $375.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $8,449.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $285.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $97.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $283.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $284.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $492.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $186.99 0 Jan/27/15 Feb/26/15
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $225.99 0 Jan/27/15 Feb/26/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
springfieldsurplus2014 NEW - $12.50 0 Jan/27/15 Feb/26/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
usedeqsales NEW - $1,001.15 0 Jan/27/15 Feb/23/15
Description: Parker Veriflo 45400894 Valve SQMICROHF302PFSMM AMAT 3800-01160 Lot of 6 New
usedeqsales NEW - $301.15 0 Jan/27/15 May/04/15
Description: MKS Instruments 100312905 Wing Nut Clamp NW40 AMAT 3980-00187 Lot of 50 New
usedeqsales NEW - $751.15 1 Jan/27/15 Feb/26/15
Description: MKS Instruments 100311605 Blank-Off Flange AMAT 3980-00189 Lot of 150 New
usedeqsales NEW - $301.15 0 Jan/27/15 May/04/15
Description: Swagelok B-16-SE Brass Street Elbow AMAT 3300-03206 Reseller Lot of 6 New
svcstore NEW - $137.99 0 Jan/27/15 Jan/30/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Jan/27/15 Jan/30/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
bjnaf4 NEW - $875.60 0 Jan/28/15 Feb/27/15
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
usedeqsales NEW - $301.15 1 Jan/28/15 Feb/03/15
Description: Swagelok 6L-CW4FR8-P High Purity Check Valve AMAT 3870-03632 Lot of 5 New
usedeqsales NEW - $401.15 1 Jan/28/15 Mar/02/15
Description: MKS Instruments 722A12TCE2FA Baratron Pressure Transducer AMAT 1350-01330 New
usedeqsales NEW - $401.15 0 Jan/28/15 May/05/15
Description: MKS Instruments 852B61PCA2GC Baratron Pressure Transducer AMAT 1350-01243 New
athomemarket NEW - $346.99 0 Jan/28/15 Feb/27/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $285.99 0 Jan/28/15 Feb/27/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $449.99 0 Jan/28/15 Feb/27/15
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
athomemarket NEW - $62.99 0 Jan/28/15 Feb/27/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
keykorea NEW - $750.00 0 Jan/28/15 Apr/15/21
Description: AMAT 0021-35713 BLADE, AL 8 BUFFER W/SLOT FROG LEG, 2ND NEW
svcstore NEW - $139.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $137.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $128.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
keykorea NEW - $5,200.00 0 Jan/28/15 Jul/30/17
Description: AMAT 0040-75329 CH, ENP, FAST COOLDOWN , NEW
svcstore NEW - $183.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
athomemarket NEW - $259.99 0 Jan/29/15 Feb/05/15
Description: NEW Applied Materials/AMAT 0040-76077 Manifold Tall Facilities I/F Centura 520
athomemarket NEW - $404.99 0 Jan/29/15 Feb/28/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $13,180.00 0 Jan/29/15 Feb/28/15
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $2,630.99 0 Jan/29/15 Feb/28/15
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $131.99 0 Jan/29/15 Feb/28/15
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $426.99 0 Jan/29/15 Feb/28/15
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,003.99 0 Jan/29/15 Feb/28/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $99.99 0 Jan/29/15 Feb/05/15
Description: NEW Applied Materials/AMAT 0100-20265 Rev 002 Filter Board Vacuum/Relay RF Match
svcstore NEW - $144.99 0 Jan/29/15 Feb/01/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $183.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
conquer_2011 NEW - $15.00 0 Jan/29/15 Feb/28/15
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
svcstore NEW - $289.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
farmoninc NEW - $1,500.00 0 Jan/30/15 Mar/02/23
Description: NEW AMAT 0010-38166 ASSY, CHAMBER LID, PHASE III, TRENCH NG KIT
athomemarket NEW - $116.99 0 Jan/30/15 Mar/01/15
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,190.99 0 Jan/30/15 Mar/01/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,196.99 0 Jan/30/15 Mar/01/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $83.99 0 Jan/30/15 Mar/01/15
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
athomemarket NEW - $126.99 0 Jan/30/15 Mar/01/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
svcstore NEW - $137.99 0 Jan/30/15 Feb/02/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Jan/30/15 Feb/02/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
interlogictrading NEW - $197.00 0 Jan/31/15 Oct/28/15
Description: NEW 300637 Rev 003 AMAT 0150-63934 cable assembly pump emo 6FT
athomemarket NEW - $946.99 0 Jan/31/15 Mar/02/15
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $221.99 0 Jan/31/15 Mar/02/15
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $79.99 0 Jan/31/15 Mar/02/15
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $39.99 0 Jan/31/15 Mar/02/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $134.99 0 Jan/31/15 Mar/02/15
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $688.99 0 Jan/31/15 Mar/02/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $59.99 0 Jan/31/15 Mar/02/15
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $163.99 0 Jan/31/15 Mar/02/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
svcstore NEW - $139.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $137.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $137.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $128.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
athomemarket NEW - $8.99 0 Feb/01/15 Mar/03/15
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
grandbirdnet NEW - $1,750.00 0 Feb/01/15 Mar/02/23
Description: AMAT 3350-50000 FINGER CERAMIC TEFLON COATED , NEW
jlx580 NEW - $370.00 1 Feb/01/15 Feb/25/17
Description: 716-018993-001 Lam Research Edge Ring OEM NEW!!
svcstore NEW - $144.99 0 Feb/01/15 Feb/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $137.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $183.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $193.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
yayais2012 NEW - $75.00 0 Feb/01/15 Mar/03/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
svcstore NEW - $289.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
athomemarket NEW - $241.99 0 Feb/02/15 Mar/04/15
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $199.99 0 Feb/02/15 Mar/04/15
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $199.99 1 Feb/02/15 Mar/04/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $23.99 0 Feb/02/15 Mar/04/15
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Feb/02/15 Mar/04/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
usedeqsales NEW - $4,001.15 0 Feb/02/15 Apr/30/15
Description: AMAT Applied Materials 0010-12090 Hybrid Match MXP+ RF Match New
smaddux NEW - $149.00 1 Feb/02/15 Feb/03/15
Description: Lot of 9 NEW AMAT 0190-07679 Control Station Interface Specification
svcstore NEW - $137.99 0 Feb/02/15 Feb/05/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Feb/02/15 Feb/05/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
usedeqsales NEW - $302.15 0 Feb/03/15 May/04/15
Description: VAT 0190-34072 Kit Bellows Feedthrough Kit AMAT Applied Materials New
imca00 NEW - $1,749.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $749.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $349.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 NEW - $99.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $449.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $249.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $249.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $7,450.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
usedeqsales NEW - $4,501.15 0 Feb/03/15 Apr/30/15
Description: VAT 65040-PHHC-AQU1 Pneumatic Control Pendulum Valve AMAT 3870-04915 New
conquer_2011 NEW - $275.00 0 Feb/03/15 Mar/05/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
nps NEW - $24.99 1 Feb/03/15 Feb/10/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket NEW - $59.99 0 Feb/03/15 Mar/05/15
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $253.99 0 Feb/03/15 Mar/05/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $60.99 0 Feb/03/15 Mar/05/15
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 Feb/03/15 Mar/05/15
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $49.99 0 Feb/03/15 Mar/05/15
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 Feb/03/15 Mar/05/15
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $224.99 0 Feb/03/15 Mar/05/15
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $104.99 0 Feb/03/15 Mar/05/15
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $119.99 0 Feb/03/15 Mar/05/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $120.99 0 Feb/03/15 Mar/05/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
usedeqsales NEW - $4,502.15 0 Feb/03/15 Apr/30/15
Description: Nor-Cal 3870-04598 Throttling Pendulum Valve 200mm AMAT Applied Materials New
athomemarket NEW - $174.99 0 Feb/03/15 Mar/05/15
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $164.99 0 Feb/03/15 Mar/05/15
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $105.99 0 Feb/03/15 Mar/05/15
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $70.99 0 Feb/03/15 Mar/05/15
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $32.99 0 Feb/03/15 Mar/05/15
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $625.99 0 Feb/03/15 Mar/05/15
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
usedeqsales NEW - $1,002.15 0 Feb/03/15 May/01/15
Description: AMAT Applied Materials 0200-02866 200mm Low Profile Quartz Ring New
usedeqsales NEW - $17,502.20 0 Feb/03/15 Feb/05/15
Description: STP-A1603P Edwards PT465Z000 Turbo Molecular Pump AMAT 3620-00470 New
usedeqsales NEW - $502.15 1 Feb/03/15 Mar/14/15
Description: AMAT Applied Materials 0200-00234 200mm BKMI Enhanced Isolator Ceramic Ring New
svcstore NEW - $137.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $139.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $193.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
ustechno7 NEW - $1,550.00 0 Feb/03/15 Mar/05/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
printersplus83 NEW - $69.99 0 Feb/04/15 Mar/06/15
Description: New NOVELLUS 03-155888-00 PCA, RF CURRENT SENSOR
capovani_brothers NEW - $375.00 0 Feb/03/15 Mar/05/15
Description: AMAT Applied Materials 0200-09104 Liner Ceramic, APF - New
capovani_brothers NEW - $375.00 0 Feb/03/15 Mar/05/15
Description: AMAT Applied Materials 0200-09105 Liner Ceramic, APF - New
**www.acqoffice.com** NEW - $3,000.00 1 Feb/04/15 Feb/05/15
Description: AMAT 0040-09002 CHAMBER ETCH ++ UNIT IS NEW FREE US SHIPPING
usedeqsales NEW - $601.15 0 Feb/04/15 May/04/15
Description: D-Link BES3528A.....A3 xStack Gigabit L2 Stackable Switch AMAT 0190-42133 New
usedeqsales NEW - $502.15 0 Feb/04/15 May/05/15
Description: Cryotech 0190-01487 Jacketed Main Vacuum Fluid Hose Line 44 Feet AMAT New
usedeqsales NEW - $502.15 0 Feb/04/15 May/05/15
Description: A-B Allen-Bradley 22B-B012N104 AC Drive 22B PowerFlex 40 AMAT 1080-00318 New
ytyc2014 NEW - $900.00 0 Feb/04/15 Feb/09/15
Description: New AMAT 0010-07727 Controller CP3308-S000297
usedeqsales NEW - $2,202.15 0 Feb/04/15 May/01/15
Description: VAT 314114 Dual Pneumatic Slit Valve Door AMAT 3870-04848 New
usedeqsales NEW - $1,002.15 1 Feb/04/15 May/01/15
Description: IBM 8836-PCG Factory Interface Server xSeries x306 AMAT 0090-04332 New
svcstore NEW - $183.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
mattron747 NEW - $750.00 0 Feb/04/15 Mar/06/15
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
svcstore NEW - $183.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $193.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $144.99 0 Feb/04/15 Feb/07/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
athomemarket NEW - $85.99 0 Feb/05/15 Mar/07/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $289.99 0 Feb/05/15 Mar/07/15
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $53.99 0 Feb/05/15 Mar/07/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $223.99 0 Feb/05/15 Mar/07/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $153.99 0 Feb/05/15 Mar/07/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $260.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $58.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $390.99 2 Feb/05/15 Feb/09/15
Description: NEW Stec IV-2410AV-03 Injection Valve 1/4" AMAT 0190-36238
athomemarket NEW - $54.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $104.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $1,416.99 0 Feb/05/15 Mar/07/15
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
supertechshop NEW - $750.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0021-09007 Chamber Lid UNI-Lid Cover SSGD MXP Applied Materials
athomemarket NEW - $60.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $57.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
supertechshop NEW - $299.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $199.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $49.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $299.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $199.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
supertechshop NEW - $299.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
supertechshop NEW - $249.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $99.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $99.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $299.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
supertechshop NEW - $975.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $495.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $199.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0190-23506 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
supertechshop NEW - $475.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
supertechshop NEW - $1,150.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $1,250.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
supertechshop NEW - $750.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
supertechshop NEW - $4,950.00 0 Feb/05/15 Mar/07/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $4,750.00 0 Feb/05/15 Mar/07/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
dvkelectronics NEW - $425.00 0 Feb/05/15 Mar/07/15
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
svcstore NEW - $137.99 0 Feb/05/15 Feb/08/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Feb/05/15 Feb/08/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
powersell007 NEW - $899.00 1 Feb/06/15 Oct/29/18
Description: APPLIED MATERIALS 0010-19235 ASSY,300MM CORROSION RESISTANT ROBOT WRIST AMAT NEW
athomemarket NEW - $130.99 0 Feb/06/15 Mar/08/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $99.99 0 Feb/06/15 Mar/08/15
Description: NEW Applied Materials/AMAT 0100-20265 Rev 002 Filter Board Vacuum/Relay RF Match
athomemarket NEW - $57.99 0 Feb/06/15 Mar/08/15
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $4,240.99 0 Feb/06/15 Mar/08/15
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $142.99 0 Feb/06/15 Mar/08/15
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
usedeqsales NEW - $402.15 0 Feb/06/15 May/05/15
Description: Edwards C41417000 Right Angle Isolation Valve PV40PKA DBL-B AMAT 0010-92320 New
athomemarket NEW - $199.99 0 Feb/06/15 Mar/08/15
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $259.99 0 Feb/06/15 Mar/08/15
Description: NEW Applied Materials/AMAT 0040-76077 Manifold Tall Facilities I/F Centura 520
svcstore NEW - $183.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $139.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
grandbirdnet NEW - $11,500.00 0 Feb/06/15 Mar/02/23
Description: AMAT 0010-16373 ASSY, CHAMBER LIFT 300MM EMAX AP , NEW
svcstore NEW - $137.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $128.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $193.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
powersell007 NEW - $859.00 0 Feb/07/15 Nov/23/17
Description: APPLIED MATERIALS 0041-04514 ZONE 1 CLAMP, 300MM TITAN CALYPSO AMAT *NEW IN BOX*
wowsurplus NEW - $99.99 4 Feb/07/15 Feb/07/15
Description: Applied Materials AMAT 0021-34033 MEMBRANE, 300MM TITAN PROFILER 5-ZONE New
athomemarket NEW - $3,681.99 0 Feb/07/15 Mar/09/15
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $403.99 0 Feb/07/15 Mar/09/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $187.99 0 Feb/07/15 Mar/09/15
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $388.99 0 Feb/07/15 Mar/09/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
svcstore NEW - $183.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $193.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $144.99 0 Feb/07/15 Feb/10/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $183.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
trees_for_a_better_tomorrow NEW - $500.00 0 Feb/08/15 Feb/15/15
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow Used - $75.00 0 Feb/08/15 Feb/15/15
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
trees_for_a_better_tomorrow NEW - $200.00 0 Feb/08/15 Feb/15/15
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
athomemarket NEW - $244.99 0 Feb/08/15 Mar/10/15
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
svcstore NEW - $137.99 0 Feb/08/15 Feb/11/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/08/15 Feb/11/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Feb/08/15 Feb/11/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
powersell007 Used - $189.00 0 Feb/08/15 Mar/02/23
Description: APPLIED MATERIALS 0150-23113 CABLE HEATER, 300mm, NO RF AMAT 0041-24964 *NEW*
neilan1987 NEW - $349.99 0 Feb/09/15 Feb/27/15
Description: AMAT 0040-23525 Slit Valve Faceplate NEW Sealed Applied Materials
neilan1987 NEW - $349.99 0 Feb/09/15 Feb/27/15
Description: AMAT 0040-23526 Slit Valve Faceplate NEW Sealed Applied Materials
trees_for_a_better_tomorrow NEW - $595.00 0 Feb/09/15 Feb/16/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow NEW - $150.00 0 Feb/09/15 Feb/16/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
neilan1987 NEW - $1,499.99 0 Feb/09/15 Feb/27/15
Description: Applied Materials 0200-05638 300mm Ceramic Blade AMAT NEW Sealed
usedeqsales NEW - $402.15 0 Feb/09/15 May/05/15
Description: MKS Instruments 852B61PCJ2GC Baratron Pressure Transducer AMAT 1350-01103 New
athomemarket NEW - $334.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $88.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $334.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $294.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $402.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $307.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $97.99 0 Feb/09/15 Mar/11/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $199.99 0 Feb/09/15 Feb/12/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $242.99 0 Feb/09/15 Mar/11/15
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
ytyc2014 NEW - $900.00 0 Feb/09/15 Feb/17/15
Description: New AMAT 0010-07727 Controller CP3308-S000297
athomemarket NEW - $6,199.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $2,225.99 0 Feb/09/15 Mar/11/15
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $244.99 0 Feb/09/15 Mar/11/15
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
conquer_2011 NEW - $950.00 0 Feb/09/15 Mar/11/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
waste-not-recycling NEW - $299.99 1 Feb/09/15 Feb/20/15
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
svcstore NEW - $189.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $137.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $128.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $179.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $193.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
imca00 NEW - $749.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $349.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 NEW - $449.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $99.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $249.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $249.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $7,450.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket NEW - $307.99 0 Feb/10/15 Mar/12/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $105.99 0 Feb/10/15 Mar/12/15
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
nps NEW - $24.99 1 Feb/10/15 Feb/17/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales NEW - $301.15 1 Feb/10/15 Apr/06/15
Description: AMAT Applied Materials 0190-06926 Blue RF Cable New
svcstore NEW - $183.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
usedeqsales NEW - $3,001.15 2 Feb/10/15 Sep/02/15
Description: Iwaki CMD-101 Magnet Pump Novellus 19-116979-00 New
svcstore NEW - $193.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/10/15 Feb/13/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $137.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $183.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
easytechsell NEW - $299.99 0 Feb/10/15 Mar/12/15
Description: NEW HORIBA STEC SEC-Z714AGX DIGITAL MASS FLOW AMAT 0190-33207 As-Is/WARRANTY
usedeqsales NEW - $4,502.15 0 Feb/11/15 Apr/30/15
Description: Nor-Cal 3870-04660 Throttling Pendulum Valve AMAT Applied Materials New
athomemarket NEW - $438.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
athomemarket NEW - $88.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $38.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $367.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $98.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $79.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
usedeqsales NEW - $2,002.15 6 Feb/11/15 Mar/20/15
Description: VAT 0340X-MH24-API1 Slit Valve Assembly Kit AMAT 0010-05574 New
athomemarket NEW - $72.99 0 Feb/11/15 Mar/13/15
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $690.99 0 Feb/11/15 Mar/13/15
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $79.99 0 Feb/11/15 Mar/13/15
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $906.99 0 Feb/11/15 Mar/13/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $45.99 0 Feb/11/15 Mar/13/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $97.99 0 Feb/11/15 Mar/13/15
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $34.99 0 Feb/11/15 Mar/13/15
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $49.99 0 Feb/11/15 Mar/13/15
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
supertechshop NEW - $450.00 0 Feb/11/15 Mar/13/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
keykorea NEW - $8,500.00 0 Feb/11/15 Mar/19/15
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030 , NEW
svcstore NEW - $137.99 0 Feb/11/15 Feb/14/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/11/15 Feb/14/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Feb/11/15 Feb/14/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
ustechno7 NEW - $264.99 0 Feb/12/15 Mar/14/15
Description: NEW AMAT Applied 0200-06824 ULTIMAX Cover Ring 300mm HDPCVD ULTIMAX high density
usedeqsales NEW - $1,202.15 1 Feb/12/15 Mar/06/15
Description: MKS Instruments CV7627A-05 Vacuum Isolation System AMAT 1350-01163 New
usedeqsales NEW - $751.15 0 Feb/12/15 May/04/15
Description: MKS Instruments 624A-14575 Baratron Pressure Transducer AMAT 1350-01194 New
cappy95 NEW - $74.99 0 Feb/12/15 Mar/14/15
Description: NEW AMAT 3870-01603 FUJIKIN PNEUMATIC DIAPHRAGM VALVE 1/4 VCR
moes_place_1983 NEW - $690.00 3 Feb/12/15 Feb/17/15
Description: Applied Materials AMAT 0190-37616 AS05111-08 KVM Video Control Switch NEW F.Seal
moes_place_1983 NEW - $640.00 1 Feb/12/15 Feb/17/15
Description: Applied Materials AMAT 0190-37616 AS05111-08 KVM Video Control Switch NEW
moes_place_1983 NEW - $5,490.00 0 Feb/12/15 Feb/24/16
Description: Applied Materials AMAT 0190-06370 ASSEMBLY, MF DEVICENET IO/INTERLOCKS (MD) NEW
usedeqsales NEW - $602.15 0 Feb/12/15 May/04/15
Description: AMAT Applied Materials 0040-40955 Bellows Cone Load Cup 300mm New
athomemarket NEW - $133.99 0 Feb/12/15 Mar/14/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
conquer_2011 Used - $6,500.00 0 Feb/12/15 Mar/14/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
athomemarket NEW - $245.99 0 Feb/12/15 Mar/14/15
Description: NEW Cardinal Detecto 4kg 4000 g Digital Scale AP-4K AMAT 3920-01570 w/ Power Sup
conquer_2011 NEW - $59.95 0 Feb/12/15 Mar/14/15
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
conquer_2011 NEW - $995.00 0 Feb/12/15 Mar/14/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
keykorea NEW - $590.00 3 Feb/12/15 Aug/31/15
Description: AMAT 0190-26728 BRG 4-POINT CONTACT SPECIAL 6" , NEW
grandbirdnet NEW - $3,800.00 0 Feb/12/15 Mar/02/23
Description: AMAT 0010-42434 ASSY, POL SLURRY STICK, REFLEXION GT , NEW
svcstore NEW - $139.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
keykorea NEW - $850.00 4 Feb/12/15 Jul/21/16
Description: AMAT 0240-70639 KIT, 5500 ROBOT BEARING PM , NEW
svcstore NEW - $137.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $128.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
keykorea NEW - $780.00 0 Feb/12/15 Feb/25/19
Description: AMAT 0100-77055 PCB ASSEMBLY HEAD PNEUMATIC TITAN-2, NEW
svcstore NEW - $137.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
keykorea NEW - $1,200.00 5 Feb/12/15 Nov/27/17
Description: AMAT 0100-01793 PCB ASSY, I/O INTERFACE BOARD W/COM, 200, NEW
alvin1462 NEW - $5,555.00 1 Feb/13/15 Feb/13/15
Description: AMAT Applied Materials 0200-02935 Lid, Ceramic Assembly 300MM New
supertechshop NEW - $399.00 0 Feb/13/15 Mar/15/15
Description: NEW AMAT 0190-19351 Universal Diamond Disk ADLC Ring Type/ Pad Conditioner Kinik
grandbirdnet NEW - $100.00 0 Feb/13/15 Mar/02/23
Description: AMAT 3700-01467 O RING ID 1.10 CSD .210 KALREZ , NEW
keykorea NEW - $30.00 0 Feb/13/15 Feb/10/21
Description: AMAT 3700-01534 ORING ID .424 CSD .103 CHEMRAZ SC513 , NEW
grandbirdnet NEW - $80.00 5 Feb/13/15 Mar/02/23
Description: AMAT 3700-02063 ORING ID 2.859 CSD .139 CHEMRAZ SC51380 , NEW
grandbirdnet NEW - $200.00 0 Feb/13/15 Mar/02/23
Description: AMAT 3700-03864 ORING 1 .600 CSD 210 KALREZ 8575 , NEW
grandbirdnet NEW - $300.00 0 Feb/13/15 Mar/02/23
Description: AMAT 3700-07008 ORING 5.609X0.139 8575 KALREZ , NEW
athomemarket Used - $83.99 0 Feb/13/15 Mar/15/15
Description: NEW LAM Research CV16-K1K1-MKVV Manual Knob NW/KF-16 Angle Valve 796-098943-002
athomemarket NEW - $2,419.99 0 Feb/13/15 Mar/15/15
Description: NEW Applied Materials/AMAT 0010-19337 Manifold/Coolant Plate 2-Station Assembly
svcstore NEW - $193.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $144.99 0 Feb/13/15 Feb/16/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $137.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $45.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $183.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $193.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $289.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
keykorea NEW - $11,500.00 0 Feb/14/15 Mar/11/21
Description: AMAT 0190-03007 WAFER TEMPERATURE MONITOR, 4 CHANNEL, 950-4007-00 , NEW
athomemarket NEW - $491.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $110.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $119.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $78.99 0 Feb/14/15 Mar/16/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $1,799.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,698.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $902.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $185.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $289.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $73.99 0 Feb/14/15 Mar/16/15
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $45.99 0 Feb/14/15 Mar/16/15
Description: NEW CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless Cv=0.30
athomemarket NEW - $75.99 0 Feb/14/15 Mar/16/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $219.99 0 Feb/14/15 Mar/16/15
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $316.99 0 Feb/14/15 Mar/16/15
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $42.99 0 Feb/14/15 Mar/16/15
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $42.99 0 Feb/14/15 Mar/16/15
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $1,004.99 0 Feb/14/15 Mar/16/15
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $21.99 0 Feb/14/15 Mar/16/15
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
svcstore NEW - $183.99 0 Feb/14/15 Feb/17/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/14/15 Feb/17/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/14/15 Feb/17/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Feb/14/15 Feb/17/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
patti59226 NEW - $49.00 0 Feb/15/15 Apr/15/15
Description: MKS HPS 100886000 6" Non-Rotatable THRU CF Flange Blank AMAT 3300-04623 New
athomemarket NEW - $1,073.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $289.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $403.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials/AMAT 0020-31086 Susceptor T2 Base 150mm, 6mm SR-BWCVD
athomemarket NEW - $1,073.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $248.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $99.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials/AMAT 0200-09453 Rev. B Ceramic Collar, T2 Welded Susceptor
athomemarket NEW - $289.99 1 Feb/15/15 Feb/24/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $102.99 0 Feb/15/15 Mar/17/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $303.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $224.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $82.99 0 Feb/15/15 Mar/17/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $124.99 0 Feb/15/15 Mar/17/15
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $83.99 0 Feb/15/15 Mar/17/15
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $1,310.99 0 Feb/15/15 Mar/17/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $293.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $732.99 0 Feb/15/15 Mar/17/15
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $148.99 1 Feb/15/15 Mar/13/15
Description: NEW Applied Materials/AMAT 0020-22846 Cover Gas Trench, Preclean II
athomemarket NEW - $115.99 0 Feb/15/15 Mar/17/15
Description: (NEW) LAM Research 716-140236-002 R, Confinement, Mod, QTZ Degrease Ring
svcstore NEW - $137.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $139.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $189.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $183.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $179.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
grandbirdnet NEW - $50.00 0 Feb/16/15 Mar/02/23
Description: AMAT 3700-05886 ORING 0.299X0.103 8085 KALREZ , NEW
grandbirdnet NEW - $180.00 0 Feb/16/15 Mar/02/23
Description: AMAT 3700-04710 2-220 8085 KALREZ , NEW
grandbirdnet NEW - $150.00 0 Feb/16/15 Mar/02/23
Description: AMAT 3700-02206 ORING ID 1.174 CSD 0.103 CZ SC513 80 DURO WHIT , NEW
grandbirdnet NEW - $70.00 0 Feb/16/15 Mar/02/23
Description: AMAT 3700-05997 ORING 0.362X0.103 8085 KALREZ , NEW
grandbirdnet NEW - $180.00 0 Feb/16/15 Mar/07/22
Description: AMAT 3700-03785 ORING 2.859X0.139 8575 KALREZ , NEW
supertechshop NEW - $74.99 0 Feb/16/15 Mar/18/15
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
grandbirdnet NEW - $70.00 0 Feb/16/15 Mar/02/23
Description: AMAT 3700-03303 ORING 0.299X0.103 6375 KALREZ , NEW
supertechshop NEW - $74.99 0 Feb/16/15 Mar/18/15
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
athomemarket NEW - $170.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
athomemarket NEW - $92.99 0 Feb/16/15 Mar/18/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $59.99 0 Feb/16/15 Mar/18/15
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,332.99 0 Feb/16/15 Mar/18/15
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
usedeqsales NEW - $1,502.15 0 Feb/16/15 May/01/15
Description: MKS Instruments 179A-22091 Mass Flow Controller AMAT 3030-10407 New
svcstore NEW - $183.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $193.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/16/15 Feb/19/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
benta09 NEW - $99.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
benta09 NEW - $115.00 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0200-20164 //INSULATORS, LAMP COVER, RIGHT
benta09 NEW - $999.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0022-77519 //FIXTURE, PAD CENTERING
benta09 NEW - $59.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
benta09 NEW - $39.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0050-39339 //UPPER EXHAUST WXP
benta09 NEW - $59.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0021-77484 //MOTOR MOUNT
benta09 NEW - $34.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0040-00248 //Port Screen
benta09 NEW - $84.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0020-78238 //RETAINER
benta09 NEW - $249.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
svcstore NEW - $137.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
benta09 NEW - $29.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0040-09233 //BRACKET MOTOR LOAD ASSY
benta09 NEW - $450.00 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 4000-01006 //CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SN
benta09 NEW - $999.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 3870-03490 //VALVE BLOCK DIAPH 3WAY 1/4VCR-558824
benta09 NEW - $149.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0021-04317 //FLAP BOTTOM MAIN THROTTLE VALVE
svcstore NEW - $289.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
hakl1103 NEW - $850.00 0 Feb/17/15 Apr/26/15
Description: New AMAT 0010-07727 Controller CP3308-S000297
athomemarket NEW - $8,549.99 0 Feb/17/15 Mar/19/15
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $36.99 0 Feb/17/15 Mar/19/15
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
usedeqsales NEW - $1,502.15 0 Feb/17/15 May/01/15
Description: MKS Instruments 179A00151CR3BM Mass Flow Controller AMAT 3030-06040 New
usedeqsales NEW - $1,502.15 0 Feb/17/15 May/01/15
Description: Area FCP1961CBAXDIDJAA Mass Flow Controller AMAT 0190-34216 New
nps NEW - $24.99 0 Feb/17/15 Feb/24/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket NEW - $382.99 0 Feb/17/15 Mar/19/15
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $252.99 0 Feb/17/15 Mar/19/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $110.99 0 Feb/17/15 Mar/19/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $295.99 0 Feb/17/15 Mar/19/15
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
conquer_2011 NEW - $2,114.80 0 Feb/17/15 Mar/19/15
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
svcstore NEW - $137.99 0 Feb/17/15 Feb/20/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/17/15 Feb/20/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
newproductsgreatdeals2013 NEW - $299.99 1 Feb/17/15 Nov/03/17
Description: MKS Instruments 0190-34282 CDN491R Controller Board AMAT NEW Sealed
svcstore NEW - $137.99 0 Feb/17/15 Feb/20/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Feb/17/15 Feb/20/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
jhopkinssnnf NEW - $1,450.00 0 Feb/17/15 Feb/23/15
Description: AMAT 0190-16927 DEVICENET SCANNER INTERFACE CARD BRAND NEW
jhopkinssnnf NEW - $2,150.00 0 Feb/17/15 Feb/23/15
Description: 0190-37767 (New P/N 0190-42005), AMAT PUMP INTERFACE DNET MODULE AS01127-1
grandbirdnet NEW - $3,800.00 0 Feb/18/15 Mar/02/23
Description: AMAT 0010-52207 SLURRY STICK ASSEMBLY , REFLEXION GT , NEW
keykorea NEW - $4,800.00 0 Feb/18/15 Sep/07/17
Description: AMAT 0040-09002 CHAMBER ETCH, NEW
gigabitpartsolutions NEW - $1,760.00 0 Feb/18/15 Jun/12/16
Description: OEM Part NOVELLUS 02-034310-00 ASSY,MOD CNTRL,P100/16M (NEW)
surplusssam Used - $129.99 1 Feb/18/15 Apr/26/16
Description: NEW APPLIED MATERIALS MAINFRAME CABLE P/N 0150-75072 WMO 40FT
athomemarket NEW - $62.99 0 Feb/18/15 Mar/20/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $197.99 0 Feb/18/15 Mar/20/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $102.99 1 Feb/18/15 Feb/21/15
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $197.99 0 Feb/18/15 Mar/20/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
cappy95 NEW - $249.99 0 Feb/18/15 Mar/20/15
Description: NEW Applied Materials 0010-40155 Wafer Detector Sensor AMAT Rev. C
svcstore NEW - $139.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $183.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $128.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
supertechshop NEW - $99.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Feb/19/15 Mar/21/15
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $249.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $399.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Feb/19/15 Mar/21/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $495.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $24.99 0 Feb/19/15 Mar/21/15
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $39.99 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 0190-35451 Halogen Photo Optic Lamp 82V 410W Osram 4100/JKT /2-Pin Bulb
supertechshop NEW - $499.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $149.95 0 Feb/19/15 Mar/21/15
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $199.95 1 Feb/19/15 Mar/21/15
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
supertechshop NEW - $499.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $249.00 0 Feb/19/15 Mar/21/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
supertechshop NEW - $995.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $49.99 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $299.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $149.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
svcstore NEW - $193.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
supertechshop NEW - $299.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $299.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
svcstore NEW - $137.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
supertechshop NEW - $399.00 1 Feb/19/15 Mar/10/15
Description: NEW AMAT 3030-05748 Stec LF-310A-EVD Mass Flow Controller Liquid TEPO 0.2 g/Min
supertechshop NEW - $899.95 0 Feb/19/15 Mar/21/15
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $149.95 0 Feb/19/15 Mar/21/15
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $495.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 0190-17462 DC Power Supply Yamatake PGU502V04000 Soft Start / Warranty
supertechshop NEW - $499.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svcstore NEW - $183.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 NEW - $220.00 0 Feb/19/15 Mar/21/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
yayais2012 NEW - $220.00 0 Feb/19/15 Mar/21/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
svcstore NEW - $193.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/19/15 Feb/22/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $137.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
usedeqsales NEW - $502.15 0 Feb/20/15 May/05/15
Description: AMAT Applied Materials 8112463G100 Cryotorr Cable AMAT 0620-98151 New
usedeqsales NEW - $602.15 0 Feb/20/15 Apr/10/15
Description: AMAT Applied Materials 0010-23601 Clamp Assembly Polishing Head New
athomemarket NEW - $45.99 0 Feb/20/15 Mar/22/15
Description: NEW Wasco SP129-51W2B-X/7466 Pressure Switch AMAT 0090-09163 Vacuum SP120
athomemarket NEW - $164.99 0 Feb/20/15 Mar/22/15
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
athomemarket NEW - $166.99 0 Feb/20/15 Mar/22/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
svcstore NEW - $183.99 0 Feb/20/15 Feb/23/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
easysell910 NEW - $49.99 0 Feb/20/15 Mar/22/15
Description: NEW AMAT 0010-39665 Clippard 2012 Pneumatic 3-Way Valve Fluidics / in Bag Sealed
svcstore NEW - $137.99 0 Feb/20/15 Feb/23/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/20/15 Feb/23/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
grandbirdnet NEW - $900.00 7 Feb/20/15 Oct/11/21
Description: AMAT 0200-02577 LIFT PLATE FIXED LIFT PIN 200MM PRODUCER, NEW
grandbirdnet NEW - $900.00 2 Feb/20/15 Sep/19/18
Description: AMAT 0200-02576 LIFT RING FIXED LIFT PIN 200MM PRODUCER, NEW
keykorea NEW - $1,400.00 2 Feb/20/15 Jul/26/18
Description: AMAT 0200-00402 ISOLATOR PUMPING RING TOP 200MM PRODUCER , NEW
keykorea NEW - $2,100.00 0 Feb/20/15 Mar/05/15
Description: AMAT 0200-00137 RING,FOCUS 195MM SEMI NTCH N/FLT 1"60 , NEW
svcstore NEW - $139.99 0 Feb/20/15 Feb/23/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
keykorea NEW - $170.00 19 Feb/21/15 Mar/20/19
Description: AMAT 0200-10284 PIN,LIFT,HEATER,DXZ, NEW
grandbirdnet NEW - $600.00 1 Feb/21/15 Jul/28/22
Description: AMAT 0200-36418 COVER, CERAMIC, PUMPING CHANNEL, DXZ SAC, NEW
grandbirdnet NEW - $2,200.00 0 Feb/21/15 Mar/02/23
Description: AMAT 0200-18109 COLLAR, 200MM SNNF, SML FLT, ULTIMA HDPC, NEW
grandbirdnet NEW - $700.00 0 Feb/21/15 Mar/07/22
Description: AMAT 0200-36417 PUMPING RING, CERAMIC TOP, DXZ SACVD, NEW
grandbirdnet NEW - $1,200.00 2 Feb/21/15 Mar/02/23
Description: AMAT 0200-35323 RING, CAPTURE, CERAMIC,195MM SEMI NOTCH, NEW
keykorea NEW - $700.00 0 Feb/21/15 Nov/18/15
Description: AMAT 0200-00317 ISOLATOR PUMPING RING BOTTOM 200MM PRODU, NEW
keykorea NEW - $3,900.00 0 Feb/21/15 Aug/02/18
Description: AMAT 0200-39137 DOME CERAMIC, DPS CHAMBER, NEW
grandbirdnet NEW - $1,700.00 0 Feb/20/15 Dec/17/21
Description: AMAT 0200-10164 SHIELD, PUMPING INSERT, SIN, DXZ, NEW
grandbirdnet NEW - $700.00 0 Feb/20/15 Mar/02/23
Description: AMAT 0200-36415 PUMPING RING, CERAMIC C-SHA , NEW
keykorea NEW - $1,900.00 4 Feb/21/15 Feb/10/20
Description: AMAT 0200-39324 INSERT, RING, CHAMBER, CERAMIC, DXZ, NEW
athomemarket NEW - $1,194.99 0 Feb/21/15 Mar/23/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $37.99 0 Feb/21/15 Mar/23/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $137.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $139.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
athomemarket NEW - $390.99 2 Feb/22/15 Mar/01/15
Description: NEW Stec IV-2410AV-03 Injection Valve 1/4" AMAT 0190-36238
athomemarket NEW - $211.99 0 Feb/22/15 Mar/24/15
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $136.99 0 Feb/22/15 Mar/24/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $920.99 0 Feb/22/15 Mar/24/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $183.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $183.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $193.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $144.99 0 Feb/22/15 Feb/25/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
keykorea NEW - $3,500.00 0 Feb/22/15 Feb/22/15
Description: AMAT 0200-01119 SHADOW RING, QUARTZ, 200MM NOTCH (2) TIG, NEW
svcstore NEW - $137.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $45.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
usedeqsales NEW - $352.15 0 Feb/23/15 May/05/15
Description: Power Architects PA-1154 Power Supply AMAT 1140-00190 New
ytyc2014 NEW - $3,200.00 0 Feb/23/15 Feb/23/15
Description: New CTI Cryogenics IS-1000 CRYO COMPRESSOR HV . AMAT 0190-19395
usedeqsales NEW - $502.15 0 Feb/23/15 May/05/15
Description: ASTeX CPR90XC E-Bend Lower Waveguide AMAT 0190-09275 New
ytyc2014 NEW - $3,200.00 1 Feb/23/15 Mar/05/15
Description: New CTI Cryogenics IS-1000 CRYO COMPRESSOR HV . AMAT 0190-19395
supertechshop NEW - $199.00 0 Feb/23/15 Mar/25/15
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $299.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
athomemarket NEW - $5,899.99 0 Feb/23/15 Mar/25/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Feb/23/15 Mar/25/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $497.99 0 Feb/23/15 Mar/25/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
supertechshop NEW - $299.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
usedeqsales NEW - $502.15 0 Feb/23/15 May/05/15
Description: Nor-Cal 980505-1 Combination Valve AMAT 0040-39530 New
supertechshop NEW - $199.00 1 Feb/23/15 Mar/20/15
Description: NEW AMAT 300mm Pedestal PC11 Titanium RF Chamber Shield 0020-19258 / Sealed
athomemarket NEW - $1,627.99 0 Feb/23/15 Mar/25/15
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
supertechshop NEW - $750.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $750.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $750.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $299.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $975.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $295.00 0 Feb/23/15 Mar/25/15
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
**www.acqoffice.com** NEW - $300.00 0 Feb/23/15 May/18/16
Description: NEW AMAT 0020-04194 TOP LID APPLIED MATERIALS
**www.acqoffice.com** NEW - $1,250.00 1 Feb/23/15 Mar/09/16
Description: AMAT 0040-09002 CHAMBER ETCH ++ UNIT IS NEW FREE US SHIPPING
svcstore NEW - $137.99 0 Feb/23/15 Feb/26/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/23/15 Feb/26/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/23/15 Feb/26/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
oka1298 NEW - $89.99 2 Feb/23/15 Feb/27/15
Description: NEW AMAT INFICON AG LI-9496 BALZERS DUAL MANOMETER VALVE 0190-23479
svcstore NEW - $139.99 0 Feb/23/15 Feb/26/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
surplusssam NEW - $74.99 0 Feb/24/15 Mar/06/15
Description: NEW AMAT APPLIED MATERIALS 0090-76106 THERMAL CIRCUIT BREAKER
imca00 NEW - $7,450.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $1,749.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
usedeqsales NEW - $2,502.15 1 Feb/24/15 Feb/26/15
Description: AMAT Applied Materials 0010-46821 Robot VHP SBR Wrist Enhanced End Effector New
athomemarket NEW - $88.99 0 Feb/24/15 Mar/26/15
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $54.99 0 Feb/24/15 Mar/26/15
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $54.99 0 Feb/24/15 Mar/26/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $4,163.99 0 Feb/24/15 Mar/26/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,806.99 0 Feb/24/15 Mar/26/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
usedeqsales NEW - $455.12 0 Feb/24/15 Feb/25/15
Description: Copley Controls 800-1511 Servo Amplifier Accelnet AMAT 0190-23542 New
nps NEW - $24.99 0 Feb/24/15 Mar/03/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales NEW - $802.15 0 Feb/24/15 May/04/15
Description: Lytron 632063SB Heat Exchanger AMAT 3380-01017 New
svcstore NEW - $179.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $189.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $183.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
usedeqsales NEW - $452.15 0 Feb/25/15 May/05/15
Description: Nordson 775130 UV Lamp Magnetron 3kW AMAT 1360-00153 New
usedeqsales NEW - $802.15 0 Feb/24/15 May/04/15
Description: AMAT Applied Materials 1120-00311 Fibervac II Fiber Bundle Optical Cable New
starburymytalk NEW - $14.99 0 Feb/25/15 Mar/27/15
Description: LAM Research 715-013639-009 NEW NIB
athomemarket NEW - $37.99 0 Feb/25/15 Mar/27/15
Description: NEW Clippard 2012 3-Way Pneumatic Valve AMAT 0010-39665
athomemarket NEW - $199.99 0 Feb/25/15 Mar/27/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
usedeqsales NEW - $302.15 0 Feb/25/15 May/04/15
Description: Gems 212423 Manifold Mount Flow Switch AMAT 0190-19897 Lot of 2 New
athomemarket NEW - $185.99 0 Feb/25/15 Mar/27/15
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
athomemarket NEW - $428.99 0 Feb/25/15 Mar/27/15
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
usedeqsales NEW - $752.15 0 Feb/25/15 May/04/15
Description: MKS Instruments 127AA-00001E Baratron Pressure Transducer AMAT 1350-01005 New
usedeqsales NEW - $18,502.20 0 Feb/25/15 Apr/30/15
Description: STP-XA3203CV Edwards Turbomolecular Pump PT66-1Z-000 AMAT 3630-00530 New
svcstore NEW - $137.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $193.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Feb/25/15 Feb/28/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
starburymytalk NEW - $49.99 0 Feb/26/15 Mar/28/15
Description: LAM Research 716-800330-063 Insulator Bottom Insular Ring NEW NIB
imca00 NEW - $449.00 0 Feb/26/15 Mar/05/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $349.00 0 Feb/26/15 Mar/05/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
powersell007 NEW - $899.00 0 Feb/26/15 Nov/12/17
Description: APPLIED MATERIALS 0041-01558 ADAPTER WATER COOLING DIRECT DRIVE ROBOT AMAT *NEW*
usedeqsales NEW - $802.15 0 Feb/26/15 May/04/15
Description: AMAT Applied Materials 1120-00237 ISPM QX Optical Cable Assembly New
usedeqsales NEW - $302.15 0 Feb/26/15 May/04/15
Description: SMC EX250-SDNI 8-Port Pneumatic Manifold AMAT 4060-00276 New
powersell007 Used - $3,299.00 0 Feb/26/15 Nov/23/21
Description: APPLIED MATERIALS 0200-03259 RING, SLOTTED PREHEAT, .15 THK, 300MM EP AMAT *NEW*
powersell007 NEW - $1,999.00 1 Feb/26/15 Jun/13/17
Description: APPLIED MATERIALS 0041-36356 CARRIER, 300MM TITAN AMAT *NEW with CERT*
athomemarket NEW - $99.99 0 Feb/26/15 Mar/28/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $65.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $70.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
powersell007 Used - $1,199.00 0 Feb/26/15 Mar/02/23
Description: APPLIED MATERIALS 0040-61258 REV 005 PLATE PUMPING 300MM AMAT *NEW with CERT*
athomemarket NEW - $283.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $360.99 0 Feb/26/15 Mar/28/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $284.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $225.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $8,449.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $285.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $375.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $186.99 0 Feb/26/15 Mar/28/15
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $492.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $97.99 0 Feb/26/15 Mar/28/15
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
springfieldsurplus2014 NEW - $11.25 0 Feb/26/15 Mar/28/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
svcstore NEW - $289.99 0 Feb/26/15 Mar/01/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Feb/26/15 Mar/01/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/26/15 Mar/01/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $139.99 0 Feb/26/15 Mar/01/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
keykorea NEW - $3,200.00 2 Feb/26/15 Jun/29/15
Description: AMAT 0010-76005 ASSY BLADE ROBOT 8 , NEW
svcstore NEW - $137.99 0 Feb/26/15 Mar/01/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
grandbirdnet NEW - $550.00 0 Feb/26/15 Sep/28/21
Description: AMAT 0190-07679 Control Station Interface Specification , NEW
bjnaf4 NEW - $875.60 0 Feb/27/15 Mar/29/15
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
usedeqsales NEW - $2,502.15 1 Feb/27/15 Mar/22/15
Description: AMAT Applied Materials 0010-32798 Robot VHP SBR Wrist Enhanced End Effector New
usedeqsales NEW - $1,002.15 0 Feb/27/15 May/01/15
Description: AMAT Applied Materials 0190-09429 Duration Suscepter Assembly 200mm New
athomemarket NEW - $346.99 0 Feb/27/15 Mar/29/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $285.99 0 Feb/27/15 Mar/29/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $449.99 0 Feb/27/15 Mar/29/15
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
athomemarket NEW - $62.99 0 Feb/27/15 Mar/29/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
svcstore NEW - $139.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $137.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
grandbirdnet NEW - $5,500.00 0 Feb/28/15 Mar/30/16
Description: AMAT 0190-76005 SPEC CNTL DWG, INTELLIGENT MOTOR CONTROL , NEW
ytyc2014 NEW - $200.00 0 Feb/28/15 Jun/22/16
Description: New AMAT 0190-38344 CPCI-3720TB/C42
athomemarket NEW - $404.99 0 Feb/28/15 Mar/30/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $2,003.99 0 Feb/28/15 Mar/30/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $2,630.99 0 Feb/28/15 Mar/30/15
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $131.99 0 Feb/28/15 Mar/30/15
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $13,180.00 0 Feb/28/15 Mar/30/15
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $426.99 0 Feb/28/15 Mar/30/15
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
svcstore NEW - $193.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $144.99 0 Feb/28/15 Mar/03/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $183.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $137.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $183.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $45.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
conquer_2011 NEW - $15.00 0 Feb/28/15 Mar/30/15
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
trees_for_a_better_tomorrow NEW - $100.00 0 Mar/01/15 Mar/08/15
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
trees_for_a_better_tomorrow NEW - $85.00 0 Mar/01/15 Mar/08/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
trees_for_a_better_tomorrow NEW - $85.00 0 Mar/01/15 Mar/08/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/01/15 Mar/08/15
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New Amat Mirra 200mm Spindle Duplex Bearing Pair 0190-14833
athomemarket Used - $1,190.99 0 Mar/01/15 Mar/31/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $116.99 0 Mar/01/15 Mar/31/15
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket NEW - $1,196.99 0 Mar/01/15 Mar/31/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $83.99 0 Mar/01/15 Mar/31/15
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
athomemarket NEW - $126.99 0 Mar/01/15 Mar/31/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow Used - $60.00 0 Mar/01/15 Mar/08/15
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
grandbirdnet NEW - $1,150.00 0 Mar/01/15 Mar/10/22
Description: AMAT 0010-77733 DRY VACUUM GRIPPER, NEW
trees_for_a_better_tomorrow NEW - $500.00 0 Mar/01/15 Mar/08/15
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
keykorea NEW - $25.00 0 Mar/01/15 Jun/21/18
Description: AMAT 3060-01630 BRGBALL .253FT .625ODX.196W SST, NEW
svcstore NEW - $183.99 0 Mar/01/15 Mar/04/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Mar/01/15 Mar/04/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Mar/01/15 Mar/04/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
grandbirdnet Used - $1,200.00 3 Mar/01/15 Sep/06/21
Description: AMAT 0045-00126 PUMPING CHANNEL, ANODIZED, PRODUCER 200M, 3 PIECE , NEW
svcstore NEW - $137.99 0 Mar/01/15 Mar/04/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Mar/01/15 Mar/04/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
usedeqsales NEW - $1,003.15 0 Mar/02/15 May/01/15
Description: VAT 0750x-UE24-ACX2 Rectangular Door L-VAT AMAT 3870-00668 New
usedeqsales NEW - $303.15 0 Mar/02/15 May/04/15
Description: Watlow PM9C4CC-2CCJAAA Temperature Controller AMAT 3930-00366 Lot of 2 New
usedeqsales NEW - $406.11 1 Jun/29/11 Mar/02/15
Description: Mykrolis Solaris SCR01 SCR0153E1 Filter Lot of Seven (7) new in box
gigabitpartsolutions NEW - $385.00 0 Mar/02/15 Jun/24/16
Description: PCB APPLIED MATERIAL (AMAT) 0100-09203 PCB ASSY, PHASE MAGNITUDE DETECTOR (NEW)
athomemarket NEW - $221.99 0 Mar/02/15 Apr/01/15
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $946.99 0 Mar/02/15 Apr/01/15
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $79.99 1 Mar/02/15 Mar/06/15
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $163.99 0 Mar/02/15 Apr/01/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $134.99 0 Mar/02/15 Apr/01/15
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $688.99 0 Mar/02/15 Apr/01/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $39.99 0 Mar/02/15 Apr/01/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $59.99 0 Mar/02/15 Apr/01/15
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
usedeqsales NEW - $1,003.15 0 Mar/02/15 Mar/04/15
Description: Nor-Cal 0040-20031 Ion Gauge Elbow Adapter AMAT Reseller Lot of 16 New
usedeqsales NEW - $2,003.15 0 Mar/02/15 May/01/15
Description: Entegris WG3NS6RRZ Inline Gas Filter AMAT 4020-01285 Reseller lot of 25 New
trees_for_a_better_tomorrow NEW - $595.00 0 Mar/02/15 Mar/09/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow NEW - $150.00 0 Mar/02/15 Mar/09/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sparepartssolution NEW - $350.00 3 Jul/10/14 Feb/27/15
Description: (101-0401) AMAT APPLIED MATERIALS 1410-01124 HTR BAND FOR TMS KIT BRAND NEW
surplusssam NEW - $249.99 1 Feb/21/11 Feb/24/15
Description: NEW AMAT APPLIED MATERIALS VACUUM FITTING 0040-20111
surplusssam NEW - $129.99 1 Sep/19/05 Feb/18/15
Description: NEW APPLIED MATERIALS MAINFRAME CABLE P/N 0150-75072 WMO 40FT
surplusssam NEW - $349.99 1 Feb/21/11 Feb/16/15
Description: NEW AMAT APPLIED MATERIALS VACUUM FITTING 0040-20344
athomemarket NEW - $489.99 0 Mar/03/15 Mar/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
svcstore NEW - $128.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $139.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
kellansurplus NEW - $25.00 1 Mar/03/15 Apr/24/15
Description: A Single New in Box AMAT 4020-01019 Micron Filter Cartridge
athomemarket NEW - $8.99 10 Mar/03/15 Apr/02/15
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
usedeqsales NEW - $1,503.15 0 Mar/03/15 May/01/15
Description: VAT 95238-PAGQ-ADH4 Control Butterfly Isolation System AMAT 0195-12795 New
usedeqsales NEW - $1,003.15 0 Mar/03/15 May/01/15
Description: ITW Rippey TX5377 HydroCell Nodule Brush AMAT 3920-01672 Lot Of 10 New
usedeqsales NEW - $603.15 0 Mar/03/15 May/04/15
Description: 3M 56039-6 Diamond Disk Pad AMAT 0190-10270 Lot Of 5 New
nps NEW - $24.99 1 Mar/03/15 Mar/10/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore NEW - $183.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $137.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $193.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $144.99 0 Mar/03/15 Mar/06/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $174.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $183.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
powersell007 Used - $159.00 0 Mar/04/15 Dec/04/23
Description: NEW SWAGELOK 6LV-DF35845-C DIAPHRAGM VALVE DF APPLIED MATERIALS 3870-06800 AMAT
athomemarket NEW - $241.99 0 Mar/04/15 Apr/03/15
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $199.99 0 Mar/04/15 Apr/03/15
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $23.99 0 Mar/04/15 Apr/03/15
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 Mar/04/15 Apr/03/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket NEW - $199.99 0 Mar/04/15 Apr/03/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore NEW - $289.99 0 Mar/04/15 Mar/07/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Mar/04/15 Mar/07/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/04/15 Mar/07/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Mar/04/15 Mar/07/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Mar/04/15 Mar/07/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
yayais2012 NEW - $75.00 0 Mar/05/15 Apr/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
conquer_2011 NEW - $275.00 0 Mar/05/15 Apr/04/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
athomemarket NEW - $60.99 0 Mar/05/15 Apr/04/15
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $49.99 0 Mar/05/15 Apr/04/15
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 Mar/05/15 Apr/04/15
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $59.99 0 Mar/05/15 Apr/04/15
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 Mar/05/15 Apr/04/15
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $253.99 0 Mar/05/15 Apr/04/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $104.99 0 Mar/05/15 Apr/04/15
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $224.99 0 Mar/05/15 Apr/04/15
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $120.99 0 Mar/05/15 Apr/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $119.99 0 Mar/05/15 Apr/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $174.99 0 Mar/05/15 Apr/04/15
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $164.99 0 Mar/05/15 Apr/04/15
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $625.99 0 Mar/05/15 Apr/04/15
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $32.99 0 Mar/05/15 Apr/04/15
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $70.99 0 Mar/05/15 Apr/04/15
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $105.99 0 Mar/05/15 Apr/04/15
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
surplusfreight555 NEW - $500.00 0 Mar/05/15 Apr/02/15
Description: New Amat Pedestal Chuck 0020-30314 Rev.001 ASL 150mm, Flat
usedeqsales NEW - $2,503.15 1 Mar/05/15 Mar/26/15
Description: VAT 65046-PH52-AKF1 Pendulum Valve AMAT 3870-03466 New
keykorea NEW - $1,100.00 0 Sep/12/14 Mar/30/15
Description: AMAT 0200-10160 LINER, CERAMIC, SIN, DXZ , NEW
grandbirdnet NEW - $2,000.00 0 Mar/05/15 Jul/13/21
Description: AMAT 0242-00095 KIT, SUPER-E & RPS 5200 ESC CONTR PCB PE, NEW
svcstore NEW - $179.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
capovani_brothers NEW - $375.00 0 Mar/05/15 Apr/04/15
Description: AMAT Applied Materials 0200-09104 Liner Ceramic, APF - New
svcstore NEW - $128.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Mar/05/15 Mar/08/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
keykorea NEW - $1,900.00 0 Mar/06/15 Sep/01/20
Description: AMAT 0200-20331 Cover Ring , NEW
svcstore NEW - $137.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $183.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
keykorea NEW - $900.00 7 Mar/06/15 May/18/21
Description: AMAT 0200-36609 Isolator, Lid, TXZ, NEW
capovani_brothers NEW - $375.00 0 Mar/05/15 Apr/04/15
Description: AMAT Applied Materials 0200-09105 Liner Ceramic, APF - New
keykorea NEW - $75.00 2 Mar/06/15 Oct/08/20
Description: AMAT 0200-10074 LIFT PIN, DELTA MF NITRIDE XP, 200MM , NEW
keykorea NEW - $95.00 11 Mar/06/15 Jun/29/20
Description: NOVELLUS 15-251847-00 INJECTOR,TUBE, CLOSE, 1", .31 ID , NEW
keykorea NEW - $200.00 5 Mar/06/15 Jun/29/20
Description: NOVELLUS 15-169666-00 INJECTOR TUBE LONG , NEW
grandbirdnet NEW - $50.00 4 Mar/06/15 Mar/10/22
Description: AMAT 0020-20114 ISOLATOR, DC BIAS , NEW
usedeqsales NEW - $1,703.15 0 Mar/06/15 May/01/15
Description: ITW Rippey TX5377 HydroCell Nodule Brush AMAT 3920-01672 Reseller Lot of 20 New
surplusssam NEW - $74.99 0 Mar/06/15 Mar/16/15
Description: NEW AMAT APPLIED MATERIALS 0090-76106 THERMAL CIRCUIT BREAKER
svcstore NEW - $128.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $193.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
keykorea NEW - $1,200.00 5 Mar/06/15 May/01/19
Description: AMAT 0200-10144 ISOLATOR, PUMPING PLATE, DXZ , NEW
keykorea NEW - $2,400.00 0 Mar/06/15 Mar/30/15
Description: AMAT 0200-10163 ISOLATOR, SIN, ENHANCED, PUMPING LID, DX , NEW
grandbirdnet NEW - $1,200.00 5 Mar/06/15 Mar/10/22
Description: AMAT 0200-00234 ISOLATOR BKM1 ENHANCED TXZ 200MM , 2ND NEW
svcstore NEW - $193.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $144.99 0 Mar/06/15 Mar/09/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $183.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
keykorea NEW - $499.00 0 Mar/06/15 Jun/01/15
Description: AMAT 0200-00296 SLEEVE, JUNCTION, SIN, DXZ , NEW
grandbirdnet NEW - $3,500.00 0 Mar/06/15 Mar/10/22
Description: Lam Research 716-330892-007 GDP21HOLE P/C, NEW
keykorea NEW - $4,000.00 0 Mar/06/15 Mar/07/15
Description: AMAT 0200-00969 CERAMIC PUMPINGLINER TEOS/FSGC, NEW
keykorea NEW - $800.00 0 Mar/06/15 Jul/22/15
Description: Lam Research 716-330045-004 EDGE RING P/C, NEW
grandbirdnet NEW - $1,800.00 0 Mar/07/15 Mar/10/22
Description: AMAT 0200-36524 Liner, Lid TXZ, NEW
mattron747 NEW - $750.00 0 Mar/07/15 Apr/06/15
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
athomemarket NEW - $289.99 0 Mar/07/15 Apr/06/15
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $53.99 0 Mar/07/15 Apr/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $223.99 0 Mar/07/15 Apr/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $153.99 0 Mar/07/15 Apr/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $260.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $58.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $104.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $54.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $1,416.99 0 Mar/07/15 Apr/06/15
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
athomemarket NEW - $60.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $85.99 0 Mar/07/15 Apr/06/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $57.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $289.99 0 Mar/07/15 Mar/10/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
dvkelectronics NEW - $425.00 0 Mar/07/15 Apr/06/15
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
svcstore NEW - $137.99 0 Mar/07/15 Mar/10/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/07/15 Mar/10/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
ustechno7 NEW - $1,499.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore NEW - $137.99 0 Mar/07/15 Mar/10/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
supertechshop NEW - $750.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 0021-09007 Chamber Lid UNI-Lid Cover SSGD MXP Applied Materials
supertechshop NEW - $199.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $299.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $49.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
supertechshop NEW - $24.99 0 Mar/08/15 Apr/07/15
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $750.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
supertechshop NEW - $975.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $495.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
supertechshop NEW - $475.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
supertechshop NEW - $99.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $299.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
supertechshop NEW - $299.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $249.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $199.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
supertechshop NEW - $299.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
supertechshop NEW - $99.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $1,150.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
supertechshop NEW - $199.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 0190-08900 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
supertechshop NEW - $1,250.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
supertechshop NEW - $4,750.00 0 Mar/08/15 Apr/07/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $4,950.00 0 Mar/08/15 Apr/07/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
athomemarket NEW - $99.99 0 Mar/08/15 Apr/07/15
Description: NEW Applied Materials/AMAT 0100-20265 Rev 002 Filter Board Vacuum/Relay RF Match
athomemarket NEW - $130.99 0 Mar/08/15 Apr/07/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $57.99 0 Mar/08/15 Apr/07/15
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $142.99 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $4,240.99 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $199.99 0 Mar/08/15 Apr/07/15
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
svcstore NEW - $139.99 0 Mar/08/15 Mar/11/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
keykorea NEW - $2,100.00 0 Jul/10/14 Mar/08/15
Description: AMAT 0040-64747 MAIN SHAFT, LOAD CUP, NEW
keykorea NEW - $1,500.00 2 Mar/08/15 May/07/15
Description: AMAT 0200-00968 CERAMIQUE ISOLATOR TEOS/FSG, NEW
svcstore NEW - $139.99 0 Mar/08/15 Mar/11/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
printersplus83 NEW - $69.99 0 Mar/09/15 Apr/08/15
Description: New NOVELLUS 03-155888-00 PCA, RF CURRENT SENSOR
athomemarket NEW - $259.99 0 Mar/09/15 Apr/08/15
Description: NEW Applied Materials/AMAT 0040-76077 Manifold Tall Facilities I/F Centura 520
athomemarket NEW - $403.99 0 Mar/09/15 Apr/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $187.99 0 Mar/09/15 Apr/08/15
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $3,681.99 0 Mar/09/15 Apr/08/15
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
svcstore NEW - $183.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $189.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
used1eqsales NEW - $2,003.15 0 Mar/09/15 May/26/17
Description: AMAT 0040-48435 Upper Chamber Adapter 300mm SIP new surplus
svcstore NEW - $128.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
grandbirdnet NEW - $3,800.00 0 Mar/09/15 Mar/10/22
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, NEW
grandbirdnet NEW - $2,500.00 0 Mar/09/15 Mar/10/22
Description: AMAT 0200-18095 COLLAR, MIN. CONTACT 200MM SNNT, HDP-CVD, NEW
svcstore NEW - $183.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $193.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
keykorea NEW - $2,850.00 1 Mar/09/15 Apr/22/21
Description: AMAT 0200-02421 TXZ CERAMIC ISOLATOR 300mm LID, NEW
svcstore NEW - $144.99 0 Mar/09/15 Mar/12/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $45.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $137.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $183.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $174.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $193.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
grandbirdnet NEW - $250.00 3 Mar/09/15 Mar/10/22
Description: AMAT 0021-34033 MEMBRANE, 300MM TITAN PROFILER 5- ZONE , NEW
grandbirdnet NEW - $650.00 0 Mar/09/15 Mar/10/22
Description: AMAT 0200-10522 INSULATOR, NON-PWR SIDE, ALUMINA, DPA , NEW
grandbirdnet NEW - $50.00 1 Mar/10/15 Feb/17/22
Description: AMAT 0200-18362 HDP HOLDER PIN, NEW
keykorea NEW - $120.00 14 Mar/10/15 Nov/18/18
Description: LAM RESEARCH 15-034333-00 TUBE,PROCESS INJ.,SPEED , NEW
usedeqsales NEW - $353.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 3700-01156 BS382 Viton O-Ring Reseller Lot Of 10 New
used1eqsales NEW - $2,503.15 0 Mar/10/15 May/26/17
Description: AMAT 0040-52495 Bottom Chamber Narrow Adapter 300mm SIP new surplus
usedeqsales NEW - $303.15 0 Mar/10/15 May/04/15
Description: Edwards C333-55-000 Vacuum Valve SP25K VV AMAT 3870-90054 New
usedeqsales NEW - $303.15 0 Mar/10/15 May/04/15
Description: SMC PF3W540-06-1T-X110 Digital Flow Switch AMAT 1270-02827 New
athomemarket NEW - $388.99 0 Mar/10/15 Apr/09/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
usedeqsales NEW - $407.14 4 Jul/16/14 Mar/09/15
Description: Inficon 0190-33740 TS Heated Inline Valve VIP040-Z AMAT Applied Materials New
athomemarket NEW - $244.99 0 Mar/10/15 Apr/09/15
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
imca00 NEW - $7,450.00 0 Mar/10/15 Mar/17/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore NEW - $289.99 0 Mar/10/15 Mar/13/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Mar/10/15 Mar/13/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/10/15 Mar/13/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Mar/10/15 Mar/13/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
bosch_shx NEW - $69.99 0 Mar/11/15 Sep/26/17
Description: NEW LAM RESEARCH 714-034328-001 STRAP WIDE RF FEED KIYO TCP
bosch_shx NEW - $69.99 0 Mar/11/15 Sep/26/17
Description: NEW LAM RESEARCH 714-800103-003 GD CKT BRKR
bosch_shx NEW - $199.99 0 Mar/11/15 Sep/26/17
Description: NEW LAM RESEARCH 715-046828-663 FXTR CAL DYN ALIGN PM NIB
bosch_shx NEW - $379.99 0 Mar/11/15 Sep/26/17
Description: NEW LAM RESEARCH 839-802751-001 WLDMT HELIUM EXHAUST
bosch_shx NEW - $450.00 0 Mar/11/15 Sep/26/17
Description: NEW LAM RESEARCH 716-072581-055 NIB RING KAPTONSHM CER MIN CLRNC
bosch_shx NEW - $550.00 0 Mar/11/15 Sep/26/17
Description: NEW LAM RESEARCH 715-803265-001 COVER ESC FACILITY
bosch_shx NEW - $349.99 0 Mar/11/15 Sep/26/17
Description: NEW LAM RESEARCH 839-037989-001 WLDMT PROCESS GAS STONEHENGE KIYO
nps NEW - $24.99 1 Mar/11/15 Mar/18/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket NEW - $489.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket NEW - $334.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $6,199.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $88.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $402.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $334.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $97.99 0 Mar/11/15 Apr/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $307.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $294.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $242.99 0 Mar/11/15 Apr/10/15
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
conquer_2011 NEW - $950.00 0 Mar/11/15 Apr/10/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
athomemarket NEW - $6,199.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $2,225.99 0 Mar/11/15 Apr/10/15
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
athomemarket NEW - $244.99 0 Mar/11/15 Apr/10/15
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
svcstore NEW - $139.99 0 Mar/11/15 Mar/14/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $139.99 0 Mar/11/15 Mar/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
athomemarket NEW - $105.99 0 Mar/12/15 Apr/11/15
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $307.99 0 Mar/12/15 Apr/11/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
svcstore NEW - $128.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $183.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $189.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $183.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $193.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $183.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $193.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $144.99 0 Mar/12/15 Mar/15/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $137.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $183.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $45.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $174.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
supertechshop NEW - $199.00 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
supertechshop NEW - $495.00 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
athomemarket NEW - $38.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $367.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $438.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
athomemarket NEW - $88.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $98.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $79.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $72.99 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $690.99 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $79.99 0 Mar/13/15 Apr/12/15
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $45.99 0 Mar/13/15 Apr/12/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $906.99 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $34.99 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $97.99 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $49.99 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
crochrc NEW - $159.99 1 Feb/05/15 Mar/13/15
Description: NEW Exsil 100 mm Reclaim Wafers type P LOT of 25 PN#- PKV-FZ-530-0054
thndrrdge NEW - $74.99 1 Feb/09/15 Mar/11/15
Description: Varian 0981-82850-301 Tungsten Ion Source Filament Leak Detector NEW!
used1eqsales NEW - $3,003.15 0 Mar/13/15 May/26/17
Description: AMAT 0020-48303 002 CU Cl SST Lower Shield Twas Pentagon new
svcstore NEW - $289.99 0 Mar/13/15 Mar/16/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $137.99 0 Mar/13/15 Mar/16/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/13/15 Mar/16/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Mar/13/15 Mar/16/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
ustechno7 NEW - $264.99 2 Mar/14/15 Mar/14/15
Description: NEW AMAT Applied 0200-06824 ULTIMAX Cover Ring 300mm HDPCVD ULTIMAX high density
athomemarket NEW - $133.99 0 Mar/14/15 Apr/13/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
conquer_2011 NEW - $59.95 0 Mar/14/15 Apr/13/15
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
conquer_2011 NEW - $995.00 0 Mar/14/15 Apr/11/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
conquer_2011 Used - $6,500.00 0 Mar/14/15 Apr/11/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
svcstore NEW - $139.99 0 Mar/14/15 Mar/17/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket NEW - $245.99 0 Mar/15/15 Apr/14/15
Description: NEW Cardinal Detecto 4kg 4000 g Digital Scale AP-4K AMAT 3920-01570 w/ Power Sup
athomemarket NEW - $2,419.99 0 Mar/15/15 Apr/14/15
Description: NEW Applied Materials/AMAT 0010-19337 Manifold/Coolant Plate 2-Station Assembly
athomemarket Used - $83.99 0 Mar/15/15 Apr/14/15
Description: NEW LAM Research CV16-K1K1-MKVV Manual Knob NW/KF-16 Angle Valve 796-098943-002
svcstore NEW - $139.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $183.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $137.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $128.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $189.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
supertechshop NEW - $399.00 0 Mar/16/15 Apr/15/15
Description: NEW AMAT 0190-19351 Universal Diamond Disk ADLC Ring Type/ Pad Conditioner Kinik
supertechshop NEW - $450.00 0 Mar/16/15 Apr/15/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
usedeqsales NEW - $603.15 0 Mar/16/15 May/04/15
Description: AMAT Applied Materials 3400-00301 300mm RPG Chamber Hose Lot of 3 New
usedeqsales NEW - $603.15 0 Mar/16/15 May/04/15
Description: Yaskawa SGDH-01AE-N3Y900 Servopack AMAT 1080-00126 New
usedeqsales NEW - $353.15 0 Mar/16/15 May/05/15
Description: NTRON C7-01-5124-01-3 O2 Analyzer Control Module 5124BE-N1 AMAT 0550-00036 New
usedeqsales NEW - $4,503.15 0 Mar/16/15 Apr/30/15
Description: VAT 14048-PEZ4-AAC1 HV Gate Valve Senes 14 AMAT 3870-02157 New
usedeqsales NEW - $503.15 0 Mar/16/15 May/05/15
Description: Dupont K905807 Kalrez UltraPure O-Ring AMAT 3700-06178 Reseller Lot of 10 New
usedeqsales NEW - $403.15 0 Mar/16/15 May/05/15
Description: AMAT Applied Materials 3700-01371 Viton Duro O-Ring Reseller Lot Of 36 New
surplusssam NEW - $74.99 0 Mar/16/15 Mar/26/15
Description: NEW AMAT APPLIED MATERIALS 0090-76106 THERMAL CIRCUIT BREAKER
imca00 NEW - $99.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $249.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $249.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $749.00 0 Mar/16/15 Mar/22/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $349.00 0 Mar/16/15 Mar/22/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 NEW - $449.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
used1eqsales NEW - $1,803.15 0 Mar/16/15 May/26/17
Description: AMAT 0021-12555 Shield Upper Liftable Short Rev 009 new surplus
cappy95 NEW - $74.99 1 Mar/16/15 Apr/13/15
Description: NEW AMAT 3870-01603 FUJIKIN PNEUMATIC DIAPHRAGM VALVE 1/4 VCR
powersell007 NEW - $899.00 0 Mar/17/15 Nov/01/17
Description: APPLIED MATERIALS 3620-02332 KYPD REMOTE W/CABLE ONBOARD CTI CRYO PUMP AMAT NEW
powersell007 NEW - $3,499.00 0 Mar/17/15 Nov/01/17
Description: APPLIED MATERIALS 0041-42562 REV 02 INSERT SLIT VALVE 71 HOLE AMAT *NEW w/ CERT*
athomemarket NEW - $1,799.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $110.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $119.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $78.99 0 Mar/17/15 Apr/16/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $1,698.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $902.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $491.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $289.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $185.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $45.99 0 Mar/17/15 Apr/16/15
Description: NEW CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless Cv=0.30
athomemarket NEW - $75.99 0 Mar/17/15 Apr/16/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $316.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $73.99 0 Mar/17/15 Apr/16/15
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $219.99 0 Mar/17/15 Apr/16/15
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $42.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $42.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $1,004.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $21.99 0 Mar/17/15 Apr/16/15
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
usedeqsales NEW - $403.15 0 Mar/17/15 May/05/15
Description: HPS 100312906 Clamp Hinged NW50 Wing-Nut AMAT 0690-01037 Reseller Lot of 30 New
athomemarket NEW - $403.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials/AMAT 0020-31086 Susceptor T2 Base 150mm, 6mm SR-BWCVD
athomemarket NEW - $289.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $224.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $248.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $1,073.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,073.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $303.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $102.99 0 Mar/17/15 Apr/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $83.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $99.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials/AMAT 0200-09453 Rev. B Ceramic Collar, T2 Welded Susceptor
athomemarket NEW - $1,310.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $82.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $732.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $124.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $293.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
svcstore NEW - $178.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
imca00 NEW - $7,450.00 0 Mar/17/15 Mar/24/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore NEW - $126.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $126.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $169.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $139.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $160.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $169.99 0 Mar/18/15 Mar/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $169.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $41.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $126.99 0 Mar/18/15 Mar/21/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
nps NEW - $24.99 1 Mar/18/15 Mar/25/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales NEW - $353.15 0 Mar/18/15 May/05/15
Description: Swagelok SS-6-TA-1-6 Tube Fitting AMAT 3300-01469 Reseller Lot of 48 New
surplusssam NEW - $199.99 0 Mar/18/15 Mar/28/15
Description: NEW AMAT APPLIED MATERIALS 0040-37504 SLIT VALVE DOOR CENTURA PDD E38 BONDED
athomemarket NEW - $115.99 0 Mar/18/15 Apr/17/15
Description: (NEW) LAM Research 716-140236-002 R, Confinement, Mod, QTZ Degrease Ring
athomemarket NEW - $170.99 1 Mar/18/15 Apr/08/15
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
athomemarket NEW - $59.99 0 Mar/18/15 Apr/17/15
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,332.99 0 Mar/18/15 Apr/17/15
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $92.99 0 Mar/18/15 Apr/17/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
benta09 NEW - $999.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0022-77519 //FIXTURE, PAD CENTERING
benta09 NEW - $59.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
benta09 NEW - $39.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0050-39339 //UPPER EXHAUST WXP
benta09 NEW - $450.00 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 4000-01006 //CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SN
benta09 NEW - $59.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0021-77484 //MOTOR MOUNT
benta09 NEW - $34.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0040-00248 //Port Screen
benta09 NEW - $99.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
benta09 NEW - $29.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0040-09233 //BRACKET MOTOR LOAD ASSY
benta09 NEW - $115.00 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0200-20164 //INSULATORS, LAMP COVER, RIGHT
benta09 NEW - $999.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 3870-03490 //VALVE BLOCK DIAPH 3WAY 1/4VCR-558824
benta09 NEW - $149.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0021-04317 //FLAP BOTTOM MAIN THROTTLE VALVE
benta09 NEW - $249.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
keykorea NEW - $2,500.00 1 Mar/19/15 Apr/27/15
Description: AMAT 0010-05002 ASSY, INT. ISRM MODULE , NEW
used1eqsales NEW - $1,002.16 0 Mar/19/15 May/26/17
Description: AMAT 0090-03474 Mobile Station w/ Surge Protector new surplus
usedeqsales NEW - $253.15 0 Mar/19/15 May/04/15
Description: CPC LCD12004 1/4 PTF Coupling Valve AMAT 3300-08028 Reseller Lot of 20 New
athomemarket NEW - $382.99 0 Mar/19/15 Apr/18/15
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
usedeqsales NEW - $303.15 0 Mar/19/15 May/04/15
Description: AMAT Applied Materials 3700-01755 O-Ring Duro White Reseller Lot of 25 New
athomemarket NEW - $8,549.99 0 Mar/19/15 Apr/18/15
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $36.99 0 Mar/19/15 Apr/18/15
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $110.99 0 Mar/19/15 Apr/18/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $295.99 0 Mar/19/15 Apr/18/15
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
conquer_2011 NEW - $2,239.20 0 Mar/19/15 Apr/03/15
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
supertechshop NEW - $74.99 2 Mar/19/15 Apr/16/15
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY
supertechshop NEW - $74.99 0 Mar/19/15 Apr/18/15
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
usedeqsales NEW - $403.15 0 Mar/20/15 May/05/15
Description: Inficon 0190-23498 TS Heated Inline Valve VAP040-Z AMAT Applied Materials New
usedeqsales NEW - $503.15 0 Mar/20/15 May/05/15
Description: Yaskawa PMC-U-MP23104 Machine Controller MP2310iec AMAT 0190-42273 New
usedeqsales NEW - $353.15 0 Mar/20/15 May/05/15
Description: Yaskawa JAPMC-CM2301-E MP Series Machine Controller PCB AMAT 0650-00706 New
usedeqsales NEW - $603.15 0 Mar/20/15 May/04/15
Description: DuPont 034 Kalrez UltraPure O-Ring AS-568 AMAT 3700-08313 Reseller Lot of 20 New
athomemarket NEW - $252.99 0 Mar/20/15 Apr/19/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $197.99 0 Mar/20/15 Apr/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $62.99 0 Mar/20/15 Apr/19/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $197.99 0 Mar/20/15 Apr/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore NEW - $169.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $178.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $126.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $169.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
grandbirdnet NEW - $4,500.00 0 Mar/20/15 Aug/30/23
Description: AMAT 0040-64000 BULKHEAD, NEXT GEN CATHODE, 300MM EMAX , NEW
svcstore NEW - $41.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $139.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $160.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
keykorea NEW - $1,300.00 2 Mar/21/15 Jul/08/18
Description: AMAT 0020-40569 PLATE, CONV. ROBOT TC NON-ENP , NEW
keykorea NEW - $1,800.00 7 Mar/21/15 Jun/04/15
Description: AMAT 0020-30628 RING, CLAMP, VESPEL, POLY/WSI, 200MM, NO , NEW
grandbirdnet Used - $920.00 4 Mar/21/15 Dec/17/23
Description: AMAT 0020-31247 Ring Wafer Lift 100-150MM Univ. Chamber , NEW
svcstore NEW - $169.99 0 Mar/21/15 Mar/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $169.99 0 Mar/21/15 Mar/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $126.99 0 Mar/21/15 Mar/24/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
grandbirdnet NEW - $950.00 0 Mar/21/15 Jun/13/21
Description: AMAT 1080-50000 X AXIS STEPPING MOTOR FOR FABS , NEW
grandbirdnet NEW - $1,800.00 0 Mar/21/15 Dec/17/23
Description: AMAT 0021-81146 RING TEFLON 18" DOME 300MM HDPCVD , NEW
grandbirdnet NEW - $1,900.00 0 Mar/21/15 Mar/10/22
Description: AMAT 0041-34170 MFLD, DIW DISTRIBUTION, ECSA LDM , NEW
yayais2012 NEW - $220.00 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
yayais2012 NEW - $220.00 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
keykorea NEW - $2,800.00 0 Mar/21/15 Sep/04/17
Description: AMAT 0020-14762 CONE, CENTERING LOADCUP 300MM , NEW
keykorea NEW - $8,500.00 0 Mar/21/15 Jul/26/17
Description: AMAT 0190-31255 CONTROLLER, HEAT EXCHANGER, MEGASONIC, KOMATSU, REFLEXION , NEW
desert_dweller77 NEW - $2,250.00 0 Mar/22/15 Apr/01/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
everything4copiers NEW - $150.00 0 Mar/22/15 Mar/29/15
Description: Lot of 60 New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assem
athomemarket NEW - $45.99 0 Mar/22/15 Apr/21/15
Description: NEW Wasco SP129-51W2B-X/7466 Pressure Switch AMAT 0090-09163 Vacuum SP120
athomemarket NEW - $166.99 0 Mar/22/15 Apr/21/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $164.99 0 Mar/22/15 Apr/21/15
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
usedeqsales NEW - $503.15 0 Mar/23/15 May/05/15
Description: VAT 540980 Slit Valve Door 1085761 AMAT 0190-40582 New
hdsemispares NEW - $4,800.00 7 Mar/23/15 Nov/13/17
Description: AMAT 0190-76005 NEW TESTED OMS SPEC CNTL DWG, INTELLIGENT MOTOR CONTROL PCB
usedeqsales NEW - $6,003.15 0 Mar/23/15 Apr/21/15
Description: SMC INR-496-003D-X007 Thermo Chiller AMAT 0190-32655 New
athomemarket NEW - $1,194.99 0 Mar/23/15 Apr/22/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $37.99 0 Mar/23/15 Apr/22/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
usedeqsales NEW - $6,003.15 0 Mar/23/15 Apr/30/15
Description: SMC INR-496-003D Thermo Chiller AMAT 0190-32886 New
svcstore NEW - $178.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $126.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $169.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $139.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $160.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $41.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
grandbirdnet NEW - $2,400.00 0 Mar/24/15 Nov/22/21
Description: AMAT 0200-06824 COVER RING, 300MM HDPCVD, ULTIMA X , NEW
gti-semi Used - $518.00 0 Mar/24/15 Jun/20/18
Description: Novellus, Lam, NON CU NEW DESIGN FORK - CLEANED, p/n 15-042256-00
supertechshop NEW - $199.95 0 Mar/24/15 Apr/23/15
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
supertechshop NEW - $499.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
athomemarket NEW - $211.99 0 Mar/24/15 Apr/23/15
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $136.99 0 Mar/24/15 Apr/23/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
supertechshop NEW - $995.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $299.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $49.99 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
supertechshop NEW - $99.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $99.99 0 Mar/24/15 Apr/23/15
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $249.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $399.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Mar/24/15 Apr/23/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $299.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
athomemarket NEW - $920.99 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
supertechshop NEW - $495.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
supertechshop NEW - $39.99 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0190-35451 Halogen Photo Optic Lamp 82V 410W Osram 4100/JKT /2-Pin Bulb
supertechshop NEW - $24.99 0 Mar/24/15 Apr/23/15
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
supertechshop NEW - $499.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $149.95 0 Mar/24/15 Apr/23/15
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
supertechshop NEW - $899.95 0 Mar/24/15 Apr/23/15
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
supertechshop NEW - $149.95 0 Mar/24/15 Apr/23/15
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
supertechshop NEW - $499.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $495.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0190-17462 DC Power Supply Yamatake PGU502V04000 Soft Start / Warranty
supertechshop NEW - $249.00 0 Mar/24/15 Apr/23/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
kellansurplus NEW - $12.00 0 Mar/24/15 Jun/16/16
Description: *New* AMAT 0690-01037 (Mainframe) Clamp Hinged NW50 Wing-Nut & Screw-Closure AL
imca00 NEW - $7,450.00 1 Mar/24/15 Mar/25/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore NEW - $169.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $169.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $126.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
keykorea NEW - $45.00 1 Mar/24/15 Apr/30/18
Description: AMAT 0020-17924 ALIGNMENT TOOL, TOP CLEAN ULTIMA PLUS, NEW
grandbirdnet NEW - $1,700.00 0 Mar/24/15 Mar/10/22
Description: AMAT 0020-02348 CLAMP, LOWER SHIELD, 300MM SIP , NEW
svcstore NEW - $189.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $137.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $137.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
grandbirdnet NEW - $1,300.00 0 Mar/24/15 Mar/10/22
Description: AMAT 0020-24804 COVER RING SST 8" 101 , NEW
svcstore NEW - $137.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $128.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
grandbirdnet NEW - $4,900.00 0 Mar/25/15 Mar/10/22
Description: AMAT 0620-04248 CABLE, RF 79FT, 2MHZ GENERATOR EPSILON , NEW
keykorea NEW - $2,900.00 0 Mar/24/15 Dec/18/20
Description: AMAT 0040-07291 DEPOSITION RING ADV 101 300MM , NEW
usedeqsales NEW - $353.15 0 Mar/25/15 May/05/15
Description: Yaskawa JAPMC-CM2301-E Machine Controller PCB 218IF-Y1 AMAT 0650-00706 New
nps NEW - $24.99 1 Mar/25/15 Apr/01/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
unitedelectronicrecycling NEW - $123.47 1 Mar/25/15 Sep/25/15
Description: AMAT Applied Materials 0190-31257 COPLEY CONTROLS 800-1514A SERVO AMPLFR NEW
athomemarket NEW - $5,899.99 0 Mar/25/15 Apr/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $497.99 0 Mar/25/15 Apr/24/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,627.99 0 Mar/25/15 Apr/24/15
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
cappy95 NEW - $249.99 0 Mar/25/15 Apr/24/15
Description: NEW Applied Materials 0010-40155 Wafer Detector Sensor AMAT Rev. C
athomemarket NEW - $5,899.99 0 Mar/25/15 Apr/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
grandbirdnet NEW - $4,500.00 0 Mar/26/15 Mar/10/22
Description: AMAT 0190-27084 MEI EXMP SYNQNET STANDALONE MOTION CONTR , NEW
jcag2156 NEW - $102.00 0 Mar/26/15 Apr/02/15
Description: LAM Research Upper Baffle Plate 8" 715-028615-001 New
athomemarket NEW - $88.99 0 Mar/26/15 Apr/25/15
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $54.99 0 Mar/26/15 Apr/25/15
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $5,806.99 0 Mar/26/15 Apr/25/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $54.99 0 Mar/26/15 Apr/25/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $4,163.99 0 Mar/26/15 Apr/25/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
usedeqsales NEW - $303.15 0 Mar/26/15 May/04/15
Description: Cutler-Hammer WMS2C20 Supplementary Protector C20 AMAT 0680-01894 Lot of 12 New
svcstore NEW - $169.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $178.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $126.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $169.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $139.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $160.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
grandbirdnet NEW - $4,100.00 0 Mar/27/15 Mar/10/22
Description: AMAT 0190-77284 NOVA CONTROL UNIT 210-48100-01 , NEW
usedeqsales NEW - $1,203.15 0 Mar/27/15 Mar/27/15
Description: AMAT Applied Materials 0190-35030 EPI Lamp Holder G-22 Centura Lot of 25 New
athomemarket NEW - $37.99 1 Mar/27/15 Apr/10/15
Description: NEW Clippard 2012 3-Way Pneumatic Valve AMAT 0010-39665
athomemarket NEW - $199.99 0 Mar/27/15 Apr/26/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket NEW - $428.99 0 Mar/27/15 Apr/26/15
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
svcstore NEW - $169.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $178.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $126.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $183.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $139.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $189.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $137.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
supertechshop NEW - $199.00 0 Mar/28/15 Apr/27/15
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $299.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop NEW - $299.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
supertechshop NEW - $750.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
supertechshop NEW - $750.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
supertechshop NEW - $750.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
supertechshop NEW - $975.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $299.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
supertechshop NEW - $295.00 0 Mar/28/15 Apr/27/15
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
athomemarket NEW - $185.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
athomemarket NEW - $99.99 0 Mar/28/15 Apr/27/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $70.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $65.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $225.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $360.99 0 Mar/28/15 Apr/27/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $8,449.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $375.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $186.99 0 Mar/28/15 Apr/17/15
Description: NEW MKS/AMAT 0190-24115 CDN391R VME DeviceNet I/O Card
athomemarket NEW - $283.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $285.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $284.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $97.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $492.99 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
svcstore NEW - $137.99 0 Mar/28/15 Mar/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
bjnaf4 NEW - $875.60 0 Mar/29/15 Apr/28/15
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $449.99 0 Mar/29/15 Apr/28/15
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
springfieldsurplus2014 NEW - $12.50 0 Mar/29/15 Apr/28/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
svcstore NEW - $178.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $169.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $169.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $126.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $126.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $126.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $139.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $160.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
athomemarket NEW - $285.99 0 Mar/30/15 Apr/29/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $62.99 0 Mar/30/15 Apr/29/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
athomemarket NEW - $346.99 0 Mar/30/15 Apr/29/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $404.99 0 Mar/30/15 Apr/29/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $426.99 0 Mar/30/15 Apr/29/15
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,003.99 0 Mar/30/15 Apr/29/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $2,630.99 0 Mar/30/15 Apr/29/15
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $131.99 0 Mar/30/15 Apr/29/15
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $13,180.00 0 Mar/30/15 Apr/29/15
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
testeqe NEW - $431.25 0 Mar/30/15 Jul/17/17
Description: NEW Applied Materials PN: 0200-09349 150mm Flat Quartz Clamp (OX/MLR/NIT AMAT)
svcstore NEW - $169.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $169.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $126.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $179.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
conquer_2011 NEW - $15.00 0 Mar/30/15 Apr/29/15
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
svcstore NEW - $137.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $137.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $189.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $128.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcompucycle NEW - $399.00 0 Apr/05/15 May/05/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
athomemarket NEW - $60.99 0 Apr/05/15 May/05/15
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $59.99 0 Apr/05/15 May/05/15
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 Apr/05/15 May/05/15
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $49.99 0 Apr/05/15 May/05/15
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 Apr/05/15 May/05/15
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $253.99 0 Apr/05/15 May/05/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $224.99 0 Apr/05/15 May/05/15
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $89.99 0 Apr/05/15 May/05/15
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
capovani_brothers NEW - $375.00 0 Apr/04/15 May/04/15
Description: AMAT Applied Materials 0200-09105 Liner Ceramic, APF - New
capovani_brothers NEW - $375.00 0 Apr/04/15 May/04/15
Description: AMAT Applied Materials 0200-09104 Liner Ceramic, APF - New
svcstore NEW - $126.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $126.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $169.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $178.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
yayais2012 NEW - $75.00 0 Apr/04/15 May/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket NEW - $99.99 0 Apr/04/15 May/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $99.99 0 Apr/04/15 May/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
conquer_2011 NEW - $275.00 0 Apr/04/15 May/04/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
athomemarket NEW - $199.99 0 Apr/04/15 May/04/15
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $199.99 0 Apr/04/15 May/04/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $241.99 0 Apr/04/15 May/04/15
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $174.99 0 Apr/05/15 May/05/15
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $164.99 0 Apr/05/15 May/05/15
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
keykorea NEW - $2,000.00 0 Apr/04/15 Apr/09/15
Description: AMAT 0015-77080 HARMONIC DRIVE, BASE ASSEMBLY, NEW
svcstore NEW - $289.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $126.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
athomemarket NEW - $625.99 0 Apr/04/15 May/04/15
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $105.99 0 Apr/04/15 May/04/15
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $70.99 0 Apr/04/15 May/04/15
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $32.99 0 Apr/04/15 May/04/15
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
keykorea NEW - $1,100.00 1 Apr/04/15 Apr/22/15
Description: LAM RESEARCH 716-330504-081 RING FOCUS, 8" ESC GUARD , NEW
athomemarket NEW - $83.99 0 Mar/31/15 Apr/30/15
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
athomemarket NEW - $6,999.99 0 Apr/04/15 May/04/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
trees_for_a_better_tomorrow NEW - $150.00 0 Apr/03/15 Apr/10/15
Description: New Cup/Bus 196mm Low Pro Assy Novellus 02-130754-00 Rev B Ceramic Ring CoorsTek
egoodspro NEW - $169.00 4 Apr/02/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 280 Compound 8085UP Novellus p/n 22-177507-00
clipclip NEW - $149.00 0 Apr/02/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 249 Compound 8575UP Novellus p/n 22-177512-00
svcstore NEW - $139.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $160.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $169.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $126.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $139.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $189.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $137.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $137.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
nps NEW - $24.99 0 Apr/06/15 Apr/13/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
semiconusa NEW - $1,700.00 0 Apr/06/15 Apr/24/17
Description: MKS Instruments 253B-23957 Throttle Control Valve AMAT 3870-03328 New
mattron747 NEW - $750.00 0 Apr/06/15 May/06/15
Description: Lam Research, Lower Electrode Assy, New, 853-011632-001
usedeqsales NEW - $10,004.20 0 Apr/06/15 Apr/30/15
Description: AMAT Applied Materials 0190-38375 DI Water Cooler CoolEND Chiller New
imca00 NEW - $249.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $249.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $99.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $1,749.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
athomemarket NEW - $53.99 0 Apr/06/15 May/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $223.99 0 Apr/06/15 May/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $289.99 0 Apr/06/15 May/06/15
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $153.99 0 Apr/06/15 May/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $260.99 0 Apr/06/15 May/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $58.99 0 Apr/06/15 May/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $104.99 0 Apr/06/15 May/06/15
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $54.99 0 Apr/06/15 May/06/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $1,416.99 0 Apr/06/15 May/06/15
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
svcstore NEW - $137.99 0 Apr/06/15 Apr/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Apr/06/15 Apr/09/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $128.99 0 Apr/06/15 Apr/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
dvkelectronics NEW - $425.00 0 Apr/06/15 May/06/15
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
clipclip NEW - $120.00 0 Apr/07/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 229 Compound 8575UP Novellus p/n 22-177514-00
clipclip NEW - $120.00 0 Apr/07/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 152 Compound 8575UP Novellus 22-177549-00
clipclip NEW - $99.00 0 Apr/07/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 150 Compound 8575UP Novellus 22-177508-00
clipclip NEW - $20.00 2 Apr/07/15 May/11/15
Description: NEW Dupont Kalrez Sahara O-Ring K# 142 Compound 8575UP Novellus p/n 22-177510-00
clipclip NEW - $20.00 0 Apr/07/15 Dec/03/15
Description: NEW Dupont Kalrez Sahara O-Ring K# 123 Compound 8575UP Novellus p/n 22-176567-00
clipclip NEW - $59.00 0 Apr/07/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 116 Compound 8575UP Novellus p/n 22-176568-00
clipclip NEW - $55.00 4 Apr/07/15 Dec/03/15
Description: NEW Dupont Kalrez Sahara O-Ring K# 112 Compound 8575UP Novellus p/n 22-280169-00
clipclip NEW - $25.00 0 Apr/07/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 010 Compound 8575UP Novellus p/n 22-152051-00
clipclip NEW - $225.00 0 Apr/07/15 Dec/03/15
Description: NEW Dupont Kalrez Sahara O-Ring K# 379 Compound 8575UP Novellus p/n 22-177546-00
clipclip NEW - $199.00 2 Apr/07/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 336 Compound 8575UP Novellus p/n 22-177916-00
clipclip NEW - $179.00 0 Apr/07/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 274 Compound 8575UP Novellus p/n 22-177547-00
clipclip NEW - $20.00 0 Apr/07/15 Dec/03/15
Description: NEW Dupont Kalrez Sahara O-Ring K# 237 Compound 8575UP Novellus p/n 22-168016-00
clipclip NEW - $120.00 8 Apr/07/15 Jul/20/15
Description: NEW Dupont Kalrez Sahara O-Ring K# 236 Compound 8575UP Novellus p/n 22-175013-00
clipclip NEW - $120.00 0 Apr/07/15 Oct/31/17
Description: NEW Dupont Kalrez Sahara O-Ring K# 233 Compound 8575UP Novellus p/n 22-176566-00
usedeqsales NEW - $25,004.20 1 Apr/07/15 Apr/07/15
Description: AMAT Applied Materials 0010-44995 HHXP Dual Arm Robot 3100-01096 New
athomemarket NEW - $85.99 0 Apr/07/15 May/07/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $60.99 0 Apr/07/15 May/07/15
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $57.99 0 Apr/07/15 May/07/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $130.99 1 Apr/07/15 Apr/16/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $99.99 0 Apr/07/15 May/07/15
Description: NEW Applied Materials/AMAT 0100-20265 Rev 002 Filter Board Vacuum/Relay RF Match
athomemarket NEW - $57.99 0 Apr/07/15 May/07/15
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $4,240.99 0 Apr/07/15 May/07/15
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $142.99 0 Apr/07/15 May/07/15
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $199.99 0 Apr/07/15 May/07/15
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
svcstore NEW - $169.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $178.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $289.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $126.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $126.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $126.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
athomemarket NEW - $259.99 0 Apr/08/15 May/08/15
Description: NEW Applied Materials/AMAT 0040-76077 Manifold Tall Facilities I/F Centura 520
athomemarket NEW - $319.99 0 Apr/08/15 Apr/15/15
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
conquer_2011 NEW - $2,888.00 0 Apr/08/15 May/08/15
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
athomemarket NEW - $403.99 0 Apr/08/15 May/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $3,681.99 0 Apr/08/15 May/08/15
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $187.99 0 Apr/08/15 May/08/15
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
svcstore NEW - $41.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $139.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $160.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $169.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $169.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $126.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcompucycle NEW - $24.99 0 Apr/08/15 May/08/15
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
svcompucycle NEW - $299.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcompucycle NEW - $750.00 0 Apr/08/15 May/08/15
Description: NEW AMAT 0021-09007 Chamber Lid UNI-Lid Cover SSGD MXP Applied Materials
svcompucycle NEW - $199.00 0 Apr/08/15 May/08/15
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
svcompucycle NEW - $49.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
svcstore NEW - $183.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcompucycle NEW - $199.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
svcompucycle NEW - $249.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svcompucycle NEW - $149.95 0 Apr/08/15 May/08/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
svcompucycle NEW - $99.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svcompucycle NEW - $495.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
svcompucycle NEW - $475.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
svcompucycle NEW - $299.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
svcompucycle NEW - $99.00 0 Apr/08/15 May/08/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
svcompucycle NEW - $299.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
svcompucycle NEW - $499.95 0 Apr/08/15 May/08/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcompucycle NEW - $975.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
svcompucycle NEW - $199.00 0 Apr/08/15 May/08/15
Description: NEW AMAT 0190-08900 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
svcompucycle NEW - $1,150.00 0 Apr/08/15 May/08/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
svcompucycle NEW - $349.95 1 Apr/08/15 May/08/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
svcstore NEW - $179.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $137.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $189.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
printersplus83 NEW - $69.99 0 Apr/09/15 May/09/15
Description: New NOVELLUS 03-155888-00 PCA, RF CURRENT SENSOR
athomemarket NEW - $388.99 0 Apr/09/15 May/09/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
athomemarket NEW - $244.99 0 Apr/09/15 May/09/15
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
svcstore NEW - $133.99 0 Apr/09/15 Apr/12/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $128.99 0 Apr/09/15 Apr/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Apr/09/15 Apr/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $137.99 0 Apr/09/15 Apr/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcompucycle NEW - $4,950.00 0 Apr/09/15 May/09/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcompucycle NEW - $4,750.00 0 Apr/09/15 May/09/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
athomemarket NEW - $334.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $402.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $334.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $88.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $307.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $294.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $97.99 0 Apr/10/15 May/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $242.99 0 Apr/10/15 May/10/15
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
conquer_2011 NEW - $950.00 0 Apr/10/15 May/10/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
athomemarket NEW - $244.99 0 Apr/10/15 May/10/15
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $2,225.99 0 Apr/10/15 May/10/15
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
svcstore NEW - $178.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $126.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $169.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $289.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
desert_dweller77 NEW - $1,000.00 0 Apr/11/15 Apr/21/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
conquer_2011 NEW - $995.00 0 Apr/11/15 May/11/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
conquer_2011 Used - $6,500.00 0 Apr/11/15 May/11/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW
athomemarket NEW - $489.99 0 Apr/11/15 May/11/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket NEW - $6,199.99 0 Apr/11/15 May/11/15
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $105.99 0 Apr/11/15 May/11/15
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $307.99 0 Apr/11/15 May/11/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
svcstore NEW - $126.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $169.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $178.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $139.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $160.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $126.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $189.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $137.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
athomemarket NEW - $72.99 0 Apr/12/15 May/12/15
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $34.99 0 Apr/12/15 May/12/15
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $79.99 0 Apr/12/15 May/12/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $690.99 0 Apr/12/15 May/12/15
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $79.99 0 Apr/12/15 May/12/15
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $45.99 0 Apr/12/15 May/12/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $906.99 0 Apr/12/15 May/12/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $97.99 0 Apr/12/15 May/12/15
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $49.99 0 Apr/12/15 May/12/15
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
svcstore NEW - $137.99 0 Apr/12/15 Apr/15/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Apr/12/15 Apr/15/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $128.99 0 Apr/12/15 Apr/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Apr/12/15 Apr/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
nps NEW - $28.88 1 Apr/13/15 Apr/20/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket NEW - $367.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $88.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $38.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $438.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
athomemarket NEW - $98.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $133.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
usedeqsales NEW - $2,503.15 0 Apr/13/15 May/01/15
Description: VAT 65046-PH52-AKF1 Pendulum Valve AMAT 3870-03466 New
athomemarket NEW - $79.99 0 Apr/13/15 Apr/20/15
Description: NEW (Lot of 2) AMAT 0190-04979 Nomex Hose Assy Dome to Flow Switch 3/8, 1/2 TS
conquer_2011 NEW - $59.95 0 Apr/13/15 May/13/15
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
usedeqsales NEW - $609.14 1 Apr/13/15 Apr/16/15
Description: CyberOptics Semiconductor WX-43-PIB Wafer Mapping Sensor AMAT 1400-98010 New
svcompucycle NEW - $299.00 0 Apr/14/15 May/14/15
Description: NEW AMAT Wafer Calibration Tool 0270-05028 LCF Self Centering OD 300mm ID 40mm
svcompucycle NEW - $55.00 0 Apr/14/15 May/14/15
Description: NEW AMAT 3870-05028 Diaphragm 1/4" VCR Air Valve Fujikin FPR-ND-71-6.35-2-316LP
svcompucycle NEW - $1,250.00 1 Apr/14/15 Apr/30/15
Description: NEW AMAT 0020-35682 Reflector 2X4 Frontside 17-Deg For Centura / Warranty
athomemarket NEW - $245.99 1 Apr/14/15 Apr/16/15
Description: NEW Cardinal Detecto 4kg 4000 g Digital Scale AP-4K AMAT 3920-01570 w/ Power Sup
athomemarket NEW - $2,419.99 0 Apr/14/15 May/14/15
Description: NEW Applied Materials/AMAT 0010-19337 Manifold/Coolant Plate 2-Station Assembly
athomemarket Used - $83.99 0 Apr/14/15 May/14/15
Description: NEW LAM Research CV16-K1K1-MKVV Manual Knob NW/KF-16 Angle Valve 796-098943-002
farmoninc NEW - $650.00 0 Apr/14/15 Mar/02/23
Description: NEW AMAT 0150-09728 CABLE,25' LIQUID SENSE, INTERCONNECT 401271
farmoninc NEW - $650.00 0 Apr/14/15 Mar/02/23
Description: NEW AMAT 0150-03174 CABLE ASSEMBLY, 75FT RS232 03 DELIVERY, PROD 401268
farmoninc NEW - $650.00 0 Apr/14/15 Mar/02/23
Description: NEW AMAT 0150-03174 CABLE ASSEMBLY, 75FT RS232 03 DELIVERY, PROD 401267
farmoninc NEW - $25.00 0 Apr/14/15 Mar/02/23
Description: NEW AMAT 0620-00814 DRY NOVA SPI/COM CABLE ASSY 0.5M 401266
svcstore NEW - $289.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $183.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $169.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $179.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $169.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $139.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $178.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $128.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $189.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $126.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $133.99 0 Apr/15/15 Apr/18/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $128.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $137.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $137.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $160.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $139.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $169.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $137.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $178.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
keykorea NEW - $110.00 0 Apr/15/15 Aug/23/15
Description: AMAT 3870-02674 BURKERT,HEAD PNEUMATIC(RR)(6106T1,6FPMPA), NEW
svcstore NEW - $126.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $169.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $126.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
farmoninc NEW - $1,850.00 0 Apr/16/15 Mar/02/23
Description: New AMAT 0040-03641 Blade, Right 300MM FI ECP, 401316
farmoninc Used - $450.00 0 Apr/16/15 Mar/02/23
Description: 3 New AMAT 0190-09918 Lip Seal, Oring 401315
tdindustrial NEW - $149.50 1 Apr/16/15 Apr/20/15
Description: AMAT ROBOT WRIST I/O PCB, 0100-77058 Rev P1, New
athomemarket NEW - $1,799.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $119.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $110.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $491.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,698.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $78.99 0 Apr/16/15 May/16/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $902.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $289.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $185.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $45.99 0 Apr/16/15 May/16/15
Description: NEW CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless Cv=0.30
athomemarket NEW - $75.99 0 Apr/16/15 May/16/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $73.99 0 Apr/16/15 May/16/15
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $1,004.99 0 Apr/16/15 May/16/15
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $125.00 0 Apr/16/15 May/16/15
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $316.99 0 Apr/16/15 May/16/15
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $42.99 0 Apr/16/15 May/16/15
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $42.99 0 Apr/16/15 May/16/15
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
athomemarket NEW - $21.99 0 Apr/16/15 May/16/15
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $289.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $1,073.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $99.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials/AMAT 0200-09453 Rev. B Ceramic Collar, T2 Welded Susceptor
athomemarket NEW - $319.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
athomemarket NEW - $102.99 0 Apr/16/15 May/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $403.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials/AMAT 0020-31086 Susceptor T2 Base 150mm, 6mm SR-BWCVD
athomemarket NEW - $1,073.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $248.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $303.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $224.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $82.99 0 Apr/16/15 May/16/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $83.99 0 Apr/16/15 May/16/15
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $293.99 0 Apr/16/15 May/16/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $124.99 0 Apr/16/15 May/16/15
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $732.99 0 Apr/16/15 May/16/15
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $1,310.99 0 Apr/16/15 May/16/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
usedeqsales NEW - $454.15 12 Apr/17/15 Apr/19/15
Description: AMAT Applied Materials 0020-49168 Clean Exhaust Insert Rev. 001 New
ustechno7 NEW - $1,399.99 0 Apr/17/15 May/17/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
athomemarket NEW - $115.99 0 Apr/17/15 May/17/15
Description: (NEW) LAM Research 716-140236-002 R, Confinement, Mod, QTZ Degrease Ring
athomemarket NEW - $92.99 0 Apr/17/15 May/17/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $1,332.99 0 Apr/17/15 May/17/15
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
athomemarket NEW - $59.99 1 Apr/17/15 May/17/15
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
svcompucycle NEW - $199.00 0 Apr/17/15 May/17/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
svcompucycle NEW - $495.00 0 Apr/17/15 May/17/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
usedeqsales NEW - $509.13 0 Apr/17/15 Apr/30/15
Description: AMAT Applied Materials 0190-38516 Lamp Assembly Radiance Lot of 10 New
svcompucycle NEW - $399.00 0 Apr/17/15 May/17/15
Description: NEW AMAT 0190-19351 Universal Diamond Disk ADLC Ring Type/ Pad Conditioner Kinik
svcompucycle NEW - $450.00 0 Apr/17/15 May/17/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
svcstore NEW - $289.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $139.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $178.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $183.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $126.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $189.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $126.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $179.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $169.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
mrbtrading347 NEW - $897.00 0 Apr/18/15 Apr/25/15
Description: AMAT 0100-20004 wPCB ASSY, CHAMBER INTERCONNECT, NEW board
imca00 NEW - $1,749.00 0 Apr/18/15 Apr/25/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
ytyc2014 NEW - $150.00 2 Apr/18/15 Apr/20/15
Description: New AMAT 0010-07277 ASSY, CARRIER PLATE, DAMPENED
athomemarket NEW - $382.99 0 Apr/18/15 May/18/15
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $8,549.99 0 Apr/18/15 May/18/15
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $24.99 0 Apr/18/15 May/18/15
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $110.99 0 Apr/18/15 May/18/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $295.99 0 Apr/18/15 May/18/15
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
svcstore NEW - $137.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $137.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $128.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $137.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $133.99 0 Apr/18/15 Apr/21/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $128.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $41.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $139.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $169.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $169.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $178.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $126.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $126.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
athomemarket NEW - $252.99 0 Apr/19/15 May/19/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $197.99 0 Apr/19/15 May/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $62.99 0 Apr/19/15 May/19/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $197.99 0 Apr/19/15 May/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
farmoninc NEW - $495.00 0 Apr/20/15 Mar/02/23
Description: NEW AMAT 0270-20052 CAL TOOL 8in DEGAS 401402
svcstore NEW - $289.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $169.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $178.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
yayais2012 NEW - $187.00 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
yayais2012 NEW - $187.00 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
svcstore NEW - $126.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $126.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
athomemarket NEW - $79.99 0 Apr/21/15 May/21/15
Description: NEW (Lot of 2) AMAT 0190-04979 Nomex Hose Assy Dome to Flow Switch 3/8, 1/2 TS
athomemarket NEW - $166.99 0 Apr/21/15 May/05/15
Description: NEW AMAT 0020-47722 Upper Shield PVD 200mm W Tungsten
athomemarket NEW - $45.99 0 Apr/21/15 May/21/15
Description: NEW Wasco SP129-51W2B-X/7466 Pressure Switch AMAT 0090-09163 Vacuum SP120
athomemarket NEW - $164.99 0 Apr/21/15 May/21/15
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
svcompucycle NEW - $74.99 1 Apr/21/15 May/03/15
Description: NEW Novellus Ring Guard Lower 13" ID Assembly Ceramic Assembly 15-257372-00
svcstore NEW - $126.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $169.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $178.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $139.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $169.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $133.99 0 Apr/21/15 Apr/24/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $126.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
grandbirdnet NEW - $5,900.00 0 Apr/21/15 Dec/17/23
Description: AMAT 0190-25588 HEPA FILTER PRESSURE SENSOR READY 300MM , NEW
keykorea NEW - $2,900.00 1 Apr/21/15 Sep/28/18
Description: LAM RESEARCH 715-330180-001 ELCTD, BOT, FXD GAP ESC, LOW TEMP , NEW
dasherdeals NEW - $799.99 1 Apr/22/15 May/05/15
Description: NEW Set Pair Nordson 3kw Microwave Magnetron 1095764 Novellus 27-293896-00
athomemarket NEW - $1,194.99 0 Apr/22/15 May/22/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $37.99 0 Apr/22/15 May/22/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $118.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $174.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $126.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $118.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $126.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $449.99 0 Apr/22/15 Apr/25/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcstore NEW - $126.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $249.99 0 Apr/22/15 Apr/25/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcstore NEW - $165.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $169.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $549.99 0 Apr/23/15 Apr/26/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
surplusfreight555 NEW - $150.00 0 Apr/23/15 May/01/15
Description: New Amat Pedestal Chuck 0020-30314 Rev.001 ASL 150mm, Flat
redbarnstuff NEW - $89.99 0 Apr/23/15 May/23/15
Description: Entegris NT Pressure Transducer 4210 New 4210-100G-F08-B12-A-P2-U1 0-100PSIG 1"
allaroundofficesystems NEW - $110.00 0 Apr/23/15 Apr/28/15
Description: Canon Down LOADER  PCB ASSY  FY9-2034-000 New
redbarnstuff NEW - $179.99 0 Apr/23/15 May/23/15
Description: ENTEGRIS FLUOROWARE HFC-12F 2 way valve N.C.High flow 3/4" NEW IN BOX
svcstore NEW - $137.99 0 Apr/22/15 Apr/25/15
Description: NEW SEALED Lam Research 716-040737-402-B Ceramic Coupling Ring Semiconductor
svcstore NEW - $499.99 0 Apr/22/15 Apr/25/15
Description: NEW SEALED Lam Research 719-017112-533-A Ring Semiconductor Part
svcstore NEW - $118.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341-01
svcstore NEW - $137.99 0 Apr/22/15 Apr/25/15
Description: NEW SEALED Lam Research 716-017581-003-B Ring Semiconductor Part
yjlcdbusiness NEW - $55.00 6 Apr/23/15 Sep/02/21
Description: NEW TDK PCU-P077E CXA-0271 LCD LQ104V1DG21 LCD Inverter Compatible Replacement
anacapaequipment NEW - $200.00 0 Apr/23/15 May/14/15
Description: Entegris Semiconductor Wafer trays, Springs, and Covers, 427 Pieces, New (1270)
athomemarket NEW - $49.99 0 Apr/23/15 Apr/30/15
Description: NEW (Lot of 7) AMAT 3300-04677 Stainless 1" Seal-Lok SST Cap Fittings ORFS
athomemarket NEW - $211.99 0 Apr/23/15 May/23/15
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $136.99 0 Apr/23/15 May/23/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $920.99 0 Apr/23/15 May/23/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
rtsemisurplus NEW - $109.99 1 Mar/09/15 Apr/23/15
Description: #TBH-0005 NEW MACE Pneumatic Valve MOD 990-1144-110 Valve FAST FREE SHIPPING
tdindustrial NEW - $1,495.00 1 Apr/21/15 Apr/23/15
Description: KLA-Tencor Assembly, 995-0185-00, 0019620-000 R. AA, 52-1150, New in Box
tdindustrial NEW - $1,495.00 1 Apr/21/15 Apr/23/15
Description: KLA-Tencor Assembly, 97010823-2EM1, New in Box
allaroundofficesystems NEW - $110.00 1 Apr/15/15 Apr/23/15
Description: Canon Down LOADER  PCB ASSY  FY9-2034-000 New
keykorea NEW - $180.00 1 Nov/11/13 Apr/23/15
Description: PLAST-O-MATIC VALVES BSDA100T-NC-PP AIR OPERATED DIAPHRAGM, NEW
tdindustrial NEW - $249.50 1 Apr/17/15 Apr/22/15
Description: THK 39MM Guide Rail, 2RSR12WVMUUF w/2 RSR12WVW Bearing Blocks, 0190-77139, New
outofstoragecanadarick NEW - $195.00 1 Apr/10/15 Apr/22/15
Description: Vexta UDK2120A 2-Phase Driver New
svcstore NEW - $289.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $178.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $126.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $169.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
ssequipment NEW - $1,500.00 3 Apr/24/15 Jun/14/18
Description: NEW* 850S2A2RV20 Superfilter II A Omni Vrble Gain Bypass Superconductor w/ Cryo
athomemarket NEW - $5,899.99 0 Apr/24/15 May/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $399.99 0 Apr/24/15 May/24/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $5,899.99 0 Apr/24/15 May/21/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $1,627.99 0 Apr/24/15 May/24/15
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
svcstore NEW - $126.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
desert_dweller77 NEW - $1,000.00 0 Apr/25/15 May/05/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
svcstore NEW - $126.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $118.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $174.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $126.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
federal_trades NEW - $329.00 0 Apr/25/15 Aug/14/15
Description: New Yaskawa Servopack SGDH-01AE , SGDH-01AEYA11 Applied Material 1080-00080
athomemarket NEW - $54.99 0 Apr/25/15 May/25/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $88.99 0 Apr/25/15 May/25/15
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $54.99 0 Apr/25/15 May/25/15
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $5,806.99 0 Apr/25/15 May/25/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,163.99 0 Apr/25/15 May/25/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
svcstore NEW - $126.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $169.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $178.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $139.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $169.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $133.99 0 Apr/24/15 Apr/27/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
mrbtrading347 NEW - $799.00 0 Apr/25/15 May/02/15
Description: AMAT 0100-20004 wPCB ASSY, CHAMBER INTERCONNECT, NEW board
svcstore NEW - $126.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
surplusfreight555 NEW - $150.00 0 Apr/25/15 May/01/15
Description: Lam Research 853-140051-001 Rev:B Cylinder, Lifter New Unused
svcstore NEW - $118.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $449.99 0 Apr/25/15 Apr/28/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcstore NEW - $249.99 0 Apr/25/15 Apr/28/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcstore NEW - $165.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $169.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
athomemarket NEW - $199.99 0 Apr/26/15 May/26/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket NEW - $428.99 0 Apr/26/15 May/26/15
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
cappy95 NEW - $149.99 0 Apr/26/15 May/26/15
Description: NEW Applied Materials 0010-40155 Wafer Detector Sensor AMAT Rev. C
svcstore NEW - $549.99 0 Apr/26/15 Apr/29/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $289.99 0 Apr/26/15 Apr/29/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $169.99 0 Apr/26/15 Apr/29/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
keykorea NEW - $400.00 0 Apr/26/15 May/31/17
Description: AMAT 0100-77045 ASSY PCB,ROBOT WRIST , NEW
svcstore NEW - $169.99 0 Apr/26/15 Apr/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $178.99 0 Apr/26/15 Apr/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 Apr/26/15 Apr/29/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
athomemarket NEW - $185.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
athomemarket NEW - $65.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $99.99 0 Apr/27/15 May/27/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $70.99 0 Apr/27/15 May/27/15
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $492.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $8,449.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $225.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $284.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $360.99 0 Apr/27/15 May/27/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $285.99 1 Apr/27/15 May/27/15
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $375.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
athomemarket NEW - $97.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $283.99 0 Apr/27/15 May/27/15
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
svcstore NEW - $126.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $160.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $139.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $169.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $178.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $126.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $169.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $126.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
keykorea NEW - $1,790.00 0 Apr/28/15 Oct/19/15
Description: AMAT 0010-07277 ASSY, CARRIER PLATE, DAMPENED , NEW
generalpublicsales NEW - $129.00 7 Apr/28/15 Apr/06/18
Description: NEW GENUINE OEM APPLIED MATERIALS AMAT 0190-07679 CONTROL STATION INTERFACE
supertechshop NEW - $99.00 0 Apr/28/15 May/28/15
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
bjnaf4 NEW - $875.60 0 Apr/28/15 May/06/15
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
supertechshop NEW - $39.99 0 Apr/28/15 May/28/15
Description: NEW AMAT 0190-35451 Halogen Photo Optic Lamp 82V 410W Osram 4100/JKT /2-Pin Bulb
supertechshop NEW - $24.99 0 Apr/28/15 May/28/15
Description: NEW AMAT CGT 60K PTFE Tip Reduced Kit 0242-52793 Sealed / Avail QTY
svcstore NEW - $126.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $118.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $174.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $126.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $122.99 0 Apr/28/15 May/01/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $118.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $449.99 0 Apr/28/15 May/01/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcstore NEW - $249.99 0 Apr/28/15 May/01/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcstore NEW - $128.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $169.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $126.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
athomemarket NEW - $62.99 0 Apr/29/15 May/29/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
athomemarket NEW - $449.99 0 Apr/29/15 May/29/15
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
athomemarket NEW - $346.99 0 Apr/29/15 May/29/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $285.99 0 Apr/29/15 May/29/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $404.99 0 Apr/29/15 May/29/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
powersell007 Used - $199.00 0 Apr/29/15 Nov/29/23
Description: APPLIED MATERIALS 0140-06520 HARNESS ASSY PNEUMATIC PR 1-11938000-133 AMAT *NEW*
athomemarket NEW - $2,003.99 0 Apr/29/15 May/29/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $13,180.00 0 Apr/29/15 May/29/15
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $131.99 0 Apr/29/15 May/29/15
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $2,630.99 0 Apr/29/15 May/29/15
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $426.99 0 Apr/29/15 May/29/15
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
svcstore NEW - $169.99 0 Apr/29/15 May/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
conquer_2011 NEW - $15.00 0 Apr/29/15 May/29/15
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
svcstore NEW - $178.99 0 Apr/29/15 May/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcompucycle NEW - $99.95 0 Apr/29/15 May/29/15
Description: NEW AMAT Display Optic Lamp 0190-13806 Bulb 480W 82V 2-Pin Applied Materials
svcstore NEW - $165.99 0 Apr/29/15 May/02/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $126.99 0 Apr/29/15 May/02/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/29/15 May/02/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 Apr/29/15 May/02/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
grandbirdnet NEW - $750.00 0 Apr/29/15 Nov/16/21
Description: AMAT 0010-23601 CLAMP ASSY POLISHING HEAD , NEW
svcstore NEW - $549.99 0 Apr/29/15 May/02/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
grandbirdnet NEW - $100.00 1 Apr/30/15 Sep/09/21
Description: AMAT 3700-01682 ORING ID 2.359 CSD .139 CHEMRAZ SC513 80 , NEW
grandbirdnet NEW - $120.00 0 Apr/30/15 Dec/17/23
Description: AMAT 3700-01807 ORING ID.1.984CSD.139FFK M(KALREZ 4079)7 , NEW
keykorea NEW - $120.00 0 Apr/30/15 Feb/10/21
Description: AMAT 3700-02140 ORING ID 2.10 CSD .210 CHEMRAZ SC513 , NEW
grandbirdnet NEW - $500.00 0 Apr/30/15 Mar/10/22
Description: AMAT 3700-02724 ORING ID 18.955 CSD .210 CHEMRAZ SS513 8 , NEW
keykorea NEW - $750.00 1 Apr/30/15 Jan/06/16
Description: AMAT 3700-02365 ORING ID 14.975 CSD .210 CHEMRAZ SS592 , NEW
athomemarket NEW - $69.99 0 Apr/30/15 May/07/15
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
powersell007 NEW - $2,599.00 1 Apr/30/15 Mar/31/20
Description: APPLIED MATERIALS 0010-09787 ASSY, SUSCEPTOR 200MM T2 TUNGSTEN BSE 8" AMAT *NEW*
athomemarket NEW - $1,196.99 0 Apr/30/15 May/30/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,190.99 0 Apr/30/15 May/30/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $116.99 0 Apr/30/15 May/30/15
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket NEW - $83.99 0 Apr/30/15 May/30/15
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
svcstore NEW - $126.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $160.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $139.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $169.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $169.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $178.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $126.99 0 Apr/30/15 May/03/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $126.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
trees_for_a_better_tomorrow NEW - $500.00 0 May/01/15 May/08/15
Description: *60* New AMAT Applied Material 0190-14479 0190-14478 RFID Power Cable Assembly
springfieldsurplus2014 NEW - $12.50 0 May/01/15 May/31/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
athomemarket NEW - $126.99 0 May/01/15 May/31/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $49.99 0 May/01/15 May/31/15
Description: NEW (Lot of 7) AMAT 3300-04677 Stainless 1" Seal-Lok SST Cap Fittings ORFS
svcstore NEW - $126.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $118.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $126.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $174.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $199.99 0 May/01/15 May/04/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $99.99 0 May/01/15 May/04/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
svcstore NEW - $122.99 0 May/01/15 May/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $118.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $449.99 0 May/01/15 May/04/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcstore NEW - $249.99 0 May/01/15 May/04/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcstore NEW - $128.99 1 May/01/15 May/03/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $169.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $126.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
qualitysurplus88 NEW - $250.00 0 May/02/15 Sep/16/16
Description: New LAM Research ASSY, PCB, RF/TM EXP PN 810-707050-001
supertechshop NEW - $299.00 0 May/02/15 Jun/01/15
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
mrbtrading347 NEW - $749.97 1 May/02/15 May/03/15
Description: AMAT 0100-20004 wPCB ASSY, CHAMBER INTERCONNECT, NEW board
athomemarket NEW - $946.99 0 May/02/15 Jun/01/15
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $163.99 0 May/02/15 Jun/01/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $59.99 0 May/02/15 Jun/01/15
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $134.99 0 May/02/15 Jun/01/15
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $599.99 0 May/02/15 Jun/01/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
athomemarket NEW - $39.99 0 May/02/15 Jun/01/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
svcstore NEW - $165.99 0 May/02/15 May/05/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/02/15 May/05/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $289.99 0 May/02/15 May/05/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $178.99 0 May/02/15 May/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 May/02/15 May/05/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 May/02/15 May/05/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $169.99 0 May/02/15 May/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket NEW - $8.99 0 May/03/15 Jun/02/15
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
ciarasheltie NEW - $9.99 0 May/03/15 May/10/15
Description: AMAT APPLIED MATERIALS 3060-00995 BEARING BALL .253ft 0625ODX 196W SST NEW
svcstore NEW - $126.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $169.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $41.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $139.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $169.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $178.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $126.99 0 May/03/15 May/06/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $126.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
powersell007 NEW - $1,099.00 1 May/04/15 Sep/11/15
Description: APPLIED MATERIALS 0020-33538 PLATE, PERF OX 200MM, UNANODIZED AMAT *NEW, SEALED*
powersell007 NEW - $229.00 1 May/04/15 Jun/03/15
Description: APPLIED MATERIALS 0010-00070 2.25" STEPPING MOTOR VAC NS3213977-1 AMAT *NEW*
conquer_2011 NEW - $275.00 0 May/04/15 Jun/03/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
athomemarket NEW - $199.99 0 May/04/15 Jun/03/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $241.99 0 May/04/15 Jun/03/15
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $199.99 0 May/04/15 Jun/03/15
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $23.99 0 May/04/15 Jun/03/15
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
athomemarket NEW - $6,999.99 0 May/04/15 Jun/03/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore NEW - $118.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $174.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $126.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $199.99 0 May/04/15 May/07/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $2,499.99 0 May/04/15 May/07/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
svcstore NEW - $122.99 0 May/04/15 May/07/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $118.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $126.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $449.99 0 May/04/15 May/07/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcstore NEW - $99.99 0 May/04/15 May/07/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
svcstore NEW - $169.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $126.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $249.99 0 May/04/15 May/07/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
capovani_brothers NEW - $375.00 0 May/04/15 Jun/03/15
Description: AMAT Applied Materials 0200-09105 Liner Ceramic, APF - New
capovani_brothers NEW - $375.00 0 May/04/15 Jun/03/15
Description: AMAT Applied Materials 0200-09104 Liner Ceramic, APF - New
surplusssam NEW - $219.99 1 May/05/15 Sep/29/15
Description: NEW AMAT APPLIED MATERIALS 0040-37504 SLIT VALVE DOOR CENTURA PDD E38 BONDED
farmoninc NEW - $1,750.00 0 Nov/18/14 Dec/29/14
Description: NEW AMAT 0100-00379 PCB, SERIPLEX I/O DISTRIBUTION, FLUOF, AMAT 0040-02005 COVER
yayais2012 NEW - $75.00 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket NEW - $59.99 0 May/05/15 Jun/04/15
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $49.99 0 May/05/15 Jun/04/15
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 May/05/15 Jun/04/15
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 May/05/15 Jun/04/15
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $89.99 0 May/05/15 Jun/04/15
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $224.99 0 May/05/15 Jun/04/15
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $99.99 0 May/05/15 Jun/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $99.99 0 May/05/15 Jun/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $70.99 0 May/05/15 Jun/04/15
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $625.99 0 May/05/15 Jun/04/15
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
athomemarket NEW - $32.99 0 May/05/15 Jun/04/15
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $105.99 0 May/05/15 Jun/04/15
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $60.99 0 May/05/15 Jun/04/15
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $253.99 0 May/05/15 Jun/04/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $174.99 0 May/05/15 Jun/04/15
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $164.99 0 May/05/15 Jun/04/15
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
svcstore NEW - $165.99 0 May/05/15 May/08/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/05/15 May/08/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcompucycle NEW - $399.00 0 May/05/15 Jun/04/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
svcstore NEW - $289.99 0 May/05/15 May/08/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $178.99 0 May/05/15 May/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 May/05/15 May/08/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 May/05/15 May/08/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
athomemarket NEW - $69.99 0 May/08/15 Jun/07/15
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
svcstore NEW - $165.99 0 May/08/15 May/11/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 May/08/15 May/11/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
athomemarket NEW - $259.99 0 May/08/15 Jun/07/15
Description: NEW Applied Materials/AMAT 0040-76077 Manifold Tall Facilities I/F Centura 520
athomemarket NEW - $99.99 0 May/08/15 Jun/07/15
Description: NEW Applied Materials/AMAT 0100-20265 Rev 002 Filter Board Vacuum/Relay RF Match
powersell007 Used - $599.00 1 May/08/15 Nov/08/21
Description: NEW NORDSON 1075689A PHASE CONTROL BOARD,V1.01 APPLIED MATERIALS 0190-34285 AMAT
svcstore NEW - $169.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $126.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $122.99 0 May/07/15 May/10/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $118.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $126.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $118.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $174.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $126.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $126.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
athomemarket NEW - $85.99 0 May/07/15 Jun/06/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $60.99 0 May/07/15 Jun/06/15
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
surplusssam Used - $72.99 0 May/07/15 Feb/02/23
Description: NEW AMAT APPLIED MATERIALS 0090-76106 THERMAL CIRCUIT BREAKER
athomemarket NEW - $223.99 0 May/07/15 Jun/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $289.99 0 May/07/15 Jun/06/15
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $153.99 0 May/07/15 Jun/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $53.99 0 May/07/15 Jun/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $260.99 0 May/07/15 Jun/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
desert_dweller77 NEW - $1,000.00 0 May/07/15 May/17/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
svcstore NEW - $169.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $178.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $139.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $169.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $126.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
conquer_2011 NEW - $2,888.00 0 May/08/15 Jun/07/15
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
athomemarket NEW - $57.99 0 May/08/15 Jun/07/15
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $4,240.99 0 May/08/15 Jun/07/15
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $142.99 0 May/08/15 Jun/07/15
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
farmoninc NEW - $715.50 0 May/07/15 May/11/18
Description: NEW AMAT 0200-20138 6" QUARTZ INSULATOR SMRMF PCII @ A 401766
farmoninc NEW - $715.50 0 May/07/15 May/11/18
Description: NEW AMAT 0200-20138 6" QUARTZ INSULATOR SMRMF PCII @ A 401765
farmoninc NEW - $715.50 0 May/07/15 May/11/18
Description: NEW AMAT 0200-20138 6" QUARTZ INSULATOR SMRMF PCII @ A 401764
farmoninc NEW - $715.50 0 May/07/15 May/11/18
Description: NEW AMAT 0200-20138 6" QUARTZ INSULATOR SMRMF PCII @ A 401763
farmoninc NEW - $715.50 0 May/07/15 May/11/18
Description: NEW AMAT 0200-20138 6" QUARTZ INSULATOR SMRMF PCII @ A 401762
athomemarket NEW - $57.99 0 May/07/15 Jun/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
farmoninc NEW - $715.50 0 May/07/15 May/11/18
Description: NEW AMAT 0200-20138 6" QUARTZ INSULATOR SMRMF PCII @ A 401761
athomemarket NEW - $58.99 0 May/07/15 Jun/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $549.99 0 May/08/15 May/11/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
athomemarket NEW - $199.99 0 May/08/15 Jun/07/15
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
svcstore NEW - $249.99 0 May/07/15 May/10/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcstore NEW - $449.99 0 May/07/15 May/10/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcompucycle NEW - $299.00 0 May/08/15 Jun/07/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcompucycle NEW - $750.00 0 May/08/15 Jun/07/15
Description: NEW AMAT 0021-09007 Chamber Lid UNI-Lid Cover SSGD MXP Applied Materials
svcompucycle NEW - $199.00 0 May/08/15 Jun/07/15
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
svcompucycle NEW - $49.00 0 May/08/15 Jun/07/15
Description: NEW AMAT Ceramic Lift Pin 0200-71989 Etch Chamber Applied Materials / Avail Qty
svcstore NEW - $289.99 0 May/08/15 May/11/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcompucycle NEW - $99.00 0 May/08/15 Jun/07/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
svcompucycle NEW - $299.00 0 May/08/15 Jun/07/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
svcompucycle NEW - $299.00 0 May/08/15 Jun/07/15
Description: NEW AMAT Aluminum Pedestal Plate Bottom Slot FCVD Producer GT 300mm / 0041-43553
svcompucycle NEW - $499.95 0 May/08/15 Jun/07/15
Description: NEW AMAT 0040-99949 ESD Chuck 200mm Shell Assy Notch-2/5MIC/0.8" THK 0020-32909
svcompucycle NEW - $975.00 0 May/08/15 Jun/07/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
svcompucycle NEW - $199.00 0 May/08/15 Jun/07/15
Description: NEW AMAT 0190-08900 Infiction Weldment TEE-KF40-3003 Heated Pumpstack /Avail QTY
svcompucycle NEW - $349.95 2 May/08/15 Jun/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics KYPD & Cable Control Panel On-Board Cryo Pump
svcompucycle NEW - $1,150.00 0 May/08/15 Jun/07/15
Description: NEW AMAT 3620-02332 CTI-Cryogenics Remote Keyboard Control Panel On-Board Pump
svcstore NEW - $169.99 0 May/08/15 May/11/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $178.99 0 May/08/15 May/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $126.99 0 May/08/15 May/11/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
y.t.r2011 NEW - $90.00 0 May/09/15 Jun/02/16
Description: New AMAT 0020-43065 SUPPORT BAR, STEP PLATFORM. 300MM HDPCVD, 8.30"'
svcstore NEW - $169.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $178.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $41.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $160.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $139.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $169.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $126.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $169.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket NEW - $388.99 0 May/09/15 Jun/08/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
athomemarket NEW - $403.99 0 May/09/15 Jun/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
trees_for_a_better_tomorrow NEW - $31.80 3 May/09/15 Jun/06/15
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
svcstore NEW - $126.99 0 May/09/15 May/12/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
athomemarket NEW - $3,681.99 0 May/09/15 Jun/08/15
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
athomemarket NEW - $187.99 0 May/09/15 Jun/08/15
Description: NEW AMAT 1350-01265 Mykrolis SPT Pressure Transducer
athomemarket NEW - $244.99 0 May/10/15 Jun/09/15
Description: NEW AMAT 0020-22734 Honeycomb Hex 8" Collimator 1:1
conquer_2011 NEW - $950.00 0 May/10/15 Jun/09/15
Description: NEW AMAT ASSY: Bracket 0020-29294 w/ 2 Parker Veriflo Hi Purity 944AOPLPNCSFSFF
svcstore NEW - $126.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $174.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $126.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $118.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $2,499.99 0 May/10/15 May/13/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
svcstore NEW - $122.99 0 May/10/15 May/13/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $118.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $126.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 May/10/15 May/13/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $99.99 0 May/10/15 May/13/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
svcstore NEW - $449.99 0 May/10/15 May/13/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcstore NEW - $126.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $249.99 0 May/10/15 May/13/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
printersplus83 NEW - $69.99 0 May/11/15 Jun/10/15
Description: New NOVELLUS 03-155888-00 PCA, RF CURRENT SENSOR
conquer_2011 NEW - $995.00 0 May/11/15 Jun/10/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
conquer_2011 Used - $6,500.00 0 May/11/15 Jun/10/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW
athomemarket NEW - $489.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket NEW - $294.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $307.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $97.99 0 May/11/15 Jun/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $402.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $334.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $88.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $334.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $6,199.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $242.99 0 May/11/15 Jun/10/15
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $6,199.99 0 May/11/15 Jun/10/15
Description: NEW Applied AMAT 0010-06128 WxZ Heater 6" Assy. w/ SHT .025 AMJ Seasoning P-5000
athomemarket NEW - $244.99 0 May/11/15 Jun/10/15
Description: NEW AMAT 0020-27308 Stainless Steel 8" Lower Shield
athomemarket NEW - $2,225.99 0 May/11/15 Jun/10/15
Description: NEW AMAT 0190-14970 Thrust Plate w/ Single V-Seal - Slim Cell (6"/150mm)
todd1455 NEW - $100.00 1 May/11/15 May/18/15
Description: Precision Sensors E36W-H16 Precision Switch Amat 1270-01518 640 torr 28vdc New
svcstore NEW - $169.99 0 May/11/15 May/14/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $165.99 0 May/11/15 May/14/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 May/11/15 May/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $549.99 0 May/11/15 May/14/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
keykorea NEW - $2,900.00 1 May/11/15 Sep/03/17
Description: AMAT 0010-29780 DRIVE ASSEMBLY, TURBO THROTTLE VALVE, 30 , NEW
athomemarket NEW - $105.99 0 May/12/15 Jun/11/15
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $307.99 0 May/12/15 Jun/11/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
svcompucycle NEW - $24.99 0 May/12/15 Jun/11/15
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
svcompucycle NEW - $199.00 0 May/12/15 Jun/11/15
Description: NEW AMAT Slit Valve Door FCVD Producer GT 0041-37814 CVD 13-1/2X8-7/8X1/2"
svcompucycle NEW - $249.00 0 May/12/15 Jun/11/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svcompucycle NEW - $149.95 0 May/12/15 Jun/11/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
svcompucycle NEW - $99.00 0 May/12/15 Jun/11/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svcompucycle NEW - $495.00 0 May/12/15 Jun/11/15
Description: NEW AMAT Chamber Clear Lid Upper Clamp Producer Ring Assy 0041-30953 / Sealed
svcompucycle NEW - $475.00 0 May/12/15 Jun/11/15
Description: NEW AMAT Mainframe Secondary Distribution 0100-71310 Slit Valve Control PCB Assy
svcompucycle NEW - $4,950.00 0 May/12/15 Jun/11/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcompucycle NEW - $4,750.00 0 May/12/15 Jun/11/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcstore NEW - $116.99 0 May/12/15 May/15/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $164.99 0 May/12/15 May/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $156.99 0 May/12/15 May/15/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $289.99 0 May/12/15 May/15/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
ta-korea.global NEW - $740.00 0 May/13/15 May/26/17
Description: AMAT Support Center Rps2 Lid 200mm producer 0040-54104 2nd New
athomemarket NEW - $64.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $72.99 0 May/13/15 Jun/12/15
Description: NEW AMAT 0021-04871 Blank Chamber Leak U Wave WXZ Uwave
athomemarket NEW - $79.99 1 May/13/15 May/18/15
Description: NEW Control Concepts 4028-1007 SCR Power Controller 208VAC/40A AMAT 0190-25366
athomemarket NEW - $599.99 0 May/13/15 Jun/12/15
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card
athomemarket NEW - $39.99 0 May/13/15 Jun/12/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $39.99 0 May/13/15 Jun/12/15
Description: NEW AMAT 3870-00899 Surface Mount 2-Port Diaphragm Valve C-Seal NC 125psig
athomemarket NEW - $688.99 0 May/13/15 Jun/12/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card
athomemarket NEW - $79.99 0 May/13/15 Jun/12/15
Description: NEW AMAT 0050-84735 Veriflow Diaphragm Valve Assembly
athomemarket NEW - $29.99 0 May/13/15 Jun/12/15
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal
athomemarket NEW - $98.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $438.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials/AMAT 0190-19919 Micronode DeviceNet (MKS CIT AS00107-04)
athomemarket NEW - $367.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $88.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $38.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $133.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
usedeqsales NEW - $502.57 1 May/13/15 Sep/04/16
Description: AMAT Applied Materials 0010-15979 200mm Titan Head Gimbal and Film Assembly New
conquer_2011 NEW - $59.95 0 May/13/15 Jun/12/15
Description: NEW Boron Nitride AXO5 Lid, Metal Source HBN Center Power Spacer AMAT 0020-30215
svcstore NEW - $118.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $126.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $174.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $199.99 0 May/13/15 May/16/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $2,499.99 0 May/13/15 May/16/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
svcstore NEW - $122.99 0 May/13/15 May/16/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $118.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $126.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $449.99 0 May/13/15 May/16/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcstore NEW - $99.99 0 May/13/15 May/16/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
svcstore NEW - $126.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $249.99 0 May/13/15 May/16/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
texassemicontech NEW - $988.19 0 May/14/15 Aug/07/20
Description: Lam Research 677-096889-001 Fan Assy Assembly 115VAC 535 CFM 6' CD&P Rev E2 New
athomemarket NEW - $2,419.99 0 May/14/15 Jun/13/15
Description: NEW Applied Materials/AMAT 0010-19337 Manifold/Coolant Plate 2-Station Assembly
usedeqsales NEW - $1,805.15 0 May/14/15 Jul/06/15
Description: Thermalogic 718-736 Heater Controller RA541D-18C2 PCB AMAT 0660-00221 New
athomemarket Used - $83.99 0 May/14/15 Jun/13/15
Description: NEW LAM Research CV16-K1K1-MKVV Manual Knob NW/KF-16 Angle Valve 796-098943-002
svcompucycle NEW - $995.00 0 May/14/15 Jun/13/15
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
svcstore NEW - $165.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $156.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $128.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $156.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $169.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcompucycle NEW - $899.95 0 May/14/15 Jun/13/15
Description: New AMAT Vat Valve 439597 Pneumatic Actuator Assembly 85 PSI 0190-37105
svcompucycle NEW - $975.00 0 May/14/15 Jun/13/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
svcstore NEW - $549.99 0 May/14/15 May/17/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $116.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $116.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $156.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $116.99 0 May/14/15 May/17/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $128.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $147.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $164.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcompucycle NEW - $299.00 0 May/15/15 Jun/14/15
Description: NEW AMAT Wafer Calibration Tool 0270-05028 LCF Self Centering OD 300mm ID 40mm
svcompucycle NEW - $55.00 0 May/15/15 Jun/14/15
Description: NEW AMAT 3870-05028 Diaphragm 1/4" VCR Air Valve Fujikin FPR-ND-71-6.35-2-316LP
ciarasheltie NEW - $9.99 0 May/15/15 May/22/15
Description: AMAT APPLIED MATERIALS 3060-00995 BEARING BALL .253ft 0625ODX 196W SST NEW
svcstore NEW - $116.99 0 May/15/15 May/18/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $164.99 0 May/15/15 May/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $156.99 0 May/15/15 May/18/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $49.99 0 May/15/15 May/18/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket NEW - $491.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $119.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $110.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $78.99 0 May/16/15 Jun/15/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $1,799.99 0 May/16/15 May/21/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,698.99 1 May/16/15 May/19/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $902.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $149.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $289.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $45.99 0 May/16/15 Jun/15/15
Description: NEW CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless Cv=0.30
athomemarket NEW - $75.99 0 May/16/15 Jun/15/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $125.00 0 May/16/15 Jun/15/15
Description: NEW SMC ISE6B-B2-27L Pressure Switch 1/4" VCR 12-24V Sensor ISE6 AMAT 0090-77215
athomemarket NEW - $73.99 0 May/16/15 Jun/15/15
Description: NEW Lot of 7 AMAT 0690-01036 NW40 Hinged Wing Wing-Nut Clamps Screw Closure
athomemarket NEW - $1,004.99 0 May/16/15 Jun/15/15
Description: NEW AMAT 0240-27080 Darkspace Shield Clamp Electra-IMP
athomemarket NEW - $21.99 0 May/16/15 Jun/15/15
Description: NEW SMC VJ NVJ3443 Solenoid Valve AMAT EV 0090-18012 NR
athomemarket NEW - $42.99 0 May/16/15 Jun/15/15
Description: NEW AMAT 0150-92849 Fiber Optic Cable F/O T1/X4B 6000mm
athomemarket NEW - $316.99 0 May/16/15 Jun/15/15
Description: NEW AMAT 0020-46072 Shadow Ring High 6"/150mm Wafer ZTTN
athomemarket NEW - $42.99 0 May/16/15 Jun/15/15
Description: NEW AMAT 0150-90386 T1 X4B.Tx Fiber Optic Cable 3600mm
fredfreddfreddie NEW - $799.99 0 May/16/15 Jun/15/15
Description: AMAT Applied Materials 0190-05111 CONTROL VIDEO SWITCH, NEW
svcstore NEW - $289.99 0 May/16/15 May/19/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $118.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $126.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $174.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $2,499.99 0 May/16/15 May/19/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
svcstore NEW - $122.99 0 May/16/15 May/19/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $118.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $126.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 May/16/15 May/19/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $99.99 0 May/16/15 May/19/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
svcstore NEW - $449.99 0 May/16/15 May/19/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcstore NEW - $126.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $249.99 0 May/16/15 May/19/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
athomemarket NEW - $99.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials/AMAT 0200-09453 Rev. B Ceramic Collar, T2 Welded Susceptor
athomemarket NEW - $303.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $248.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $319.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
athomemarket NEW - $403.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials/AMAT 0020-31086 Susceptor T2 Base 150mm, 6mm SR-BWCVD
athomemarket NEW - $1,073.99 0 May/17/15 May/21/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $102.99 0 May/17/15 Jun/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,073.99 0 May/17/15 May/21/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $289.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $224.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials/AMAT 0020-26396 8" Lower Cover Ring 101 SST AL Arc Sprayed
athomemarket NEW - $82.99 0 May/17/15 Jun/16/15
Description: NEW AMAT Cable Assembly W587 0150-08744 AC Remote to Pump EMO, EPI RP 300
athomemarket NEW - $1,310.99 0 May/17/15 Jun/16/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $293.99 0 May/17/15 Jun/16/15
Description: NEW Applied AMAT 0020-27689 Shield Ring SNNF SST 200mm
athomemarket NEW - $124.99 0 May/17/15 Jun/16/15
Description: NEW AMAT 0020-01050 Ceramic Electrode Insulator Ring
athomemarket NEW - $732.99 0 May/17/15 Jun/16/15
Description: NEW AMAT 0010-01315 Shield Assy 8" OU Electra IMP/ECP
athomemarket NEW - $83.99 0 May/17/15 Jun/16/15
Description: NEW AMAT 0050-31222 Weldmount Diverter Valve Assy. 1/4" Uwave WXZ
athomemarket NEW - $115.99 0 May/17/15 Jun/16/15
Description: (NEW) LAM Research 716-140236-002 R, Confinement, Mod, QTZ Degrease Ring
svcstore NEW - $156.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $128.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $169.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $116.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $116.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $156.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $165.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/17/15 May/20/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $116.99 0 May/17/15 May/20/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $128.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $147.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $37.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcompucycle NEW - $495.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
svcompucycle NEW - $199.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
svcompucycle NEW - $399.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
svcompucycle NEW - $399.00 0 May/18/15 Jun/17/15
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
svcompucycle NEW - $699.00 0 May/18/15 Jun/17/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
svcompucycle NEW - $399.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0190-19351 Universal Diamond Disk ADLC Ring Type/ Pad Conditioner Kinik
svcompucycle NEW - $450.00 0 May/18/15 Jun/17/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
svcompucycle NEW - $495.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0190-12205 Proteus 9AMHXCHP3 Fluid Flow Switches Ball Valves Manifold
svcompucycle NEW - $499.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
svcompucycle NEW - $499.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
desert_dweller77 NEW - $1,000.00 0 May/18/15 May/28/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
athomemarket NEW - $92.99 0 May/18/15 Jun/17/15
Description: NEW AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket NEW - $59.99 0 May/18/15 Jun/17/15
Description: NEW AMAT/Dwyer 3000MR Photohelic Gauge 0090-09139 Assy. Pressure Gage 24VDC
athomemarket NEW - $1,332.99 0 May/18/15 Jun/17/15
Description: NEW AMAT 0190-09062 P5000 Thermocouple Susceptor 150mm
ustechno7 NEW - $1,350.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore NEW - $164.99 0 May/18/15 May/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $116.99 0 May/18/15 May/21/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $164.99 0 May/18/15 May/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $156.99 0 May/18/15 May/21/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $49.99 0 May/18/15 May/21/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
value-pack NEW - $740.00 0 May/19/15 Oct/16/15
Description: AMAT Support Center Rps2 Lid 200mm producer 0040-54104 2nd New
value-pack NEW - $1,550.00 0 May/19/15 Nov/15/15
Description: AMAT Gas Box, Silance 200mm Producer 0040-02520 2nd New
svcompucycle NEW - $149.95 0 May/19/15 Jun/18/15
Description: NEW AMAT Inficon Weldment KF40 Heated Upper Elbow Pumpstack 0190-23508 / QTY
keykorea NEW - $450.00 0 May/19/15 Nov/09/15
Description: AMAT 0100-77058 ASS'Y, PCB ROBOT WRIST, NEW
svcompucycle NEW - $199.95 0 May/19/15 Jun/18/15
Description: NEW AMAT Inficon VIP040-Z Heated Inline Valve 88W L1-9496 Balzers 0190-08899
powersell007 Used - $89.00 0 May/19/15 Dec/19/23
Description: APPLIED MATERIALS 0020-53297 FIXTURE, ABT PAD CONDITIONER DOWN FORCE AMAT *NEW*
athomemarket NEW - $382.99 0 May/19/15 Jun/18/15
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $252.99 0 May/19/15 Jun/18/15
Description: NEW AMAT 0240-04691 NSO Outrigger Centura Front Panel Ship Kit 2110R1-MC
athomemarket NEW - $110.99 0 May/19/15 Jun/18/15
Description: 2 NEW Applied AMAT 3300-06157 FTG Helium Elbow 1" -F/M SST
athomemarket NEW - $8,549.99 0 May/19/15 May/29/15
Description: NEW AMAT 0010-03188 Titan 3-Hole CMP Head (8" / 200mm)
athomemarket NEW - $24.99 0 May/19/15 Jun/18/15
Description: NEW Millipore LR061 Digital Pressure Transducer AMAT 1040-01179
athomemarket NEW - $295.99 0 May/19/15 Jun/18/15
Description: NEW AMAT 0040-21821 Hex Foil Collimator 1.25:1 5/8" SST Shaped .020" THK
svcstore NEW - $289.99 0 May/19/15 May/22/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
used1eqsales NEW - $3,005.15 0 May/19/15 Nov/15/15
Description: Lam 839-038786-001 Research Silicon Electrode Assembly Rev B new
svcstore NEW - $199.99 0 May/19/15 May/22/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $2,499.99 0 May/19/15 May/22/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
svcstore NEW - $122.99 0 May/19/15 May/22/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $99.99 0 May/19/15 May/22/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
grandbirdnet NEW - $22,000.00 0 May/19/15 Mar/10/22
Description: AMAT 3920-00492 TOOL, NOVA 3060 MEASUREMENT UNIT WET , NEW
used1eqsales NEW - $1,005.15 0 May/20/15 May/26/17
Description: Lam 716-033917-001 Research Quartz Ring Base new
used1eqsales NEW - $1,505.15 0 May/20/15 May/26/17
Description: AMAT 0021-11110 Ring Edge Aluminum 55T Heater TxZ new
powersell007 Used - $159.00 1 May/20/15 Dec/20/21
Description: APPLIED MATERIALS 0021-21765 SPACER, HEATER, 300MM, PVD AMAT *NEW IN BOX*
athomemarket NEW - $197.99 0 May/20/15 Jun/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $197.99 0 May/20/15 Jun/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $62.99 0 May/20/15 Jun/19/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
svcstore NEW - $156.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $128.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $116.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $116.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $116.99 0 May/20/15 May/23/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $128.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $147.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $37.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
grandbirdnet NEW - $3,500.00 0 May/21/15 Jan/24/23
Description: AMAT 1350-00616 FLOW CONTROLLER Entegris 6520-T5-F03-XXX-M-P1-U3-R03 , NEW
used1eqsales NEW - $602.57 0 May/21/15 Nov/17/15
Description: AMAT 0021-43797 Shield Lower Rev 4 new surplus
svcompucycle NEW - $299.00 0 May/21/15 Jun/20/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
svcompucycle NEW - $299.00 0 May/21/15 Jun/20/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
svcompucycle NEW - $299.00 0 May/21/15 Jun/20/15
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
svcompucycle NEW - $299.00 0 May/21/15 Jun/20/15
Description: NEW AMAT 0227-08983 Edwards IQDP IH600 Pump Cable & Power Kit Centura Chamber
svcompucycle NEW - $499.00 0 May/21/15 Jun/20/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svcompucycle NEW - $495.00 0 May/21/15 Jun/20/15
Description: NEW AMAT 0190-17462 DC Power Supply Yamatake PGU502V04000 Soft Start / Warranty
svcompucycle NEW - $750.00 0 May/21/15 Jun/20/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
svcompucycle NEW - $750.00 0 May/21/15 Jun/20/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
svcompucycle NEW - $750.00 0 May/21/15 Jun/20/15
Description: NEW AMAT Isolator Multi-Zone RF Ceramic Ring 0200-08679 / In Bag-Box Sealed
svcompucycle NEW - $299.00 1 May/21/15 Jun/12/15
Description: NEW AMAT 0041-28677 Retaining Ring 200mm 5-Zone Profiler/ Certificate/ Sealed
athomemarket NEW - $79.99 0 May/21/15 Jun/20/15
Description: NEW (Lot of 2) AMAT 0190-04979 Nomex Hose Assy Dome to Flow Switch 3/8, 1/2 TS
svcstore NEW - $164.99 0 May/21/15 May/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $116.99 0 May/21/15 May/24/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $164.99 0 May/21/15 May/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $156.99 0 May/21/15 May/24/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $49.99 0 May/21/15 May/24/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $249.99 0 May/22/15 May/25/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
ciarasheltie NEW - $9.99 0 May/22/15 May/29/15
Description: AMAT APPLIED MATERIALS 3060-00995 BEARING BALL .253ft 0625ODX 196W SST NEW
powersell007 Used - $259.00 0 May/22/15 Nov/22/23
Description: APPLIED MATERIALS 0041-41858 PLATE, CABLE SHIELD AMAT REV 02 *NEW*
athomemarket NEW - $45.99 0 May/22/15 Jun/21/15
Description: NEW Wasco SP129-51W2B-X/7466 Pressure Switch AMAT 0090-09163 Vacuum SP120
athomemarket NEW - $164.99 0 May/22/15 Jun/21/15
Description: NEW AMAT 0020-24635 Clamp Ring Target 6"/150mm Aluminum
svcompucycle NEW - $49.99 0 May/22/15 Jun/21/15
Description: NEW AMAT 0100-90573 Implanter PWB Filament Interface PCB Module Applied Material
svcompucycle NEW - $249.00 0 May/22/15 Jun/21/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svcstore NEW - $116.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $116.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $289.99 0 May/22/15 May/25/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $116.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $152.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $109.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $2,499.99 0 May/22/15 May/25/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
svcstore NEW - $549.99 0 May/22/15 May/25/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $156.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $109.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $160.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $199.99 0 May/22/15 May/25/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $99.99 0 May/22/15 May/25/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
svcstore NEW - $122.99 0 May/22/15 May/25/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $449.99 0 May/22/15 May/25/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
yayais2012 NEW - $220.00 0 May/23/15 Jun/22/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
yayais2012 NEW - $220.00 0 May/23/15 Jun/22/15
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
athomemarket NEW - $1,194.99 0 May/23/15 Jun/22/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $37.99 0 May/23/15 Jun/22/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $156.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $116.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $156.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $116.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $116.99 0 May/23/15 May/26/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $37.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $147.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $128.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket NEW - $211.99 0 May/24/15 Jun/23/15
Description: NEW Applied Materials/AMAT 0041-42684 Rev. 01 Clamp Plate Assembly
athomemarket NEW - $136.99 0 May/24/15 Jun/23/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket NEW - $5,886.99 0 May/24/15 Jun/23/15
Description: NEW Applied AMAT 0010-15108 Dual Zone WxZ Heater 200mm/8" TICL4 Assy. 0040-62276
athomemarket NEW - $920.99 0 May/24/15 Jun/23/15
Description: NEW AMAT 0010-10128 8" Susceptor Assy. P21 CVD SR 200mm
svcstore NEW - $164.99 0 May/24/15 May/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $116.99 0 May/24/15 May/27/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $49.99 0 May/24/15 May/27/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $164.99 0 May/24/15 May/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $156.99 0 May/24/15 May/27/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
athomemarket NEW - $5,899.99 0 May/25/15 Jun/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm / 8" Assembly JMF
athomemarket NEW - $399.99 0 May/25/15 Jun/24/15
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $1,627.99 0 May/25/15 Jun/24/15
Description: NEW AMAT 0040-05528 Electra IMP Chamber Body Adapter OU
svcstore NEW - $289.99 0 May/25/15 May/28/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $249.99 0 May/25/15 May/28/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcstore NEW - $116.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $116.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $116.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $152.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $109.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $199.99 0 May/25/15 May/28/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $2,499.99 0 May/25/15 May/28/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
svcstore NEW - $549.99 0 May/25/15 May/28/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $156.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $109.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $160.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $99.99 0 May/25/15 May/28/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
svcstore NEW - $449.99 0 May/25/15 May/28/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
tdindustrial Used - $495.00 0 May/26/15 May/28/15
Description: LAM Weldment 839-024446-100 Rev E, and/or 839-021928-001 Rev D, New, Sealed
athomemarket NEW - $54.99 0 May/26/15 Jun/25/15
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $88.99 0 May/26/15 Jun/25/15
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $54.99 0 May/26/15 Jun/25/15
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $5,806.99 0 May/26/15 Jun/25/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,163.99 0 May/26/15 Jun/25/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $129.99 0 May/26/15 Jun/02/15
Description: NEW Applied Materials/AMAT 0242-29293 Mounting Bracket Kit Chamber to Mainframe
svcstore NEW - $156.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $116.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $116.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $116.99 0 May/26/15 May/29/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $118.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $147.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 May/26/15 May/29/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
fa-aps NEW - $799.00 0 May/27/15 Aug/14/15
Description: 112-102// AERA FC-980C O2 500 SCCM AMAT 3030-05499 MFC NEW
athomemarket NEW - $199.99 0 May/27/15 Jun/26/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
keykorea NEW - $275.00 0 May/27/15 Jun/29/17
Description: AMAT 0020-78984 ADATPTER PLATE, NEW
athomemarket NEW - $185.99 0 May/27/15 Jun/26/15
Description: NEW AMAT 0050-36052 1/2" VCR Male Street Tee Swagelok Micro-Fit
power4u09 NEW - $19.99 0 May/27/15 Oct/14/16
Description: NEW LAM PART # 766-090815-001 PNEUM ACTUATOR ASSY, N. O VALVE
athomemarket NEW - $428.99 0 May/27/15 Jun/26/15
Description: NEW Novellus CS Non-MCD SBR-X Cable Winch Hoist Baldor Motor 02-350345-00
svcstore NEW - $164.99 0 May/27/15 May/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 May/27/15 May/30/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $116.99 0 May/27/15 May/30/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $164.99 0 May/27/15 May/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcompucycle NEW - $149.00 0 May/28/15 Jun/27/15
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
svcompucycle NEW - $99.99 0 May/28/15 Jun/27/15
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
svcompucycle NEW - $399.95 0 May/28/15 Jun/27/15
Description: NEW AMAT Nor-Cal 3870-07012 Pneumatic 3" Gate Valve Applied Materials / Warranty
svcompucycle NEW - $149.95 0 May/28/15 Jun/27/15
Description: NEW AMAT Inficon AG Dual Manometer Heated Inline Valve 252-070 / 0190-07704
svcstore NEW - $156.99 0 May/27/15 May/30/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $49.99 0 May/27/15 May/30/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket NEW - $99.99 0 May/28/15 Jun/27/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $70.99 0 May/28/15 Jun/27/15
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $65.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket NEW - $225.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0200-00242 Deposition Ring PVD Cu 200mm 8"
athomemarket NEW - $284.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0020-24558 CVD 3/4" Hex Honeycomb Collimator
athomemarket NEW - $283.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0010-07332 Centura Wafer Lift Hoop 12" / 300MM
athomemarket NEW - $360.99 0 May/28/15 Jun/27/15
Description: 12 NEW AMAT 0200-02518 Loadlock Tray Ceramic Pins 200mm
athomemarket NEW - $8,449.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm
athomemarket NEW - $97.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0040-24325 Wafer Loader Fork Blade Assy ECP
athomemarket NEW - $492.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0021-21890 Deposition Ring JMF Ti/TiN 200mm 8"
athomemarket NEW - $285.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0021-78403 Wafer Pedestal/Chuck Base 8"/200mm
athomemarket NEW - $375.99 0 May/28/15 Jun/27/15
Description: NEW AMAT 0020-08042 P5000 Blocker Plate BD (8" / 200mm)
svcompucycle NEW - $199.00 0 May/28/15 Jun/27/15
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
svcstore NEW - $289.99 0 May/28/15 May/31/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcompucycle NEW - $295.00 0 May/28/15 Jun/27/15
Description: NEW Lam Research EIA RF Connector 853-800749-017 Kiyo RF Network Match Assembly
svcstore NEW - $249.99 0 May/28/15 May/31/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcompucycle NEW - $249.00 0 May/28/15 Jun/27/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore NEW - $116.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $116.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $109.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $109.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $160.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $116.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $152.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $2,499.99 0 May/28/15 May/31/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
svcstore NEW - $549.99 0 May/28/15 May/31/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $156.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $449.99 0 May/28/15 May/31/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
athomemarket NEW - $285.99 0 May/29/15 Jun/28/15
Description: NEW Applied Materals/AMAT 0050-92814 Rough Cooldown KF50 Vacuum Line NW/KF-50
athomemarket NEW - $346.99 0 May/29/15 Jun/28/15
Description: NEW Applied Materals/AMAT 0050-92815 Rough Cooldown KF50 Pump Line NW/KF-50
athomemarket NEW - $62.99 0 May/29/15 Jun/28/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
athomemarket NEW - $449.99 0 May/29/15 Jun/28/15
Description: NEW AMAT 0200-10464 Ceramic 200mm/8" Wafer Adapter Ring PUMPPLT (AMS/AMP/AMZ)
usedeqsales Used - $355.15 0 May/29/15 Mar/24/21
Description: AMAT Applied Materials 0041-38981 RF Ground Shield Source 300mm RF PVD New
svcstore NEW - $156.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $116.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $156.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $116.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $116.99 0 May/29/15 Jun/01/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 May/29/15 Jun/01/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $199.99 0 May/29/15 Jun/01/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $99.99 0 May/29/15 Jun/01/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
svcompucycle NEW - $99.95 0 May/30/15 Jun/29/15
Description: NEW AMAT Display Optic Lamp 0190-13806 Bulb 480W 82V 2-Pin Applied Materials
svcstore NEW - $118.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $147.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $128.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
conquer_2011 NEW - $15.00 0 May/29/15 Jun/28/15
Description: AMAT FITTING 1/8T x 1/8MNPT ELBOW P/N 3300-02279 NEW
svcompucycle NEW - $399.95 0 May/30/15 Jun/29/15
Description: NEW Applied Materials Nor-Cal Pneumatic 3" Gate Valve 3870-07125 AMAT / Warranty
svcompucycle NEW - $399.95 0 May/30/15 Jun/29/15
Description: NEW AMAT Nor-Cal Pneumatic 3" Gate Valve 3870-06775 High Vacuum ISO80 / Warranty
athomemarket NEW - $404.99 0 May/30/15 Jun/29/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $131.99 0 May/30/15 Jun/29/15
Description: NEW AMAT 0200-35366 Susceptor Wafer Disk 200mm/8" Ceramic Support TiN
athomemarket NEW - $13,180.00 0 May/30/15 Jun/29/15
Description: NEW AMAT 0242-15989 Asyst ADO Dual Tag Reader Retrofit Kit
athomemarket NEW - $2,630.99 0 May/30/15 Jun/29/15
Description: NEW AMAT 0010-01394 Susceptor Assembly TEOS 200mm/8" CVD P5000
athomemarket NEW - $426.99 0 May/30/15 Jun/29/15
Description: NEW AMAT Applied 0200-02446 Si Cover Ring Plate 12"/300mm Wafer
athomemarket NEW - $2,003.99 0 May/30/15 Jun/29/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
svcstore NEW - $164.99 0 May/30/15 Jun/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 May/30/15 Jun/02/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $116.99 0 May/30/15 Jun/02/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $164.99 0 May/30/15 Jun/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $156.99 0 May/30/15 Jun/02/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $49.99 0 May/30/15 Jun/02/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket NEW - $126.99 0 May/31/15 Jun/30/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $116.99 0 May/31/15 Jun/26/15
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,190.99 0 May/31/15 Jun/26/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,196.99 0 May/31/15 Jun/26/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $83.99 0 May/31/15 Jun/26/15
Description: NEW LAM Research 715-013718-006 Chuck 6" Spinner for LAM 9600-APM Etch Spare
athomemarket NEW - $49.99 0 May/31/15 Jun/26/15
Description: NEW (Lot of 7) AMAT 3300-04677 Stainless 1" Seal-Lok SST Cap Fittings ORFS
svcstore NEW - $289.99 0 May/31/15 Jun/03/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $249.99 0 May/31/15 Jun/03/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcstore NEW - $116.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $116.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $109.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $109.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $160.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $116.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $152.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/31/15 Jun/03/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $156.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $449.99 0 May/31/15 Jun/03/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
yhcet1 NEW - $159.99 0 Jun/01/15 Jul/01/15
Description: New LAM 771-001032-014 Model: 98008SA10F3P2 Flow Sensor
shawntech2015 NEW - $75.00 0 May/31/15 Jun/30/15
Description: New AMAT 0090-04317 Pump Running Interlock Interface Cable Abatement / Warranty
usedeqsales Used - $3,006.15 0 Jun/01/15 Apr/21/23
Description: AMAT Applied Materials 0021-43798 Upper NI AL ARC-SPRAY Shield 300mm PVD New
ciarasheltie NEW - $9.99 0 Jun/01/15 Jun/08/15
Description: AMAT APPLIED MATERIALS 3060-00995 BEARING BALL .253ft 0625ODX 196W SST NEW
surplusssam NEW - $284.99 1 Jun/01/15 Jul/21/15
Description: NEW AMAT 3870-00229 VALVE ASSY PRESS RELIEF VACUUM SST
shawntech2015 NEW - $199.99 0 May/31/15 Jun/30/15
Description: New AMAT 0190-09133 Wasco 75-Torr CL DESC Pressure Vacuum Switch 30-Ft HG 115V
cappy95 NEW - $149.99 0 Jun/01/15 Jul/01/15
Description: NEW Applied Materials 0010-40155 Wafer Detector Sensor AMAT Rev. C
athomemarket NEW - $946.99 0 Jun/01/15 Jun/26/15
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $163.99 0 Jun/01/15 Jul/01/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $134.99 0 Jun/01/15 Jul/01/15
Description: NEW AMAT 0051-09174 Stainless Reducing Flange Coupler Adapter NW100/NW80/NW25
athomemarket NEW - $39.99 0 Jun/01/15 Jun/26/15
Description: 2 NEW Wasco SP129-81W3A-X/9698 Pressure Switches AMAT 1270-00715 Vacuum SP120
athomemarket NEW - $59.99 0 Jun/01/15 Jun/26/15
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel
athomemarket NEW - $599.99 0 Jun/01/15 Jun/26/15
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card
svcstore NEW - $156.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
springfieldsurplus2014 NEW - $11.25 0 Jun/01/15 Jul/01/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
svcstore NEW - $116.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $116.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
keykorea NEW - $1,200.00 1 Jun/01/15 Sep/29/16
Description: LAM RESEARCH 853-021635-003 DSQ Manometer CMLA11E Certification Included, NEW
svcstore NEW - $116.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Jun/01/15 Jun/04/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $128.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $147.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 Jun/01/15 Jun/04/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $99.99 0 Jun/01/15 Jun/04/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
usedeqsales Used - $156.15 0 Jun/02/15 Apr/21/23
Description: Novellus 03-108656-00 C3 PMP EMO Cable Assembly 25 Foot New
usedeqsales NEW - $156.15 1 Jun/02/15 Mar/08/16
Description: Novellus 03-108078-01 C3 SSD EMO Cable Assembly 75ft. New
athomemarket NEW - $8.99 0 Jun/02/15 Jul/02/15
Description: NEW Idec DPRI-01 Magnetic PLC Proximity Switch 0-4mm AMAT # 0090-09137
capovani_brothers NEW - $375.00 0 Jun/03/15 Jul/03/15
Description: AMAT Applied Materials 0200-09104 Liner Ceramic, APF - New
capovani_brothers NEW - $375.00 0 Jun/03/15 Jul/03/15
Description: AMAT Applied Materials 0200-09105 Liner Ceramic, APF - New
svcstore NEW - $156.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $160.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $109.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $152.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $116.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $109.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $116.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $116.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
conquer_2011 NEW - $275.00 0 Jun/03/15 Jul/03/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
athomemarket NEW - $129.99 0 Jun/03/15 Jul/03/15
Description: NEW Applied Materials/AMAT 0242-29293 Mounting Bracket Kit Chamber to Mainframe
athomemarket NEW - $241.99 0 Jun/03/15 Jul/03/15
Description: NEW Applied Materials/AMAT 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $199.99 0 Jun/03/15 Jul/03/15
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $199.99 0 Jun/03/15 Jul/03/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
usedeqsales Used - $506.15 1 Jun/03/15 Mar/21/22
Description: AMAT Applied Materials 0270-03559 Calibration Tool Cooldown/DEGAS 300mm New
svcstore NEW - $164.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $164.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $118.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $289.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
athomemarket NEW - $19.99 0 Jun/03/15 Jul/03/15
Description: NEW AMAT 0050-61658 Ham-Let VCR/C-Seal Tube Adapter 316
farmoninc NEW - $200.00 1 Jun/03/15 Dec/23/18
Description: NEW AMAT 1400-01028 Levelite, Sensor Probe Liquid Level
svcstore NEW - $156.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $116.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $449.99 0 Jun/03/15 Jun/06/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
svcstore NEW - $549.99 0 Jun/03/15 Jun/06/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $249.99 0 Jun/03/15 Jun/06/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcstore NEW - $49.99 0 Jun/02/15 Jun/05/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $2,499.99 0 Jun/02/15 Jun/05/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
athomemarket NEW - $6,999.99 0 Jun/03/15 Jul/03/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket NEW - $60.99 0 Jun/04/15 Jul/04/15
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 Jun/04/15 Jul/04/15
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $49.99 0 Jun/04/15 Jul/04/15
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $60.99 0 Jun/04/15 Jul/04/15
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $59.99 0 Jun/04/15 Jul/04/15
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $253.99 0 Jun/04/15 Jul/04/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $224.99 0 Jun/04/15 Jul/04/15
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $89.99 0 Jun/04/15 Jul/04/15
Description: NEW Applied Material/AMAT CoTi Lower Shield 0020-28357
athomemarket NEW - $99.99 0 Jun/04/15 Jul/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-27160
athomemarket NEW - $99.99 0 Jun/04/15 Jul/04/15
Description: NEW Applied Materials/AMAT Shield Bowl PN: 0020-29347
athomemarket NEW - $164.99 0 Jun/04/15 Jul/04/15
Description: NEW AMAT 0020-22652 6" Hex Foil Collimator Plate 1.5:1
athomemarket NEW - $174.99 0 Jun/04/15 Jul/04/15
Description: NEW AMAT 0020-25451 8" Hex Collimator Honeycomb Plate
athomemarket NEW - $89.99 0 Jun/04/15 Jul/04/15
Description: NEW Applied AMAT 0020-26455 Clamp Ring 6"/150mm SMF SS
athomemarket NEW - $32.99 0 Jun/04/15 Jul/04/15
Description: 10 NEW Sylvania/AMAT 1010-01460 150W Halogen Lamp Bulbs
athomemarket NEW - $70.99 0 Jun/04/15 Jul/04/15
Description: NEW Applied AMAT 0040-45933 Ball Transfer Screw Assy
athomemarket NEW - $625.99 0 Jun/04/15 Jul/04/15
Description: NEW AMAT 0020-24438 SMF/QTZ Quartz Insulator JMF/EXT Ti 6"/150mm
svcstore NEW - $116.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $116.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcompucycle NEW - $399.00 0 Jun/04/15 Jul/04/15
Description: NEW AMAT 0040-38036 RF Flange 200mm Bracket Producer Applied Materials / Sealed
svcstore NEW - $156.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $116.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Jun/04/15 Jun/07/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
svcstore NEW - $128.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $147.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $156.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 Jun/04/15 Jun/07/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $99.99 0 Jun/04/15 Jun/07/15
Description: NEW Lam 3-Way 3"OD ISO KF/NW16 Tube Fitting Flange MNMTR, PT 839-801682-005
used1eqsales NEW - $206.15 1 Jun/05/15 Jan/18/16
Description: AMAT 0200-20494 Cap Rigid Coil Support Lot of 4 new surplus
svcstore NEW - $164.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore NEW - $37.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $118.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $116.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
dvkelectronics NEW - $425.00 0 Jun/05/15 Jul/05/15
Description: (New) AMAT ASSY, ISLTN ANGLE VALVE, HTD 853-032983-002
svcstore NEW - $2,499.99 0 Jun/05/15 Jun/08/15
Description: NEW SEALED Lam Research 715-081696-001 Ring Semiconductor Part
svcstore NEW - $164.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $156.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $49.99 0 Jun/05/15 Jun/08/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
yayais2012 NEW - $75.00 0 Jun/06/15 Jul/06/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
desert_dweller77 NEW - $1,000.00 0 Jun/06/15 Jun/16/15
Description: RF Match HDPCVD 200mm Ultima X 0010-23182 AMAT New
athomemarket NEW - $85.99 0 Jun/06/15 Jul/06/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $60.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $223.99 0 Jun/06/15 Jul/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $289.99 0 Jun/06/15 Jul/06/15
Description: NEW Applied Material AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $153.99 0 Jun/06/15 Jul/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $53.99 0 Jun/06/15 Jul/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $260.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $57.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $58.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $104.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0020-24256 6" Hex Collimator Honeycomb Plate
athomemarket NEW - $54.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $29.99 0 Jun/06/15 Jul/06/15
Description: (Lot of 2) NEW Parker 1" Female Hose Barb Fittings AMAT 3300-08885
athomemarket NEW - $1,416.99 0 Jun/06/15 Jul/06/15
Description: NEW LAM Research 715-031752-208 Lower Electrode 8" Cap w/ Vent Groove for 9600
svcstore NEW - $249.99 0 Jun/06/15 Jun/09/15
Description: NEW SEALED Lam Research 716-069688-222 Rev.B Inner Electrode Semiconductor Part
svcstore NEW - $116.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $289.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT 0041-51015 Industrial Laboratory Pedestal Heated Plate w/Recess MSC
svcstore NEW - $109.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $116.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $156.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $160.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $109.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $152.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $116.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $549.99 0 Jun/06/15 Jun/09/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $449.99 0 Jun/06/15 Jun/09/15
Description: NEW SEALED Lam Research 716-037794-004 Modify Alumina Semiconductor Part
athomemarket NEW - $259.99 0 Jun/07/15 Jul/07/15
Description: NEW Applied Materials/AMAT 0040-76077 Manifold Tall Facilities I/F Centura 520
athomemarket NEW - $99.99 0 Jun/07/15 Jul/07/15
Description: NEW Applied Materials/AMAT 0100-20265 Rev 002 Filter Board Vacuum/Relay RF Match
athomemarket NEW - $4,240.99 0 Jun/07/15 Jul/07/15
Description: NEW AMAT 0200-00290 IECP Ceramic Cell Top 193mm ID
athomemarket NEW - $57.99 0 Jun/07/15 Jul/07/15
Description: Ultima 0150-06890 Amat Cable Assymbly EV2 In New For Class 1000 + Clean Room
athomemarket NEW - $142.99 0 Jun/07/15 Jul/07/15
Description: NEW AMAT 0200-00744 Bottom Pumping Ring Liner Ceramic
athomemarket NEW - $199.99 0 Jun/07/15 Jul/07/15
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring
athomemarket NEW - $69.99 0 Jun/07/15 Jul/07/15
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
conquer_2011 NEW - $2,888.00 0 Jun/07/15 Jul/07/15
Description: NEW AMAT WxZ ASSY: AMAT 3870-01334, 0050-25013, 0050-25242 w/ MDC Angle Valve
svcstore NEW - $156.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $116.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $156.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $116.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $156.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $112.99 0 Jun/07/15 Jun/10/15
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC
svcstore NEW - $116.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Jun/07/15 Jun/10/15
Description: NEW Lam Research 20" DIA Platform Plate 202-016976-001 716-012640-017 CLEANED
athomemarket NEW - $403.99 0 Jun/08/15 Jul/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
used1eqsales NEW - $3,506.15 0 Jun/08/15 Jun/10/15
Description: AMAT 9010-02141 EVR Extraction Assembly new surplus
athomemarket NEW - $3,681.99 0 Jun/08/15 Jul/08/15
Description: NEW AMAT 0190-35783 Microwave Power Generator+Rack Kit 1.5KW OBS Gen ATP-15B
supertechshop Used - $199.00 0 Aug/11/12 Sep/10/12
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895