[click to login]
WSEMI


TAGS > materials

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,250.00
Description: Applied Materials 0200-03494 Partition, Quartz Bridge PK Enabler AMAT
usedeqsales
[view on eBay]
Used 9
in stock
$456.12
Description: AMAT Applied Materials 0240-30959 300mm Chamber Controller Cover Kit New
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0020-39087, Pedestal, ESC 195mm, 8" Notch, DPS, APPLIED MATERIALS
fa-parts
[view on eBay]
Used 1
in stock
$210.00
Description: AMAT APPLIED MATERIALS TC GAUGE P.W.B BOARD 0100-00008 REV G FREE SHIP
fa-parts
[view on eBay]
Used 1
in stock
$220.00
Description: AMAT APPLIED MATERIALS Controller Distribution WPS Board 0100-70019 FREE SHIP
usedeqsales
[view on eBay]
Used 1
in stock
$300.57
Description: AMAT Applied Materials 0225-34794 Gas Distribution Sputter Plate New
nissiglobal
[view on eBay]
Used 1
in stock
$5.38
Description: APPLIED MATERIALS 3690-01895 SCR CAP SKT HD 8-32X3/8L HEX SKT SST (X5 )
usedeqsales
[view on eBay]
Used 2
in stock
$450.57
Description: AMAT Applied Materials 0041-00186 300mm Gas Ring New Surplus
mayraytan
[view on eBay]
Used 1
in stock
$325.00
Description: Applied Materials AMAT MKS Pressure Transducer, 52A13TCH2BA380, 1270-00556
metrology123
[view on eBay]
Used 1
in stock
$712.98
Description: Applied materials CVD interface 0190-23215
surplusssam
[view on eBay]
Used 1
in stock
$17.99
Description: NEW AMAT APPLIED MATERIALS 3790-90250 SPACER M4 X 50 M/F NYLON FOR 9240-02245ITL
surplusssam
[view on eBay]
Used 1
in stock
$23.99
Description: New Amat Applied Materials 3790-90247 spacer m4 x 65 m/f ptfe for 9240-02245itl
fa-parts
[view on eBay]
Used 1
in stock
$200.00
Description: Applied Materials AMAT 0100-09020 REV C PCB ASSY, FUSE BOARD FREE SHIP
fa-parts
[view on eBay]
Used 3
in stock
$200.00
Description: Applied Materials AMAT 0100-09020 REV B PCB ASSY, FUSE BOARD FREE SHIP
usedeqsales
[view on eBay]
Used 1
in stock
$151.57
Description: AMAT Applied Materials 0020-26723 Ball Transfer Load Distribution Plate New
hdsemispares
[view on eBay]
NEW 3
in stock
$800.00
Description: 300MM LIFT RING Applied Materials AMAT 0020-46322
usedeqsales
[view on eBay]
Used 1
in stock
$454.13
Description: AMAT Applied Materials 0100-90880 Turbo Pump Interface Board PCB Card XR80 Used
mayraytan
[view on eBay]
Used 2
in stock
$525.00
Description: Applied Materials AMAT Gas Line, 0050-40520
logansemi
[view on eBay]
Used 1
in stock
$325.00
Description: AMAT Applied Materials ENDPT. Interface/Smoother PCB, 0100-00195
logansemi
[view on eBay]
Used 1
in stock
$215.00
Description: AMAT Applied Materials END PT. Interface/Smoother PCB, 0100-00195
j316gallery
[view on eBay]
Used 1
in stock
$676.13
Description: 2654 APPLIED MATERIALS FEED THRU ASSY, 20212-280 0190-22173
usedeqsales
[view on eBay]
Used 1
in stock
$178.57
Description: AMAT Applied Materials 0040-75563 Load Lock Roughing Manifold Used Working
logansemi
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT Applied Materials 8300 15 Volt, Power Supply, P/N 0010-00028
j316gallery
[view on eBay]
Used 52
in stock
$525.38
Description: 72 APPLIED MATERIALS PLATE, REFLECTOR, 200MM, MOD II 0021-35008
j316gallery
[view on eBay]
Used 11
in stock
$504.66
Description: 73 APPLIED MATERIALS 200MM BPSG IMPRVD REFLECTOR PLATE 0040-02926
j316gallery
[view on eBay]
Used 16
in stock
$572.93
Description: 74 APPLIED MATERIALS 200MM XE BPSG CHAMBER TOP REFLECTOR PLATE 0021-39570
j316gallery
[view on eBay]
Used 3
in stock
$503.24
Description: 42 APPLIED MATERIALS RING, MAGNET, LOWER 0040-32543
usedeqsales
[view on eBay]
Used 1
in stock
$153.57
Description: AMAT Applied Materials 9240-04102 Cryo Interface Unit PX27A Lot of 2 Used
nissiglobal
[view on eBay]
Used 270
in stock
$6.15
Description: applied materials 0020-96204 flag sensor
usedeqsales
[view on eBay]
Used 3
in stock
$3,008.13
Description: AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. D Used
usedeqsales
[view on eBay]
Used 1
in stock
$254.07
Description: AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,008.13
Description: AMAT Applied Materials 0100-20353 Light Tower Operation Panel I/O Block Working
j316gallery
[view on eBay]
Used 1
in stock
$390.03
Description: 454 APPLIED MATERIALS SHIM RING, 4, OXIDE 0020-09996
j316gallery
[view on eBay]
Used 4
in stock
$725.16
Description: 401 APPLIED MATERIALS 5200 CVD HDP-CVD RPSC AMPLICATOR 0010-02146
usedeqsales
[view on eBay]
Used 2
in stock
$2,809.13
Description: AMAT Applied Materials 9010-00299 ITL Load Lock Door 0021-07994 Quantum Leap III
j316gallery
[view on eBay]
Used 3
in stock
$481.06
Description: 486 APPLIED MATERIALS DUAL SNSR END POINT DETR 200MM 0010-06285
j316gallery
[view on eBay]
Used 1
in stock
$234.89
Description: 481 APPLIED MATERIALS MTR ASSY CVD LIFTS SUSCEPTOR LIFT 0020-09735 0090-09027
alvin1462
[view on eBay]
NEW 4
in stock
$255.00
Description: AMAT Applied Materials 0140-16346 HARNESS ASSY, MOTOR POWER CHMBR 300MM NEW
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: Applied Materials AMAT 0010-30659 Lid Lift Fixture Tetra II
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
johnnytwo-tone
[view on eBay]
Used 1
in stock
$210.00
Description: Applied Materials 0040-13423 P1 Slit Valve Actuator w SMC Cylinder NCDQ2WB125C
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-99641 Applied Materials Liner Leap II Beamstop
mayraytan
[view on eBay]
Used 5
in stock
$175.00
Description: Applied Materials AMAT Kalrez Centering Ring, NW-40-CR-SK-8575, 3700-03947
farmoninc
[view on eBay]
Used 1
in stock
$1,350.00
Description: AMAT Applied Materials 0190-09009 Phase Angle Controller, P1038, S4019
j316gallery
[view on eBay]
Used 11
in stock
$553.56
Description: 891 APPLIED MATERIALS ASSY HEATER 8" NLT .060 OSCR WXZ, 0040-06229 0010-03331
j316gallery
[view on eBay]
Used 4
in stock
$330.13
Description: 922 APPLIED MATERIALS ENDPOINT PWR MODULE W/ PWR CABLE, 0150-39384 0100-00447
j316gallery
[view on eBay]
Used 2
in stock
$463.33
Description: 1070 APPLIED MATERIALS SUSCEPTOR CALIB DISP ASSY 0010-09063
j316gallery
[view on eBay]
Used 6
in stock
$301.25
Description: 1063 APPLIED MATERIALS ASSY KIT WRES 300MM ENDURA 0242-51523
j316gallery
[view on eBay]
Used 1
in stock
$184.43
Description: D38 APPLIED MATERIALS ENCLOSURE PC BD DUAL SNSR END POINT 0021-15140 0040-40211
j316gallery
[view on eBay]
Used 1
in stock
$791.81
Description: D36 APPLIED MATERIALS ASSY, DCVD ENDPOINT DETECTOR 0190-09471
catalystparts
[view on eBay]
NEW 1
in stock
$90.00
Description: Applied Materials 0050-42367 Stainless Steel Weldment
bntyhunter07
[view on eBay]
NEW 1
in stock
$49.99
Description: AMAT Applied Materials 0190-21452 Exhaust Vacuum Kit NEW C10517452 C10517490
alvin1462
[view on eBay]
NEW 2
in stock
$1,188.00
Description: AMAT APPLIED MATERIALS 0246-01857 KIT, PIN LIFT, DUAL AXIS DRIVER, PRODUCE NEW
alvin1462
[view on eBay]
NEW 2
in stock
$2,000.00
Description: AMAT APPLIED MATERIALS 0246-02066 Kit Wafer Adjustable Pin NEW
todd1455
[view on eBay]
NEW 3
in stock
$15.99
Description: Applied Materials AMAT 3300-08028 FTG Coupling Body 1/4T Shutoff Ferrule New
bigg.logistics101
[view on eBay]
Used 1
in stock
$299.99
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID 200MM PRODUCER 0040-50344 REV 002
bigg.logistics101
[view on eBay]
Used 5
in stock
$5,499.99
Description: APPLIED MATERIALS UPPER MAGNET ASSY 0010-30591
j316gallery
[view on eBay]
Used 6
in stock
$162.85
Description: 2196 APPLIED MATERIALS FITTINGS, HOSE COUPLING C100R6-MC 3300-01237
bms-semicon
[view on eBay]
Used 1
in stock
$599.90
Description: AMAT 0100-66025 REV P2 Board APPLIED MATERIALS INC
usedeqsales
[view on eBay]
Used 1
in stock
$352.57
Description: AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue C Used
usedeqsales
[view on eBay]
Used 4
in stock
$352.57
Description: AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue B Used
fa-parts
[view on eBay]
Used 2
in stock
$310.00
Description: AMAT APPLIED MATERIALS CDN491 DIP BOARD 0190-04457 DIP:387-410 FREE SHIP
arizindo
[view on eBay]
Used 4
in stock
$450.00
Description: APPLIED MATERIALS AMAT -- 0010-77795 -- High Precision Spray Bar Assy
surplusssam
[view on eBay]
Used 1
in stock
$109.99
Description: NEW AMAT APPLIED MATERIALS 0040-05069 SWLL INDEXER CRYO CRYOGENIC COVER CHUCK ?
vizko2017
[view on eBay]
NEW 2
in stock
$150.00
Description: APPLIED MATERIALS ASSY RIM PRESSURE VALVE/S 0010-47135

This tag has been viewed 1 time

Most recent views:

Korea (Republic of) Thursday, May/09/2024 at 6:38 am CST
Japan Thursday, May/09/2024 at 6:35 am CST
China Thursday, May/09/2024 at 6:12 am CST
China Thursday, May/09/2024 at 6:12 am CST
China Thursday, May/09/2024 at 6:12 am CST
China Thursday, May/09/2024 at 6:12 am CST
China Thursday, May/09/2024 at 6:11 am CST
China Thursday, May/09/2024 at 6:11 am CST
China Thursday, May/09/2024 at 6:11 am CST
China Thursday, May/09/2024 at 6:11 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
svcstore NEW - $99.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $99.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $91.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $84.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $77.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Aug/01/15 Aug/04/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $71.99 0 Aug/04/15 Aug/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $63.99 0 Sep/11/15 Sep/16/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Sep/17/15 Sep/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Sep/22/15 Sep/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Sep/27/15 Oct/02/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/02/15 Oct/07/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/07/15 Oct/12/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/12/15 Oct/17/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/17/15 Oct/22/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/22/15 Oct/27/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $56.99 0 Oct/27/15 Nov/01/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/08/15 Nov/13/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/13/15 Nov/18/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/18/15 Nov/23/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/23/15 Nov/28/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Nov/28/15 Dec/03/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Dec/03/15 Dec/08/15
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $50.99 0 Jan/07/16 Feb/06/16
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $44.99 0 Feb/09/16 Feb/22/16
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
svcstore NEW - $44.99 0 Feb/24/16 Feb/27/16
Description: NEW AMAT Applied Materials Quartz Bridge Partition PK Enabler Ring 0200-03494
imca00 Used - $1,250.00 1 Aug/11/12 Aug/13/12
Description: Applied Materials AMAT P5000 & 5200 CVD TEOS Pumping Plate DXZ 0010-36069
supertechshop Used - $299.00 0 Aug/11/12 Sep/10/12
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
supertechshop Used - $199.00 0 Aug/11/12 Sep/10/12
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Aug/11/12 Sep/10/12
Description: Applied Materials Extraction Current Control PCB Implanter EXT-I AMAT 0100-90451
supertechshop NEW - $39.00 0 Aug/11/12 Sep/10/12
Description: NEW Applied Materials XR80 Implanter DAQ Power Supply Module AMAT 0100-90015
yayais2012 Used - $780.00 0 Aug/13/12 Sep/12/12
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
athomemarket Used - $53.99 0 Aug/12/12 Sep/11/12
Description: Applied Materials Electrostatic Chuck Cover 9999-00178
athomemarket NEW - $53.99 0 Aug/12/12 Sep/11/12
Description: 20 Applied Materials Clean Room Lens Support 0020-21697
athomemarket NEW - $51.99 0 Aug/12/12 Sep/11/12
Description: 7 Applied Materials Ball Bearings Clean Room 3060-01183
csi.usa Used - $700.00 0 Aug/14/12 Mar/03/15
Description: APPLIED MATERIALS P/N 0041-05925
shadow15b Used - $85.00 0 Aug/15/12 Jul/10/15
Description: AMAT APPLIED MATERIALS FLATFINDER / 6" UPPER / 0010-00357
athomemarket Used - $329.99 0 Aug/20/12 Sep/19/12
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
hitech.man NEW - $379.00 2 Aug/26/12 Sep/19/12
Description: Clamp ring Vespel 4 inch, Applied Materials, AMAT-P5000, P/N 0020-03673
ptb-sales NEW - $460.00 0 Aug/24/12 Sep/03/12
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Refurbished - $250.00 0 Aug/24/12 Sep/03/12
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Refurbished - $250.00 0 Aug/24/12 Sep/03/12
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Aug/24/12 Sep/03/12
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Aug/24/12 Sep/03/12
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $6,300.00 0 Aug/24/12 Sep/03/12
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
supertechshop Used - $99.99 0 Aug/24/12 Sep/23/12
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
csi.usa NEW - $900.00 0 Aug/29/12 May/08/13
Description: APPLIED MATERIALS P/N 3690-01775
supertechshop Used - $299.00 0 Aug/31/12 Sep/30/12
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Aug/31/12 Sep/30/12
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Aug/31/12 Sep/30/12
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop NEW - $99.99 0 Aug/31/12 Sep/30/12
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
ptb-sales Refurbished - $250.00 0 Sep/04/12 Sep/14/12
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Refurbished - $250.00 0 Sep/04/12 Sep/14/12
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
bobsgoodies NEW - $235.00 0 Sep/10/12 Oct/10/12
Description: GEMS, 183454 Flow Switch .8 GPM Applied Materials 0190-00653
bobsgoodies NEW - $899.00 0 Sep/10/12 Oct/10/12
Description: Applied Materials 0090-77106 Assy RTD Electronic Flow Meter / 0090-77123
bobsgoodies NEW - $199.00 0 Sep/10/12 Oct/10/12
Description: Applied Materials 0120-92712 Mains Sense 0100-90032 0110-90749
bobsgoodies NEW - $42.00 0 Sep/10/12 Oct/10/12
Description: Applied Materials 0021-90515 Boss Cover Plate for 0240-91157
bobsgoodies NEW - $375.00 0 Sep/10/12 Oct/10/12
Description: Applied Materials 0020-05068 Assy, Switch/Valve,Parker FWC Valve 0010-02475
jtmtech NEW - $35.00 1 Sep/11/12 Jan/15/14
Description: APPLIED MATERIALS CABLE/HARNESS 0140-09173
athomemarket NEW - $53.99 0 Sep/11/12 Oct/11/12
Description: 20 Applied Materials Clean Room Lens Support 0020-21697
athomemarket Used - $53.99 0 Sep/11/12 Oct/11/12
Description: Applied Materials Electrostatic Chuck Cover 9999-00178
yayais2012 Used - $780.00 0 Sep/12/12 Oct/12/12
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
bobsgoodies Used - $125.00 0 Sep/12/12 Mar/07/13
Description: Applied Materials 0190-76252 DIP294 DeviceNET Digital I/O Block AMAT 0040-89164
bobsgoodies NEW - $110.00 0 Sep/12/12 Oct/12/12
Description: AMAT 1410-01130 Heater 208VAC 2.5W/Sq.In HT to CVR Applied Materials 0010-13972
visionsemi NEW - $950.00 1 Sep/14/12 Jan/06/13
Description: APPLIED MATERIALS AMAT CENTURA FRONT DOOR KIT 0040-40048 0240-35280
svcstore Used - $549.99 0 Sep/16/12 Oct/16/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
ptb-sales NEW - $460.00 0 Sep/17/12 Sep/27/12
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Refurbished - $250.00 0 Sep/17/12 Sep/27/12
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Refurbished - $250.00 0 Sep/17/12 Sep/27/12
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Sep/17/12 Sep/27/12
Description: Applied Materials ETO Generator, AMAT # 0010-40099
athomemarket Used - $329.99 0 Sep/19/12 Oct/19/12
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
hi-techstuff Used - $499.99 1 Sep/25/12 Nov/13/12
Description: Applied Materials AMAT Chopper Drive P W B 0100-00011
supertechshop Used - $99.99 0 Sep/25/12 Oct/25/12
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
imca00 Used - $4,000.00 0 Sep/26/12 Oct/06/12
Description: Applied Materials AMAT Chamber Adapter p/n: 0040-22274
visionsemi Used - $1,500.00 1 Sep/28/12 Mar/27/13
Description: APPLIED MATERIALS 13" DEGAS LID PLATE COVER ENDURA PVD AMAT 0040-21495
visionsemi NEW - $376.00 1 Oct/04/12 Oct/05/12
Description: AMAT APPLIED MATERIALS SMC PNEUMATIC BOX 0040-75141 0190-20124
visionsemi NEW - $200.00 1 Oct/05/12 Nov/07/12
Description: NEW! AMAT APPLIED MATERIALS SHIELD ADAPTER 8" G12 PVD 0020-24531
imca00 Used - $4,000.00 0 Oct/06/12 Oct/16/12
Description: Applied Materials AMAT Chamber Adapter p/n: 0040-22274
ptb-sales Refurbished - $250.00 0 Oct/09/12 Oct/19/12
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
supertechshop Used - $99.99 0 Oct/26/12 Nov/25/12
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
svcstore Used - $549.99 0 Oct/26/12 Oct/29/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
mayraytan NEW - $2.10 12 Nov/01/12 May/01/13
Description: Applied Materials AMAT Knurled Thumb Nut, 3500-01254
mayraytan NEW - $175.00 2 Nov/01/12 Nov/12/12
Description: Applied Materials AMAT Vacuum Gauge, 3310-01071
ptb-sales NEW - $460.00 0 Nov/01/12 Nov/11/12
Description: Applied Materials TC Plate, AMAT # 5000-30331
supertechshop Used - $299.00 0 Nov/01/12 Dec/01/12
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Nov/01/12 Dec/01/12
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Nov/01/12 Dec/01/12
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop NEW - $99.99 0 Nov/01/12 Dec/01/12
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
svcstore Used - $549.99 0 Nov/05/12 Nov/08/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
bobsgoodies NEW - $110.00 0 Nov/11/12 Dec/11/12
Description: AMAT 1410-01130 Heater 208VAC 2.5W/Sq.In HT to CVR Applied Materials 0010-13972
yayais2012 Used - $780.00 0 Nov/12/12 Dec/12/12
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
hi-techstuff Used - $398.99 0 Nov/13/12 Apr/20/23
Description: Applied Materials Shield 8 in Preclean C/D Blank 0040-61512
Used - $499.00 n/a Dec/31/69 Nov/20/12
Description: APPLIED MATERIALS ENDURA AMAT BELL JAR PCII AI2O3 0040-21178
visionsemi Refurbished - $499.00 0 Nov/15/12 Nov/20/12
Description: APPLIED MATERIALS ENDURA AMAT BELL JAR PCII AI2O3 0040-21178
redlinemerch NEW - $195.00 1 Nov/16/12 Nov/07/13
Description: Applied Materials interlock signal line liquid inject Wire Harness 0140-09986
athomemarket Used - $329.99 0 Oct/19/12 Nov/18/12
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket NEW - $505.99 0 Oct/19/12 Nov/18/12
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket Used - $289.99 0 Oct/19/12 Nov/18/12
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $193.99 0 Oct/19/12 Nov/18/12
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
usedeqsales Used - $1,502.12 1 Feb/22/12 Jul/12/13
Description: AMAT Applied Materials P5000 Mini-Controller 0010-76036 Rev.J working 0090-09116
usedeqsales NEW - $506.12 0 Jun/21/12 Apr/14/15
Description: AMAT Applied Materials 0240-49108 P4 XP Robots LCF Retrofit Kit 3460-01102 new
farmoninc Used - $950.00 0 Sep/19/12 Apr/24/14
Description: AMAT 0021-37344 PEDESTAL, 145MM SEMI FLAT, R2 CHAMBER APPLIED MATERIALS
usedeqsales Used - $3,002.12 0 Feb/22/12 Jan/13/16
Description: AMAT Applied Materials 0290-76088 P5000 Remote AC Box Rev.V working
farmoninc Used - $950.00 1 Sep/19/12 May/13/16
Description: AMAT 0010-10982 SHELL ASSY, 150MM, FLAT(1S), 6". Applied materials
csi.usa NEW - $99.00 0 Aug/20/12 Mar/03/15
Description: APPLIED MATERIALS P/N 3700-90183 ABISZ6 VITON O-RING
chunkysemiconductor NEW - $1,000.00 0 Feb/22/12 Jul/17/13
Description: NEW APPLIED MATERIALS AMAT 0010-00212 ROBOT ASSEMBLY
csi.usa NEW - $300.00 0 Aug/20/12 Mar/03/15
Description: APPLIED MATERIALS P/N 0150-90834 TRAWZORB ASSY
csi.usa NEW - $299.00 0 Aug/20/12 Feb/10/15
Description: APPLIED MATERIALS P/N 3700-01245 O-RING id .364 CSD .070 VITON 75 DURO BLK
supertechshop NEW - $299.00 0 Nov/21/12 Dec/21/12
Description: Applied Materials CPCI CompactPCI Single Board Computer SBC 3U AMAT 0190-05611
supertechshop Used - $499.00 0 Nov/21/12 Dec/21/12
Description: AMAT Applied Materials P5000 Precision Wafer Lift BWCVD Lifter Assy 0010-10188
redlinemerch NEW - $255.00 0 Nov/24/12 May/22/15
Description: AMAT Applied Materials 6" collimator lower bucket shield 0020-22892
redlinemerch NEW - $895.00 1 Nov/24/12 Dec/18/12
Description: AMAT Applied Materials Micro-Match RF Match network box 8300 , 0010-00298
redlinemerch NEW - $95.00 1 Nov/24/12 Nov/26/12
Description: AMAT Applied Materials shaft bellows rod assy 0040-91285
svcstore Used - $507.99 0 Nov/24/12 Nov/27/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
redlinemerch NEW - $950.00 1 Nov/26/12 Nov/26/12
Description: AMAT applied materials blade rotor 4" assy 100mm 0010-76002
ptb-sales NEW - $460.00 0 Nov/26/12 Dec/06/12
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Refurbished - $250.00 0 Nov/26/12 Dec/06/12
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Refurbished - $250.00 0 Nov/26/12 Dec/06/12
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $6,300.00 0 Nov/26/12 Dec/06/12
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Scrap, for parts - $5,000.00 0 Nov/26/12 Dec/06/12
Description: Applied Materials Chamber AMAT 0040-07498
redlinemerch NEW - $155.00 2 Nov/30/12 Sep/03/15
Description: AMAT Applied Materials RF match control PCB assy 0100-09000
svcstore Used - $507.99 0 Nov/30/12 Dec/03/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $507.99 0 Dec/03/12 Dec/06/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
visionsemi Refurbished - $100.00 0 Dec/04/12 Dec/11/12
Description: APPLIED MATERIALS ENDURA AMAT BELL JAR PCII AI2O3 0040-21178
supertechshop NEW - $99.99 0 Dec/05/12 Jan/04/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop Used - $299.00 0 Dec/05/12 Jan/04/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Dec/05/12 Jan/04/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Dec/05/12 Jan/04/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
mayraytan Used - $8.50 9 Dec/06/12 Jun/27/23
Description: Applied Materials AMAT NW 25 Centering Ring, 3700-01543
ptb-sales NEW - $460.00 0 Dec/06/12 Dec/16/12
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Refurbished - $250.00 0 Dec/06/12 Dec/16/12
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Refurbished - $250.00 0 Dec/06/12 Dec/16/12
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $6,300.00 0 Dec/06/12 Dec/16/12
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Scrap, for parts - $5,000.00 0 Dec/06/12 Dec/16/12
Description: Applied Materials Chamber AMAT 0040-07498
usedeqsales Used - $37.84 2 Aug/09/12 Mar/20/14
Description: Applied Materials AMAT 300mm Cryo Drip Bracket 0020-42229 Lot of 2 New
gesemiconductor NEW - $240.00 2 Jul/10/12 Dec/19/17
Description: New Applied Materials 0200-00177 Insert Ring SI/QTZ 200mm Silicon Flat
gesemiconductor Used - $325.00 0 Jul/10/12 Dec/19/17
Description: Applied Materials 0200-35335 Ceramic Capture Ring
usedeqsales Used - $1,105.08 2 Jun/06/08 Sep/11/12
Description: AMAT Applied Materials P5000 RF Match 0010-09416
anymetal Used - $79.99 1 Sep/07/10 Dec/07/12
Description: AMAT Applied Materials Insertion Flow Sensor 0150-76156
bobsgoodies NEW - $26.00 9 Nov/09/12 Dec/07/12
Description: Applied Materials 0150-76156 Cable Assy, Insertion Flow Sensor Common Rev: A
surplusssam NEW - $59.99 1 Sep/26/12 Dec/07/12
Description: NEW AMAT APPLIED MATERIALS 0040-95138 PIPE ASSY ARGON INSULATED ION IMPLANTER
dr.fantom NEW - $80.00 1 Nov/19/11 Dec/07/12
Description: Applied Materials 3870-01249 VALVE FINE MTR 1/4 VCR STRAIGHT SST
surplusssam Used - $4,499.99 1 Jun/05/12 Dec/06/12
Description: AMAT APPLIED MATERIALS 0010-70264 ROBOT DRIVER UP / LOW
redlinemerch NEW - $1,585.00 1 Dec/10/12 Sep/05/13
Description: AMAT Applied Materials assy bearing sputter etch 0010-76306
athomemarket NEW - $54.99 0 Dec/10/12 Jan/09/13
Description: 20 Applied Materials Clean Room Lens Support 0020-21697
athomemarket NEW - $52.99 0 Dec/10/12 Jan/09/13
Description: 7 Applied Materials Ball Bearings Clean Room 3060-01183
bobsgoodies NEW - $199.00 0 Dec/11/12 Jan/10/13
Description: Applied Materials 0120-92712 Mains Sense 0100-90032 0110-90749
bobsgoodies NEW - $42.00 0 Dec/11/12 Jan/10/13
Description: Applied Materials 0021-90515 Boss Cover Plate for 0240-91157
sparepartssolution Used - $70.00 0 Jul/12/12 Sep/02/15
Description: (AA01) AMAT APPLIED MATERIALS 0020-24412 CATHODE SWITCH COVER PRECLEAN 2 USED
visionsemi NEW - $179.00 1 Dec/13/12 Dec/13/12
Description: NEW! AMAT APPLIED MATERIALS CHAMBER INTERCONNECT PCB 0100-20313
redlinemerch Used - $1,245.00 0 Dec/16/12 Feb/17/15
Description: Amat Applied Materials 8100 D RF Matching Assy network match 0090-01001
biolabtek_com Used - $1,455.00 0 Dec/16/12 Jan/22/15
Description: Amat Applied Materials 8100 M RF Matching network match 01-82889-00 0100-01000
athomemarket NEW - $517.99 0 Nov/15/12 Dec/15/12
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $20.99 0 Nov/15/12 Dec/15/12
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
athomemarket Used - $297.89 0 Dec/18/12 Jan/17/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket Used - $261.89 0 Dec/18/12 Jan/17/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $175.49 0 Dec/18/12 Jan/17/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $457.19 0 Dec/18/12 Jan/17/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket Refurbished - $216.99 0 Dec/18/12 Jan/17/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
bobsgoodies NEW - $255.00 5 Dec/18/12 Dec/20/12
Description: Applied Materials 0010-75571 Kaydon 15896000 Veri Thin Bearing HP Robot Arm Brg
bobsgoodies NEW - $185.00 0 Dec/18/12 Jan/17/13
Description: Applied Materials 0190-13092 Kaydon 1566801 Veri Thin Bearing
svcstore Used - $91.99 0 Dec/17/12 Dec/20/12
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $183.99 0 Dec/17/12 Dec/20/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $599.99 0 Dec/17/12 Dec/20/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $137.99 0 Dec/17/12 Dec/20/12
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket NEW - $227.69 0 Dec/17/12 Jan/16/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
ptb-sales Refurbished - $250.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales NEW - $460.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Refurbished - $250.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $6,300.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Scrap, for parts - $5,000.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $3,000.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
usedeqsales NEW - $512.12 0 Dec/17/12 May/04/15
Description: AMAT Applied Materials 0270-05868 Neon Chamber Lower Liner Removal new
pacegallery Used - $29.00 0 Dec/17/12 May/21/13
Description: APPLIED MATERIALS 0250-15438 300MM REFLEXION LDM EXHAUST STIFF HOSE UPGRADE PROC
svcstore Used - $507.99 0 Dec/16/12 Dec/19/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $38.99 0 Dec/16/12 Dec/19/12
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
supertechshop Used - $199.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Dec/15/12 Jan/14/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
svcstore Used - $183.99 0 Dec/15/12 Dec/18/12
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
sellyoursurplus NEW - $250.00 0 Dec/15/12 Dec/22/12
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
athomemarket Refurbished - $2,547.99 0 Dec/15/12 Jan/14/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $520.99 0 Dec/15/12 Jan/14/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $21.99 0 Dec/15/12 Jan/14/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
bobsgoodies NEW - $55.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $125.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies NEW - $4.50 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
bobsgoodies NEW - $65.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0140-75155 H/A, SMIF PLC LLA Interconnect PH, Cabel Assy
bobsgoodies NEW - $185.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0190-01170 Valve Hex Supply, 300mm Centura 8A-PR8-EPRT-SS-2822
bobsgoodies NEW - $127.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0090-76030 Assembly Sensor Emitter NBLL, 11102A6517 Cuttler
bobsgoodies NEW - $99.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-20472 Assy Wafer Sensor Monolith Banner SM312CV2-36355
bobsgoodies NEW - $175.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
bobsgoodies NEW - $179.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
bobsgoodies NEW - $12.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 3080-01105 Drive Belt Gates PowerGrip 120XL037 (Lot of 3) 1/5P
bobsgoodies Used - $18.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0020-71457 E4 Timing Pulley 11368000
bobsgoodies NEW - $110.00 0 Dec/15/12 Jan/14/13
Description: AMAT 1410-01130 Heater 208VAC 2.5W/Sq.In HT to CVR Applied Materials 0010-13972
bobsgoodies Used - $98.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0090-00854 Sensor Assy, Cassette Present AMAT Banner SM312CV2
bobsgoodies NEW - $98.50 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
bobsgoodies NEW - $185.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0090-35169 PA Assy Sensor Detector 11100R6517 Cuttler-Hammer
bobsgoodies NEW - $249.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0190-16010 Idec Micro-1 Micro Controller New
bobsgoodies Used - $215.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-00538 Laser Assy 1101P-0725 Uniphase
bobsgoodies NEW - $377.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-09316 TEOS Temperature Cotroller Watlow Series 965 Assy
bobsgoodies NEW - $199.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0190-75047 Hard Disk Drive 2.1GB 3.5''SCSI
bobsgoodies NEW - $125.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0227-33201 Hose Assy Return Cathode Chamber B BT3-32777
bobsgoodies NEW - $125.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0090-40006 Assy Receiver Loadlock Banner Mini-beam SM31RL
bobsgoodies NEW - $125.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-76893 Assy Sensor Wafer on Blade, With Bracket 18" Lead
bobsgoodies NEW - $98.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0190-01189 Return Plug Valve Parker 6A-PR6-EPRT-SS-2770 3/8 T
athomemarket Used - $286.99 0 Dec/14/12 Dec/21/12
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
athomemarket NEW - $137.99 0 Dec/14/12 Jan/13/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $147.99 0 Dec/14/12 Jan/13/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
sparepartssolution Used - $1,499.99 0 Dec/14/12 Feb/07/13
Description: (AA01) AMAT APPLIED MATERIALS 0190-22326 ASP+ MOTOR DRIVER CP*OEM750XCA-14958
svcstore Used - $183.99 0 Dec/18/12 Dec/21/12
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Scrap, for parts - $249.99 0 Dec/18/12 Dec/21/12
Description: ADT/Applied Materials 0010-09180 Wafer Lift Motor Assy
svcstore Used - $154.99 0 Dec/18/12 Dec/21/12
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
visionsemi NEW - $999.00 1 Dec/17/12 Dec/17/12
Description: AMAT APPLIED MATERIALS 0020-20914 COVER HTR AC POWER BOX
visionsemi NEW - $900.00 1 Dec/17/12 Dec/17/12
Description: AMAT APPLIED MATERIALS 0020-20913 BOX HEATER AC POWER
mayraytan NEW - $5,500.00 1 Sep/13/11 Dec/17/12
Description: Applied Materials AMAT Veriflo Valve Man., 0050-39598
capitolareatech Used - $1,500.00 1 Aug/24/12 Dec/17/12
Description: APPLIED MATERIALS 0190-17416 CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD
grunwaldkrzyzacy NEW - $1,350.00 0 Dec/14/12 Dec/17/12
Description: APPLIED MATERIALS QUARTZ VACUUM BELLJAR BELL JAR 21"
dr.fantom Used - $800.00 1 Feb/15/12 Dec/17/12
Description: Applied Materials 0010-23716 ASSEMBLY PMAX PYROMETER R
acesemi2010 NEW - $56.00 0 Jul/04/12 Dec/16/12
Description: Applied Materials 0150-36038 CABLE,TCU, SEIKO-SEIKI TURBO PUMP, DPS AMAT
acesemi2010 NEW - $170.00 0 Nov/21/11 Dec/16/12
Description: Applied Materials 0140-10261 HARNESS ASSY,208VAC DIST,DPS CHAMBER AMAT
acesemi2010 NEW - $178.00 0 Nov/01/11 Dec/16/12
Description: Applied Materials 0021-17988 BRACKET, HANDLE MOUNTING RING 300MM DPS2 AMAT
visionsemi Used - $399.99 0 Dec/11/12 Dec/18/12
Description: AMAT APPLIED MATERIALS PHASETRONICS 0015-09091 MCVD LAMP DRIVER
mayraytan NEW - $445.00 0 Sep/02/11 Dec/18/12
Description: Applied Materials AMAT Vacuum Generator, 3510-01082
acesemi2010 NEW - $360.00 1 Jul/04/12 Dec/18/12
Description: Applied Materials 0050-21983 FEED THRU ADAPTER AMAT
smtechkoreacom Used - $999.00 1 Nov/14/12 Dec/18/12
Description: Applied Materials 0190-09459 CABLE ASSY, FIBER OPTIC 20 FT AMAT
alvin1462 NEW - $72.00 30 Nov/22/12 Jul/15/14
Description: APPLIED MATERIALS AMT 0150-16279 Cable ASSY HR3 Driver Motor Power NEW
usedeqsales Used - $1,012.11 3 Dec/21/11 Dec/18/12
Description: Applied Materials AMAT Endura 5500 Hoist Lift working
mayraytan NEW - $850.00 1 Sep/13/11 Dec/18/12
Description: Applied Materials AMAT Robot Shaft Drive, 0020-78958
bobsgoodies NEW - $4,695.00 0 Dec/19/12 Jan/18/13
Description: MIRRA AMAT 0090-77347 Spindle with Drive Motor Applied Materials
belkizllc1 NEW - $700.00 0 Dec/11/12 Dec/18/12
Description: Applied Materials Ceramic Insulator 8" Wafer P/n 0020-22975 (New)
athomemarket NEW - $95.39 0 Dec/19/12 Jan/18/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $284.39 0 Dec/19/12 Jan/18/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $104.39 0 Dec/19/12 Jan/18/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $38.99 0 Dec/19/12 Dec/22/12
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $507.99 0 Dec/19/12 Dec/22/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
supertechshop NEW - $299.00 0 Dec/19/12 Jan/18/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop Used - $995.00 0 Dec/19/12 Jan/18/13
Description: AMAT Brooks Automation PRI Wet Robot WTM-511-2-FWS02 Applied Materials /Warranty
yayais2012 NEW - $650.00 0 Dec/19/12 Jan/18/13
Description: Applied Materials PEDESTAL QUARTZ LARGER PIN DIAMETER 300mm PRECLEAN
jkcinc2005 Used - $36.00 0 Dec/20/12 Dec/27/12
Description: Heinemann Breakers in Applied Materials Circuit Breaker box 01-81914-00
sparepartssolution Used - $250.00 0 May/09/12 Dec/19/12
Description: (AA01) AMAT APPLIED MATERIALS 3870-01213 PENUMATIC VALVE USED WORKING
electronicswest NEW - $450.00 0 Dec/20/12 Dec/30/12
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
athomemarket NEW - $283.49 0 Dec/20/12 Jan/19/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
svcstore Used - $183.99 0 Dec/20/12 Dec/23/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $137.99 0 Dec/20/12 Dec/23/12
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Dec/20/12 Dec/23/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $91.99 0 Dec/20/12 Dec/23/12
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
bobsgoodies Used - $1,250.00 1 Dec/21/12 Dec/22/12
Description: AMAT 0010-20300 Endura System 3883 Lift Assy, PVD Chamber Applied Materials
bobsgoodies Used - $1,250.00 1 Dec/21/12 Dec/22/12
Description: AMAT 0010-20300 Endura System 3260 Lift Assy, PVD Chamber Applied Materials
electronicswest Used - $102.50 1 Dec/21/12 Dec/28/12
Description: Applied Materials AMAT 0150-76288 Rev.001 PVD Chamber Cable Assy 50FT DC Source
athomemarket NEW - $353.69 0 Dec/21/12 Jan/20/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $100.79 0 Dec/21/12 Jan/20/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $555.29 0 Dec/21/12 Jan/20/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
svcstore Used - $154.99 0 Dec/21/12 Dec/24/12
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
bobsgoodies Used - $425.00 1 Dec/11/12 Dec/21/12
Description: Applied Materials 0190-03676 365nm Light Source Module
dr.fantom Used - $400.00 5 May/17/12 Dec/21/12
Description: Applied Materials 0010-77682 SMC NP420-DN1 MANIFOLD PNEUMATIC
athomemarket NEW - $242.99 0 Dec/22/12 Jan/21/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
esprprts Refurbished - $25.00 0 Dec/23/12 Jan/22/13
Description: Applied Materials 0020-22844 REV B - SHIELD,PEDESTAL 8" PRECLEAN
athomemarket Used - $66.59 0 Dec/23/12 Jan/22/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore Used - $183.99 0 Dec/23/12 Dec/26/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $599.99 0 Dec/23/12 Dec/26/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $137.99 0 Dec/23/12 Dec/26/12
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $91.99 0 Dec/23/12 Dec/26/12
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
yayais2012 NEW - $15.00 0 Dec/24/12 Jan/23/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
svcstore Used - $169.99 0 Dec/24/12 Dec/27/12
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $35.99 0 Dec/24/12 Dec/27/12
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Dec/24/12 Dec/27/12
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
surplusssam NEW - $199.99 1 Dec/24/12 Jun/30/14
Description: NEW APPLIED MATERIALS AMAT 3300-02263 FTG RLF VALVE
svcstore Scrap, for parts - $230.99 0 Dec/24/12 Dec/27/12
Description: ADT/Applied Materials 0010-09180 Wafer Lift Motor Assy
svcstore Used - $507.99 0 Dec/24/12 Dec/27/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
bhjang1 Scrap, for parts - $3,000.00 1 Dec/24/12 Jan/25/18
Description: APPLIED MATERIALS 0010-70149 CENTURA ROBOT DRIVERS
athomemarket NEW - $353.69 0 Dec/25/12 Jan/24/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $455.39 0 Dec/25/12 Jan/24/13
Description: Applied Materials 0190-35198 Optical Sensor Cable Assy.
yayais2012 Used - $3,400.00 0 Dec/26/12 Jan/25/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
bobsgoodies NEW - $220.00 0 Dec/26/12 Jan/25/13
Description: Applied Materials 0225-33858 Valve Angle Manual Viton Seal Nor-Cal ESVP-1002-NWB
athomemarket Used - $260.99 0 Dec/26/12 Jan/25/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
svcstore Used - $183.99 0 Dec/26/12 Dec/29/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $599.99 0 Dec/26/12 Dec/29/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $137.99 0 Dec/26/12 Dec/29/12
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $91.99 0 Dec/26/12 Dec/29/12
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
bobsgoodies NEW - $98.00 0 Dec/27/12 Jan/26/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
tripledirect Used - $7,100.00 0 Dec/27/12 Jan/26/13
Description: Applied Materials Magnet Model # 0010-21810
ptb-sales Refurbished - $250.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales NEW - $460.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $6,300.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $1,800.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Refurbished - $250.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
tripledirect Used - $5,500.00 0 Dec/27/12 Jan/26/13
Description: Applied Materials 0010-21127 PVD Magnet (can be use for 0010-20328)
ptb-sales Used - $3,000.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
sellyoursurplus NEW - $250.00 0 Dec/27/12 Jan/26/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
svcstore Used - $169.99 0 Dec/27/12 Dec/30/12
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $35.99 0 Dec/27/12 Dec/30/12
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Dec/27/12 Dec/30/12
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Scrap, for parts - $230.99 0 Dec/27/12 Dec/30/12
Description: ADT/Applied Materials 0010-09180 Wafer Lift Motor Assy
svcstore Used - $507.99 0 Dec/27/12 Dec/30/12
Description: AMAT Applied Materials Treatment Controller 0010-20098
surpluskobay Used - $825.00 0 Dec/28/12 Jan/27/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
surpluskobay Used - $875.00 0 Dec/28/12 Jan/27/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
acesemi2010 Used - $1,000.00 1 May/22/12 Dec/27/12
Description: Applied Materials 0100-00396, 0110-00396 ASSY PCB ANALOG I/O AIO BD AMAT
supertechshop Used - $274.99 0 Nov/27/12 Dec/27/12
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
usedeqsales NEW - $2,242.12 0 Dec/28/12 May/01/15
Description: AMAT Applied Materials 0010-45649 MFC Neon Purge Panel new
athomemarket NEW - $471.59 0 Dec/28/12 Jan/27/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
supertechshop NEW - $299.00 0 Dec/29/12 Jan/28/13
Description: Applied Materials CPCI CompactPCI Single Board Computer SBC 3U AMAT 0190-05611
supertechshop Used - $499.00 1 Dec/29/12 Jan/22/13
Description: AMAT Applied Materials P5000 Precision Wafer Lift BWCVD Lifter Assy 0010-10188
supertechshop Used - $99.99 0 Dec/29/12 Jan/28/13
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
svcstore Used - $183.99 0 Dec/29/12 Jan/01/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $137.99 0 Dec/29/12 Jan/01/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Dec/29/12 Jan/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $699.00 0 Dec/29/12 Jan/28/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $399.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $299.00 0 Dec/29/12 Jan/28/13
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop NEW - $995.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Dec/29/12 Jan/28/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 Dec/29/12 Jan/28/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $499.00 0 Dec/29/12 Jan/28/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 Dec/29/12 Jan/28/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $299.00 0 Dec/29/12 Jan/28/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $99.99 0 Dec/29/12 Jan/28/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Dec/29/12 Jan/28/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $499.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Dec/29/12 Jan/28/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Dec/29/12 Jan/28/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop Used - $499.00 0 Dec/29/12 Jan/28/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Dec/29/12 Jan/28/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Dec/29/12 Jan/28/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Dec/29/12 Jan/28/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
turkeymakesmetired-2008 NEW - $199.99 1 Dec/30/12 Jun/19/13
Description: AMAT Applied Materials 0200-36680 Liner quartz upper Gss DIST Ground ASP+ New
turkeymakesmetired-2008 NEW - $199.99 2 Dec/30/12 Jul/12/13
Description: AMAT Applied Materials 0200-04085 Middle Ceramic Liner New
svcstore Used - $91.99 0 Dec/30/12 Jan/02/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $35.99 0 Dec/30/12 Jan/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Dec/30/12 Jan/02/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $169.99 0 Dec/30/12 Jan/02/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Scrap, for parts - $230.99 0 Dec/30/12 Jan/02/13
Description: ADT/Applied Materials 0010-09180 Wafer Lift Motor Assy
fa-parts Used - $200.00 1 Dec/30/12 Nov/23/22
Description: Applied Materials, Digital I/0 Card 0100-11002 REV F,SCHEMATIC # 0130-11002 FREE
fa-parts Used - $220.00 2 Dec/30/12 Dec/04/22
Description: Applied Materials, Digital I/0 Card 0100-11002 REV C,SCHEMATIC # 0130-11002 FREE
fa-parts Used - $319.99 0 Dec/31/12 Apr/23/18
Description: Applied Materials, Digital I/0 BOARD 0100-20003,FAB 0110-20003
fa-parts Used - $599.99 1 Dec/31/12 Dec/18/13
Description: Applied Materials, STEPPER CONTROLLER BOARD 0100-20173,FAB 0110-20173
fa-parts Used - $250.00 1 Dec/31/12 May/21/18
Description: Applied Materials, Digital I/0 BOARD 0100-76124,FAB 0110-76124
fa-parts Used - $360.00 0 Dec/31/12 Jan/24/21
Description: Applied Materials, STEPPER DRIVE BOARD 0100-00003
fa-parts Used - $210.00 1 Dec/31/12 Feb/07/23
Description: AMAT APPLIED MATERIALS TC GAUGE P.W.B BOARD 0100-00008 REV K FREE SHIP
usedeqsales NEW - $712.12 2 Dec/31/12 Jan/01/13
Description: AMAT Applied Materials 1000W Delta Lamp Module Assembly 0010-29446 new
usedeqsales NEW - $2,108.48 0 Dec/31/12 Jan/08/13
Description: AMAT Applied Materials Shimadzu 300mm EChain Assembly 0010-32580 new
athomemarket Used - $378.89 0 Dec/31/12 Jan/30/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
athomemarket NEW - $179.99 0 Dec/31/12 Jan/30/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $507.99 0 Dec/31/12 Jan/03/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
exper-tech Used - $7.60 1 Jan/01/13 Jan/08/13
Description: Applied Materials TXZ Drive Assy. 5000, 5200, 5500 CVD 0010-36521 pn no reserve
wedone NEW - $500.00 0 Jan/01/13 Jan/31/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
svcstore Used - $137.99 0 Jan/01/13 Jan/04/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Jan/01/13 Jan/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
bobsgoodies Used - $110.00 0 Jan/02/13 Feb/01/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
bobsgoodies NEW - $25.00 0 Jan/02/13 Feb/01/13
Description: Applied Materials 0190-00052 Elastomer (Lot of 5)
bobsgoodies NEW - $399.00 0 Jan/02/13 Feb/01/13
Description: Applied Materials 0224-44071 Thornton 770 PC Process Ccontoller
electronicswest NEW - $200.00 0 Jan/02/13 Jan/07/13
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
spendsmartstore NEW - $20.00 0 Jan/02/13 Jan/09/13
Description: APPLIED MATERIALS 0021-13416 7.125"OD 5.25"ID REV3 ROLLING SEALS, LOT OF 15
dr.fantom NEW - $99.00 0 Nov/01/11 Jan/02/13
Description: Applied Materials 0015-20022 402271-PE Calibration Tools SPANNER WRENCH MOD
zuse81 NEW - $580.00 0 Nov/08/11 Jan/02/13
Description: Applied Materials AMAT 0021-20788EC Shield 8" New
dr.fantom NEW - $100.00 0 Oct/27/11 Jan/02/13
Description: APPLIED MATERIALS 0050-85403
csi.usa NEW - $1,500.00 1 Aug/30/12 Jan/01/13
Description: APPLIED MATERIALS P/N 0010-23716 PMAX PYROMETER ASSY.
svcstore Used - $183.99 0 Jan/02/13 Jan/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $91.99 0 Jan/02/13 Jan/05/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
fa-parts Used - $339.99 0 Jan/02/13 Jul/16/14
Description: Applied Materials Chopper Drive PWB assy 0100-00060 REV L
fa-parts Used - $249.99 1 Jan/02/13 Jan/19/16
Description: APPLIED MATERIALS OPTO DETECT BOARD 0100-00014
svcstore Used - $35.99 0 Jan/02/13 Jan/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Jan/02/13 Jan/05/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $169.99 0 Jan/02/13 Jan/05/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
fa-parts Used - $149.99 1 Jan/03/13 Jun/19/15
Description: APPLIED MATERIALS BUFFER I/O BOARD 0100-09009 REV G
fa-parts Used - $349.99 0 Jan/03/13 Nov/19/15
Description: APPLIED MATERIALS Intelligent Interface Board 0100-09056 Rev. G
redlinemerch NEW - $285.00 0 Jan/03/13 Aug/31/13
Description: AMAT Applied Materials model 8300 15V power supply part# 0010-00028
dr.fantom Used - $400.00 0 Jan/03/13 Feb/12/15
Description: Applied Materials AMAT 0020-24804EC Cover Ring 8" 101 0020-24804
dr.dantom Used - $380.00 0 Jan/03/13 Feb/12/15
Description: Applied Materials AMAT 0020-26288 Shield lower 8" 101Duraso
bobsgoodies Used - $575.00 1 Dec/11/12 Jan/03/13
Description: Applied Materials 0190-76273 AMI Power Supply Model P1183-208/208
visionsemi Used - $500.00 1 Oct/08/12 Jan/02/13
Description: APPLIED MATERIALS ADV 101 WB LIFT HOOP 3 PIN AMAT 0020-27103 ENDURA
athomemarket Refurbished - $138.59 0 Jan/03/13 Feb/02/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $68.39 0 Jan/03/13 Feb/02/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $680.39 0 Jan/03/13 Feb/02/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Used - $691.19 0 Jan/03/13 Feb/02/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Used - $306.89 0 Jan/03/13 Feb/02/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket NEW - $70.19 0 Jan/03/13 Feb/02/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
prism_electronics8 Used - $299.99 1 Jan/03/13 May/17/19
Description: Applied Materials AMAT 0620-01522 N Female-7/16 Female 25 FT Cable Assembly
svcstore Used - $507.99 0 Jan/03/13 Jan/06/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
visionsemi Used - $75.00 0 Jan/04/13 Jun/03/13
Description: VERIFLO 944AOPLPNCSFSFF DIAPHRAGM VALVE 42600719 APPLIED MATERIALS 3870-01306
athomemarket NEW - $89.99 0 Jan/04/13 Feb/03/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $305.99 0 Jan/04/13 Feb/03/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $802.79 0 Jan/04/13 Feb/03/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
capitolareatech NEW - $125.00 0 Jan/04/13 Sep/01/13
Description: APPLIED MATERIALS 0020-63926 MANIFOLD,RPS II LEFT/RIGHT Producer
yayais2012 Used - $620.00 0 Jan/05/13 Feb/04/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $320.00 0 Jan/05/13 Feb/04/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 Used - $120.00 0 Jan/05/13 Feb/04/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $320.00 0 Jan/05/13 Feb/04/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
yayais2012 Used - $155.00 0 Jan/05/13 Feb/04/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $99.99 0 Jan/05/13 Feb/04/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $399.00 0 Jan/05/13 Feb/04/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $149.00 0 Jan/05/13 Feb/04/13
Description: AMAT Manifold Out Left Chamber Lid SACVD 0040-87102 Applied Materials
supertechshop NEW - $399.00 0 Jan/05/13 Feb/04/13
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop Used - $499.00 0 Jan/05/13 Feb/04/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Jan/05/13 Feb/04/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $299.00 0 Jan/05/13 Feb/04/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Jan/05/13 Feb/04/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
svcstore Used - $183.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $91.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $137.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Jan/05/13 Jan/08/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $169.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bbs_express Used - $275.00 1 Jan/05/13 Jan/16/18
Description: APPLIED MATERIALS - Model # 0190-09401 (used)
svcstore Used - $35.99 0 Jan/05/13 Jan/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
dr.fantom NEW - $250.00 0 Jan/06/13 Sep/03/13
Description: Applied Materials 0242-10662 KIT ESC BLANKOFF FOR CCM
bbs_express Used - $250.00 0 Jan/06/13 Sep/03/13
Description: APPLIED MATERIALS - ELEVATOR UNIT -- Model # 0190-09401 (used)
svcstore Used - $507.99 0 Jan/06/13 Jan/09/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bjnaf4 NEW - $875.60 0 Jan/07/13 Feb/06/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
csi.usa NEW - $900.00 1 Aug/30/12 Jan/07/13
Description: APPLIED MATERIALS P/N 0190-12160 REMOTE KEYPAD CTI ONBOARD IS CYRO W/3M USB CABL
sparepartssolution NEW - $299.99 1 Sep/05/12 Jan/07/13
Description: (127-0603) AMAT APPLIED MATERIALS 3870-01215 VALVE NEW
ptb-sales NEW - $460.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Refurbished - $250.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Refurbished - $250.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $6,300.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $750.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $863.09 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket Used - $366.29 0 Jan/07/13 Feb/06/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $104.39 0 Jan/07/13 Feb/06/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
supertechshop NEW - $2,750.00 0 Jan/07/13 Feb/06/13
Description: NEW AMAT Wafer Orienter Controller Board 0100-76259 PCB Assy Applied Materials
supertechshop NEW - $199.00 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
fa-parts Used - $150.00 1 Jan/08/13 Mar/19/22
Description: APPLIED MATERIALS AI MUX/CURRENT SENSE ASSY. 0100-09011 REV:G BOARD FREE SHIP
fa-parts Used - $150.00 1 Jan/08/13 Mar/19/22
Description: APPLIED MATERIALS AI MUX/CURRENT SENSE ASSY. 0100-09011 REV:E BOARD FREE SHIP
redlinemerch Used - $1,195.00 1 Jan/08/13 Jun/18/13
Description: AMAT Applied Materials RF Match 0010-09750
wizofipad NEW - $49.00 0 Jan/08/13 Sep/05/13
Description: Applied Materials 4020-01318 Sporlan Refrigeration Filter Housing Type C-484-P
bobsgoodies NEW - $135.00 1 Dec/11/12 Jan/07/13
Description: Applied Materials 4250040 Pressure Switch (Large) Barksdale D1H-A80SS
mayraytan NEW - $850.00 1 Sep/13/11 Jan/07/13
Description: Applied Materials AMAT Titan Manifold Assy, 0010-10828
electronicswest NEW - $100.00 0 Jan/08/13 Jan/15/13
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
prism_electronics8 Used - $499.99 1 Jun/06/12 Jan/08/13
Description: Applied Materials Butterfly Valve 0015-09077 w/ PX245-02AA-C4 Stepping Motor
belkizllc1 NEW - $700.00 0 Jan/08/13 Jan/15/13
Description: Applied Materials Ceramic Insulator 8" Wafer P/n 0020-22975 (New)
ggrassetrecovery Used - $225.00 0 Jan/08/13 Jan/15/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
visionsemi NEW - $39.99 0 Jan/08/13 Jun/07/13
Description: ROBERTSHAW BC10-L2S THERMOCOUPLE AMAT APPLIED MATERIALS 1350-50000
semiexpress NEW - $2,450.00 0 Jan/08/13 Jun/24/13
Description: AMAT Applied Materials 0010-70271 Wafer Lift New
athomemarket NEW - $60.29 0 Jan/08/13 Jan/28/13
Description: New Applied Materials 0150-04244 Cable Assy Mirra Wafer
svcstore Used - $169.99 0 Jan/08/13 Jan/11/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $35.99 0 Jan/08/13 Jan/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Jan/08/13 Jan/11/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
visionsemi Used - $579.99 1 Jan/09/13 Sep/26/13
Description: AMAT APPLIED MATERIALS 0100-20173 STEPPER CONTROLLER BOARD ASSY
usedeqsales Used - $1,001.13 1 Jan/09/13 Jan/10/13
Description: AMAT Applied Materials P5000 0010-09978 Gold Lamp Module Assembly Rev.B working
acesemi2010 NEW - $950.00 1 Nov/18/11 Jan/08/13
Description: Applied Materials 0140-38374 HARN.ASSY,CHAMBER EXHHAUST WITH ICP AMAT
bobsgoodies NEW - $350.00 1 Sep/10/12 Jan/08/13
Description: Applied Materials 4020-01394 Ceramic Filter Toshiba BFA-02-50-ST-EP2 21mm X 50mm
mayraytan NEW - $2,250.00 1 Sep/13/11 Jan/08/13
Description: Applied Materials AMAT Valve Man., 3870-01813
usedeqsales NEW - $901.13 1 Jan/09/13 Sep/04/13
Description: AMAT Applied Materials 0190-09400 T2 150mm Susceptor Assembly new
bobsgoodies NEW - $1,675.00 1 Jan/09/13 Feb/08/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
athomemarket Used - $81.89 0 Jan/09/13 Feb/08/13
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
athomemarket NEW - $32.39 0 Jan/09/13 Jan/21/13
Description: Applied Materials Turbo Pump Cable Assy 0150-36038 NEW
athomemarket NEW - $47.69 0 Jan/09/13 Jan/28/13
Description: 7 Applied Materials Ball Bearings Clean Room 3060-01183
athomemarket NEW - $49.49 0 Jan/09/13 Jan/28/13
Description: 20 Applied Materials Clean Room Lens Support 0020-21697
athomemarket NEW - $49.49 0 Jan/09/13 Jan/28/13
Description: Applied Materials 50' Cable FUPS to AC Rack 0150-08876
athomemarket Used - $49.49 0 Jan/09/13 Jan/28/13
Description: Applied Materials Electrostatic Chuck Cover 9999-00178
svcstore Used - $507.99 0 Jan/09/13 Jan/12/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
yayais2012 NEW - $400.00 0 Jan/09/13 Feb/08/13
Description: Applied Materials 0190-10270 Diamond Pad Cond
sparepartssolution NEW - $1,699.99 1 Nov/21/12 Jan/10/13
Description: (AA01) AMAT APPLIED MATERIALS 0040-21289 ADAPTER 16" CHAM DURASOURCE TTN NEW
spendsmartstore NEW - $20.00 1 Jan/10/13 Jan/17/13
Description: APPLIED MATERIALS 0021-13416 7.125"OD 5.25"ID REV3 ROLLING SEALS, LOT OF 15
usedeqsales Used - $505.12 1 May/24/12 Jan/09/13
Description: Applied Materials AMAT XR80 Cooled Tube 0040-96386 Used Working
surplex Used - $74.99 0 Jan/10/13 Jan/17/13
Description: Applied Materials 0120-90932 Serial Interface Driver
usedeqsales Used - $1,501.13 0 Jan/10/13 Dec/31/13
Description: AMAT Applied Materials 9090-00042 E84 Interface Controller Rev.3X8H working
usedeqsales Used - $1,001.13 0 Jan/10/13 May/01/24
Description: AMAT Applied Materials 0090-91409 Beamline Interlock Box Rev. A Used
athomemarket Refurbished - $91.79 0 Jan/10/13 Feb/09/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
athomemarket Used - $294.29 0 Jan/10/13 Feb/09/13
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket NEW - $211.49 0 Jan/10/13 Feb/09/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $446.39 0 Jan/10/13 Feb/09/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $91.79 0 Jan/10/13 Feb/09/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
svcstore Used - $84.99 0 Jan/10/13 Jan/13/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
esprprts NEW - $25.00 1 Jan/10/13 Jan/16/13
Description: AMAT Applied Materials 0620-01044 CABLE ASSY CONVECTRON CONTROL 9 FT
dvkelectronics Used - $7,000.00 0 Jan/10/13 Feb/09/13
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
sparepartssolution Refurbished - $2,499.99 0 Jan/10/13 Feb/20/14
Description: (HD01) AMAT APPLIED MATERIALS 0010-09416 RF MATCH OVERHAULED INCLUDE TEST SHEET
svcstore NEW - $599.99 0 Jan/10/13 Jan/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $169.99 0 Jan/10/13 Jan/13/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
sparepartssolution NEW - $1,699.99 1 Jan/10/13 Apr/16/13
Description: (AA01) AMAT APPLIED MATERIALS 0040-21289 ADAPTER 16" CHAM DURASOURCE TTN NEW
svcstore Used - $126.99 0 Jan/10/13 Jan/13/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
bobsgoodies NEW - $99.00 0 Jan/11/13 Feb/10/13
Description: APPLIED MATERIALS 0100-20071 PCB ASSY, 15V NONITOR
bobsgoodies NEW - $69.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-36084 Cable Assembly, Over-Temp Switch TXZ MCVD
bobsgoodies NEW - $125.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3140-01035 Bearing Ball Bushing 1.0" ID X 1.56" OD X 2.250" L
bobsgoodies NEW - $135.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0040-05998 SS Shaft Approx 3/4" Daimeter 20 3/8" long
bobsgoodies NEW - $85.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0140-20219 Harness Assy, Mag Lamp Current Sense AMAT
bobsgoodies NEW - $153.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1270-01707 EFECTOR SCM12ABAFPKG/US Flow Monitor
bobsgoodies NEW - $115.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies NEW - $125.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-37311 Cabel Assembly, J Lamp Driver D
bobsgoodies NEW - $46.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1270-01036 Switch Limit DPDT 24V Straight Plunge DT-2RS1-A7
bobsgoodies NEW - $225.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1270-01516 Precision Sensors P17W-73 Pressure Switch 25 PSIG
bobsgoodies NEW - $35.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-20038 Cable Assy, AI/O Contorller Interconnect 326815-DC
bobsgoodies NEW - $37.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0140-66009 Switch Assembly
bobsgoodies Used - $135.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
bobsgoodies NEW - $99.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0040-21419 Elbow
bobsgoodies NEW - $32.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-77128 Ball Bearing 20MM ID X 37MM OD
bobsgoodies NEW - $99.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-09027 Vexta 2-Phase Stepping Motor PH264-01B-C11
bobsgoodies NEW - $119.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-09063 Wasco Vacuum Switch Set: 15" HG Decreasing
bobsgoodies NEW - $89.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3300-03064 Fitting coupling Close VCR Female 1/2", Tube SST
bobsgoodies NEW - $15.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1010-50117 Fuji Electric AH165-2SZ M1 Command Indicatror Light
bobsgoodies NEW - $88.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-18277 Parker 5732-6 Hose Assy. W/Couplers
bobsgoodies NEW - $35.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0040-04757 Plate, Blank-off, Watlow (Lot of 3)
bobsgoodies NEW - $10.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0021-06284 and 0021-76009, Spacer
bobsgoodies NEW - $89.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-90678 F/O,T3,600MM,B2.RX/30B.RX/3 Fiber optic cable assy
bobsgoodies Used - $35.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-37195 Cable Assembly, Bias Current RF Match
bobsgoodies Used - $399.00 1 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-76430 Cass Index Motor Assy, Vexta A3723-9215
bobsgoodies Used - $79.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-76218 Banner Q23SN6CV50 Photoelectric Sensor NPN
bobsgoodies NEW - $135.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-13018 Control Flow Monitor Assy.
bobsgoodies Used - $275.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1080-01190 Vexta K0043-M Brushless DC MOTOR
bobsgoodies NEW - $179.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-76231 SMC ISE4-T1-25 PRESSURE SWITCH
bobsgoodies NEW - $29.50 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $168.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0050-31381 SyncroVac Weldment Nupro 6LV-BNB-W4 Valve & Piping
bobsgoodies NEW - $67.75 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3060-01783 Thrust Bearing Set, New - unused, Barden 101H
bobsgoodies NEW - $10.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3700-01258 Window Filter Frame "O" Ring (2)
bobsgoodies NEW - $85.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-90012 Fiber Optic Cable Assembly
bobsgoodies NEW - $225.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3870-01161 Isolation Valve, Nor-Cal Vacuum Valve
bobsgoodies NEW - $45.00 1 Jan/11/13 Jan/29/13
Description: Applied Materials 1080-01166 Stepping Motor 2-Phase Vexta P245-01BA
bobsgoodies NEW - $220.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0040-08541 Block Left Controller
bobsgoodies NEW - $155.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-77764 Assy, Water Separator, Parker 08F48AC
bobsgoodies Used - $399.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-76431 Cass Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $65.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0040-76083 SSH4-63 Quick Coupler X 3/4" Tube Stub SS
bobsgoodies Used - $325.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-99048 Assy, Flat Orienter 150mm
bobsgoodies Used - $525.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0500-01139 SCR Power Controller 2028B-1007 108 VAC
bobsgoodies Used - $399.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-76317 Cass Index Motor Assy, Vexta A3723-9215
bobsgoodies Used - $525.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-98306 AIT Appllied Implant Technology Tilt Actuator Hood
bobsgoodies NEW - $265.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3870-02286 Manual Isolation Valve, Nor-Cal Vacuum Valve
bobsgoodies NEW - $1,085.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-35961 Assy Optical Sensor/Cable Spec. Contrl
bobsgoodies NEW - $445.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-35511 T/C Assy TXZ Marchi 97468 Thermocouple Assembly
bobsgoodies NEW - $165.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0226-97975 Hose Assy ChA. Supply to Cathode 3/8" Hose 102" lg.
bobsgoodies NEW - $385.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-36020 Water Flow Switch1/2" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $1,450.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
bobsgoodies NEW - $35.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0021-01482 A Holder, Tupe Mntg, Opt 1122428-001b (Lot of 10)
bobsgoodies NEW - $135.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0140-78208 Robot Cable Assembly
bobsgoodies Used - $299.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-77138 Hama Laser Sensor WX-43
bobsgoodies NEW - $59.50 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3300-01722 Stainless Steel Quick Coupler, 3/8"
bobsgoodies NEW - $19.50 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0140-10071 Harness, Switch Interlock Filter Box
bobsgoodies NEW - $39.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0020-89462 Banjo, Scan arm Bleed part for 0240-911557
bobsgoodies NEW - $39.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0140-78232 Harness, DNet Interconnect, Pump Interface
bobsgoodies NEW - $115.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0021-01123 OBS, Plate, Blank-Off, Bottom, XFER CH, Producer
bobsgoodies NEW - $185.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-00609 Logic Box, LL Pump Manual Start Switch
bobsgoodies NEW - $22.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0020-27118 Extension Pin, PVD Degas, Opwn Interloc
bobsgoodies NEW - $125.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-35788 5-Phase Stepping Motor with cable,Vexta PK569AUA
bobsgoodies NEW - $85.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-36785 Wire harness with 2 Banner SM312FV Photo Sensors
bobsgoodies Scrap, for parts - $125.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0040-09193 Bellows Weldment assembly Slit Valve Door, DPS2
bobsgoodies NEW - $99.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-00857 Pressure Switch & Display
bobsgoodies NEW - $145.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0050-18657 Weldment, Gas Feed, RPSC, Ultima
bobsgoodies Used - $175.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3870-01352 Manual Operated Angle Valve MDC 996035
bobsgoodies NEW - $285.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-35442 P1, Flow Switch 3/8" Hose Proteus 9100SS24P15
bobsgoodies NEW - $45.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0027-05924 FAC Manifold Thermocouple
bobsgoodies Used - $45.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock Banner SM31EL
bobsgoodies NEW - $125.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1270-90147 Pressure Switch D51 805803
bobsgoodies Used - $725.00 1 Jan/11/13 Jan/12/13
Description: Applied Materials 0190-22014 PVD Dual Mode Driver Single Phase Lamp Driver
bobsgoodies Used - $145.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1270-01701 Precision Sensors E36W-H42 Pressure Switch
bobsgoodies Scrap, for parts - $350.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0200-35116 Susceptor, 150mm SGL Carobn Group
bobsgoodies NEW - $199.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0120-92712 Mains Sense 0100-90032 0110-90749
bobsgoodies NEW - $25.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0027-34487 Cable, Snsr D-Sub 15X3M For P-10B Display
bobsgoodies NEW - $23.50 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-36316 Wire Harness
bobsgoodies NEW - $700.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (10 Lamps)
bobsgoodies NEW - $325.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1310-00017 T/C, ROOF TOP Dual Circuit, Marchi SDSM-1617
bobsgoodies NEW - $149.00 1 Jan/11/13 Jan/14/13
Description: Applied Materials 0270-00901 Handle, SIP Chamber Lifting, 300mm Endura
bobsgoodies NEW - $625.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (Box of 10)
bobsgoodies NEW - $45.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0040-76419 Block, Sensor, Weldment
bobsgoodies NEW - $65.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0140-02425 Harness Assembly
bobsgoodies NEW - $80.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-10314 Cable Assy. SMC D-F79
bobsgoodies NEW - $15.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3320-02242 Gasket 1.33 CFF OFHC Copper (Pack of 4)
bobsgoodies NEW - $195.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0140-76835 Harness C/D Bundle, TEOS Interlock
bobsgoodies Used - $399.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-20932 Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $88.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-18083 Parker 5732-8 Hose Assy.W/Couplers BH4-60 BH4-61
bobsgoodies NEW - $160.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines
bobsgoodies NEW - $85.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-90677 F/O,T4,330MM,A2.TX/A3 RX Fiber Optic Cable Assembly
bobsgoodies NEW - $899.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-77096 Assy RTD Electronics AMAT
bobsgoodies NEW - $250.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1270-90350 Safedge Switch 520MM Long AMAT
bobsgoodies NEW - $99.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0140-03221 P17 SWLL-A INTLKS Harness Assembly Applied Materials
bobsgoodies Used - $6,600.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-39646 Throttle Valve NSK AMAT
bobsgoodies NEW - $45.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0020-23850 Bracket Stauff Clamps Gasline Manifold Applied Materials
bobsgoodies NEW - $99.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0140-01997 P17 SWLL-B INTLKS Harness Assembly Applied Materials
bobsgoodies NEW - $99.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0140-01988 MP1 Mainframe I/O Assy Cable Applied Materials
athomemarket Refurbished - $193.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $175.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $193.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $175.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $175.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $238.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch
athomemarket Refurbished - $465.29 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $191.69 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch (Copper CD)
usedeqsales NEW - $4,501.13 2 Jan/11/13 Jul/08/13
Description: AMAT Applied Materials 0010-12802 300mm Titan-I Head Edge Fast Tungsten Process
esprprts NEW - $500.00 1 Jan/11/13 Jan/11/13
Description: 4(FOUR) - APPLIED MATERIALS 0040-20292 SHORT FEEDS, LAMP
mattron747 Scrap, for parts - $250.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-70060 Kollmorgen Magnet Driver
athomemarket Refurbished - $186.29 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
sparepartssolution NEW - $29.99 12 Jan/11/13 Apr/18/13
Description: (AA01) AMAT APPLIED MATERIALS 0020-23093 WASHER INSULATOR FOR PVD LIFTER NEW
svcstore Used - $169.99 0 Jan/11/13 Jan/14/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
yayais2012 Used - $180.00 0 Jan/12/13 Feb/11/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $780.00 0 Jan/12/13 Feb/11/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $120.00 0 Jan/12/13 Feb/11/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
athomemarket Refurbished - $375.29 0 Jan/12/13 Feb/11/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket NEW - $177.29 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket Refurbished - $141.29 0 Jan/12/13 Feb/11/13
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $282.59 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
svcstore Used - $154.99 1 Jan/12/13 Jan/14/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $35.99 0 Jan/12/13 Jan/15/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $507.99 0 Jan/12/13 Jan/15/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
mayraytan Used - $52.50 0 Jan/13/13 Sep/24/14
Description: Applied Materials AMAT Chemraz O- Ring, GREENE TWEED 9320-SC513, 3700-01713
it_equipment_xpress Refurbished - $210.25 0 Jan/13/13 Feb/12/13
Description: Applied Materials 0190-07502 Power Supply Board
yayais2012 NEW - $280.00 0 Jan/13/13 Feb/12/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket Refurbished - $336.59 0 Jan/13/13 Feb/12/13
Description: Applied Materials AMAT 0020-34964 Chamber Liner GDP RZ Process MxP+ (Copper CD
athomemarket Used - $133.19 0 Jan/13/13 Feb/12/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $124.19 0 Jan/13/13 Feb/12/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
svcstore Used - $84.99 0 Jan/13/13 Jan/16/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Jan/13/13 Jan/16/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $126.99 0 Jan/13/13 Jan/16/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
bobsgoodies NEW - $98.50 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
bobsgoodies NEW - $99.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-20472 Assy Wafer Sensor Monolith Banner SM312CV2-36355
bobsgoodies NEW - $125.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0090-40006 Assy Receiver Loadlock Banner Mini-beam SM31RL
bobsgoodies NEW - $97.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0190-01189 Return Plug Valve Parker 6A-PR6-EPRT-SS-2770 3/8 T
bobsgoodies NEW - $377.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-09316 TEOS Temperature Cotroller Watlow Series 965 Assy
bobsgoodies NEW - $55.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $65.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0140-75155 H/A, SMIF PLC LLA Interconnect PH, Cabel Assy
bobsgoodies NEW - $127.00 2 Jan/14/13 Feb/13/13
Description: Applied Materials 0090-76030 Assembly Sensor Emitter NBLL, 11102A6517 Cuttler
bobsgoodies NEW - $185.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0190-01170 Valve Hex Supply, 300mm Centura 8A-PR8-EPRT-SS-2822
bobsgoodies NEW - $175.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
bobsgoodies NEW - $125.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies Used - $98.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0090-00854 Sensor Assy, Cassette Present AMAT Banner SM312CV2
bobsgoodies Used - $215.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-00538 Laser Assy 1101P-0725 Uniphase
bobsgoodies Used - $18.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0020-71457 E4 Timing Pulley 11368000
bobsgoodies NEW - $12.00 1 Jan/14/13 Feb/11/13
Description: Applied Materials 3080-01105 Drive Belt Gates PowerGrip 120XL037 (Lot of 3) 1/5P
bobsgoodies NEW - $155.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-76893 Assy Sensor Wafer on Blade, With Bracket 18" Lead
bobsgoodies NEW - $179.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
bobsgoodies NEW - $185.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0090-35169 PA Assy Sensor Detector 11100R6517 Cuttler-Hammer
bobsgoodies NEW - $199.00 1 Jan/14/13 Jan/19/13
Description: Applied Materials 0190-75047 Hard Disk Drive 2.1GB 3.5''SCSI
bobsgoodies NEW - $125.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0227-33201 Hose Assy Return Cathode Chamber B BT3-32777
bobsgoodies NEW - $110.00 0 Jan/14/13 Feb/13/13
Description: AMAT 1410-01130 Heater 208VAC 2.5W/Sq.In HT to CVR Applied Materials 0010-13972
bobsgoodies NEW - $4.50 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
bobsgoodies NEW - $249.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0190-16010 Idec Micro-1 Micro Controller New
electronicswest NEW - $1,000.00 0 Jan/14/13 Jan/21/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
bobsgoodies Used - $575.00 1 Jan/11/13 Jan/13/13
Description: Applied Materials 0190-22014 PVD Dual Mode Driver Single Phase Lamp Driver
bobsgoodies NEW - $68.00 7 Jan/11/13 Jan/13/13
Description: Applied Materials 0090-20108 Assembly Sensor Cassette Assembly, Banner SM312LV
esprprts NEW - $95.00 1 Dec/13/12 Jan/12/13
Description: NEW! AMAT APPLIED MATERIALS CHAMBER INTERCONNECT PCB 0100-20313
mayraytan NEW - $195.00 2 Oct/03/11 Jan/11/13
Description: Applied Materials AMAT Kalrez O-Ring, 3700-01812
athomemarket NEW - $312.99 1 Dec/23/12 Jan/11/13
Description: NEW Applied Materials AMAT 908193-001 Extended Analog I/O Board PCB (Semy) Aviza
acesemi2010 NEW - $165.00 1 Jul/03/12 Jan/11/13
Description: Applied Materials 0090-20283 ELECT ASSY N2 FLOW SWITCH 24 SLM AMAT
sparepartssolution NEW - $15.99 9 Apr/26/12 Jan/10/13
Description: (AA01) AMAT APPLIED MATERIALS 0020-23093 WASHER INSULATOR FOR PVD LIFTER NEW
acesemi2010 NEW - $45.00 1 Dec/21/11 Jan/10/13
Description: Applied Materials 0020-20487 COVER LAMP END AMAT
bobsgoodies Used - $399.00 2 Dec/11/12 Jan/10/13
Description: Applied Materials 0010-20932 Index Motor Assy, Vexta A3723-9215
usedeqsales NEW - $808.12 1 Aug/08/12 Jan/10/13
Description: Applied Materials AMAT Valve Process Kit 300mm 0242-13944 New
usedeqsales NEW - $1,054.28 1 Jun/18/12 Jan/10/13
Description: Applied Materials AMAT 0227-04991 Matrix PVD CBM/CLF Kit New
usedeqsales NEW - $355.68 1 Aug/17/12 Jan/10/13
Description: Applied Materials AMAT ESC 3/8OD Gas Lines Kit 0240-40458 New
svcstore Used - $169.99 0 Jan/14/13 Jan/17/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
dr.fantom Used - $250.00 1 Jan/15/13 Jan/19/13
Description: Applied Materials 0100-00899 Assembly PCB Rotation
mayraytan NEW - $950.00 1 Apr/26/12 Jan/14/13
Description: Applied Materials AMAT MKS Pressure Transducer 722A11TCE2FA 1350-01312
electronicswest NEW - $75.00 0 Jan/15/13 Jan/22/13
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
megawavz Used - $205.00 0 Jan/15/13 Feb/14/13
Description: Applied Materials AMAT 0120-90418 Temperature Servo Board 0100-90127 / ISS N
usedeqsales Used - $1,001.13 1 Jan/15/13 Jun/18/14
Description: AMAT Applied Materials 9090-01128 Vacuum Robot Amplifier Chassis working
usedeqsales Used - $150.57 1 Jan/15/13 Apr/14/13
Description: AMAT Applied Materials 0010-03051 300mm Slit Valve NCDQ2B125-UIA97 working
visionsemi Used - $1,999.00 0 Jan/15/13 Jan/20/13
Description: AE ADVANCED ENERGY 3152412-218 PINNACLE 6kW APPLIED MATERIALS 0190-05934 AMAT
visionsemi Refurbished - $2,300.00 0 Jan/15/13 Feb/14/13
Description: AE ADVANCED ENERGY RF MATCH 3155031-037 AMAT 1110-00056 APPLIED MATERIALS REFURB
athomemarket NEW - $520.99 0 Jan/15/13 Feb/14/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Refurbished - $2,547.99 0 Jan/15/13 Feb/14/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $21.99 0 Jan/15/13 Feb/14/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
svcstore Used - $35.99 0 Jan/15/13 Jan/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $169.99 0 Jan/15/13 Jan/18/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $507.99 0 Jan/15/13 Jan/18/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bobsgoodies NEW - $35.00 0 Jan/16/13 Feb/15/13
Description: Applied Materials 0150-76405 Cable Assembly New AMAT
usedeqsales NEW - $701.13 1 Jan/16/13 Jun/29/14
Description: AMAT Applied Materials 0190-09291 200mm Wafer Lift Ceramic Ring new
bobsgoodies NEW - $575.00 1 Jan/16/13 Jan/30/13
Description: Applied Materials 0010-77357 Pad Conditioner Pneumatic Control Assembly AMAT
usedeqsales NEW - $701.13 1 Jan/16/13 Oct/11/13
Description: AMAT Applied Materials 0020-04181 Insulating Flange Round new
usedeqsales NEW - $401.13 0 Jan/16/13 Dec/04/14
Description: AMAT Applied Materials 0021-81146 300mm HDPCVD Telfon 18" Dome Ring new
usedeqsales Used - $501.13 3 Jan/16/13 May/14/19
Description: AMAT Applied Materials 0040-79668 Wafer Carrier used
ggrassetrecovery Used - $175.00 0 Jan/16/13 Jan/23/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
svcstore Used - $84.99 0 Jan/16/13 Jan/19/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Jan/16/13 Jan/19/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop Used - $299.00 0 Jan/17/13 Feb/16/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
supertechshop Used - $199.00 0 Jan/17/13 Feb/16/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
athomemarket NEW - $252.99 0 Jan/17/13 Feb/16/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
hdsemispares Used - $5.00 1 Jan/17/13 Jan/27/13
Description: APPLIED MATERIALS AMAT 300MM SMC SLIT VALVE ACTUATOR 0040-13423
hdsemispares Used - $5.00 1 Jan/17/13 Jan/27/13
Description: APPLIED MATERIALS AMAT 300MM STATOR 0190-02700
athomemarket Refurbished - $216.99 0 Jan/17/13 Feb/16/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
svcstore Used - $169.99 0 Jan/17/13 Jan/20/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $126.99 0 Jan/17/13 Jan/20/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
megawavz Used - $205.00 0 Jan/18/13 Feb/17/13
Description: Applied Materials AMAT Suppression Box 0090-90346 For Power Supply series 600
bobsgoodies Used - $877.00 0 Jan/18/13 Feb/17/13
Description: AMAT 0190-09560 Phasetronics lamp driver for Applied Materials tool.
ptb-sales Refurbished - $250.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales NEW - $460.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $1,800.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Refurbished - $250.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $6,300.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $3,000.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
bobsgoodies Used - $4,695.00 0 Jan/18/13 Feb/17/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear- Supports
bobsgoodies NEW - $185.00 0 Jan/18/13 Feb/17/13
Description: Applied Materials 0190-13092 Kaydon 1566801 Veri Thin Bearing
liquisinc Used - $149.99 0 Jan/18/13 Jan/25/13
Description: APPLIED MATERIALS 0100-09040 Control Board
athomemarket Used - $194.99 0 Jan/18/13 Feb/17/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $290.99 0 Jan/18/13 Feb/17/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket NEW - $507.99 0 Jan/18/13 Feb/17/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket Used - $330.99 0 Jan/18/13 Feb/17/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket NEW - $115.99 0 Jan/18/13 Feb/17/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $105.99 0 Jan/18/13 Feb/17/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $315.99 0 Jan/18/13 Feb/17/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
svcstore Used - $35.99 0 Jan/18/13 Jan/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $169.99 0 Jan/18/13 Jan/21/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $507.99 0 Jan/18/13 Jan/21/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
athomemarket NEW - $314.99 0 Jan/19/13 Feb/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
svcstore Used - $84.99 0 Jan/19/13 Jan/22/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
mayraytan Used - $850.00 1 Jan/20/13 Apr/11/17
Description: Applied Materials AMAT Robot Shaft Drive, 0020-78958
mayraytan Used - $850.00 1 Jan/20/13 Sep/07/13
Description: Applied Materials AMAT Titan Manifold Assy, 0010-10828
mayraytan NEW - $850.00 0 Jan/20/13 Jul/23/13
Description: Applied Materials AMAT Quartz Robot Blade, 0200-35004
sparepartssolution NEW - $99.99 0 Sep/04/12 Sep/02/15
Description: (127-0602) AMAT APPLIED MATERIALS 0020-20716 FINGER USED WORKING
xl-t_com Used - $190,000.00 0 Sep/07/12 Aug/31/16
Description: Applied Materials AMAT P5000 MxP+ Oxide Etch System
sparepartssolution Used - $799.99 1 Aug/29/12 Jun/25/14
Description: (127-0205) AMAT APPLIED MATERIALS 0010-21336 SHUTTER BAR ASSEMBLY USED WORKING
athomemarket NEW - $212.99 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 976505-001 Rev. G Alarm Status Panel Card/Board
athomemarket NEW - $555.29 0 Jan/20/13 Feb/19/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $353.69 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $100.79 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
turkeymakesmetired-2008 Scrap, for parts - $749.99 1 Jan/20/13 Dec/15/14
Description: AMAT Applied Materials 0010-02146 HDP-CVD RPSC Applicator Ultima
svcstore Used - $126.99 0 Jan/20/13 Jan/23/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Jan/20/13 Jan/23/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $169.99 0 Jan/20/13 Jan/23/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
metrology123 NEW - $899.00 3 Jan/21/13 Jan/22/13
Description: Applied materials 0100-01708 & 0090-02830 0040-75183003
metrology123 Used - $279.00 1 Jan/21/13 Dec/27/15
Description: Applied materials P/N: 0190-34624 101714-01
mayraytan NEW - $22.50 6 Jan/21/13 Feb/11/13
Description: Applied Materials AMAT Kalrez O-Ring, 3700-01796
usedeqsales Used - $1,004.12 0 Apr/26/12 Apr/09/14
Description: AMAT Centura Applied Materials 300MM Radiance Chamber AC as-is 0180-02403
electronicswest NEW - $900.00 0 Jan/21/13 Jan/28/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
smtechkoreacom NEW - $300.00 0 Oct/23/12 Sep/18/13
Description: Applied Materials 0050-42629 LINE V2, VAP OUT VAPORIZER TANOX CHAMBER AMAT
smtechkoreacom NEW - $500.00 0 Oct/23/12 Sep/18/13
Description: Applied Materials 0050-00373 REDUCER, SEIKO TURBO PUMP, SUP E/MXP+,MCE AMAT
acesemi2010 NEW - $84.00 0 Feb/26/12 May/14/14
Description: Applied Materials 0020-26824 HUB DC BIAS 8 HTHU SHIELD AMAT
acesemi2010 NEW - $143.00 0 Feb/26/12 Jun/27/14
Description: Applied Materials 0090-02525 ELECTRICAL ASSEMBLY, LS14, SLIM CELL ECP AMAT
acesemi2010 NEW - $70.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0150-35665 CABLE ADAPTER FOR OEM-12A CONTROL AMAT
acesemi2010 NEW - $37.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0190-02313 ETO K2 SOLID STATE RELAY, ULTIMA AMAT
acesemi2010 NEW - $36.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0190-06677 EXCLUSION CIRCUIT, PNEUMATIC, 300MM TXZ AMAT
acesemi2010 Used - $299.00 0 Feb/26/12 Jun/27/14
Description: Applied Materials 0190-13312 CABLE ASSY., 300MM CHM ION GAUGE (3FT) AMAT
acesemi2010 NEW - $9.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0190-35262 CONN ASSY, CUSTOMER FAC SW JUMPER, AMAT
acesemi2010 NEW - $5.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0680-01040 CIRCUIT BREAKER 1P 2A AMAT
acesemi2010 NEW - $5.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0720-01791 CONN CABLE CLAMP STR 90 DEG SHELL SIZE AMAT
acesemi2010 NEW - $5.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0720-01961 CONN PLUG CA MTG 7 POS CIRC FREE HNG R AMAT
acesemi2010 NEW - $5.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0720-02171 CONN SHLD CA CLAMP DIE-CAST SHELL SIZE AMAT
acesemi2010 NEW - $5.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0720-03057 CONN RCPT PNL MTG 3P 4WR 20A 250V 3PH N AMAT
acesemi2010 NEW - $5.00 0 Feb/26/12 May/09/13
Description: Applied Materials 1390-01466 WIRE STRD 16AWG 600V 450C 19X30 ROCKBES AMAT
fineagirl1 NEW - $2,800.00 0 Jan/21/13 Feb/20/13
Description: AMAT Applied Materials Merc Shft Assembly & Seal DD VA (NEW)
fineagirl1 NEW - $2,600.00 0 Jan/21/13 Feb/20/13
Description: AMAT Applied Materials Power Supply Daq (NEW)
smtechkoreacom NEW - $110.00 1 Sep/24/12 Jan/20/13
Description: Applied Materials 0021-39883 BRACKET, SW, SMIF FEND, 200MM PRODUCER AMAT
capitolareatech NEW - $1,481.57 7 Jun/10/10 Nov/03/12
Description: APPLIED MATERIALS (AMAT) BEARING ASSY P/N 0010-29038
usedeqsales Used - $1,205.12 4 May/02/12 Jul/12/13
Description: Applied Materials AMAT Mirra Mesa Pneumatic Box 0010-77682 Used Working
bizbonanza Used - $1,295.00 0 Jan/22/13 Feb/21/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
bobsgoodies NEW - $125.00 0 Jan/22/13 Mar/18/13
Description: Applied Materials 1080-01039 Stepping Motor RF Match 12 VDCK82445-P2 0 - 2 1/3
hdsemispares Used - $5.00 1 Jan/22/13 Jan/29/13
Description: CARD,CONTRL 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
hdsemispares Used - $5.00 1 Jan/22/13 Jan/29/13
Description: CARD,SBC W/MEZ,33 MHZ 16 MBYTE V36-AMAT 0190-40086 APPLIED MATERIALS
hdsemispares Used - $5.00 1 Jan/22/13 Jan/29/13
Description: PCBA,LOADLOCK INTERCONNECT BD. 0100-40024 AMAT APPLIED MATERIALS
hdsemispares Used - $5.00 1 Jan/22/13 Jan/29/13
Description: PCBA MAINFRAME INTERCONNECT BD. 0100-40025 AMAT APPLIED MATERIALS
visionsemi Used - $415.00 0 Jan/22/13 Jun/21/13
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
hdsemispares Used - $5.00 1 Jan/22/13 Jan/29/13
Description: CPCI FDD-0860 DRIVE BOARD 0660-00170 PB00860-01 AMAT APPLIED MATERIALS
athomemarket Used - $73.99 0 Jan/22/13 Feb/21/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
redlinemerch NEW - $199.00 0 Jan/22/13 Sep/19/13
Description: AMAT applied materials bell jar bucket 0030-00005
hdsemispares Used - $5.00 1 Jan/22/13 Jan/29/13
Description: CARD CRT VIDEO GRAPHICS CONTROLLER 0660-01891 AMAT APPLIED MATERIALS
systechaz6024370100 Used - $249.99 1 Jan/22/13 Feb/23/15
Description: Applied Materials Model: 0100-00208 Gas Panel III Board, Rev. A <
athomemarket NEW - $269.99 0 Jan/22/13 Feb/21/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
supertechshop NEW - $299.00 0 Jan/22/13 Feb/21/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $32.99 0 Jan/22/13 Jan/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $84.99 0 Jan/22/13 Jan/25/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $156.99 0 Jan/22/13 Jan/25/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $507.99 0 Jan/22/13 Jan/25/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bobsgoodies Scrap, for parts - $385.00 1 Jan/23/13 Feb/14/13
Description: AMAT 0010-20331 Endura Dual Degas Driver Applied Materials
bobsgoodies NEW - $50.00 0 Jan/23/13 Feb/22/13
Description: AMAT 0690-01114 Clamp Flange Double Claw (Lot of 14) Applied Materials
svcstore Used - $126.99 0 Jan/23/13 Jan/26/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Jan/23/13 Jan/26/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $169.99 0 Jan/23/13 Jan/26/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
metrology123 Used - $1,299.00 0 Jan/23/13 Sep/20/13
Description: Applied materials 300MM FEOL CHAMBER INTLK 0090-03868 REV. 004
metrology123 Used - $1,299.00 0 Jan/23/13 Sep/20/13
Description: Applied materials 300MM FEOL GAS PANEL INTLK 0090-03870
equipplus NEW - $239.00 1 Jan/24/13 Jan/25/13
Description: Osram 0190-24845 Rev04 10pcs Lamp for Applied Materials AMAT,Mexico,new
ggrassetrecovery Used - $150.00 0 Jan/24/13 Feb/23/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
surplex Used - $249.99 0 Jan/24/13 Jan/31/13
Description: Applied Materials 0660-90093 Card Loop Controller
usedeqsales NEW - $2,001.13 0 Jan/24/13 May/01/15
Description: AMAT Applied Materials 7323 75kVA XFMR Quality Transformer 0190-06937 new
athomemarket NEW - $0.99 0 Jan/24/13 Jan/31/13
Description: Applied Materials Turbo Pump Cable Assy 0150-36038 NEW
hdsemispares Used - $5.00 1 Jan/24/13 Jan/31/13
Description: LFD 300MM RADIANCE PCB 0100-01749 AMAT APPLIED MATERIALS (lot of 3)
athomemarket NEW - $392.99 0 Jan/24/13 Feb/23/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $505.99 0 Jan/24/13 Feb/23/13
Description: Applied Materials 0190-35198 Optical Sensor Cable Assy.
usedeqsales Used - $3,501.13 0 Jan/25/13 Dec/31/13
Description: AMAT Applied Materials XR80 0020-99669 Housing Assembly 0020-82121 as-is
usedeqsales NEW - $2,001.13 0 Jan/25/13 May/07/14
Description: AMAT Applied Materials 0270-05868 Neon Chamber Lower Liner Removal Fixture new
bobsgoodies NEW - $220.00 0 Jan/25/13 Feb/24/13
Description: Applied Materials 0225-33858 Valve Angle Manual Viton Seal Nor-Cal ESVP-1002-NWB
usedeqsales NEW - $1,501.13 0 Jan/25/13 May/01/15
Description: AMAT Applied Materials 0100-02784 MOCVD CDS Interlock IO Distribution Board new
athomemarket Used - $289.99 0 Jan/25/13 Feb/24/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
usedeqsales NEW - $501.13 0 Jan/25/13 May/05/15
Description: AMAT Applied Materials 0041-33021 300mm HHXP Robot Axis-0 Magnet Hub new
prism_electronics3 NEW - $52.47 0 Jan/25/13 Aug/22/22
Description: APPLIED MATERIALS 0050-44331 CRYOLINE HOSE ASSEMBLY
svcstore Used - $32.99 0 Jan/25/13 Jan/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
liquisinc Used - $74.99 0 Jan/25/13 Feb/01/13
Description: APPLIED MATERIALS 0100-09040 Control Board
svcstore Used - $156.99 0 Jan/25/13 Jan/28/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $84.99 0 Jan/25/13 Jan/28/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $507.99 0 Jan/25/13 Jan/28/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore NEW - $599.99 0 Jan/26/13 Jan/29/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $169.99 0 Jan/26/13 Jan/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $126.99 0 Jan/26/13 Jan/29/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
mayraytan NEW - $95.00 3 Jan/27/13 Jan/29/13
Description: Applied Materials AMAT Teledyne Hastings, TC Gauge, DV-6-VCR, 3310-00236
ab-international NEW - $99.95 15 Jan/27/13 Dec/03/13
Description: Lg Qty Avail New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
athomemarket NEW - $523.99 0 Jan/27/13 Feb/26/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
sparepartssolution NEW - $49.99 2 Sep/06/12 Jan/25/13
Description: (127-0105) AMAT APPLIED MATERIALS 0030-70046 MACHINING, BRKT CLAMP SOURCE NEW
logansemi Used - $499.00 0 Jan/28/13 Aug/27/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
electronicswest NEW - $50.00 1 Jan/28/13 Feb/04/13
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
usedeqsales NEW - $1,801.13 0 Jan/28/13 Apr/30/15
Description: AMAT Applied Materials 0010-45533 LDM Megasonic Onboard Mixing Assembly new
ccllng Used - $950.00 1 Sep/26/12 Jan/27/13
Description: APPLIED MATERIALS 0100-00289 P2 BACKPLANE with Schroff VME JI 8-SLOT (#079)
ptb-sales Refurbished - $250.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales NEW - $460.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Scrap, for parts - $5,000.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $1,800.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Refurbished - $250.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $6,300.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $750.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
usedeqsales NEW - $2,001.13 0 Jan/28/13 May/01/15
Description: AMAT Applied Materials 0270-05669 Neon Gas Spring Tool Compression new
semiconductorsolution Used - $3,750.00 1 Jan/28/13 Jan/29/13
Description: Amat applied materials Source Assembly PVD magnet 0010-20818
svcstore Used - $32.99 0 Jan/28/13 Jan/31/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Jan/28/13 Jan/31/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $156.99 0 Jan/28/13 Jan/31/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $84.99 0 Jan/28/13 Jan/31/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $507.99 0 Jan/28/13 Jan/31/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
electronicswest NEW - $750.00 0 Jan/29/13 Feb/05/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
mayraytan NEW - $445.00 1 Dec/06/11 Jan/28/13
Description: Applied Materials AMAT Rotating Feedthrough, 0720-02672
gincraft2000 NEW - $175.00 1 Feb/02/12 Jan/28/13
Description: AMAT Applied Materials vacuum 6-conductor feedthru rotating # 0720-02672 New
usedeqsales NEW - $1,001.13 0 Jan/29/13 May/04/15
Description: AMAT Applied Materials 0200-07641 Ceramic Shower Head new
capitolareatech NEW - $1,600.00 0 Jan/29/13 May/29/13
Description: APPLIED MATERIALS ISRAEL LTD 0040-77267 ARM, SLURRY/HPR ARM
bobsgoodies NEW - $98.00 0 Jan/29/13 Feb/28/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
shadow15b NEW - $80.00 2 Jan/29/13 Jan/29/13
Description: APPLIED MATERIALS AMAT 0190-13558 FEEDTHRU VECTRA-IMP / SEALED CLEAN ROOM BAG
supertechshop Used - $499.00 0 Jan/29/13 Feb/28/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
prism_electronics7 Used - $849.99 0 Jan/29/13 Sep/26/13
Description: Applied Materials Analog Output Board 0100-11001 0130-11001
supertechshop Used - $499.00 0 Jan/29/13 Feb/28/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Jan/29/13 Feb/28/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Jan/29/13 Feb/28/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
supertechshop NEW - $995.00 0 Jan/29/13 Feb/28/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Jan/29/13 Feb/28/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $149.00 0 Jan/29/13 Feb/28/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $499.00 0 Jan/29/13 Feb/28/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 Jan/29/13 Feb/28/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $299.00 0 Jan/29/13 Feb/28/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $99.99 0 Jan/29/13 Feb/28/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Jan/29/13 Feb/28/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $499.00 0 Jan/29/13 Feb/28/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 Jan/29/13 Feb/28/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Jan/29/13 Feb/28/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Jan/29/13 Feb/28/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $299.00 0 Jan/29/13 Feb/28/13
Description: Applied Materials CPCI CompactPCI Single Board Computer SBC 3U AMAT 0190-05611
semiconductorsolution NEW - $6,850.00 1 Jan/29/13 Feb/06/13
Description: AMAT applied materials WxZ heater 0010-03349, 0010-30421
svcstore Used - $126.99 0 Jan/29/13 Feb/01/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Jan/29/13 Feb/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $169.99 0 Jan/29/13 Feb/01/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
sparepartssolution Used - $999.99 0 Jan/30/13 Sep/02/15
Description: (HA01) AMAT APPLIED MATERIALS 0020-20691 COOLDOWN CH PEDESTAL ASSY USED WORKING
sparepartssolution Used - $1,499.99 1 Jan/30/13 Jun/03/13
Description: (126-0105) AMAT APPLIED MATERIALS 0010-09874 0010-09871 VDS CONTROL USED WORKING
sparepartssolution Used - $459.99 0 Jan/30/13 Aug/27/13
Description: (126-0103) AMAT APPLIED MATERIALS 1080-01133 MOTOR DRIVER USED WORKING
sparepartssolution Used - $19.99 1 Jan/30/13 Mar/26/13
Description: (126-0103) AMAT APPLIED MATERIALS 0720-01597 CONNECTOR USED WORKING
sparepartssolution Used - $299.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0090-70000 MTR-BRK-ENCDR ASSY USED WORKING
sparepartssolution Used - $149.99 1 Jan/30/13 Feb/22/13
Description: (126-0103) AMAT APPLIED MATERIALS 0050-21983 GAS LINE USED WORKING
sparepartssolution Used - $199.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0040-20056 ADAPTOR ELBOW .25VCR USED WORKING
sparepartssolution Used - $249.99 1 Jan/30/13 Mar/31/15
Description: (126-0103) AMAT APPLIED MATERIALS 0020-23635 LIFT HOOP 6 101% W/B USED WORKING
sparepartssolution Used - $499.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0020-21690 PLATE CCD VACUUM SEAL USED WORKING
sparepartssolution Used - $299.99 1 Jan/30/13 Feb/19/13
Description: (126-0103) AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT USED WORKING
sparepartssolution Used - $999.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0010-05574 P5000 ZA SLIT VALVE USED WORKING
sparepartssolution Used - $5,999.99 0 Jan/30/13 May/13/14
Description: (117-0101) AMAT APPLIED MATERIALS 0010-76152 HTHU 8inch HEATER USED WORKING
sparepartssolution Used - $199.99 0 Jan/30/13 Oct/17/13
Description: (116-0201) AMAT APPLIED MATERIALS 0030-20006 SOURCE COVER USED WORKING
sparepartssolution Used - $4,599.99 0 Jan/30/13 Aug/03/13
Description: (116-0201) AMAT APPLIED MATERIALS 0010-70441 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Jan/30/13 Apr/11/13
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13629 MOTORIZED LIFT ASSY USED WORKING
mayraytan NEW - $95.00 3 Jan/30/13 Mar/05/13
Description: Applied Materials AMAT Teledyne Hastings, TC Gauge, DV-6-VCR, 3310-00236
bobsgoodies Used - $97.70 0 Jan/30/13 Mar/01/13
Description: Applied Materials 0090-20108 Assy,Snesor Cassette AMAT Banner Mini-Beam SM312LV
supertechshop NEW - $699.00 0 Jan/30/13 Mar/01/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $399.00 0 Jan/30/13 Mar/01/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Jan/30/13 Mar/01/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $299.00 0 Jan/30/13 Mar/01/13
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
athomemarket NEW - $0.99 1 Jan/30/13 Feb/06/13
Description: 20 Applied Materials Clean Room Lens Support 0020-21697
bobsgoodies NEW - $677.00 0 Jan/30/13 Mar/01/13
Description: Applied Materials 3700-02162 Kalrez O-Ring, AMAT 12" X 12-3/8" X 3/16" AS-568A
athomemarket NEW - $0.99 0 Jan/30/13 Feb/06/13
Description: 7 Applied Materials Ball Bearings Clean Room 3060-01183
supertechshop Used - $99.99 0 Jan/30/13 Mar/01/13
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
athomemarket Used - $223.99 0 Jan/30/13 Feb/06/13
Description: AMAT 7347 0227-06385 3kVA Isolation Transformer Pri208 Sec120 Applied Materials
usedeqsales NEW - $1,601.13 1 Jan/30/13 May/01/15
Description: AMAT Applied Materials 0090-06254 Centura ACP Remote Assembly new
usedeqsales NEW - $701.13 0 Jan/30/13 Dec/04/14
Description: AMAT Applied Materials 0200-08879 Exhaust Ring new
usedeqsales NEW - $1,501.13 0 Jan/30/13 May/01/15
Description: AMAT Applied Materials 0200-07814 Shower Head new
usedeqsales NEW - $401.13 0 Jan/30/13 May/05/15
Description: AMAT Applied Materials 0021-34715 Low 300mm Cathode Liner new
athomemarket NEW - $199.99 0 Jan/30/13 Mar/01/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
bobsgoodies Used - $750.00 0 Jan/31/13 Mar/02/13
Description: Applied Materials 0270-00338 Lifting fixture ID# 11881400 AMAT
bjnaf4 Refurbished - $3,995.00 0 Jan/31/13 Mar/02/13
Description: Applied Materials Robot Drive Assy 4", 5", 6" 0010-13320W, 0010-13321W
semiconusa Used - $1,900.00 1 Jan/31/13 Mar/19/13
Description: Applied Materials 0010-76004 ASSY BLADE ROBOT 6"
athomemarket Used - $420.99 0 Jan/31/13 Mar/02/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
athomemarket NEW - $2.75 1 Jan/31/13 Feb/07/13
Description: Applied Materials 50' Cable FUPS to AC Rack 0150-08876
acesemi2010 Used - $151.00 1 Aug/10/12 Jan/30/13
Description: Applied Materials 0190-75073 BALL SCREW ASSY, LEFT HAND, SPEC AMAT
svcstore Used - $32.99 0 Jan/31/13 Feb/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
visionsemi NEW - $186.00 2 Sep/24/12 Jan/30/13
Description: KEYENCE LX2-100 DIGITAL SENSOR HEAD AMAT APPLIED MATERIALS
svcstore Used - $156.99 0 Jan/31/13 Feb/03/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $84.99 0 Jan/31/13 Feb/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Jan/31/13 Feb/03/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
sparepartssolution Used - $9,999.99 0 Feb/01/13 Jun/24/14
Description: (HA01) AMAT APPLIED MATERIALS 0010-21844 G12 DURA SOURCE MAGNET USED WORKING
esolutions1 NEW - $599.00 1 Feb/01/13 Feb/09/13
Description: Applied Materials 0100-20036 wPCB ASSY, SYSTEM POWER DISTRIBUTION AMAT
esolutions1 NEW - $584.10 0 Feb/01/13 Dec/21/14
Description: Applied Materials 1270-00915 SW DIGITAL FLOW WATER .4- 4 L/MIN NPN AMAT
esolutions1 NEW - $809.10 0 Feb/01/13 Dec/21/14
Description: Applied Materials 0010-20339 ASSY ORIENTER ROTATION MOTOR AMAT
bobsgoodies NEW - $477.00 0 Feb/01/13 Mar/03/13
Description: Cable Assembly Fiber Optic 8.5" AMAT 0190-09134 Applied Materials
bobsgoodies NEW - $399.00 0 Feb/01/13 Mar/03/13
Description: Applied Materials 0224-44071 Thornton 770 PC Process Ccontoller
bobsgoodies Used - $110.00 0 Feb/01/13 Mar/03/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
bobsgoodies NEW - $25.00 0 Feb/01/13 Mar/03/13
Description: Applied Materials 0190-00052 Elastomer (Lot of 5)
bobsgoodies NEW - $727.00 0 Feb/01/13 Mar/03/13
Description: Cable Assembly Fiber Optic AMAT 0150-38584 Applied Materials
athomemarket NEW - $0.99 0 Feb/01/13 Feb/08/13
Description: Applied Materials Turbo Pump Cable Assy 0150-36038 NEW
redlinemerch NEW - $1,985.00 0 Feb/01/13 Jan/22/15
Description: AMAT Applied Materials 4" Susceptor part# 0010-60009
esolutions1 NEW - $1,349.10 0 Feb/01/13 Dec/21/14
Description: Applied Materials 0242-34379 KIT,CH GASLINE PROTECTIVE SHIP COVER REMOTE AMAT
visionsemi Used - $125.00 1 Feb/01/13 Feb/01/13
Description: AMAT APPLIED MATERIALS PHASETRONICS 0015-09091 MCVD LAMP DRIVER
svcstore Used - $507.99 0 Feb/01/13 Feb/04/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $126.99 0 Feb/01/13 Feb/04/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Feb/01/13 Feb/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $169.99 0 Feb/01/13 Feb/04/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
redlinemerch NEW - $1,259.00 1 Feb/02/13 Feb/07/13
Description: AMAT Applied Materials oxide Process kit 100mm vasic flat 0240-31347
biolabtek_com NEW - $115.00 2 Feb/02/13 Nov/04/13
Description: AMAT Applied Materials BOSS OS E4.8 retrofit prom SBC Synergy kit 0242-01277
redlinemerch NEW - $455.00 0 Feb/02/13 Aug/31/13
Description: AMAT Applied Materials remote wiring distribution boad PCB 0100-09126 board card
visionsemi NEW - $3,600.00 1 Dec/06/12 Feb/01/13
Description: AMAT APPLIED MATERIALS 0010-21748 ASSY, RF MATCH 300MM PRECLEAN/RPC PVD
usedeqsales Used - $109.12 1 Sep/04/12 Jan/31/13
Description: Applied Materials AMAT Quantum Leap 3 Wafer on Heatsink Assy 9010-00536ITL Used
usedeqsales Used - $109.12 1 Sep/04/12 Jan/31/13
Description: Applied Materials AMAT Quantum Leap 3 Wafer Heatsink Sensor 9010-01347ITL Used
esolutions1 NEW - $899.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials 0190-16140 MP ULTRA ROBOT LOW TEMP 150 MM AMAT
esolutions1 NEW - $99.00 0 Feb/02/13 Dec/21/14
Description: Applied Materials 3190-51003 CHAIN ROLLER AMAT NEW
esolutions1 NEW - $55.00 1 Feb/02/13 Feb/02/13
Description: Applied Materials 0240-45194 TOP BAFFLE KIT 99.5 ALO 200MM ULTIMA HDPCVD AMAT
esolutions1 NEW - $899.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials 0242-88806 KIT INTF ALCATEL ADS 501 OR 602P PUMP AMAT
esolutions1 NEW - $89.00 1 Feb/02/13 Sep/25/14
Description: Applied Materials 0020-22846 GAS TRENCH COVER AMAT
esolutions1 NEW - $35.10 1 Feb/02/13 Nov/22/13
Description: Applied Materials 0680-01215 AC Box Cable CB Mag Therm 3P 240VAC 30A Bolt-on QO
esolutions1 NEW - $809.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials 0050-46077 GASLINE RIGHT ANGLE VALVE AMAT NEW
esolutions1 NEW - $269.10 1 Feb/02/13 Mar/26/13
Description: Applied Materials AMAT 0050-18528 WLDMT MFLD 1 FINAL VALVE VERIFLO LOW K H.
esolutions1 NEW - $299.00 1 Feb/02/13 Dec/09/14
Description: LOT OF 3 AMAT APPLIED MATERIALS 0020-13959 CAP, HTHU 8" HEATER NEW
esolutions1 NEW - $1,529.00 0 Feb/02/13 Dec/21/14
Description: AMAT Applied Materials 0240-13063 Chamber Kit new
esolutions1 NEW - $159.00 1 Feb/02/13 Jul/31/13
Description: Applied Materials AMAT 3400-01446 HOSE ASSY FLEX BRAID 1/4IDX36"L 1/4VCR-MF NEW
esolutions1 NEW - $1,349.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0240-31205 KIT REWORK WAFER POSITION SENSOR/LOADLOCK
esolutions1 NEW - $89.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials 3870-00134 VALVE LOCK OUT 15-150PSIG 3PORT 1/4NPT 3 AMAT NEW
esolutions1 NEW - $116.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0050-86991 HOSE ASSEMBLY CHAMBER C RETURN HEAT-X PRODUCER
esolutions1 NEW - $116.10 0 Feb/02/13 Dec/21/14
Description: NEW Applied Materials 0021-27011 ROLLER, BRUSH MODULE, WAFER ROTATION MOP AMAT
esolutions1 NEW - $22.45 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0200-35206 PIN WAFER LIFT POLY BCCD 150MM QUARTZ
esolutions1 NEW - $89.10 0 Feb/02/13 Dec/21/14
Description: APPLIED MATERIALS AMAT 0242-34634 KIT, MOUNTING BRACKET GAS LINE TO FI LEFT,300
esolutions1 NEW - $269.10 0 Feb/02/13 Dec/21/14
Description: APPLIED MATERIALS AMAT 0190-75082 TC PROBE K-TYPE QUICK-DISCONNECT CVD-PVD RGB
esolutions1 NEW - $269.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0050-40653 BY-PASS ROUGHING LINE NEW
esolutions1 NEW - $449.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0242-40778 KIT RETROFIT FINAL LINES W/ FRC CH C SECT
esolutions1 NEW - $499.00 1 Feb/02/13 Oct/15/13
Description: Applied Materials AMAT 0020-23594 PLATE, 8" CCD VACUUM SEAL REVISED C
esolutions1 NEW - $99.00 0 Feb/02/13 Dec/21/14
Description: APPLIED MATERIALS AMAT 0242-31877 MOUNTING BRACKET- MID MF-CH A/ FI LEFT,300
athomemarket NEW - $77.99 0 Feb/02/13 Mar/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Used - $340.99 0 Feb/02/13 Mar/04/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
esolutions1 NEW - $40.29 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0242-40320 KIT BRACKT FINL LINE W/ FRC CH A OR B 300M KI
esolutions1 Refurbished - $400.29 1 Feb/02/13 Sep/23/13
Description: Applied Materials AMAT 0020-25731 SHIELD ADAPTER G12 DBL KNEE SST
athomemarket Used - $767.99 0 Feb/03/13 Mar/05/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Used - $755.99 0 Feb/03/13 Mar/05/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Refurbished - $153.99 0 Feb/03/13 Mar/05/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $75.99 0 Feb/03/13 Mar/05/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
jojoequip Used - $250.00 1 Feb/03/13 Nov/11/13
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT OUTPUT BOARD
wedone NEW - $450.00 0 Feb/03/13 Mar/05/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
athomemarket Used - $891.99 0 Feb/03/13 Mar/05/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
athomemarket NEW - $99.99 0 Feb/03/13 Mar/05/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
esolutions1 NEW - $125.00 1 Feb/03/13 Aug/30/14
Description: Applied Materials AMAT 0100-35063 ASSY PCB REMOTE MAIN RLY
esolutions1 NEW - $125.00 2 Feb/03/13 Feb/09/13
Description: Applied Materials AMAT 0100-77034 Assy, PCB Head
svcstore Used - $32.99 0 Feb/03/13 Feb/06/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
esolutions1 Refurbished - $175.00 1 Feb/03/13 Apr/05/14
Description: Applied Materials AMAT 0190-13952 SMC Flow Switch Assembly PF2W720T-04-27
esolutions1 Refurbished - $75.00 0 Feb/03/13 Dec/21/14
Description: Applied Materials AMAT 0190-24803 SMC 11GPM RAD 300 Flow Switch PF2W511-N10-2
esolutions1 NEW - $112.50 0 Feb/03/13 Dec/21/14
Description: NEW Applied Materials AMAT 0020-10187 // CLAMP RING Q WINDOW 200M
esolutions1 NEW - $75.00 1 Feb/03/13 Feb/04/13
Description: NEW Applied Materials AMAT 0040-20048 // FEEDTHRU LAMP
esolutions1 NEW - $26.99 0 Feb/03/13 Dec/21/14
Description: Applied Materials AMAT 0040-22804 BRACKET, RIGHT MATCH BOX SUPPORT
esolutions1 NEW - $449.10 0 Feb/03/13 Dec/21/14
Description: Applied Materials AMAT 0100-35147 ASSY 3-WAY LIGHT PEN BOARD
esolutions1 NEW - $299.00 6 Feb/03/13 Oct/07/13
Description: Applied Materials AMAT 0050-89732 GASLINE XFER CH VENT SW GAUGE REG!!! NEW
svcstore Used - $156.99 0 Feb/03/13 Feb/06/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $84.99 0 Feb/03/13 Feb/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
esolutions1 Used - $7,050.00 1 Feb/04/13 Sep/03/13
Description: Applied Materials AMAT 3930-00257 SMC INR-244-647B TEMPERATURE CONTROLLER
liquisinc Used - $49.99 0 Feb/04/13 Feb/11/13
Description: APPLIED MATERIALS 0100-09040 Control Board
bobsgoodies Used - $327.00 0 Feb/04/13 Mar/06/13
Description: Cable Assembly Fiber Optic AMAT 0150-38584 Applied Materials
bobsgoodies Used - $377.00 1 Feb/04/13 Feb/15/13
Description: Cable Assembly Fiber Optic AMAT 0190-09460 Applied Materials
athomemarket NEW - $339.99 0 Feb/04/13 Mar/06/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
yayais2012 NEW - $15.00 0 Feb/04/13 Mar/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
svcstore Used - $507.99 0 Feb/04/13 Feb/07/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $154.99 0 Feb/04/13 Feb/07/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
semiconductorsolution Used - $4,500.00 1 Feb/04/13 Feb/05/13
Description: AMAT Applied Materials PVD magnet 0010-20818
yayais2012 Used - $320.00 0 Feb/04/13 Mar/06/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
yayais2012 Used - $120.00 0 Feb/04/13 Mar/06/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $155.00 0 Feb/04/13 Mar/06/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
yayais2012 Used - $620.00 0 Feb/04/13 Mar/06/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $320.00 0 Feb/04/13 Mar/06/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 Used - $2,400.00 0 Feb/04/13 Mar/06/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
svcstore NEW - $599.99 0 Feb/04/13 Feb/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $169.99 0 Feb/04/13 Feb/07/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $126.99 0 Feb/04/13 Feb/07/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
tripledirect Used - $4,500.00 0 Feb/04/13 Mar/06/13
Description: Applied Materials 0010-21127 PVD Magnet (can be use for 0010-20328)
tripledirect Used - $6,100.00 0 Feb/04/13 Mar/06/13
Description: Applied Materials Magnet Model # 0010-21810
ifstech Used - $1,000.00 0 Feb/05/13 Feb/06/13
Description: Applied Materials Blade Wafer Assembly 6" -- 0010-10951
ifstech NEW - $50.00 0 Feb/02/13 May/18/13
Description: Applied Materials Motor Chopper Assy BPTEOS 1080-01142
ifstech NEW - $300.00 0 Feb/02/13 Oct/13/21
Description: Applied Materials Finger Wafer Lift BPTEOS 0200-09384
ifstech NEW - $10.00 1 Feb/02/13 Mar/05/13
Description: Applied Materials DC Bias Plug 0020-31918
ifstech NEW - $5.00 0 Feb/02/13 Oct/13/21
Description: Applied Materials Led Panel Clip 0690-01025
ifstech NEW - $10.00 2 Feb/02/13 May/16/13
Description: Applied Materials Valve Timing Belt 3080-01048
ifstech NEW - $500.00 1 Feb/02/13 Jul/01/14
Description: Applied Materials Lower Dome Quartz 0200-35042
ifstech NEW - $1,000.00 0 Feb/02/13 Oct/13/21
Description: Applied Materials Kit Process Esc 150mm 0240-32130
ifstech NEW - $150.00 0 Feb/02/13 Jun/24/13
Description: Applied Materials Quartz Insulating Pipe 0020-03398
ifstech NEW - $1,000.00 0 Feb/02/13 Feb/19/18
Description: Applied Materials Showerhead 0020-30406
ifstech NEW - $500.00 0 Feb/02/13 Feb/19/18
Description: Applied Materials Distribution Plate 0020-30570
ifstech NEW - $100.00 0 Feb/02/13 Oct/13/21
Description: Applied Materials Blanket Tung Pro Kit 0240-10162
ifstech NEW - $75.00 0 Feb/02/13 Oct/13/21
Description: Applied Materials Slit Valve Cam Kit 0240-70867
ifstech NEW - $150.00 0 Feb/02/13 May/18/13
Description: Applied Materials Finger And Hardware Kit 0240-30107
ifstech NEW - $75.00 0 Feb/02/13 May/18/13
Description: Applied Materials Ring Pedestal Esc 0200-09830
ifstech NEW - $50.00 0 Feb/02/13 May/18/13
Description: Applied Materials Ring Lift "A" WCVD 0200-09999
ifstech NEW - $10.00 1 Feb/02/13 Sep/01/13
Description: Applied Materials DC Bias Plug 0200-09242
ifstech NEW - $25.00 1 Feb/02/13 May/14/13
Description: Applied Materials Window Slit 0200-09002
ifstech NEW - $20.00 3 Feb/02/13 Jul/31/13
Description: Applied Materials Door Switch Interloc 1270-01312
ifstech NEW - $5.00 4 Feb/02/13 May/10/13
Description: Applied Materials Showerhead Plug 0020-30357
ifstech NEW - $100.00 0 Feb/02/13 May/18/13
Description: Applied Materials Thermocouple Heater 0190-09372
electronicswest NEW - $500.00 0 Feb/05/13 Feb/12/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
usedeqsales NEW - $702.13 0 Feb/05/13 May/04/15
Description: AMAT Applied Materials 0021-45258 Shield Assembly new
usedeqsales NEW - $302.13 0 Feb/05/13 May/04/15
Description: AMAT Applied Materials 0021-60948 2-Zone Lower Plate new
supertechshop NEW - $399.00 0 Feb/05/13 Mar/07/13
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
waste-not-recycling Used - $259.99 0 Feb/05/13 Mar/07/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
waste-not-recycling Used - $259.99 0 Feb/05/13 Mar/07/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT, Rev B We Pulled, Used
usedeqsales NEW - $502.13 3 Feb/05/13 May/05/15
Description: AMAT Applied Materials 0190-14224 Flex Distribution Panel Assembly new
supertechshop Used - $499.00 0 Feb/05/13 Mar/07/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Feb/05/13 Mar/07/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $299.00 0 Feb/05/13 Mar/07/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Feb/05/13 Mar/07/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop NEW - $99.99 0 Feb/05/13 Mar/07/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $399.00 0 Feb/05/13 Mar/07/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $149.00 0 Feb/05/13 Mar/07/13
Description: AMAT Manifold Out Left Chamber Lid SACVD 0040-87102 Applied Materials
esolutions1 NEW - $854.05 1 Feb/05/13 Aug/30/14
Description: Applied Materials AMAT 3870-05195 VAT SERVICE BOX 2 NEW
dr.dantom Used - $600.00 0 Feb/06/13 Feb/12/15
Description: Applied Materials 0110-01995 Analog I/O Board
bobsgoodies Used - $99.00 0 Feb/06/13 Mar/07/13
Description: Applied Materials 0150-20160 Cable Assembly EMO Interconnect AMAT
usedeqsales NEW - $1,002.13 0 Feb/06/13 May/01/15
Description: AMAT Applied Materials 0270-03420 200mm Hoop Calibration Wafer new
bjnaf4 NEW - $875.60 0 Feb/06/13 Mar/08/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket Used - $406.99 0 Feb/06/13 Mar/08/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $958.99 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $115.99 0 Feb/06/13 Mar/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
ab-international Used - $91.35 0 Feb/06/13 Jan/31/24
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
supertechshop NEW - $2,750.00 0 Feb/06/13 Mar/08/13
Description: NEW AMAT Wafer Orienter Controller Board 0100-76259 PCB Assy Applied Materials
supertechshop NEW - $199.00 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
ab-international Used - $78.75 0 Feb/06/13 Jan/31/24
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
svcstore Used - $32.99 0 Feb/06/13 Feb/09/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
usedeqsales NEW - $225.56 0 Feb/07/13 Mar/01/13
Description: Applied Materials AMAT 0200-09572 Notch Collar 200mm New
visionsemi NEW - $160.00 1 Feb/07/13 Feb/21/13
Description: AMAT APPLIED MATERIALS SWAGELOK 3870-01302 SS-4BMG-VCR METER VALVE 1/4 VCR
ptb-sales Used - $3,000.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,800.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Refurbished - $250.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $6,300.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
athomemarket NEW - $3.25 1 Feb/07/13 Feb/14/13
Description: 20 Applied Materials Clean Room Lens Support 0020-21697
athomemarket NEW - $0.99 0 Feb/07/13 Feb/14/13
Description: 7 Applied Materials Ball Bearings Clean Room 3060-01183
athomemarket Used - $0.99 0 Feb/07/13 Feb/14/13
Description: Applied Materials Electrostatic Chuck Cover 9999-00178
waste-not-recycling Used - $24.99 0 Feb/07/13 Feb/14/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
ptb-sales NEW - $460.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Refurbished - $250.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
athomemarket Used - $223.99 1 Feb/07/13 Mar/04/13
Description: AMAT 7347 0227-06385 3kVA Isolation Transformer Pri208 Sec120 Applied Materials
waste-not-recycling Used - $99.99 0 Feb/07/13 Feb/14/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
svcstore Used - $507.99 0 Feb/07/13 Feb/10/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $154.99 0 Feb/07/13 Feb/10/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $156.99 0 Feb/07/13 Feb/10/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
esolutions1 Used - $5,999.00 1 Feb/08/13 Feb/08/13
Description: Applied Materials AMAT 0190-26744 MKS ASTeX ASTRONi Remote Plasma Source AX7670
odysseystudios Used - $3,900.00 0 Feb/08/13 Jan/14/16
Description: Applied Materials 0010-11908 Ceramic heater with 30 day warranty
usedeqsales NEW - $502.13 0 Feb/08/13 Jul/11/13
Description: AMAT Applied Materials 0190-35208 Chamber Interface Board 0100-35054 new
usedeqsales NEW - $1,502.13 1 Feb/08/13 May/03/13
Description: AMAT Applied Materials 0100-01321 Digital I/O Board new
trees_for_a_better_tomorrow Used - $435.00 0 Feb/08/13 Jun/08/13
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $362.50 1 Feb/08/13 Mar/15/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
usedeqsales Used - $5,002.13 0 Feb/08/13 Jun/03/13
Description: Applied Materials AMAT Quantum Beamline Flight Tube 9010-00940 Used Working
ab-international NEW - $77.18 0 Feb/08/13 Mar/30/23
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
ab-international NEW - $32.38 1 Feb/08/13 Jun/01/23
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
ab-international NEW - $26.19 0 Feb/08/13 Mar/12/24
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
ab-international Used - $34.65 0 Feb/08/13 Feb/04/24
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
ab-international Used - $131.25 0 Feb/08/13 May/08/19
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $682.50 1 Feb/08/13 Jan/27/21
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
athomemarket NEW - $0.99 0 Feb/08/13 Feb/15/13
Description: Applied Materials 50' Cable FUPS to AC Rack 0150-08876
capitolareatech NEW - $104.00 0 Feb/08/13 Jun/08/13
Description: APPLIED MATERIALS 0050-39399 LINE,SPOOL,SINGLE FEED,RTP
johnnytwo-tone Used - $404.55 1 Feb/08/13 Jan/04/22
Description: Applied Materials AMAT AT-SB4352 0021-17722 Rev 004 TWAS Floating Upper Shield
surpluskobay Used - $825.00 0 Feb/08/13 Mar/10/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
surpluskobay Used - $875.00 0 Feb/08/13 Mar/10/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
yayais2012 NEW - $400.00 0 Feb/09/13 Mar/11/13
Description: Applied Materials 0190-10270 Diamond Pad Cond
neilan1987 Used - $355.00 1 Feb/09/13 Feb/16/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable Used
neilan1987 Used - $202.50 1 Feb/09/13 Feb/16/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
neilan1987 Used - $224.50 1 Feb/09/13 Feb/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
athomemarket Used - $90.99 0 Feb/09/13 Mar/11/13
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
athomemarket Refurbished - $101.99 0 Feb/09/13 Mar/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
athomemarket Used - $326.99 0 Feb/09/13 Mar/11/13
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket NEW - $234.99 0 Feb/09/13 Mar/11/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
esolutions1 Used - $899.00 1 Feb/09/13 Feb/17/13
Description: Applied Materials AMAT 0190-23562 KENSINGTON LABORATORIES M4000 ROBOT CONTROLLER
svcstore Used - $32.99 0 Feb/09/13 Feb/12/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
parts4all8 Scrap, for parts - $2,999.99 1 Feb/10/13 Feb/11/13
Description: AMAT Applied Materials 0010-76891 Endura Robot Assembly NSK SSB014FN517 as-is
mattron747 Scrap, for parts - $200.00 0 Feb/10/13 Mar/12/13
Description: Applied Materials 0190-70060 Kollmorgen Magnet Driver
d-dog0818 Used - $589.95 1 Feb/10/13 Apr/11/14
Description: Applied Materials AMAT P5000 Liquid Source Heater Control Bd. 0100-09180 Rev. B
athomemarket Refurbished - $516.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $212.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $264.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch
d-dog0818 Used - $284.95 0 Feb/10/13 Feb/06/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
athomemarket Refurbished - $101.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Feb/10/13 Mar/12/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
dvkelectronics Used - $6,750.00 0 Feb/10/13 Mar/12/13
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
athomemarket Refurbished - $214.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore Used - $154.99 0 Feb/10/13 Feb/13/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $156.99 0 Feb/10/13 Feb/13/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $507.99 0 Feb/10/13 Feb/13/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
esolutions1 NEW - $499.00 1 Feb/11/13 May/08/14
Description: Applied Materials 0200-09349 CLAMP QTZ OX/MLR/NIT 150MM FLAT FREE SHIPPING
esolutions1 NEW - $1,439.10 0 Feb/11/13 Dec/21/14
Description: Applied Materials WATLOW PN: 1410-01014 Immersion Heater, 240 VAC 6 kW 3-Phase
esolutions1 Used - $179.10 0 Feb/11/13 Dec/21/14
Description: Verity Laser Optical Module APD220 Applied Materials AMAT 0190-10026 FREE SHIP
esolutions1 NEW - $112.50 0 Feb/11/13 Dec/21/14
Description: Applied Materials AMAT 0100-77034 Assy, PCB Head
esolutions1 NEW - $539.10 0 Feb/11/13 Dec/21/14
Description: Applied Materials 0022-77176 EDGE CONTROL RING AMAT
bobsgoodies NEW - $99.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0140-01997 P17 SWLL-B INTLKS Harness Assembly Applied Materials
bobsgoodies NEW - $28.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0150-36152 Cable assy, Display Power Jump
bobsgoodies NEW - $195.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0050-07049 1/4 VCR Braided SS Hose Assy with Plugs
bobsgoodies NEW - $45.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-03874 Rev 001 Harness Assmebly
bobsgoodies NEW - $477.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 4020-01199 Filter Cepure Ceramic Toshiba TM1S-P 8X009 ETCH
bobsgoodies NEW - $99.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0140-01339 Harness Assy, Transfer Chamber Sensors 300MM Applied Materials
bobsgoodies Scrap, for parts - $75.00 0 Feb/11/13 Mar/07/13
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C
bobsgoodies NEW - $52.25 0 Feb/11/13 Mar/07/13
Description: Banner fiber optic cable assembly BT13S Applied Materials 0620-01194 Cable Assy
bobsgoodies NEW - $95.00 0 Feb/11/13 Mar/07/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
bobsgoodies Used - $150.00 0 Feb/11/13 Mar/07/13
Description: Applied Materials RF Match 0021-07582, 0021-07580, 0140-01175 Assembly
bobsgoodies NEW - $10.00 0 Feb/11/13 Mar/07/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
bobsgoodies NEW - $1,675.00 0 Feb/11/13 Mar/07/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
bobsgoodies NEW - $235.00 0 Feb/11/13 Mar/07/13
Description: GEMS, 183454 Flow Switch .8 GPM Applied Materials 0190-00653
bobsgoodies NEW - $99.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-09027 Vexta 2-Phase Stepping Motor PH264-01B-C11
bobsgoodies NEW - $19.50 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-10071 Harness, Switch Interlock Filter Box
bobsgoodies NEW - $135.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0021-79660 P1 SHP01 Aluminum Cover
bobsgoodies NEW - $145.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0015-00101 Stepping Motor, Modified Slo-Syn M061-LS-546E 1.25V
bobsgoodies NEW - $125.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-16022 PA Assy Sensor Source Cuttler Hammer 11100R6517
bobsgoodies NEW - $69.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0150-36084 Cable Assembly, Over-Temp Switch TXZ MCVD
bobsgoodies NEW - $625.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (Box of 10)
bobsgoodies NEW - $168.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0050-31381 SyncroVac Weldment Nupro 6LV-BNB-W4 Valve & Piping
bobsgoodies NEW - $85.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-20219 Harness Assy, Mag Lamp Current Sense AMAT
bobsgoodies NEW - $135.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-78208 Robot Cable Assembly
bobsgoodies NEW - $125.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 3140-01035 Bearing Ball Bushing 1.0" ID X 1.56" OD X 2.250" L
bobsgoodies NEW - $45.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0027-05924 FAC Manifold Thermocouple
bobsgoodies NEW - $37.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-66009 Switch Assembly SMC D-A73
bobsgoodies NEW - $135.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-03571 Harness Assy, Conductor-300MM, P1 Remote, Mainframe
bobsgoodies NEW - $115.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0021-01123 OBS, Plate, Blank-Off, Bottom, XFER CH, Producer
bobsgoodies Used - $399.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-20932 Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $225.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 3870-01161 Isolation Valve, Nor-Cal Vacuum Valve
bobsgoodies NEW - $265.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 3870-02286 Manual Isolation Valve, Nor-Cal Vacuum Valve
bobsgoodies NEW - $155.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-77764 Assy, Water Separator, Parker 08F48AC
bobsgoodies Used - $45.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock Banner SM31EL
bobsgoodies NEW - $35.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0040-04757 Plate, Blank-off, Watlow (Lot of 3)
bobsgoodies NEW - $65.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-02425 Harness Assembly
bobsgoodies NEW - $115.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies NEW - $59.50 0 Feb/11/13 Mar/13/13
Description: Applied Materials 3300-01722 Stainless Steel Quick Coupler, 3/8"
bobsgoodies NEW - $165.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0226-97975 Hose Assy ChA. Supply to Cathode 3/8" Hose 102" lg.
bobsgoodies Used - $399.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-76431 Cass Index Motor Assy, Vexta A3723-9215
bobsgoodies Used - $399.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-76317 Cass Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $220.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0040-08541 Block Left Controller
bobsgoodies Used - $525.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0500-01139 SCR Power Controller 2028B-1007 108 VAC
bobsgoodies NEW - $445.00 1 Feb/11/13 Feb/27/13
Description: Applied Materials 0190-35511 T/C Assy TXZ Marchi 97468 Thermocouple Assembly
bobsgoodies NEW - $85.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0150-90012 Fiber Optic Cable Assembly
bobsgoodies Used - $267.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1080-01190 Vexta K0043-M Brushless DC MOTOR
bobsgoodies NEW - $125.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1270-90147 Pressure Switch D51 805803
bobsgoodies NEW - $35.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0021-01482 A Holder, Tupe Mntg, Opt 1122428-001b (Lot of 10)
bobsgoodies NEW - $10.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 3700-01258 Window Filter Frame "O" Ring (2)
bobsgoodies Used - $725.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-09534 Phasetronics Single Phase Lamp Driver
bobsgoodies NEW - $15.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1010-50117 Fuji Electric AH165-2SZ M1 Command Indicatror Light
bobsgoodies NEW - $45.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0040-76419 Block, Sensor, Weldment
bobsgoodies Used - $75.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1080-01142 Motor Chopper Assy Series H 82334.5 CW Crouzet
bobsgoodies NEW - $1,085.00 0 Feb/11/13 Mar/07/13
Description: Applied Materials 0190-35961 Assy Optical Sensor/Cable Spec. Contrl
bobsgoodies NEW - $25.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0027-34487 Cable, Snsr D-Sub 15X3M For P-10B Display
bobsgoodies Used - $299.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-77138 Hama Laser Sensor WX-43
bobsgoodies NEW - $65.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0040-76083 SSH4-63 Quick Coupler X 3/4" Tube Stub SS
bobsgoodies NEW - $39.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-78232 Harness, DNet Interconnect, Pump Interface
bobsgoodies NEW - $385.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-36020 Water Flow Switch1/2" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $39.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0020-89462 Banjo, Scan arm Bleed part for 0240-911557
bobsgoodies NEW - $29.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 3060-01116 Ball Bearing .500 ID X .750 OD X .156 W.
bobsgoodies NEW - $88.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-18277 Parker 5732-6 Hose Assy. W/Couplers
bobsgoodies NEW - $67.75 0 Feb/11/13 Mar/13/13
Description: Applied Materials 3060-01783 Thrust Bearing Set, New - unused, Barden 101H
bobsgoodies NEW - $29.50 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $179.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-76231 SMC ISE4-T1-25 PRESSURE SWITCH
bobsgoodies NEW - $44.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 3060-01616 Ball Bearing, MIRRA CMP
bobsgoodies NEW - $385.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-36471 Switch Assembly H2O Flow 15GPM 300MM RTP Alpha
bobsgoodies NEW - $99.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-36699 Cable Assembly.
bobsgoodies NEW - $195.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-76835 Harness C/D Bundle, TEOS Interlock
bobsgoodies NEW - $111.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1270-02967 Absolute Pressrue Switch, Setting: 100 Torr
bobsgoodies NEW - $39.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0200-55508 Support, 1600 PVD Module Rev 3, (Lot of 3)
bobsgoodies NEW - $65.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0050-31367 1/4" VCR Weldment New
bobsgoodies NEW - $135.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0040-05998 SS Shaft Approx 3/4" Daimeter 20 3/8" long
bobsgoodies NEW - $99.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0040-21419 Elbow
bobsgoodies Used - $135.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
bobsgoodies Used - $35.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0150-37195 Cable Assembly, Bias Current RF Match
bobsgoodies NEW - $225.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-77765 Assy, Load Cup, Pneumatic
bobsgoodies NEW - $119.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0150-09063 Wasco Vacuum Switch Set: 15" HG Decreasing
bobsgoodies Used - $145.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1270-01701 Precision Sensors E36W-H42 Pressure Switch
bobsgoodies NEW - $35.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0150-20038 Cable Assy, AI/O Contorller Interconnect 326815-DC
bobsgoodies NEW - $153.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1270-01707 EFECTOR SCM12ABAFPKG/US Flow Monitor
bobsgoodies NEW - $46.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1270-01036 Switch Limit DPDT 24V Straight Plunge DT-2RS1-A7
bobsgoodies Used - $525.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-98306 AIT Appllied Implant Technology Tilt Actuator Hood
bobsgoodies Used - $500.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-09764 Magnet Driver SCR Power Supply
bobsgoodies NEW - $1,250.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
bobsgoodies NEW - $23.50 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0150-36316 Wire Harness
bobsgoodies NEW - $250.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0040-03508 Feedthrough Upper SWLL, 300MM (Assy: 0242-09885)
bobsgoodies NEW - $325.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1310-00017 T/C, ROOF TOP Dual Circuit, Marchi SDSM-1617
bobsgoodies NEW - $160.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines
bobsgoodies Scrap, for parts - $350.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0200-35116 Susceptor, 150mm SGL Carobn Group
bobsgoodies NEW - $32.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-77128 Ball Bearing 20MM ID X 37MM OD
bobsgoodies NEW - $115.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-76220 Pneumatic Assembly SMC VFR Pilot Valves
bobsgoodies NEW - $160.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0020-20626 Block, Mounting, Shut-Off Valve. (3 bags of 2)
bobsgoodies NEW - $285.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-35442 P1, Flow Switch 3/8" Hose Proteus 9100SS24P15
bobsgoodies NEW - $899.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-77096 Assy RTD Electronics AMAT
bobsgoodies NEW - $325.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-35168 Switch, Flow 3/8" Proteus 9100B24P14
bobsgoodies NEW - $69.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0150-10044 Thermocouple Cable Assy, K*Tec Electronics
bobsgoodies NEW - $375.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0020-05068 Assy, Switch/Valve,Parker FWC Valve 0010-02475
bobsgoodies NEW - $165.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-20283 Assy Flow Switch Chem Tec LPH-250-8A
bobsgoodies NEW - $245.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0150-39085 Cable Assy, 50 T Baratron - 300MM Mainframe
bobsgoodies NEW - $99.00 0 Feb/11/13 Mar/13/13
Description: APPLIED MATERIALS 0100-20071 PCB ASSY, 15V NONITOR
bobsgoodies NEW - $250.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1270-90350 Safedge Switch 520MM Long AMAT
bobsgoodies NEW - $99.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0140-03221 P17 SWLL-A INTLKS Harness Assembly Applied Materials
bobsgoodies NEW - $45.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0020-23850 Bracket Stauff Clamps Gasline Manifold Applied Materials
bobsgoodies NEW - $118.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0100-09217 Injector TC Interlock Board Applied Materials
bobsgoodies NEW - $99.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0140-01988 MP1 Mainframe I/O Assy Cable Applied Materials
bobsgoodies Used - $6,600.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-39646 Throttle Valve NSK AMAT
liquisinc Used - $24.99 0 Feb/11/13 Feb/18/13
Description: APPLIED MATERIALS 0100-09040 Control Board
bobsgoodies Used - $325.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-99048 Assy, Flat Orienter 150mm
bobsgoodies NEW - $199.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0120-92712 Mains Sense 0100-90032 0110-90749
liquisinc Used - $99.99 0 Feb/11/13 Jul/16/14
Description: APPLIED MATERIALS 60 EV PNUEMATIC PCB/W MANIFOLD 0190-09085 60 EV
liquisinc Used - $749.99 0 Feb/11/13 Feb/18/13
Description: APPLIED MATERIALS 8" Advanced A101 HEATER ASSEMBLY 0010-21246
athomemarket Refurbished - $206.99 0 Feb/11/13 Mar/13/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
esolutions1 Used - $809.10 0 Feb/11/13 Dec/21/14
Description: APPLIED MATERIALS P/N 0190-14926 CONTROL CONCEPTS MODEL 3096-1000
athomemarket NEW - $196.99 0 Feb/11/13 Mar/13/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket Refurbished - $416.99 0 Feb/11/13 Mar/13/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
trees_for_a_better_tomorrow Used - $75.00 0 Feb/11/13 Dec/23/13
Description: Used AMAT Applied Materials 0190-09085 60 EV Manifold Pnuematic PCB READ DESCRIP
yayais2012 Used - $780.00 0 Feb/11/13 Mar/13/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $180.00 0 Feb/11/13 Mar/13/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $120.00 0 Feb/11/13 Mar/13/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $198.75 1 Feb/11/13 Apr/28/15
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
yayais2012 NEW - $280.00 0 Feb/12/13 Mar/14/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
d-dog0818 Used - $749.95 0 Feb/12/13 Feb/19/13
Description: AMAT Applied Materials P5000 0010-09978 Gold Lamp Module Assembly Rev.A Working
athomemarket Refurbished - $156.99 0 Feb/12/13 Mar/14/13
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $313.99 0 Feb/12/13 Mar/14/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Refurbished - $373.99 1 Feb/12/13 Feb/28/13
Description: Applied Materials AMAT 0020-34964 Chamber Liner GDP RZ Process MxP+ (Copper CD
svcstore Used - $32.99 0 Feb/12/13 Feb/15/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
electronicswest NEW - $400.00 0 Feb/13/13 Feb/20/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
athomemarket NEW - $137.99 0 Feb/13/13 Mar/15/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $147.99 0 Feb/13/13 Mar/15/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $0.99 1 Feb/13/13 Feb/20/13
Description: New Applied Materials 0150-04244 Cable Assy Mirra Wafer
svcstore Used - $77.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $156.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $156.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $507.99 0 Feb/13/13 Feb/16/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $116.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Feb/13/13 Feb/16/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ab-international Used - $20.15 0 Feb/13/13 Feb/15/24
Description: New Amat Applied Materials 0140-06782 Harness Assy
ab-international Used - $20.15 0 Feb/13/13 Feb/15/24
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
ab-international Used - $20.47 4 Feb/13/13 Sep/20/21
Description: New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
it_equipment_xpress Refurbished - $220.40 0 Feb/14/13 Mar/16/13
Description: Applied Materials 0190-07502 Powersupply Board
bobsgoodies NEW - $347.00 0 Feb/14/13 Mar/16/13
Description: AMAT 1080-01190 Brushless DC motor Vexta K0043-M Applied Materials
bobsgoodies NEW - $107.00 0 Feb/14/13 Mar/16/13
Description: Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
goodworldgadgetsrom Used - $255.00 0 Feb/14/13 Jun/14/13
Description: AMAT Applied Materials 0100-20003 Digital I/O Board Rev.G working
athomemarket Refurbished - $2,547.99 0 Feb/14/13 Mar/16/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $520.99 0 Feb/14/13 Mar/16/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $21.99 0 Feb/14/13 Mar/16/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
visionsemi Refurbished - $2,300.00 0 Feb/14/13 Mar/16/13
Description: AE ADVANCED ENERGY RF MATCH 3155031-037 AMAT 1110-00056 APPLIED MATERIALS
metrology123 NEW - $899.00 1 Feb/14/13 Oct/12/17
Description: Applied materials 0100-01708 & 0090-02830 0040-75183003
bobsgoodies Used - $367.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0190-09135 Cable Assembly,Bi-Furcated Fiber Optic Cable AMAT
bobsgoodies NEW - $4.50 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
bobsgoodies NEW - $185.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0090-35169 PA Assy Sensor Detector 11100R6517 Cuttler-Hammer
bobsgoodies NEW - $249.00 1 Feb/15/13 Mar/13/13
Description: Applied Materials 0190-16010 Idec Micro-1 Micro Controller New
bobsgoodies NEW - $175.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
bobsgoodies NEW - $377.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0010-09316 TEOS Temperature Cotroller Watlow Series 965 Assy
bobsgoodies NEW - $99.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0010-20472 Assy Wafer Sensor Monolith Banner SM312CV2-36355
bobsgoodies NEW - $98.50 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
bobsgoodies NEW - $179.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
bobsgoodies NEW - $110.00 0 Feb/15/13 Mar/17/13
Description: AMAT 1410-01130 Heater 208VAC 2.5W/Sq.In HT to CVR Applied Materials 0010-13972
bobsgoodies NEW - $55.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $65.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0140-75155 H/A, SMIF PLC LLA Interconnect PH, Cabel Assy
bobsgoodies NEW - $35.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0150-76405 Cable Assembly New AMAT
bobsgoodies NEW - $125.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0227-33201 Hose Assy Return Cathode Chamber B BT3-32777
bobsgoodies NEW - $125.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies NEW - $127.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0090-76030 Assembly Sensor Emitter NBLL, 11102A6517 Cuttler
bobsgoodies NEW - $125.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0090-40006 Assy Receiver Loadlock Banner Mini-beam SM31RL
bobsgoodies Used - $215.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0010-00538 Laser Assy 1101P-0725 Uniphase
bobsgoodies Used - $18.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0020-71457 E4 Timing Pulley 11368000
bobsgoodies Used - $98.00 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0090-00854 Sensor Assy, Cassette Present AMAT Banner SM312CV2
great!steam NEW - $295.00 1 Feb/15/13 Feb/22/13
Description: Applied Materials AMAT Throttle Valve and Reducer Box p/n 0010-09035 & 0010-0911
visionsemi Used - $1,500.00 0 Feb/15/13 Oct/13/13
Description: AMAT APPLIED MATERIALS 0040-49020 CERAMIC HEATER
visionsemi Used - $1,500.00 0 Feb/15/13 Oct/13/13
Description: AMAT APPLIED MATERIALS 0040-82421 CERAMIC HEATER
visionsemi Used - $1,500.00 0 Feb/15/13 Oct/13/13
Description: AMAT APPLIED MATERIALS 0040-82421 CERAMIC HEATER
mayraytan Used - $1,750.00 0 Feb/15/13 Nov/28/16
Description: Applied Materials AMAT Perf Plate, DSM, CONFORMAL SILANE NITRIDE, 0020-30286
athomemarket NEW - $0.99 1 Feb/15/13 Feb/22/13
Description: 20 Applied Materials Clean Room Lens Support 0020-21697
svcstore Used - $32.99 0 Feb/15/13 Feb/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
newnt NEW - $95,000.00 0 Feb/16/13 Feb/23/13
Description: APPLIED MATERIALS 0010-28715 MCA+ TxZ HEATER
athomemarket NEW - $252.99 0 Feb/16/13 Mar/18/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
svcstore Used - $156.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $507.99 0 Feb/16/13 Feb/19/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $77.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $156.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $154.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
ab-international Used - $31.49 0 Feb/16/13 Mar/12/24
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $10.49 0 Feb/16/13 Jan/17/21
Description: New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
svcstore Used - $116.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Feb/16/13 Feb/19/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
spares111 NEW - $20.00 4 Feb/17/13 Feb/24/16
Description: Applied Materials 0190-70044
athomemarket Refurbished - $216.99 0 Feb/17/13 Mar/19/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
athomemarket Used - $290.99 0 Feb/17/13 Mar/19/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $194.99 0 Feb/17/13 Mar/19/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $330.99 0 Feb/17/13 Mar/19/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket NEW - $507.99 19 Feb/17/13 Feb/18/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
bobsgoodies Used - $1,700.00 0 Feb/18/13 Mar/18/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
bobsgoodies Used - $877.00 0 Feb/18/13 Mar/18/13
Description: AMAT 0190-09560 Phasetronics lamp driver for Applied Materials tool.
bobsgoodies NEW - $185.00 0 Feb/18/13 Mar/18/13
Description: Applied Materials 0190-13092 Kaydon 15668001 Veri Thin Bearing
visionsemi Refurbished - $500.00 0 Feb/18/13 Jun/18/13
Description: AMAT APPLIED MATERIALS 0200-01041 COVER RING 8" AL ARC-SPRAY 200mm
surplusssam NEW - $14.99 0 Feb/18/13 Feb/28/13
Description: NEW AMAT APPLIED MATERIALS 3790-90250 SPACER M4 X 50 M/F NYLON FOR 9240-02245ITL
ptb-sales Refurbished - $250.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales NEW - $460.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $6,300.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $1,800.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Refurbished - $250.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $3,000.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
visionsemi Used - $375.00 0 Feb/18/13 Jun/18/13
Description: AMAT APPLIED MATERIALS NORCAL ISOLATION VALVE 3 WAY 3870-01212
athomemarket NEW - $105.99 0 Feb/18/13 Mar/20/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 Feb/18/13 Mar/20/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $315.99 0 Feb/18/13 Mar/20/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
visionsemi NEW - $650.00 0 Feb/18/13 Jun/18/13
Description: APPLIED MATERIALS AMAT ENDURA ORIENTER CHUCK 0020-21708 200MM
joseph3kd4 NEW - $249.00 0 Feb/18/13 Feb/21/13
Description: Applied Materials AMAT SHIELD PN: 0021-21234
athomemarket NEW - $0.99 1 Feb/18/13 Feb/25/13
Description: Applied Materials 50' Cable FUPS to AC Rack 0150-08876
liquisinc Used - $9.99 0 Feb/18/13 Feb/25/13
Description: APPLIED MATERIALS 0100-09040 Control Board
semiguy2596 Used - $55.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials 0010-39650 ASSY, WAFER, LIFT PIN AMAT
liquisinc Used - $499.99 1 Feb/19/13 Feb/26/13
Description: APPLIED MATERIALS 8" Advanced A101 HEATER ASSEMBLY 0010-21246
waste-not-recycling Used - $99.99 0 Feb/19/13 Feb/26/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
liquisinc Used - $49.99 0 Feb/19/13 Feb/26/13
Description: APPLIED MATERIALS 0100-09040 Control Board
d-dog0818 Used - $629.95 1 Feb/19/13 Aug/29/13
Description: AMAT Applied Materials P5000 0010-09978 Gold Lamp Module Assembly Rev.A Working
athomemarket Used - $0.99 0 Feb/19/13 Feb/26/13
Description: Applied Materials Electrostatic Chuck Cover 9999-00178
visionsemi NEW - $345.00 0 Feb/19/13 Jun/19/13
Description: AMAT APPLIED MATERIALS FUJIKIN VALVE ASSEMBLY 0010-10891 ANXID001
joseph3kd4 Scrap, for parts - $199.00 0 Feb/19/13 Feb/22/13
Description: AMAT Applied Materials Throttle Valve 0010-09174
athomemarket NEW - $314.99 0 Feb/19/13 Mar/21/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $111.99 0 Feb/19/13 Mar/21/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
supertechshop Used - $199.00 0 Feb/19/13 Mar/21/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Feb/19/13 Mar/21/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
svcstore Used - $156.99 0 Feb/19/13 Feb/22/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $154.99 0 Feb/19/13 Feb/22/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $77.99 0 Feb/19/13 Feb/22/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $116.99 0 Feb/19/13 Feb/22/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Feb/19/13 Feb/22/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
smtechkoreacom Used - $10,000.00 0 Feb/19/13 Sep/18/13
Description: Applied Materials 0010-20328 OPTIONAL 8"AL MAGNET ASSY AMAT
smtechkoreacom Used - $15,000.00 0 Feb/19/13 Sep/18/13
Description: Applied Materials 0010-89010 R3,4 STRETCH ROTATION ASSY AMAT
sparepartssolution Used - $299.99 1 Feb/20/13 Feb/22/13
Description: (126-0103) AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT USED WORKING
testeqe Used - $649.97 0 Feb/22/13 Sep/20/13
Description: AMAT/Applied Materials PN: 0100-90500 Video Buffer PCB Board/Card
testeqe Used - $2,655.25 1 Feb/22/13 Feb/26/14
Description: AMAT/Applied Materials PN: 0660-90060 Hi-Res Video Graphics Processor Board Card
bobsgoodies Used - $36.00 0 Feb/22/13 Mar/18/13
Description: Applied Materials 0090-09027 Vexta 2-Phase Stepping Motor PH264-01B-C11
svcstore Used - $144.99 0 Feb/21/13 Feb/24/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $29.99 0 Feb/21/13 Feb/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
surplusssam NEW - $19.99 0 Feb/21/13 Mar/03/13
Description: NEW AMAT APPLIED MATERIALS 3790-90247 SPACER M4 X 65 M/F PTFE FOR 9240-02245ITL
athomemarket Used - $73.99 0 Feb/21/13 Mar/23/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $269.99 0 Feb/21/13 Mar/23/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
electronicswest NEW - $300.00 0 Feb/21/13 Feb/26/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
galacticmarketplace Used - $699.99 0 Feb/20/13 Sep/02/15
Description: APPLIED MATERIALS 0100-00396 ASSY PCB ANALOG I/O A/O BOARD
athomemarket NEW - $392.99 0 Feb/20/13 Mar/22/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $616.99 0 Feb/20/13 Mar/22/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
esolutions1 Used - $950.00 0 Feb/20/13 Dec/21/14
Description: Applied Materials 0190-03571 Luxtron 100C M-100 Optical FiberTemperature Control
visionsemi NEW - $30.00 0 Feb/20/13 Nov/17/13
Description: AMAT APPLIED MATERIALS 0020-20522 INSULATOR LIFTER WASHER
waste-not-recycling Used - $24.99 0 Feb/19/13 Mar/21/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
supertechshop NEW - $299.00 0 Feb/22/13 Mar/24/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $77.99 0 Feb/22/13 Feb/25/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $156.99 0 Feb/22/13 Feb/25/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $154.99 0 Feb/22/13 Feb/25/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore NEW - $599.99 0 Feb/22/13 Feb/25/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
bobsgoodies NEW - $50.00 0 Feb/23/13 Mar/18/13
Description: AMAT 0690-01114 Clamp Flange Double Claw (Lot of 14) Applied Materials
ggrassetrecovery Used - $150.00 0 Feb/23/13 Mar/05/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
d-dog0818 Used - $249.95 4 Feb/23/13 Aug/20/13
Description: AMAT Applied Materials 0015-09091 Phasetronics P1038 MCVD Lamp Driver - Works
svcstore Used - $116.99 0 Feb/23/13 Feb/26/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
neilan1987 Used - $100.00 1 Feb/24/13 Mar/03/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable Used
neilan1987 Used - $100.00 0 Feb/24/13 Mar/03/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
neilan1987 Used - $100.00 1 Feb/24/13 Mar/03/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
semiguy2596 Used - $0.99 0 Feb/24/13 Mar/03/13
Description: Applied Materials 0010-39650 ASSY, WAFER, LIFT PIN AMAT
athomemarket Used - $505.99 0 Feb/24/13 Mar/26/13
Description: Applied Materials 0190-35198 Optical Sensor Cable Assy.
athomemarket NEW - $392.99 0 Feb/24/13 Mar/26/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $289.99 0 Feb/24/13 Mar/26/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
svcstore Used - $144.99 0 Feb/24/13 Feb/27/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $29.99 0 Feb/24/13 Feb/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
partskorea1 Used - $399.00 0 Feb/25/13 Oct/07/13
Description: APPLIED MATERIALS CHAMBER INTERCONNECT BD. 0100-09099 REV.E
partskorea1 Used - $299.00 0 Feb/25/13 Oct/07/13
Description: APPLIED MATERIALS ENCODER INTERFACE BD. 0100-09137 REV .C
partskorea1 Used - $199.00 0 Feb/25/13 Oct/07/13
Description: Applied Materials 0100-09117 Dio Fuse Board Rev.B
partskorea1 Used - $199.00 0 Feb/25/13 Oct/07/13
Description: APPLIED MATERIALS LOADER INTERCONNECT BD 0100-09127 REV.D
partskorea1 Used - $299.00 0 Feb/25/13 Oct/07/13
Description: APPLIED MATERIALS TURBO INTERCONNECT AMAT 0100-09029 REV E
joseph3kd4 NEW - $249.00 0 Feb/25/13 Feb/28/13
Description: Applied Materials AMAT SHIELD PN: 0021-21234
joseph3kd4 Scrap, for parts - $149.00 0 Feb/25/13 Feb/28/13
Description: AMAT Applied Materials Throttle Valve 0010-09174
bobsgoodies NEW - $125.00 0 Feb/25/13 Mar/18/13
Description: AMAT 0140-09720 Cable Assy, WXZ Chamber Applied Materials
bobsgoodies NEW - $777.00 4 Feb/25/13 Mar/04/13
Description: Assembly Cable Fiber Optic 20 Ft. AMAT 0190-09459 Applied Materials
industrial_loot Used - $90.00 0 Feb/25/13 Mar/27/13
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
athomemarket NEW - $0.99 0 Feb/25/13 Mar/04/13
Description: 20 Applied Materials Clean Room Lens Support 0020-21697
nihilneo NEW - $85.00 3 Feb/25/13 Feb/28/13
Description: (qty 10) USHIO applied materials 1000W 1010-01088 JCV120V-1000WCH NEW
svcstore Used - $77.99 0 Feb/25/13 Feb/28/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $156.99 0 Feb/25/13 Feb/28/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
bobsgoodies Used - $2,177.00 0 Feb/26/13 Mar/18/13
Description: Applied Materials 1120-01071 OPT Bifurcated BDL SPC 210/230N BICON (1 Assembly)
ifstech NEW - $2,500.00 4 Feb/26/13 Mar/06/13
Description: Applied Materials 3 Port VPA-B 0190-15378
bobsgoodies Used - $687.00 0 Feb/26/13 Mar/18/13
Description: Applied Materials 0190-35975 Cable Assy Fiber Optic 25' Recess Etch AMAT
waste-not-recycling Used - $69.99 0 Feb/26/13 Feb/27/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
electronicswest NEW - $300.00 0 Feb/26/13 Mar/08/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
athomemarket NEW - $523.99 0 Feb/26/13 Mar/28/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore NEW - $599.99 0 Feb/26/13 Mar/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
liquisinc Used - $24.99 0 Feb/26/13 Mar/05/13
Description: APPLIED MATERIALS 0100-09040 Control Board
svcstore Used - $116.99 0 Feb/26/13 Mar/01/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
sellyoursurplus NEW - $250.00 0 Feb/26/13 Mar/05/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $750.00 0 Feb/26/13 Mar/05/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus NEW - $125.00 0 Feb/26/13 Mar/05/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus Used - $115.00 0 Feb/26/13 Mar/05/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $350.00 0 Feb/26/13 Mar/05/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $350.00 0 Feb/26/13 Mar/05/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus Used - $95.00 0 Feb/26/13 Mar/05/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus NEW - $250.00 0 Feb/26/13 Mar/05/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus Used - $100.00 0 Feb/26/13 Mar/05/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $995.00 0 Feb/26/13 Mar/05/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus NEW - $95.00 0 Feb/26/13 Mar/05/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $89.00 0 Feb/26/13 Mar/05/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $95.00 0 Feb/26/13 Mar/05/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
dr.fantom Scrap, for parts - $1,600.00 1 Feb/27/13 Mar/28/13
Description: Applied Materials Rotation R3.4 0010-32613 w/Drive Card AMAT 300MM 0041-01013
acesemi2010 Used - $29,999.00 0 Feb/27/13 Oct/20/14
Description: Applied Materials 0010-14329, 0190-17311, 1400-00204 EYED ASSY AMAT
acesemi2010 Used - $5,000.00 0 Feb/27/13 Oct/20/14
Description: Applied Materials 3620-00426 TURBO PUMP AMAT
bobsgoodies NEW - $317.00 0 Feb/27/13 Mar/18/13
Description: Applied Materials 1310-00015 T/C Roof Top Dual Circuit 300MM Ultima SDSM-1616
athomemarket Used - $0.99 0 Feb/27/13 Mar/06/13
Description: Applied Materials Electrostatic Chuck Cover 9999-00178
bobsgoodies NEW - $317.00 0 Feb/27/13 Mar/18/13
Description: Applied Materials 0040-61007 TC Heater Liner Marchi JDSM-1516 Thermocouple Dual
waste-not-recycling Used - $69.99 0 Feb/27/13 Feb/28/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
bobsgoodies NEW - $217.00 0 Feb/27/13 Mar/18/13
Description: Applied Materials 0190-09185 T/C PRSP Type K 26167-1 Thermocouple
bobsgoodies NEW - $517.00 0 Feb/27/13 Mar/18/13
Description: Applied Materials 0190-23123 T/C HTR RF Short Thread Marchi JDSM-1096 14931300
athomemarket NEW - $413.99 0 Feb/27/13 Mar/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bobsgoodies NEW - $517.00 0 Feb/27/13 Mar/18/13
Description: Applied Materials 0190-35511 T/C Assy; TxZ; MCVD Marchi JDSM-1091 Thermocouple
svcstore Used - $29.99 0 Feb/27/13 Mar/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Feb/27/13 Mar/02/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
bizbonanza Used - $1,295.00 0 Feb/27/13 Mar/30/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
svcstore Used - $144.99 0 Feb/27/13 Mar/02/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
smtechkoreacom NEW - $53.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0020-09162 STUD, ROTATION A AMAT
smtechkoreacom NEW - $93.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0020-10577 COVER, SUSCEPTOR SUPPORT AMAT
smtechkoreacom NEW - $60.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0020-18513 DIFFUSER, CLEAN PORT, HDPCVD, ULTIMA AMAT
smtechkoreacom NEW - $52.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0020-31675 BRKT ANGLE WAFER POSITION SENSOR AMAT
smtechkoreacom NEW - $263.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0020-36142 BUSS BAR FUSE BLK 2 POS AMAT
smtechkoreacom NEW - $66.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0020-37736 CLAMP, CLAW SERVICABLE, GATE VALVE AMAT
smtechkoreacom NEW - $444.00 0 Feb/28/13 Mar/07/13
Description: Applied Materials 0040-01690 LIGHTPIPE, CATHODE TEMP, SUPER-E AMAT
smtechkoreacom NEW - $105.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0040-86959 BRACKET LAKE FLOW METER AMAT
smtechkoreacom NEW - $478.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0050-30928 INSERT GAS FEED SGD B&D R2 5000, FLEXIBL AMAT
smtechkoreacom NEW - $350.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0090-04111 CABLE ASSY, PRESS SW, IBC FLOW, IECP AMAT
bobsgoodies NEW - $417.00 0 Feb/28/13 Mar/18/13
Description: Applied Materials 1310-01086 TC Assembly, Type K AMAT 10758000
bobsgoodies NEW - $417.00 0 Feb/28/13 Mar/18/13
Description: Applied Materials 0190-09062 TC Assembly for 150mm Susceptor Thermocouple Assy
bobsgoodies NEW - $417.00 0 Feb/28/13 Mar/18/13
Description: Applied Materials 0190-49315 TC Assembly Thermocouple Assy AMAT
ptb-sales Refurbished - $250.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales NEW - $460.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Scrap, for parts - $5,000.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Refurbished - $250.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $6,300.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $3,000.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
svcstore Used - $156.99 0 Feb/28/13 Mar/03/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $77.99 0 Feb/28/13 Mar/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
bobsgoodies NEW - $217.00 0 Mar/01/13 Mar/18/13
Description: Applied Materials 1310-01047 Thermocouple K-Type 7/16-20 Threaded AMAT
gesemiconductor NEW - $6,000.00 1 Mar/01/13 Jun/27/13
Description: New Applied Materials 0010-06544 Throttle Valve Assembly EMAX 200mm Rev.2
gesemiconductor NEW - $6,000.00 1 Mar/01/13 Jun/27/13
Description: New Applied Materials 0010-06544 Throttle Valve Assembly Dual Flap SC Rev.3
waste-not-recycling Used - $49.99 0 Mar/01/13 Mar/08/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
svcstore Used - $449.99 0 Mar/01/13 Mar/04/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $116.99 0 Mar/01/13 Mar/04/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Mar/01/13 Mar/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop NEW - $699.00 0 Mar/01/13 Apr/01/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Mar/01/13 Apr/01/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $299.00 0 Mar/01/13 Apr/01/13
Description: Applied Materials CPCI CompactPCI Single Board Computer SBC 3U AMAT 0190-05611
supertechshop Used - $499.00 0 Mar/01/13 Apr/01/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Mar/01/13 Mar/31/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Mar/01/13 Mar/31/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Mar/01/13 Mar/31/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
supertechshop Used - $149.00 0 Mar/01/13 Apr/01/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop NEW - $995.00 0 Mar/01/13 Apr/01/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Mar/01/13 Apr/01/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $499.00 0 Mar/01/13 Apr/01/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop Used - $99.99 0 Mar/01/13 Apr/01/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Mar/01/13 Apr/01/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $399.00 0 Mar/01/13 Apr/01/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $299.00 0 Mar/01/13 Apr/01/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop NEW - $499.00 0 Mar/01/13 Apr/01/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 Mar/01/13 Apr/01/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
surplusssam NEW - $14.99 0 Mar/02/13 Mar/12/13
Description: NEW AMAT APPLIED MATERIALS 3790-90250 SPACER M4 X 50 M/F NYLON FOR 9240-02245ITL
athomemarket NEW - $507.99 0 Mar/02/13 Apr/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket Used - $420.99 0 Mar/02/13 Apr/01/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
svcstore Used - $154.99 0 Mar/02/13 Mar/05/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/02/13 Mar/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $144.99 0 Mar/02/13 Mar/05/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
semiguy2596 NEW - $5.00 0 Mar/03/13 Mar/22/13
Description: 3690-03713 applied materials dps esc screws
chunkysemiconductor NEW - $150.00 0 Mar/03/13 Jul/31/13
Description: APPLIED MATERIALS 0020-28754 IMP PEDESTAL COVER - NEW
nihilneo NEW - $115.00 1 Mar/03/13 Mar/04/13
Description: Barnant 900-1174 applied materials part: 0090-77135 rev A masterflex pump motor
svcstore Used - $77.99 0 Mar/03/13 Mar/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $156.99 0 Mar/03/13 Mar/06/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
chunkysemiconductor NEW - $700.00 1 Mar/03/13 Mar/04/13
Description: APPLIED MATERIALS 0040-33701 NITRIDE HEATER PLATE - NEW
chunkysemiconductor NEW - $350.00 1 Mar/04/13 Jun/05/13
Description: APPLIED MATERIALS AMAT 0010-22226 ASSY, THROTTLE VALVE, REACTIVE PRECLEAN - NEW
bobsgoodies NEW - $677.00 0 Mar/04/13 Mar/18/13
Description: Applied Materials 3700-02162 Kalrez O-Ring, AMAT 12" X 12-3/8" X 3/16" AS-568A
bobsgoodies Used - $750.00 0 Mar/04/13 Mar/18/13
Description: Applied Materials 0270-00338 Lifting fixture ID# 11881400 AMAT
bobsgoodies NEW - $727.00 0 Mar/04/13 Mar/18/13
Description: Cable Assembly Fiber Optic AMAT 0150-38584 Applied Materials
bobsgoodies NEW - $98.00 0 Mar/04/13 Apr/03/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies NEW - $399.00 1 Mar/04/13 Apr/03/13
Description: Applied Materials 0224-44071 Thornton 770 PC Process Ccontoller
bobsgoodies NEW - $25.00 0 Mar/04/13 Apr/03/13
Description: Applied Materials 0190-00052 Elastomer (Lot of 5)
bobsgoodies NEW - $220.00 0 Mar/04/13 Apr/03/13
Description: Applied Materials 0225-33858 Valve Angle Manual Viton Seal Nor-Cal ESVP-1002-NWB
bobsgoodies Used - $110.00 0 Mar/04/13 Apr/03/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
bobsgoodies Used - $97.70 0 Mar/04/13 Apr/03/13
Description: Applied Materials 0090-20108 Assy,Snesor Cassette AMAT Banner Mini-Beam SM312LV
bobsgoodies NEW - $477.00 0 Mar/04/13 Apr/03/13
Description: Cable Assembly Fiber Optic 8.5" AMAT 0190-09134 Applied Materials
joseph3kd4 Scrap, for parts - $149.00 0 Mar/04/13 Mar/07/13
Description: AMAT Applied Materials Throttle Valve 0010-09174
joseph3kd4 NEW - $249.00 0 Mar/04/13 Mar/07/13
Description: Applied Materials AMAT SHIELD PN: 0021-21234
athomemarket NEW - $0.99 0 Mar/04/13 Mar/06/13
Description: Applied Materials Turbo Pump Cable Assy 0150-36038 NEW
athomemarket NEW - $199.99 0 Mar/04/13 Apr/03/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
semiconductorsolution NEW - $8,650.00 0 Mar/04/13 Nov/18/13
Description: AMAT Applied Materials WxZ heater 0010-03349, 0010-30421
svcstore Used - $449.99 0 Mar/04/13 Mar/07/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $116.99 0 Mar/04/13 Mar/07/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Mar/04/13 Mar/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
neilan1987 Used - $127.50 1 Mar/05/13 Mar/12/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
supertechshop NEW - $699.00 0 Mar/05/13 Apr/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $399.00 0 Mar/05/13 Apr/04/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop Used - $99.99 0 Mar/05/13 Apr/04/13
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 Mar/05/13 Apr/04/13
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
mayraytan NEW - $95.00 3 Mar/05/13 Mar/11/13
Description: Applied Materials AMAT Teledyne Hastings, TC Gauge, DV-6-VCR, 3310-00236
usedeqsales Used - $1,751.57 1 Mar/05/13 Nov/27/13
Description: AMAT Applied Materials P5000 200mm 0010-00750 ETCH Chamber A097D as-is
ifstech NEW - $2,500.00 0 Mar/05/13 Oct/22/13
Description: Applied Materials Mirra / Reflexion Contour Head 3 Port UPA - A 0190-15377
usedeqsales Scrap, for parts - $3,503.13 1 Mar/05/13 Mar/06/13
Description: AMAT Applied Materials ENDURA 300mm Chamber Assembly 0010-04662
athomemarket NEW - $77.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Used - $340.99 0 Mar/05/13 Apr/04/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket NEW - $0.99 0 Mar/05/13 Mar/06/13
Description: 20 Applied Materials Clean Room Lens Support 0020-21697
ab-international Used - $37.17 0 Mar/05/13 Feb/23/24
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
athomemarket NEW - $99.99 0 Mar/05/13 Apr/04/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
ab-international Used - $37.17 0 Mar/05/13 Feb/23/24
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
athomemarket NEW - $75.99 0 Mar/05/13 Apr/04/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $767.99 0 Mar/05/13 Apr/04/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Used - $755.99 0 Mar/05/13 Apr/04/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Refurbished - $153.99 0 Mar/05/13 Apr/04/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
svcstore Used - $29.99 0 Mar/05/13 Mar/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Mar/05/13 Mar/08/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
semiguy2596 NEW - $300.00 0 Mar/03/13 Mar/26/13
Description: APPLIED MATERIALS P/N 0020-70271 REV.D BLADE SHAFT ASSY LEFT
semiguy2596 Used - $75.00 0 Mar/03/13 Mar/20/13
Description: APPLIED MATERIALS CENTURA DPS ESC LIFT PIN 0010-39650
semiguy2596 NEW - $205.00 0 Mar/03/13 Mar/22/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin
usedeqsales Scrap, for parts - $17,501.60 1 Mar/06/13 Apr/16/13
Description: AMAT Applied Materials ENDURA 300mm Chamber Assembly 0010-04662
bobsgoodies Used - $677.00 1 Mar/06/13 Mar/07/13
Description: Assembly Cable Fiber Optic 20 Ft. AMAT 0190-09459 Applied Materials
visionsemi Used - $415.00 0 Mar/04/13 Aug/31/13
Description: AMAT APPLIED MATERIALS SERIPLEX 0660-01809 SQUARE D 30299-083 INTFC VME CARD
ggrassetrecovery Used - $150.00 0 Mar/06/13 Mar/13/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
liquisinc Used - $9.99 0 Mar/06/13 Mar/13/13
Description: APPLIED MATERIALS 0100-09040 Control Board
athomemarket NEW - $339.99 0 Mar/06/13 Apr/05/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $891.99 0 Mar/06/13 Apr/05/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
wedone NEW - $375.00 0 Mar/06/13 Apr/05/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
svcstore Used - $144.99 0 Mar/06/13 Mar/09/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $156.99 0 Mar/06/13 Mar/09/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
yayais2012 Used - $155.00 0 Mar/07/13 Apr/06/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
yayais2012 Used - $320.00 0 Mar/07/13 Apr/06/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
yayais2012 Used - $120.00 0 Mar/07/13 Apr/06/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $620.00 0 Mar/07/13 Apr/06/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $320.00 0 Mar/07/13 Apr/06/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 Used - $2,400.00 0 Mar/07/13 Apr/06/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $15.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies Used - $327.00 0 Mar/07/13 Apr/06/13
Description: Cable Assembly Fiber Optic AMAT 0040-00457 Applied Materials
gesemiconductor Used - $2,000.00 1 Mar/07/13 Apr/08/16
Description: Applied Materials 0100-76005 PCB Assembly Serial Video / DNC Distribution
shadow15b Used - $950.00 0 Mar/07/13 Jun/04/13
Description: APPLIED MATERIALS AMAT LOWER CLAMP RING / 0040-35049
surplusssam Used - $749.99 0 Mar/07/13 Sep/03/13
Description: APPLIED MATERIALS AMAT 0040-03795 MNTING PLATE W/ DOOR SLIT VALVE 0040-08417-001
surplusssam NEW - $19.99 0 Mar/07/13 Mar/14/13
Description: NEW AMAT APPLIED MATERIALS 3790-90247 SPACER M4 X 65 M/F PTFE FOR 9240-02245ITL
bobsgoodies NEW - $1,675.00 0 Mar/07/13 Apr/06/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
bobsgoodies NEW - $97.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-13042 Cable Heater Cham. "A/B" TEOS Line B
bobsgoodies NEW - $147.00 0 Mar/07/13 Apr/06/13
Description: GEMS, 183454 Flow Switch .8 GPM Applied Materials 0190-00653
bobsgoodies NEW - $52.25 0 Mar/07/13 Apr/06/13
Description: Banner fiber optic cable assembly BT13S Applied Materials 0620-01194 Cable Assy
bobsgoodies Used - $45.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0040-89166 Mounting Bracket for 0190-76252 I/O Modules
bobsgoodies Used - $125.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0190-76252 DIP294 DeviceNET Digital I/O Block AMAT 0040-89165
bobsgoodies NEW - $55.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-02700 Raychem Parallel Heating Cable
bobsgoodies Used - $175.00 1 Mar/07/13 Jun/20/13
Description: Applied Materials 0020-10771 150mm Perforated Plate
bobsgoodies Scrap, for parts - $75.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C
bobsgoodies Used - $150.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials RF Match 0021-07582, 0021-07580, 0140-01175 Assembly
bobsgoodies NEW - $95.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-13043 Cable Heater Cham. "C" TEOS Line BP
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-97392 Cable Assembly, TEOS Line, Chamber C, Producer
bobsgoodies NEW - $99.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-18049 Cabel Assy, Bias ETO RF Generator Control Chamber
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-97562 Cable Assembly, Cham. C TEOS Line Middle 2
bobsgoodies NEW - $199.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0680-01182 Cutler Hammer KT3350T CB Mag Thermal 3P KT3350T
bobsgoodies Used - $2,387.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0010-20286 Centura Auto Indexer B 0090-20012 0010-20932
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 3510-01132 Griswald Flow Restrictor 4GPM 3/4" FNPT Model 4872F
bobsgoodies Used - $125.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0190-76252 DIP294 DeviceNET Digital I/O Block AMAT 0040-89164
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-10227 Cable Vacuum Line Heater Chamber D.
bobsgoodies NEW - $65.00 0 Mar/07/13 Jun/29/13
Description: Applied Materials 0090-00449 Rev P1 SMC PSE520-T02 Pressure Switch
bobsgoodies Used - $99.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials 0150-20160 Cable Assembly EMO Interconnect AMAT
bobsgoodies NEW - $87.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-13211 Cable, Heat Trace AS EA Assemblly
bobsgoodies NEW - $145.00 2 Mar/07/13 May/08/13
Description: Applied Materials 1410-01129 Silicone Heater 208 Volts 121 Watts
bobsgoodies NEW - $175.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0150-09373 Cable Heated Gas Line Chamber B
bobsgoodies NEW - $32.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 3400-01331 Hoseflex 3/8" ID X 86" L, PTFE inner Nomex Outer
bobsgoodies Used - $185.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0100-77034 Head Pneumatic Controller (Mark1) with Bracket.
bobsgoodies NEW - $10.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
athomemarket NEW - $413.99 0 Mar/07/13 Apr/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bring_recycling NEW - $15.00 0 Mar/07/13 Mar/14/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore Used - $77.99 0 Mar/07/13 Mar/10/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $116.99 0 Mar/07/13 Mar/10/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $449.99 0 Mar/07/13 Mar/10/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore NEW - $599.99 0 Mar/07/13 Mar/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop Used - $499.00 0 Mar/07/13 Apr/06/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Mar/07/13 Apr/06/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $299.00 0 Mar/07/13 Apr/06/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Mar/07/13 Apr/06/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop NEW - $99.99 0 Mar/07/13 Apr/06/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $399.00 0 Mar/07/13 Apr/06/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $149.00 0 Mar/07/13 Apr/06/13
Description: AMAT Manifold Out Left Chamber Lid SACVD 0040-87102 Applied Materials
supertechshop NEW - $399.00 0 Mar/07/13 Apr/06/13
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
waste-not-recycling Used - $259.99 0 Mar/08/13 Apr/07/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
bjnaf4 NEW - $875.60 0 Mar/08/13 Apr/07/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
waste-not-recycling Used - $259.99 0 Mar/08/13 Apr/07/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT, Rev B We Pulled, Used
svcstore Used - $154.99 0 Mar/08/13 Mar/12/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/08/13 Mar/12/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $406.99 0 Mar/09/13 Apr/08/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $958.99 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $115.99 0 Mar/09/13 Apr/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
supertechshop NEW - $2,750.00 1 Mar/09/13 Mar/18/13
Description: NEW AMAT Wafer Orienter Controller Board 0100-76259 PCB Assy Applied Materials
supertechshop NEW - $199.00 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
svcstore Used - $144.99 0 Mar/09/13 Mar/12/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $156.99 0 Mar/10/13 Mar/13/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $77.99 0 Mar/10/13 Mar/13/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Mar/10/13 Mar/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $449.99 0 Mar/10/13 Mar/13/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $116.99 0 Mar/10/13 Mar/13/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
sparepartssolution Used - $2,499.99 0 Mar/11/13 Jun/18/14
Description: (127-0103) AMAT APPLIED MATERIALS 0010-10973 0010-30064 HOT DETECTOR WORKING
surpluskobay Used - $875.00 0 Mar/11/13 Apr/10/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surpluskobay Used - $825.00 0 Mar/11/13 Apr/10/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
ptb-sales Refurbished - $250.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $6,300.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales NEW - $460.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Scrap, for parts - $5,000.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Refurbished - $250.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $3,000.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,800.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
waste-not-recycling Used - $25.99 0 Mar/11/13 Mar/18/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
semiguy2596 Used - $75.00 0 Mar/12/13 Mar/26/13
Description: Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 Used - $20.00 0 Mar/12/13 Mar/26/13
Description: APPLIED MATERIALS 0050-09955 WELDMET TUBE INSERT
semiguy2596 Used - $40.00 0 Mar/12/13 Mar/26/13
Description: Applied Materials 0150-09240 CABLE ASSY, RF GENERATOR AMAT
yayais2012 NEW - $150.00 0 Mar/12/13 Apr/11/13
Description: Applied Materials 0190-10270 Diamond Pad Cond
surplex Used - $99.99 0 Mar/12/13 Mar/19/13
Description: Applied Materials 0660-90093 Card Loop Controller
bobsgoodies Used - $1,277.00 0 Mar/12/13 Apr/11/13
Description: AMAT 0190-09299 Cable Bifurcated Fiber Optic 15 FT WLD Applied Materials
athomemarket Refurbished - $101.99 0 Mar/12/13 Apr/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
athomemarket Used - $326.99 0 Mar/12/13 Apr/11/13
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket NEW - $234.99 0 Mar/12/13 Apr/11/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $90.99 0 Mar/12/13 Apr/11/13
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
bobsgoodies NEW - $677.00 0 Mar/12/13 Apr/11/13
Description: AMAT 0150-90317 F/O,T1,1900MM,33C.TX/33A RX Fiber Optic Cable Applied Materials
esolutions1 Refurbished - $999.00 1 Mar/12/13 Mar/12/13
Description: Applied Materials AMAT 3620-01553 CTI Cryogenics Cntrl 3PH Motor Controller Pump
dvkelectronics Used - $6,500.00 0 Mar/12/13 Apr/11/13
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
athomemarket NEW - $495.99 0 Mar/12/13 Apr/11/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $101.99 0 Mar/12/13 Apr/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
svcstore Used - $154.99 0 Mar/12/13 Mar/15/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/12/13 Mar/15/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
mattron747 Scrap, for parts - $200.00 0 Mar/12/13 Apr/11/13
Description: Applied Materials 0190-70060 Kollmorgen Magnet Driver
pete-sigep Used - $475.00 0 Mar/12/13 Apr/11/13
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
svcstore Used - $144.99 0 Mar/12/13 Mar/15/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
ggrassetrecovery Used - $150.00 0 Mar/13/13 Mar/20/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
bobsgoodies NEW - $29.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0226-97049 Proximity Switch with connector. Applied Materials
bobsgoodies Used - $6,600.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0010-39646 Throttle Valve NSK AMAT
bobsgoodies NEW - $57.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0040-22144 Z-Bracket, IMP MATCH RF Cable (Lot of 10) Applied Materials
bobsgoodies NEW - $65.00 0 Mar/13/13 Apr/05/13
Description: Applied Materials 0040-76083 SSH4-63 Quick Coupler X 3/4" Tube Stub SS
bobsgoodies NEW - $39.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0020-89462 Banjo, Scan arm Bleed part for 0240-911557
bobsgoodies NEW - $19.50 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-10071 Harness, Switch Interlock Filter Box
bobsgoodies NEW - $385.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0190-36020 Water Flow Switch1/2" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $39.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-78232 Harness, DNet Interconnect, Pump Interface
bobsgoodies Used - $299.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-77138 Hama Laser Sensor WX-43
bobsgoodies NEW - $25.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0027-34487 Cable, Snsr D-Sub 15X3M For P-10B Display
bobsgoodies NEW - $99.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-09027 Vexta 2-Phase Stepping Motor PH264-01B-C11
bobsgoodies NEW - $125.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1270-90147 Pressure Switch D51 805803
bobsgoodies NEW - $168.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0050-31381 SyncroVac Weldment Nupro 6LV-BNB-W4 Valve & Piping
bobsgoodies NEW - $23.50 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0150-36316 Wire Harness
bobsgoodies NEW - $220.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-08541 Block Left Controller
bobsgoodies NEW - $165.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-20283 Assy Flow Switch Chem Tec LPH-250-8A
bobsgoodies Used - $75.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1080-01142 Motor Chopper Assy Series H 82334.5 CW Crouzet
bobsgoodies NEW - $45.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-76419 Block, Sensor, Weldment
bobsgoodies NEW - $15.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1010-50117 Fuji Electric AH165-2SZ M1 Command Indicatror Light
bobsgoodies NEW - $125.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-16022 PA Assy Sensor Source Cuttler Hammer 11100R6517
bobsgoodies NEW - $35.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-04757 Plate, Blank-off, Watlow (Lot of 3)
bobsgoodies NEW - $39.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0200-55508 Support, 1600 PVD Module Rev 3, (Lot of 3)
bobsgoodies NEW - $35.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0021-01482 A Holder, Tupe Mntg, Opt 1122428-001b (Lot of 10)
bobsgoodies NEW - $99.00 0 Mar/13/13 Apr/12/13
Description: APPLIED MATERIALS 0100-20071 PCB ASSY, 15V NONITOR
bobsgoodies NEW - $125.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 3140-01035 Bearing Ball Bushing 1.0" ID X 1.56" OD X 2.250" L
bobsgoodies Used - $175.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 3870-01352 Manual Operated Angle Valve MDC 996035
bobsgoodies Used - $35.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0150-37195 Cable Assembly, Bias Current RF Match
bobsgoodies NEW - $135.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-78208 Robot Cable Assembly
bobsgoodies NEW - $385.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0190-36471 Switch Assembly H2O Flow 15GPM 300MM RTP Alpha
bobsgoodies Used - $399.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0010-76317 Cass Index Motor Assy, Vexta A3723-9215
bobsgoodies Used - $399.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0010-20932 Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $1,250.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
bobsgoodies NEW - $115.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies NEW - $153.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1270-01707 EFECTOR SCM12ABAFPKG/US Flow Monitor
bobsgoodies NEW - $10.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 3700-01258 Window Filter Frame "O" Ring (2)
bobsgoodies NEW - $59.50 0 Mar/13/13 Apr/05/13
Description: Applied Materials 3300-01722 Stainless Steel Quick Coupler, 3/8"
bobsgoodies NEW - $22.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0020-27118 Extension Pin, PVD Degas, Opwn Interloc
bobsgoodies Used - $267.00 6 Mar/13/13 Apr/08/13
Description: Applied Materials 1080-01190 Vexta K0043-M Brushless DC MOTOR
bobsgoodies Used - $399.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0010-76431 Cass Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $22.50 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0720-03743 DeviceNet Terminating Connector RSM 5-TR2
bobsgoodies NEW - $135.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0010-13018 Control Flow Monitor Assy.
bobsgoodies Used - $79.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-76218 Banner Q23SN6CV50 Photoelectric Sensor NPN
bobsgoodies Used - $500.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0190-09764 Magnet Driver SCR Power Supply
bobsgoodies NEW - $165.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1080-01114 Gear Motor RB-35GM-CA35-H114 Autotrol Corp.
bobsgoodies Scrap, for parts - $350.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0200-35116 Susceptor, 150mm SGL Carobn Group
bobsgoodies Used - $45.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock Banner SM31EL
bobsgoodies NEW - $79.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-40137 Line Water Cooling Rooftop 3/8"
bobsgoodies Used - $725.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0190-09534 Phasetronics Single Phase Lamp Driver
bobsgoodies NEW - $265.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 3870-02286 Manual Isolation Valve, Nor-Cal Vacuum Valve
bobsgoodies Used - $277.00 1 Mar/13/13 Apr/02/13
Description: Applied Materials 0010-99048 Assy, Flat Orienter 150mm
bobsgoodies NEW - $125.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0150-37311 Cabel Assembly, J Lamp Driver D
bobsgoodies Used - $525.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0500-01139 SCR Power Controller 2028B-1007 108 VAC
bobsgoodies NEW - $625.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (Box of 10)
bobsgoodies NEW - $700.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (10 Lamps)
bobsgoodies NEW - $349.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0021-20721 DISK 6" B101 SHUTTER VEC TI 2-10968000-430 Rev:A
bobsgoodies Used - $525.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0010-98306 AIT Appllied Implant Technology Tilt Actuator Hood
bobsgoodies NEW - $178.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0150-09110 Roots Vacuum Switch Set"75 Torr Close Decreasing
bobsgoodies NEW - $65.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0050-31367 1/4" VCR Weldment New
bobsgoodies NEW - $37.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-66009 Switch Assembly SMC D-A73
bobsgoodies NEW - $135.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-03571 Harness Assy, Conductor-300MM, P1 Remote, Mainframe
bobsgoodies NEW - $225.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 3870-01161 Isolation Valve, Nor-Cal Vacuum Valve
bobsgoodies NEW - $65.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-02425 Harness Assembly
bobsgoodies NEW - $199.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-21419 Elbow
bobsgoodies NEW - $85.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-20219 Harness Assy, Mag Lamp Current Sense AMAT
bobsgoodies NEW - $10.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0021-06284 and 0021-76009, Spacer
bobsgoodies Used - $99.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1720-01623 E36W-H35 Vacuum Switch 1/4" VCR
bobsgoodies NEW - $145.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0015-00101 Stepping Motor, Modified Slo-Syn M061-LS-546E 1.25V
bobsgoodies Used - $68.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies NEW - $75.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0021-01123 OBS, Plate, Blank-Off, Bottom, XFER CH, Producer
bobsgoodies NEW - $135.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0021-79660 P1 SHP01 Aluminum Cover
bobsgoodies Used - $399.00 3 Mar/13/13 Mar/21/13
Description: Applied Materials 0010-76430 Cass Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $899.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-77096 Assy RTD Electronics AMAT
bobsgoodies NEW - $45.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0910-01115 Fast Acting Class T JJN-15 Fuse (Lot of 5)
bobsgoodies NEW - $135.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-05998 SS Shaft Approx 3/4" Daimeter 20 3/8" long
bobsgoodies NEW - $99.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0190-09016 24VDC Valve (Lot of 4)
bobsgoodies NEW - $99.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-36699 Cable Assembly.
bobsgoodies NEW - $80.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0150-10314 Cable Assy. SMC D-F79
bobsgoodies NEW - $118.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0100-09217 Injector TC Interlock Board Applied Materials
bobsgoodies NEW - $88.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0190-18277 Parker 5732-6 Hose Assy. W/Couplers
bobsgoodies NEW - $125.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0050-21186 Gasline Heater Bypass H2O Chamber
bobsgoodies NEW - $44.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 3060-01616 Ball Bearing, MIRRA CMP
bobsgoodies NEW - $45.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-03209 Cabel Assy
bobsgoodies NEW - $160.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines
bobsgoodies NEW - $19.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1270-03056 Switch Thermal SPST 68 C / 154.4 F Manual-Reset
bobsgoodies NEW - $250.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-03508 Feedthrough Upper SWLL, 300MM (Assy: 0242-09885)
bobsgoodies NEW - $85.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-20694 Harness Assy PVD Dhutter Sensors
bobsgoodies NEW - $29.50 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $899.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-77106 Assy RTD Electronic Flow Meter / 0090-77123
bobsgoodies NEW - $85.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0021-79179 Mounting Bracket
bobsgoodies NEW - $250.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1270-90350 Safedge Switch 520MM Long AMAT
bobsgoodies NEW - $185.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 3060-01820 Bearing Ball Flange (4-PK) , NNBB SSRIF-1812ZZRA7
bobsgoodies NEW - $99.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0140-01988 MP1 Mainframe I/O Assy Cable Applied Materials
bobsgoodies NEW - $45.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0020-23850 Bracket Stauff Clamps Gasline Manifold Applied Materials
athomemarket Refurbished - $212.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $516.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $264.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
neilan1987 Used - $150.00 1 Mar/13/13 Mar/20/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable Used
neilan1987 Used - $100.00 0 Mar/13/13 Mar/20/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
neilan1987 Used - $100.00 0 Mar/13/13 Mar/20/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
bobsgoodies Used - $500.00 2 Mar/16/13 Mar/31/13
Description: Assembly Cable Fiber Optic 20 Ft. AMAT 0190-09459 Applied Materials
bobsgoodies NEW - $347.00 4 Mar/16/13 Apr/08/13
Description: AMAT 1080-01190 Brushless DC motor Vexta K0043-M Applied Materials
svcstore Used - $144.99 0 Mar/15/13 Mar/18/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $154.99 0 Mar/15/13 Mar/18/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/15/13 Mar/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $147.99 0 Mar/15/13 Apr/14/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $137.99 0 Mar/15/13 Apr/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $239.99 0 Mar/15/13 Mar/22/13
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
systasemi Used - $500.00 1 Mar/14/13 Mar/25/13
Description: AMAT Applied Materials 1210-02865 ASTEX FI20037
bring_recycling NEW - $15.00 0 Mar/14/13 Mar/21/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
athomemarket Refurbished - $156.99 0 Mar/14/13 Apr/13/13
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $313.99 0 Mar/14/13 Apr/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $196.99 0 Mar/14/13 Apr/13/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket Refurbished - $416.99 0 Mar/14/13 Apr/13/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
yayais2012 NEW - $280.00 0 Mar/14/13 Apr/13/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
d-dog0818 Used - $99.95 0 Mar/14/13 Mar/05/16
Description: Applied Materials AMAT Ozonator Distribution Bd Assy 0100-09235
d-dog0818 Used - $399.95 1 Mar/14/13 Sep/23/13
Description: Applied Materials AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
yayais2012 Used - $780.00 0 Mar/14/13 Apr/13/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $95.00 0 Mar/14/13 Apr/13/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $180.00 0 Mar/14/13 Apr/13/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
semiguy2596 Used - $56.00 0 Mar/13/13 Mar/20/13
Description: Lot of 6 low reserve!!! APPLIED MATERIALS CENTURA DPS ESC LIFT PIN 0010-39650
svcstore Used - $449.99 0 Mar/13/13 Mar/16/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
liquisinc Used - $4.99 0 Mar/13/13 Mar/20/13
Description: APPLIED MATERIALS 0100-09040 Control Board
athomemarket Refurbished - $206.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
svcstore Used - $449.99 0 Mar/16/13 Mar/19/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
athomemarket NEW - $520.99 0 Mar/17/13 Apr/16/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Refurbished - $2,547.99 0 Mar/17/13 Apr/16/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $21.99 0 Mar/17/13 Apr/16/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
sellyoursurplus NEW - $250.00 0 Mar/17/13 Mar/24/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus Used - $115.00 0 Mar/17/13 Mar/24/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $350.00 0 Mar/17/13 Mar/24/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $250.00 0 Mar/17/13 Mar/24/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $95.00 0 Mar/17/13 Mar/24/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $125.00 0 Mar/17/13 Mar/24/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus Used - $100.00 0 Mar/17/13 Mar/24/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $995.00 0 Mar/17/13 Mar/24/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus NEW - $89.00 0 Mar/17/13 Mar/24/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $95.00 0 Mar/17/13 Mar/24/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus Used - $95.00 0 Mar/17/13 Mar/24/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $750.00 0 Mar/17/13 Mar/24/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus Used - $350.00 0 Mar/17/13 Mar/24/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus NEW - $99.00 0 Mar/17/13 Mar/24/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
dr.fantom NEW - $599.00 0 Mar/18/13 Feb/12/15
Description: Applied Materials 0020-48702 HANDLE WITH GLOVES
it_equipment_xpress Refurbished - $220.40 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0190-07502 Powersupply Board
bobsgoodies NEW - $347.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0680-01325 CB Back Panel 54 Positions Square D W/Neutral
bobsgoodies NEW - $110.00 2 Mar/18/13 Apr/15/13
Description: AMAT 1410-01130 Heater 208VAC 2.5W/Sq.In HT to CVR Applied Materials 0010-13972
bobsgoodies NEW - $417.00 2 Mar/18/13 Apr/17/13
Description: Applied Materials 1310-01086 TC Assembly, Type K AMAT 10758000
bobsgoodies NEW - $217.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0190-09185 T/C PRSP Type K 26167-1 Thermocouple
bobsgoodies Used - $687.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0190-35975 Cable Assy Fiber Optic 25' Recess Etch AMAT
bobsgoodies NEW - $50.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0690-01114 Clamp Flange Double Claw (Lot of 14) Applied Materials
bobsgoodies NEW - $185.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0190-13092 Kaydon 15668001 Veri Thin Bearing
bobsgoodies NEW - $677.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 3700-02162 Kalrez O-Ring, AMAT 12" X 12-3/8" X 3/16" AS-568A
bobsgoodies NEW - $317.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0040-61007 TC Heater Liner Marchi JDSM-1516 Thermocouple Dual
bobsgoodies Used - $750.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0270-00338 Lifting fixture ID# 11881400 AMAT
bobsgoodies NEW - $517.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0190-23123 T/C HTR RF Short Thread Marchi JDSM-1096 14931300
bobsgoodies NEW - $317.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 1310-00015 T/C Roof Top Dual Circuit 300MM Ultima SDSM-1616
bobsgoodies NEW - $417.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0190-49315 TC Assembly Thermocouple Assy AMAT
bobsgoodies NEW - $217.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 1310-01047 Thermocouple K-Type 7/16-20 Threaded AMAT
bobsgoodies Used - $877.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0190-09560 Phasetronics lamp driver for Applied Materials tool.
bobsgoodies Used - $36.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0090-09027 Vexta 2-Phase Stepping Motor PH264-01B-C11
bobsgoodies Used - $1,477.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 1120-01071 OPT Bifurcated BDL SPC 210/230N BICON (1 Assembly)
bobsgoodies NEW - $517.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0190-35511 T/C Assy; TxZ; MCVD Marchi JDSM-1091 Thermocouple
bobsgoodies Used - $1,700.00 0 Mar/18/13 Apr/17/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
bobsgoodies NEW - $125.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0140-09720 Cable Assy, WXZ Chamber Applied Materials
bobsgoodies NEW - $417.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0190-09062 TC Assembly for 150mm Susceptor Thermocouple Assy
bobsgoodies NEW - $727.00 0 Mar/18/13 Apr/17/13
Description: Cable Assembly Fiber Optic AMAT 0150-38584 Applied Materials
bobsgoodies NEW - $125.00 0 Mar/18/13 Jul/09/13
Description: Applied Materials 1080-01039 Stepping Motor RF Match 12 VDCK82445-P2 0 - 2 1/3
bobsgoodies NEW - $127.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0090-76030 Assembly Sensor Emitter NBLL, 11102A6517 Cuttler
bobsgoodies Used - $18.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0020-71457 E4 Timing Pulley 11368000
bobsgoodies NEW - $35.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0150-76405 Cable Assembly New AMAT
bobsgoodies NEW - $179.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
bobsgoodies NEW - $55.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $125.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0090-40006 Assy Receiver Loadlock Banner Mini-beam SM31RL
bobsgoodies NEW - $107.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
bobsgoodies Used - $215.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0010-00538 Laser Assy 1101P-0725 Uniphase
bobsgoodies Used - $367.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0190-09135 Cable Assembly,Bi-Furcated Fiber Optic Cable AMAT
bobsgoodies NEW - $125.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies NEW - $125.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0227-33201 Hose Assy Return Cathode Chamber B BT3-32777
bobsgoodies NEW - $185.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0090-35169 PA Assy Sensor Detector 11100R6517 Cuttler-Hammer
bobsgoodies NEW - $175.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
bobsgoodies NEW - $99.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0010-20472 Assy Wafer Sensor Monolith Banner SM312CV2-36355
bobsgoodies NEW - $98.50 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
bobsgoodies NEW - $377.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0010-09316 TEOS Temperature Cotroller Watlow Series 965 Assy
bobsgoodies Used - $98.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0090-00854 Sensor Assy, Cassette Present AMAT Banner SM312CV2
bobsgoodies NEW - $65.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0140-75155 H/A, SMIF PLC LLA Interconnect PH, Cabel Assy
bobsgoodies NEW - $4.50 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
waste-not-recycling Used - $25.99 0 Mar/18/13 Mar/25/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
visionsemi NEW - $750.00 0 Mar/18/13 Sep/14/13
Description: AMAT APPLIED MATERIALS 0200-02124 E-MAX QUARTZ COVER RING 200MM
capitolareatech NEW - $450.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0020-04167 *LIFT CYLINDER,8,EXT
capitolareatech NEW - $550.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0021-37701 SIDE RECEIVER, LEFT 200MM, 316-EP
capitolareatech NEW - $168.75 0 Mar/18/13 Oct/09/14
Description: APPLIED MATERIALS 0020-31723 PEDESTAL, 200MM, POLY, NOTCH, 218MM, SHU
capitolareatech NEW - $950.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0010-75429 ASSY, 8" REFLECTOR CASS. HANDLER LLB
capitolareatech NEW - $95.00 0 Mar/18/13 May/13/13
Description: APPLIED MATERIALS 0020-31692 FTG,ELBOW,GAS SUPPLY, UNIBODY
capitolareatech NEW - $125.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0050-09400 WELDMENT,LINE 1,CHAMBER B
capitolareatech NEW - $550.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0021-37702 SIDE RECEIVER, RIGHT 200MM, 316-EP
capitolareatech NEW - $46.87 0 Mar/18/13 Oct/09/14
Description: APPLIED MATERIALS (AMAT) 0050-09398 WELDMENT,PURGE, CHAMBER B
capitolareatech NEW - $1,000.00 0 Mar/18/13 Sep/14/13
Description: Applied Materials (AMAT) 0200-09615 LIFT WAFER, SR& BSE BWCVD
capitolareatech NEW - $200.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 1350-01133 TRANSDUCER
capitolareatech NEW - $1,700.00 0 Mar/18/13 Sep/14/13
Description: Applied Materials (AMAT) 0200-10555 BASEPLATE, W/BAFFLE QUARTZ
capitolareatech NEW - $650.00 0 Mar/18/13 Sep/14/13
Description: Applied Materials (AMAT) 0242-38200 KIT, DOME CABLE, REV-1 DPS
capitolareatech NEW - $2,500.00 0 Mar/18/13 Sep/14/13
Description: Applied Materials (AMAT) 0240-34698 KIT PM 12 MONTH W/ROT3, 1/CH
capitolareatech NEW - $1,000.00 0 Mar/18/13 Jul/10/13
Description: Applied Materials (AMAT) 0140-08724 HARNESS, E-CHAIN AI/O HEAD, 200MM
capitolareatech NEW - $225.00 1 Mar/18/13 Jan/21/14
Description: APPLIED MATERIALS (AMAT) 3870-02148 SOLENOID VALVE ASSY W/CABLE,BOC EDWARDS PO17
svcstore NEW - $599.99 0 Mar/18/13 Mar/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
used1eqsales Used - $703.13 2 Mar/18/13 Aug/22/13
Description: AMAT Applied Materials 0020-31347 P5000 Lamp Module Assembly Used Working
csi.usa Used - $1,250.00 2 Mar/18/13 Nov/28/14
Description: APPLIED MATERIALS P/N 0190-35197 PJ2 AIR SOLENOID MANIFOLD G
semiguy2596 NEW - $150.00 0 Mar/18/13 Mar/21/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
fa-parts Used - $109.99 1 Mar/18/13 May/09/13
Description: Applied Materials AMAT ROBOT INTERCONNECT BOARD 0100-70028 REV A
fa-parts Used - $320.00 0 Mar/18/13 Mar/19/23
Description: Applied Materials AMAT CENTERFIDER SENSOR BOARD 5,6 AND 8 INCH 0100-00086 REV J
fa-parts Used - $230.00 3 Mar/18/13 Aug/01/19
Description: Applied Materials AMAT CENTERFIDER SENSOR BOARD 5,6 AND 8 INCH 0100-09146 REV B
svcstore Used - $144.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $71.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/18/13 Mar/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $144.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $107.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
bobsgoodies Used - $37.00 0 Mar/19/13 Apr/18/13
Description: Applied Materials 0150-76156 Cable Assy Insertion Flow Sensor Common Mainframe
capitolareatech NEW - $45.00 0 Mar/19/13 Oct/29/13
Description: APPLIED MATERIALS 3700-01719 O-RING, 4.484 X .139 GATE VALV,CHEMRAZ:(AS-568A-24
capitolareatech NEW - $750.00 0 Mar/19/13 Sep/15/13
Description: APPLIED MATERIALS (AMAT) 0020-34035 SUPPORT, LIFT CYLINDER, SIMPLE CATHODE
used1eqsales NEW - $457.03 0 Mar/19/13 Jun/17/13
Description: AMAT Applied Materials 0242-29971
visionsemi Used - $1,600.00 1 Mar/19/13 May/07/13
Description: AMAT APPLIED MATERIALS 0010-26180 HE RF MATCH BIAS W/FILTER PCB 300MM
capitolareatech NEW - $450.00 0 Mar/19/13 Sep/15/13
Description: Applied Materials (AMAT) 0150-76991 C/A ROBOT CNTLR MLTST, CLDWN CHBR,
capitolareatech NEW - $600.00 0 Mar/19/13 Sep/15/13
Description: Applied Materials (AMAT) 0190-09534 CONTROLLER, SINGLE PHASE 175VAC
capitolareatech NEW - $2,200.00 0 Mar/19/13 Sep/09/13
Description: APPLIED MATERIALS (AMAT) 0020-34710 FACEPLATE, SIN, DXZ
capitolareatech NEW - $200.00 0 Mar/19/13 Jun/05/13
Description: APPLIED MATERIALS (AMAT) 3420-01073 INSUL BLANKET HEAT SHIELD
capitolareatech NEW - $84.37 0 Mar/19/13 Sep/10/14
Description: APPLIED MATERIALS (AMAT) 0020-98877 INSERT OUTER
capitolareatech NEW - $187.50 0 Mar/19/13 Sep/10/14
Description: Applied Materials (AMAT) 0227-42992 BRACKET, SINGLE VALVE SLD BOX,PLATE MOUNTING
capitolareatech NEW - $26.25 2 Mar/19/13 Sep/10/14
Description: APPLIED MATERIALS 0090-09136 ASSY, FIBERIPTIC SENSOR DEGASSER, LIG IN,BANNER:SM3
capitolareatech NEW - $115.00 0 Mar/19/13 Sep/15/13
Description: Applied Materials (AMAT) 0150-91746 F/O,SPARES TL,4500MM/SMA -SMA
capitolareatech NEW - $325.00 0 Mar/19/13 Sep/15/13
Description: Applied Materials (AMAT) 0190-01816 HEATER, DOOR LL A/B NARROW BODY 4 CH
capitolareatech NEW - $125.00 0 Mar/19/13 Sep/15/13
Description: APPLIED MATERIALS (AMAT) 3870-01185 VALVE, BELLOWS
capitolareatech NEW - $600.00 0 Mar/19/13 Jul/16/13
Description: APPLIED MATERIALS 0010-10828 MANIFOLD ASSEMBLY, UPPER 200MM TITAN HEA,BUSHING,G
capitolareatech NEW - $95.00 0 Mar/19/13 Sep/15/13
Description: APPLIED MATERIALS (AMAT) 3870-01727 VALVE GATE KIT, REBUILD
athomemarket NEW - $252.99 0 Mar/19/13 Apr/18/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
bobsgoodies Used - $297.00 0 Mar/19/13 Apr/18/13
Description: AMAT Applied Materials 0020-20340 Slit Door With "O" ring.
gesemiconductor Used - $170.00 1 Mar/19/13 May/09/13
Description: Applied Materials 0021-06092 Door Slit Liner
capitolareatech NEW - $46.87 0 Mar/19/13 Sep/10/14
Description: Applied Materials (AMAT) 0140-76000 HARNESS ASSY CASSETTE HNDLR
capitolareatech NEW - $125.00 2 Mar/19/13 Mar/20/13
Description: APPLIED MATERIALS (AMAT) 3700-02155 O-RING,CHEMRAZ:9378-SC513,(AS-568A-378) 10.4
capitolareatech NEW - $10.00 0 Mar/19/13 Sep/15/13
Description: APPLIED MATERIALS ISRAEL LTD 3700-90195 O-RING,456.06IDX6.99,CS
capitolareatech NEW - $150.00 0 Mar/19/13 May/31/13
Description: APPLIED MATERIALS (AMAT) 4020-01192 FILTER,GAS BOX CORROSIVE
capitolareatech NEW - $65.00 0 Mar/19/13 Sep/15/13
Description: APPLIED MATERIALS 0020-31460 INSERT, INNER, NI, 200MM POLY, 218MM SHU
d-dog0818 Used - $89.95 0 Mar/19/13 Mar/05/16
Description: Applied Materials AMAT P5000 TEOS Status Board 0100-09129
d-dog0818 Used - $199.95 0 Mar/19/13 Mar/26/16
Description: AMAT Applied Materials P5000 Thermal Electric Driver BD Assembly 0090-09116
prism_electronics3 Used - $20.00 0 Mar/19/13 Aug/23/22
Description: APPLIED MATERIALS 0050-61652 REV.003 APR300 REGULATOR W/ 2 NUTS
prism_electronics3 NEW - $32.00 3 Mar/19/13 Jul/30/19
Description: APPLIED MATERIALS 0020-20462 COVER TRANSFER VIEWPORT LENS BRAND NEW
athomemarket Refurbished - $216.99 0 Mar/19/13 Apr/18/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
svcstore Used - $449.99 0 Mar/19/13 Mar/22/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
esolutions1 Used - $2,249.10 0 Mar/20/13 Dec/21/14
Description: Applied Materials AMAT 0190-17208 VACUUM HANDLER ASSY
used1eqsales NEW - $1,203.13 0 Mar/20/13 Nov/10/16
Description: AMAT Applied Materials 0270-02530 300mm TXZ View Lid Assembly new
used1eqsales NEW - $803.13 0 Mar/20/13 May/26/17
Description: AMAT Applied Materials 0010-08061 300mm Preclean Viewpoint Assembly new
tripledirect Used - $4,500.00 1 Mar/20/13 Mar/26/13
Description: Applied Materials 0010-21127 PVD Magnet (can be use for 0010-20328)
tripledirect Used - $6,100.00 0 Mar/20/13 Apr/19/13
Description: Applied Materials Magnet Model # 0010-21810
capitolareatech NEW - $28.13 0 Mar/20/13 Sep/11/14
Description: APPLIED MATERIALS (AMAT) 0050-31614 CH A MXP,PROCESS #4 PH II CMN MNFRM
capitolareatech NEW - $225.00 0 Mar/20/13 Jul/18/13
Description: APPLIED MATERIALS (AMAT) 3870-03884 DOOR SLIT VALVE
capitolareatech NEW - $350.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0021-39971 LINER,LOWER THROTTLE VALVE
capitolareatech NEW - $125.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0020-75164 MEMBRANE TITAN OXIDE SILICON
capitolareatech NEW - $175.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS 0020-31305 INSERT, ALUM, OUTER, 200MM POLY, 218MM N
capitolareatech NEW - $90.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0020-31459 INSERT,AL,200MM POLY, 218MM SHUNT
capitolareatech NEW - $70.31 4 Mar/20/13 Aug/04/14
Description: APPLIED MATERIALS (AMAT) 4020-01145 FILTER, INLINE, GAS, UHP
capitolareatech NEW - $37.50 0 Mar/20/13 Sep/11/14
Description: APPLIED MATERIALS (AMAT) 0020-30722 FINGER REMOVABLE AL200MM
capitolareatech NEW - $700.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0020-79090 MANIFOLD HOUSING
ggrassetrecovery Used - $150.00 0 Mar/20/13 Mar/27/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
zuse81 NEW - $1,000.00 1 Mar/20/13 Mar/04/14
Description: Applied Materials CONTROL VIDEO SWITCH 0190-28951 MKS AS05111-03
capitolareatech NEW - $125.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0050-76483 WELDMENT MANIFOLD,WXZ
athomemarket Used - $194.99 0 Mar/20/13 Apr/19/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $290.99 0 Mar/20/13 Apr/19/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $330.99 0 Mar/20/13 Apr/19/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
capitolareatech NEW - $46.87 0 Mar/20/13 Sep/11/14
Description: APPLIED MATERIALS 0020-30482 COUPLING,FLEX,8",OXIDE
capitolareatech NEW - $115.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0021-01557 COVER, HE FEEDTHRU
capitolareatech NEW - $800.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0020-01196 HOUSING, ALARM MODULE (SCRN)
capitolareatech NEW - $65.00 3 Mar/20/13 Jan/14/14
Description: APPLIED MATERIALS 3700-02070 O-RING CHEMRAZ:9254-SC520(AS-568A-254-CPD520)5.484
athomemarket NEW - $105.99 0 Mar/20/13 Apr/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 Mar/20/13 Apr/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $315.99 0 Mar/20/13 Apr/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
neilan1987 Used - $100.00 0 Mar/20/13 Mar/27/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
neilan1987 Used - $100.00 1 Mar/20/13 Mar/27/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
liquisinc Used - $0.99 0 Mar/21/13 Mar/28/13
Description: APPLIED MATERIALS 0100-09040 Control Board
ptb-sales Refurbished - $250.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $6,300.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Refurbished - $250.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales NEW - $460.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Scrap, for parts - $5,000.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $3,000.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,800.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
used1eqsales Used - $2,751.57 0 Mar/21/13 Dec/11/13
Description: AMAT Applied Materials 0010-22567 CPI VMO Chamber with 0040-22140 Magnet as-is
used1eqsales Used - $3,503.13 0 Mar/21/13 Dec/11/13
Description: AMAT Applied Materials 0010-37386-03 CPI VMP Chamber with Magnet As-Is
used1eqsales Used - $3,301.88 0 Mar/21/13 Jun/19/13
Description: AMAT Applied Materials 0041-08444 CPI VMO Chamber with Magnet as-is
athomemarket NEW - $314.99 0 Mar/21/13 Apr/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
semiguy2596 NEW - $0.99 0 Mar/21/13 Mar/28/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
svcstore NEW - $599.99 0 Mar/21/13 Mar/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $154.99 0 Mar/21/13 Mar/24/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $144.99 0 Mar/21/13 Mar/24/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
bring_recycling NEW - $15.00 0 Mar/21/13 Mar/28/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore Used - $107.99 0 Mar/21/13 Mar/24/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $71.99 0 Mar/21/13 Mar/24/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
supertechshop Used - $199.00 0 Mar/22/13 Apr/21/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Mar/22/13 Apr/21/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
used1eqsales Used - $3,503.13 1 Mar/22/13 Mar/22/13
Description: AMAT Applied Materials CPI-VMO 412619-P4-ECH3 300mm MD Chamber 0010-22568 as-is
waste-not-recycling Used - $24.99 0 Mar/22/13 Apr/21/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
unitedelectronicrecycling NEW - $100.00 0 Mar/22/13 Sep/18/13
Description: NEW Applied Materials 0021-27011 ROLLER, BRUSH MODULE, WAFER ROTATION MOP AMAT
athomemarket NEW - $111.99 0 Mar/22/13 Apr/21/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
usedeqsales NEW - $403.13 0 Mar/22/13 Dec/04/14
Description: AMAT Applied Materials 0020-14762 300mm Loadcup Centering Cone New
used1eqsales NEW - $522.03 0 Mar/22/13 Jun/20/13
Description: AMAT Applied Materials 0270-00877 300mm Endura Lifting Tool new
athomemarket NEW - $392.99 0 Mar/22/13 Apr/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $616.99 0 Mar/22/13 Apr/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
svcstore Used - $26.99 0 Mar/22/13 Mar/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $133.99 0 Mar/22/13 Mar/25/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
semiguy2596 NEW - $5.00 0 Mar/23/13 Apr/24/13
Description: 3690-03713 applied materials dps esc screws
semiguy2596 NEW - $150.00 0 Mar/23/13 Apr/03/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $180.00 0 Mar/23/13 Apr/03/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin
imca00 Used - $5,000.00 0 Mar/23/13 Mar/28/13
Description: AMAT Applied Materials Producer AC Box / Power Cabinet p/n: 0190-10042
svcstore Used - $449.99 0 Mar/23/13 Mar/26/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
athomemarket Used - $73.99 0 Mar/24/13 Apr/23/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $269.99 0 Mar/24/13 Apr/23/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
svcstore Used - $107.99 0 Mar/24/13 Mar/27/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Mar/24/13 Mar/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $144.99 0 Mar/24/13 Mar/27/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $154.99 0 Mar/24/13 Mar/27/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $71.99 0 Mar/24/13 Mar/27/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
usedeqsales NEW - $303.13 1 Mar/25/13 Mar/01/18
Description: AMAT Applied Materials 0010-28224 300mm MCA Heater SST Cover Kit 0010-28233 new
waste-not-recycling Used - $49.99 0 Mar/25/13 Apr/24/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
athomemarket Used - $239.99 0 Mar/25/13 Apr/24/13
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
csi.usa NEW - $899.00 0 Mar/25/13 Mar/03/15
Description: APPLIED MATERIALS P/N 3030-01643 BROOKS 5964 MASS FLOW CONTROLLER
svcstore Used - $26.99 0 Mar/25/13 Mar/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $133.99 0 Mar/25/13 Mar/28/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
surplex Used - $74.99 0 Mar/26/13 Apr/02/13
Description: Applied Materials 0120-90932 Serial Interface Drivers
semiguy2596 Used - $0.99 0 Mar/26/13 Apr/02/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 Used - $0.99 0 Mar/26/13 Apr/02/13
Description: NO RESERVE!!! Applied Materials 0150-09240 CABLE ASSY, RF GENERATOR AMAT
semiguy2596 Used - $0.99 0 Mar/26/13 Apr/02/13
Description: NO RESERVE!!! APPLIED MATERIALS 0050-09955 WELDMET TUBE INSERT
supertechshop NEW - $299.00 0 Mar/26/13 Apr/25/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
semiguy2596 NEW - $0.99 1 Mar/26/13 Apr/02/13
Description: APPLIED MATERIALS P/N 0020-70271 REV.D BLADE SHAFT ASSY LEFT
athomemarket NEW - $392.99 0 Mar/26/13 Apr/25/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $505.99 0 Mar/26/13 Apr/25/13
Description: Applied Materials 0190-35198 Optical Sensor Cable Assy.
svcstore Used - $449.99 0 Mar/26/13 Mar/29/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
metrology123 Used - $2,999.00 1 Mar/26/13 May/06/13
Description: Applied materials 0010-13524 rev. 004 TR13524-346
usedeqsales Used - $1,503.13 2 Mar/27/13 Mar/10/14
Description: AMAT Applied Materials 0020-02284 Shield Mounting Assembly Quantum Leap 2 used
visionsemi Used - $2,300.00 0 Mar/27/13 Sep/23/13
Description: AE ADVANCED ENERGY RF MATCH 3155031-037 AMAT 1110-00056 APPLIED MATERIALS
athomemarket Used - $209.99 0 Mar/27/13 Apr/03/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $289.99 0 Mar/27/13 Apr/26/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
svcstore NEW - $599.99 0 Mar/27/13 Mar/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $144.99 0 Mar/27/13 Mar/30/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $107.99 0 Mar/27/13 Mar/30/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
neilan1987 Used - $100.00 1 Mar/27/13 Apr/03/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
svcstore Used - $71.99 0 Mar/27/13 Mar/30/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
adam_tech3 Used - $340.00 1 Mar/28/13 May/23/17
Description: Applied Materials Inficon 0190-26769 Universal Pirani Gauge
industrial_loot Used - $80.00 0 Mar/28/13 Apr/27/13
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
svcstore Used - $26.99 0 Mar/28/13 Mar/31/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
bring_recycling NEW - $15.00 0 Mar/28/13 Apr/04/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore Used - $154.99 0 Mar/28/13 Mar/31/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $133.99 0 Mar/28/13 Mar/31/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
ggrassetrecovery Used - $150.00 0 Mar/29/13 Apr/05/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
bobsgoodies NEW - $87.57 0 Mar/29/13 Apr/28/13
Description: AMAT 1310-01029 TC ASSY INJCT-VALVE 1/16 D Applied Materials
athomemarket NEW - $523.99 0 Mar/29/13 Apr/28/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
esolutions1 Refurbished - $999.00 1 Mar/29/13 Mar/30/13
Description: Applied Materials AMAT 3620-01553 CTI Cryogenics Cntrl 3PH Motor Controller Pump
svcstore Used - $107.99 0 Mar/30/13 Apr/02/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Mar/30/13 Apr/02/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $144.99 0 Mar/30/13 Apr/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $71.99 0 Mar/30/13 Apr/02/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
imca00 Used - $5,000.00 0 Mar/31/13 Sep/27/13
Description: AMAT Applied Materials Producer AC Box / Power Cabinet p/n: 0190-10042
svcstore Used - $26.99 0 Mar/31/13 Apr/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Mar/31/13 Apr/03/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
adam_tech3 Used - $382.50 1 Apr/01/13 Feb/06/18
Description: Applied Materials Universal Pirani Gauge Inficon 0190-26769
ptb-sales Used - $750.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Refurbished - $250.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $1,800.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales NEW - $460.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $6,300.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $3,000.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Scrap, for parts - $5,000.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Refurbished - $250.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
athomemarket Refurbished - $112.99 0 Apr/01/13 Apr/08/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore Used - $133.99 0 Apr/01/13 Apr/04/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
athomemarket NEW - $507.99 0 Apr/01/13 May/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $211.99 0 Apr/01/13 Apr/08/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Used - $816.99 0 Apr/01/13 Apr/08/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
neilan1987 NEW - $399.99 0 Apr/01/13 Apr/08/13
Description: APPLIED MATERIALS AMAT 0190-06926 Blue RF Cable NEW in Cleanroom Packaging
svcstore Used - $449.99 0 Apr/01/13 Apr/04/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
neilan1987 NEW - $59.99 0 Apr/01/13 Apr/08/13
Description: Applied Materials AMAT 3320-01026 8" Conflat Copper Gasket x2
neilan1987 Used - $69.99 1 Apr/02/13 Apr/02/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 Used - $49.99 1 Apr/02/13 Apr/09/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
athomemarket Used - $628.99 0 Apr/02/13 Apr/09/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
neilan1987 NEW - $399.99 0 Apr/02/13 Apr/09/13
Description: APPLIED MATERIALS AMAT 0190-15905 Blue RF Cable NEW in Cleanroom Packaging
athomemarket Used - $420.99 0 Apr/02/13 May/02/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
svcstore Used - $107.99 0 Apr/02/13 Apr/05/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Apr/02/13 Apr/05/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $144.99 0 Apr/02/13 Apr/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $71.99 0 Apr/02/13 Apr/05/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
semiguy2596 Used - $0.99 0 Apr/03/13 Apr/10/13
Description: NO RESERVE!!! Applied Materials 0150-09240 CABLE ASSY, RF GENERATOR AMAT
semiguy2596 Used - $0.99 0 Apr/03/13 Apr/10/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 NEW - $0.99 1 Apr/03/13 Apr/10/13
Description: NO RESERVE!!(127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $0.99 0 Apr/03/13 Apr/10/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $0.99 0 Apr/03/13 Apr/10/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin
semiguy2596 Used - $0.99 0 Apr/03/13 Apr/10/13
Description: NO RESERVE!!! APPLIED MATERIALS 0050-09955 WELDMET TUBE INSERT
supertechshop Used - $149.00 0 Apr/03/13 May/03/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop NEW - $399.00 0 Apr/03/13 May/03/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop Used - $99.99 0 Apr/03/13 May/03/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $199.00 0 Apr/03/13 May/03/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Apr/03/13 May/03/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
supertechshop NEW - $995.00 0 Apr/03/13 May/03/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Apr/03/13 May/03/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $499.00 0 Apr/03/13 May/03/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $299.00 0 Apr/03/13 May/03/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $274.99 0 Apr/03/13 May/03/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $499.00 0 Apr/03/13 May/03/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 Apr/03/13 May/03/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Apr/03/13 May/03/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Apr/03/13 May/03/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $299.00 1 Apr/03/13 Apr/18/13
Description: Applied Materials CPCI CompactPCI Single Board Computer SBC 3U AMAT 0190-05611
supertechshop Used - $499.00 0 Apr/03/13 May/03/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Apr/03/13 May/03/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
mayraytan NEW - $695.00 0 Apr/03/13 Aug/31/13
Description: Applied Materials Veriflo Regulator 42500197 3800-01034
bobsgoodies NEW - $399.00 0 Apr/03/13 May/03/13
Description: Applied Materials 0224-44071 Thornton 770 PC Process Ccontoller
bobsgoodies NEW - $220.00 0 Apr/03/13 May/03/13
Description: Applied Materials 0225-33858 Valve Angle Manual Viton Seal Nor-Cal ESVP-1002-NWB
bobsgoodies Used - $110.00 0 Apr/03/13 May/03/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
bobsgoodies NEW - $98.00 0 Apr/03/13 May/03/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies NEW - $25.00 0 Apr/03/13 May/03/13
Description: Applied Materials 0190-00052 Elastomer (Lot of 5)
bobsgoodies NEW - $347.00 0 Apr/03/13 May/03/13
Description: Cable Assembly Fiber Optic 8.5" AMAT 0190-09134 Applied Materials
bobsgoodies Used - $97.70 0 Apr/03/13 May/03/13
Description: Applied Materials 0090-20108 Assy,Snesor Cassette AMAT Banner Mini-Beam SM312LV
athomemarket Used - $514.99 0 Apr/03/13 Apr/10/13
Description: Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909
athomemarket Used - $161.99 0 Apr/03/13 Apr/10/13
Description: Applied Materials AMAT 0190-09472 DCVD Endpoint Detector Assembly
athomemarket NEW - $263.99 0 Apr/03/13 Apr/10/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $199.99 0 Apr/03/13 May/03/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $26.99 0 Apr/03/13 Apr/06/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
ifstech NEW - $2,500.00 0 Apr/03/13 Dec/27/13
Description: Applied Materials 3 Port UPA-B 0190-15378
svcstore Used - $154.99 0 Apr/03/13 Apr/06/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
liquidationbazar NEW - $999.00 0 Apr/04/13 Apr/30/13
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
visionsemi Used - $99.99 0 Apr/04/13 Apr/09/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20440
visionsemi Used - $99.99 0 Apr/04/13 Apr/09/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20441
athomemarket NEW - $77.99 0 Apr/04/13 May/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Used - $340.99 0 Apr/04/13 May/04/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket Used - $209.99 0 Apr/04/13 May/04/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $133.99 0 Apr/04/13 Apr/07/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bring_recycling NEW - $15.00 0 Apr/04/13 Apr/11/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
alvin1462 NEW - $2,388.00 0 Apr/04/13 Jul/03/13
Description: Applied Materials AMAT 0200-02468 7INER, CHAMBER, UPPER , QUARTZ , 300MM EPI RP
alvin1462 NEW - $3,888.00 0 Apr/04/13 Jul/03/13
Description: Applied Materials AMAT 0200-02477 QUARTZ LINER CHAMBER LOWER,8 TAB CENTERING 30
alvin1462 Used - $8,888.00 0 Apr/04/13 Jan/18/22
Description: Applied Materials AMAT 0200-03166 Ceramics. QUARTZ DOME , UPPER , EPI 300MM RP.
svcstore Used - $449.99 0 Apr/04/13 Apr/07/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bobsgoodies NEW - $55.00 0 Apr/05/13 May/05/13
Description: Applied Materials 0040-76083 SH4-63 Quick Coupler X 3/4" Tube Stub SS Coupling
bobsgoodies NEW - $55.00 0 Apr/05/13 May/05/13
Description: Applied Materials 0040-76084 SH4-62 Quick Coupler X 3/4" Tube Stub SS Coupling
athomemarket Used - $2,699.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $2,399.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
yayais2012 Used - $900.00 0 Apr/05/13 May/05/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
visionsemi Used - $300.00 1 Apr/05/13 Apr/05/13
Description: APPLIED MATERIALS AMAT VERIFLO VALVE 0021-09077 MCVD DCS ADAPTER 944AOPLPNCSFSFF
athomemarket Used - $4,799.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $163.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket NEW - $413.99 0 Apr/05/13 Apr/12/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $99.99 0 Apr/05/13 May/05/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $767.99 0 Apr/05/13 May/05/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Used - $755.99 0 Apr/05/13 May/05/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket NEW - $75.99 0 Apr/05/13 May/05/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Refurbished - $153.99 0 Apr/05/13 May/05/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $109.99 0 Apr/05/13 Apr/12/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
athomemarket Used - $891.99 0 Apr/05/13 May/05/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
svcstore Used - $107.99 0 Apr/05/13 Apr/08/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $599.99 0 Apr/05/13 Apr/08/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $144.99 0 Apr/05/13 Apr/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $71.99 0 Apr/05/13 Apr/08/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
ggrassetrecovery Used - $150.00 0 Apr/06/13 Apr/13/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
yayais2012 Used - $220.00 0 Apr/06/13 May/06/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $620.00 0 Apr/06/13 May/06/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $320.00 0 Apr/06/13 May/06/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 Used - $900.00 0 Apr/06/13 May/06/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $15.00 0 Apr/06/13 May/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $339.99 0 Apr/06/13 May/06/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
yayais2012 Used - $320.00 0 Apr/06/13 May/06/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
yayais2012 Used - $115.00 0 Apr/06/13 May/06/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
yayais2012 Used - $120.00 0 Apr/06/13 May/06/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
supertechshop NEW - $699.00 0 Apr/06/13 May/06/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $399.00 0 Apr/06/13 May/06/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Apr/06/13 May/06/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop Used - $99.99 0 Apr/06/13 May/06/13
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 Apr/06/13 May/06/13
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
svcstore Used - $26.99 0 Apr/06/13 Apr/09/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Apr/06/13 Apr/09/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket NEW - $413.99 0 Apr/07/13 May/07/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $586.65 0 Apr/07/13 May/07/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
sellyoursurplus NEW - $995.00 0 Apr/07/13 Apr/14/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Apr/07/13 Apr/14/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus NEW - $95.00 0 Apr/07/13 Apr/14/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus Used - $95.00 0 Apr/07/13 Apr/14/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus NEW - $89.00 0 Apr/07/13 Apr/14/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $350.00 0 Apr/07/13 Apr/14/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus NEW - $250.00 0 Apr/07/13 Apr/14/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $250.00 0 Apr/07/13 Apr/14/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus Used - $350.00 0 Apr/07/13 Apr/14/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $95.00 0 Apr/07/13 Apr/14/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $115.00 0 Apr/07/13 Apr/14/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $125.00 0 Apr/07/13 Apr/14/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus Used - $100.00 0 Apr/07/13 Apr/14/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $99.00 0 Apr/07/13 Apr/14/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
svcstore Used - $133.99 0 Apr/07/13 Apr/10/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $449.99 0 Apr/07/13 Apr/10/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bobsgoodies Scrap, for parts - $25.00 0 Apr/08/13 May/08/13
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C
bobsgoodies Used - $75.00 0 Apr/08/13 May/08/13
Description: Applied Materials RF Match 0021-07582, 0021-07580, 0140-01175 Assembly
bobsgoodies NEW - $95.00 0 Apr/08/13 May/08/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
waste-not-recycling Used - $259.99 0 Apr/08/13 May/08/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
waste-not-recycling Used - $259.99 0 Apr/08/13 May/08/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT, Rev B We Pulled, Used
usedeqsales NEW - $404.13 0 Apr/08/13 May/05/15
Description: AMAT Applied Materials 3060-00835 Ball Bearing lot of 416 new
mayraytan NEW - $395.00 0 Apr/08/13 Sep/05/13
Description: Applied Materials, AMAT, Pall,In-Line VCR Gas Filter, GLF6101VM6/8, 4020-01072
mayraytan Used - $325.00 0 Apr/08/13 Nov/06/13
Description: Applied Materials, AMAT, Pall, In-Line VCR Gas Filter, GLFPF3111VMM4, 4020-01409
electronicswest NEW - $250.00 1 Apr/08/13 Apr/08/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
farmoninc NEW - $250.00 0 Apr/08/13 Mar/15/18
Description: AMAT 0010-01191 Assembly, Air flow, MMF, pressure switch, Applied materials
usedeqsales Used - $504.13 0 Apr/08/13 Oct/16/19
Description: AMAT Applied Materials 0100-90710 Vacuum Gauge Interface Board Rev.D working
usedeqsales Used - $454.13 0 Apr/08/13 Oct/19/17
Description: AMAT Applied Materials 0100-90881 Vacuum Interlock Board Rev.B XR80 working
usedeqsales Used - $504.13 1 Apr/08/13 Jun/12/13
Description: AMAT Applied Materials 0120-00272 A.Magnet PSU Interface Board Rev.B working
athomemarket NEW - $6,199.99 0 Apr/08/13 Apr/15/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $89.99 0 Apr/08/13 Apr/15/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
usedeqsales Used - $504.13 2 Apr/08/13 May/14/14
Description: AMAT Applied Materials 0120-93017 Gap Servo Board Rev.A working
athomemarket Used - $4,465.99 0 Apr/08/13 Apr/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
usedeqsales Used - $504.13 1 Apr/08/13 Dec/12/13
Description: AMAT Applied Materials 0120-00273 Pre-Accel Vendor Interface Board Rev.A working
athomemarket NEW - $958.99 0 Apr/08/13 May/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket Used - $406.99 0 Apr/08/13 May/08/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $115.99 0 Apr/08/13 May/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore NEW - $599.99 0 Apr/08/13 Apr/11/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $144.99 0 Apr/08/13 Apr/11/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $107.99 0 Apr/08/13 Apr/11/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
neilan1987 NEW - $349.99 0 Apr/09/13 Apr/16/13
Description: APPLIED MATERIALS AMAT 0190-06926 Blue RF Cable NEW in Cleanroom Packaging
neilan1987 NEW - $39.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 3320-01026 8" Conflat Copper Gasket x2
bobsgoodies NEW - $1,675.00 0 Apr/09/13 May/09/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
bobsgoodies NEW - $147.00 0 Apr/09/13 May/09/13
Description: GEMS, 183454 Flow Switch .8 GPM Applied Materials 0190-00653
bobsgoodies Used - $99.00 0 Apr/09/13 May/09/13
Description: Applied Materials 0150-20160 Cable Assembly EMO Interconnect AMAT
bobsgoodies NEW - $10.00 0 Apr/09/13 May/09/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
bobsgoodies NEW - $52.25 0 Apr/09/13 May/09/13
Description: Banner fiber optic cable assembly BT13S Applied Materials 0620-01194 Cable Assy
bobsgoodies Used - $327.00 0 Apr/09/13 May/09/13
Description: Cable Assembly Fiber Optic AMAT 0040-00457 Applied Materials
athomemarket NEW - $99.99 0 Apr/09/13 Apr/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $63.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket NEW - $212.99 0 Apr/09/13 Apr/16/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Refurbished - $142.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
ptb-sales Used - $500.00 0 Apr/16/13 May/21/13
Description: Applied Materials AMAT 0690-01036 Clamp Hinged NW40 Wing-Nut Lot
neilan1987 Used - $150.00 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
neilan1987 NEW - $349.99 0 Apr/09/13 Apr/16/13
Description: APPLIED MATERIALS AMAT 0190-15905 Blue RF Cable NEW in Cleanroom Packaging
neilan1987 Used - $49.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
svcstore Used - $71.99 0 Apr/09/13 Apr/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
alvin1462 NEW - $200.00 1 Apr/09/13 Aug/29/13
Description: 2 NEW Applied Materials 0190-10183 SENSOR, INDUCTIVE POSITION SPRING TIP AMAT
svcstore Used - $26.99 0 Apr/09/13 Apr/12/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Apr/09/13 Apr/12/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
surpluskobay Used - $825.00 0 Apr/10/13 May/10/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
surpluskobay Used - $875.00 0 Apr/10/13 May/10/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
gesemiconductor Used - $1,800.00 1 Apr/10/13 Apr/10/13
Description: Applied Materials 0010-76428 PVD Slit Valve Actuator Assembly
supertechshop Used - $499.00 0 Apr/10/13 May/10/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Apr/10/13 May/10/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $299.00 0 Apr/10/13 May/10/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop Used - $299.00 0 Apr/10/13 May/10/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop NEW - $99.99 0 Apr/10/13 May/10/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop Used - $149.00 0 Apr/10/13 May/10/13
Description: AMAT Manifold Out Left Chamber Lid SACVD 0040-87102 Applied Materials
supertechshop NEW - $399.00 0 Apr/10/13 May/10/13
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
supertechshop Used - $299.00 0 Apr/10/13 May/10/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $199.00 0 Apr/10/13 May/10/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Apr/10/13 May/10/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop NEW - $99.00 0 Apr/10/13 May/10/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $299.00 0 Apr/10/13 May/10/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop NEW - $399.00 0 Apr/10/13 May/10/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop NEW - $199.00 0 Apr/10/13 May/10/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
athomemarket NEW - $211.99 0 Apr/10/13 May/10/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Used - $628.99 0 Apr/10/13 May/10/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
athomemarket Used - $816.99 0 Apr/10/13 May/10/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
athomemarket Refurbished - $112.99 0 Apr/10/13 May/10/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore Used - $133.99 0 Apr/10/13 Apr/13/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
adam_tech3 Used - $399.99 0 Apr/11/13 Sep/08/13
Description: Applied Materials Inficon 0190-26328 Universal Pirani Gauge
yayais2012 NEW - $150.00 0 Apr/11/13 May/11/13
Description: Applied Materials 0190-10270 Diamond Pad Cond
ptb-sales Refurbished - $250.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $1,800.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales NEW - $460.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Refurbished - $250.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $3,000.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $6,300.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Scrap, for parts - $5,000.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $750.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
visionsemi Used - $99.99 0 Apr/11/13 Apr/16/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20440
visionsemi Used - $99.99 0 Apr/11/13 Apr/16/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20441
athomemarket Refurbished - $101.99 0 Apr/11/13 May/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
athomemarket Used - $326.99 0 Apr/11/13 May/11/13
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket NEW - $234.99 0 Apr/11/13 May/11/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $90.99 0 Apr/11/13 May/11/13
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
athomemarket NEW - $263.99 0 Apr/11/13 May/11/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Used - $161.99 2 Apr/11/13 Apr/13/13
Description: Applied Materials AMAT 0190-09472 DCVD Endpoint Detector Assembly
athomemarket Used - $514.99 0 Apr/11/13 May/11/13
Description: Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909
svcstore Used - $449.99 0 Apr/11/13 Apr/14/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bring_recycling NEW - $15.00 0 Apr/11/13 Apr/18/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
dvkelectronics Used - $6,500.00 0 Apr/11/13 May/11/13
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
svcstore NEW - $599.99 0 Apr/11/13 Apr/14/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $144.99 0 Apr/11/13 Apr/14/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
mattron747 Scrap, for parts - $200.00 0 Apr/11/13 May/11/13
Description: Applied Materials 0190-70060 Kollmorgen Magnet Driver
svcstore Used - $107.99 0 Apr/11/13 Apr/14/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
csi.usa NEW - $450.00 0 Apr/16/13 Mar/03/15
Description: APPLIED MATERIALS P/N 9240-05125
svcstore Used - $133.99 0 Apr/16/13 Apr/19/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
esolutions1 Used - $809.10 1 Apr/16/13 May/14/13
Description: Applied Materials AMAT 0190-23562 KENSINGTON LABORATORIES M4000 ROBOT CONTROLLER
svcstore Used - $154.99 0 Apr/16/13 Apr/19/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket Used - $4,699.99 0 Apr/16/13 Apr/23/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket NEW - $520.99 0 Apr/16/13 May/16/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Refurbished - $2,547.99 0 Apr/16/13 May/16/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $21.99 0 Apr/16/13 May/16/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
ptb-sales NEW - $850.00 0 Apr/16/13 Apr/26/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
megawavz Used - $195.00 0 Apr/16/13 May/16/13
Description: AMAT Applied Materials 0100-90025 Power Supply Board 24V 1A
megawavz Used - $695.00 0 Apr/16/13 May/16/13
Description: AMAT Applied Materials ARC supplies CVAS mod ARC supplies 0090-90080, 0090-90084
megawavz Used - $495.00 0 Apr/16/13 May/16/13
Description: AMAT Applied Materials ARC Heatsink Module 0100-90095
megawavz Used - $195.00 0 Apr/16/13 May/16/13
Description: AMAT Applied Materials 0120-91103 Arc Switchmode Board
bobsgoodies NEW - $157.00 0 Apr/16/13 May/16/13
Description: AMAT 0040-20433 Rev E Spool Exhaust Transfer Chamber Applied Materials RTSB30
svcstore Used - $26.99 0 Apr/15/13 Apr/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
pete-sigep Used - $475.00 0 Apr/15/13 May/15/13
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
athomemarket NEW - $109.99 0 Apr/15/13 May/15/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
athomemarket NEW - $413.99 0 Apr/15/13 May/15/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $163.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket NEW - $162.99 0 Apr/15/13 Apr/22/13
Description: Applied Materials AMAT 0010-10954 Stainless Steel 8" Assembly JMF Blade SST
athomemarket Used - $4,799.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $2,399.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,999.99 0 Apr/15/13 Apr/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $2,699.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket NEW - $112.99 0 Apr/15/13 Apr/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $514.99 0 Apr/15/13 Apr/22/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
yayais2012 NEW - $280.00 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $780.00 0 Apr/15/13 May/15/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $180.00 0 Apr/15/13 May/15/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $95.00 0 Apr/15/13 May/15/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
athomemarket NEW - $1,799.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,699.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $312.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
svcstore Used - $449.99 0 Apr/14/13 Apr/17/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
dusty5690 NEW - $40.00 3 Apr/14/13 Jul/14/15
Description: Applied Materials 0190-18083 Parker 5732-8 Hose Assy.W/Couplers BH4-60 BH4-61
athomemarket Used - $147.99 0 Apr/14/13 May/14/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $137.99 0 Apr/14/13 May/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
mayraytan Used - $9.25 2 Apr/14/13 May/24/18
Description: Applied Materials AMAT Gas Line, 0050-14441
athomemarket NEW - $313.99 0 Apr/13/13 May/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Refurbished - $156.99 0 Apr/13/13 May/13/13
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket Refurbished - $416.99 0 Apr/13/13 May/13/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket NEW - $196.99 0 Apr/13/13 May/13/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
wedone NEW - $350.00 0 Apr/13/13 May/13/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
athomemarket Refurbished - $206.99 0 Apr/13/13 May/13/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
ggrassetrecovery Used - $150.00 0 Apr/13/13 Apr/23/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
anymetal NEW - $99.99 0 Apr/13/13 May/13/13
Description: AMAT Applied Materials 0090-00555 Pressure Sensor Switch 3300 SCCM
alvin1462 Used - $888.00 1 Apr/12/13 Jun/03/18
Description: Applied Materials 8300 RF Micro-Match 0010-00799 AMAT
athomemarket Refurbished - $214.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $516.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $194.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $212.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $264.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $101.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Apr/12/13 May/12/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Used - $86.99 0 Apr/12/13 Apr/19/13
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
bobsgoodies NEW - $677.00 0 Apr/12/13 May/12/13
Description: AMAT 0150-90317 F/O,T1,1900MM,33C.TX/33A RX Fiber Optic Cable Applied Materials
bobsgoodies NEW - $57.00 0 Apr/12/13 May/12/13
Description: AMAT 0040-22144 Z-Bracket, IMP MATCH RF Cable (Lot of 10) Applied Materials
bobsgoodies NEW - $28.00 0 Apr/12/13 May/12/13
Description: Applied Materials 0150-36152 Cable assy, Display Power Jump
bobsgoodies NEW - $195.00 0 Apr/12/13 May/12/13
Description: AMAT Flow Insertion Sensor SST 3030-04879 Applied Materials Turck W/Gasket
bobsgoodies NEW - $37.00 0 Apr/12/13 May/12/13
Description: Applied Materials 0150-37029 Mini Extender Cable K*Tec Electrioncs
bobsgoodies NEW - $185.00 0 Apr/12/13 May/12/13
Description: Applied Materials 0190-00609 Logic Box, LL Pump Manual Start Switch
bobsgoodies NEW - $29.00 0 Apr/12/13 May/12/13
Description: AMAT 0226-97049 Proximity Switch with connector. Applied Materials
bobsgoodies NEW - $99.00 0 Apr/12/13 May/12/13
Description: AMAT 0140-01339 Harness Assy, Transfer Chamber Sensors 300MM Applied Materials
bobsgoodies NEW - $35.00 0 Apr/12/13 May/12/13
Description: Applied Materials 0140-35646 Harness Assy, Power Facility Coolant Interlock
bobsgoodies NEW - $195.00 0 Apr/12/13 May/12/13
Description: Applied Materials 0050-07049 1/4 VCR Braided SS Hose Assy with Plugs
bobsgoodies NEW - $45.00 0 Apr/12/13 May/12/13
Description: Applied Materials 0140-03874 Rev 001 Harness Assmebly
bobsgoodies NEW - $115.00 0 Apr/12/13 May/12/13
Description: AP TECH VALVE AP3650SX 2PW MV4 MV4, 0226-41975 Applied Materials
sellyoursurplus Used - $100.00 0 Apr/16/13 May/16/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $125.00 0 Apr/16/13 May/16/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $99.00 0 Apr/16/13 May/16/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
sellyoursurplus NEW - $89.00 0 Apr/16/13 May/16/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $95.00 0 Apr/16/13 May/16/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus NEW - $250.00 0 Apr/16/13 May/16/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $350.00 0 Apr/16/13 May/16/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus NEW - $250.00 0 Apr/16/13 May/16/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Apr/16/13 May/16/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $350.00 0 Apr/16/13 May/16/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $115.00 0 Apr/16/13 May/16/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $95.00 0 Apr/16/13 May/16/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $995.00 0 Apr/16/13 May/16/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Apr/16/13 May/16/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
metrology123 Used - $499.00 1 Apr/17/13 Aug/11/15
Description: Applied materials 0190-28951 rev 02 MKS AS05111-03 Rev 01
athomemarket NEW - $112.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $69.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
bobsgoodies NEW - $417.00 0 Apr/17/13 May/17/13
Description: Applied Materials 1310-01086 TC Assembly, Type K AMAT 10758000
bobsgoodies NEW - $217.00 0 Apr/17/13 May/17/13
Description: Applied Materials 1310-01047 Thermocouple K-Type 7/16-20 Threaded AMAT
bobsgoodies NEW - $98.50 0 Apr/17/13 May/17/13
Description: Applied Materials 0080-76014 New Banner SM31ELMHS Beam Emitter
bobsgoodies NEW - $517.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0190-35511 T/C Assy; TxZ; MCVD Marchi JDSM-1091 Thermocouple
bobsgoodies NEW - $175.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0010-37403 OBSHose Assy CNDCTV 3/8TFE/TBG 54inLg (Lot of 2)
bobsgoodies NEW - $127.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0090-76030 Assembly Sensor Emitter NBLL, 11102A6517 Cuttler
bobsgoodies NEW - $125.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0227-33201 Hose Assy Return Cathode Chamber B BT3-32777
bobsgoodies Used - $215.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0010-00538 Laser Assy 1101P-0725 Uniphase
bobsgoodies NEW - $377.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0010-09316 TEOS Temperature Cotroller Watlow Series 965 Assy
bobsgoodies NEW - $99.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0010-20472 Assy Wafer Sensor Monolith Banner SM312CV2-36355
bobsgoodies NEW - $50.00 0 Apr/17/13 May/17/13
Description: AMAT 0690-01114 Clamp Flange Double Claw (Lot of 14) Applied Materials
bobsgoodies NEW - $4.50 0 Apr/17/13 May/17/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
bobsgoodies NEW - $125.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0090-40006 Assy Receiver Loadlock Banner Mini-beam SM31RL
bobsgoodies NEW - $185.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0090-35169 PA Assy Sensor Detector 11100R6517 Cuttler-Hammer
bobsgoodies NEW - $317.00 0 Apr/17/13 May/17/13
Description: Applied Materials 1310-00015 T/C Roof Top Dual Circuit 300MM Ultima SDSM-1616
bobsgoodies NEW - $677.00 0 Apr/17/13 May/17/13
Description: Applied Materials 3700-02162 Kalrez O-Ring, AMAT 12" X 12-3/8" X 3/16" AS-568A
bobsgoodies Used - $675.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0270-00338 Lifting fixture ID# 11881400 AMAT
bobsgoodies Used - $98.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0090-00854 Sensor Assy, Cassette Present AMAT Banner SM312CV2
bobsgoodies Used - $547.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0190-35975 Cable Assy Fiber Optic 25' Recess Etch AMAT
bobsgoodies Used - $367.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0190-09135 Cable Assembly,Bi-Furcated Fiber Optic Cable AMAT
bobsgoodies NEW - $317.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0040-61007 TC Heater Liner Marchi JDSM-1516 Thermocouple Dual
bobsgoodies NEW - $417.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0190-49315 TC Assembly Thermocouple Assy AMAT
bobsgoodies NEW - $217.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0190-09185 T/C PRSP Type K 26167-1 Thermocouple
bobsgoodies Used - $18.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0020-71457 E4 Timing Pulley 11368000
bobsgoodies Used - $877.00 1 Apr/17/13 Apr/19/13
Description: AMAT 0190-09560 Phasetronics lamp driver for Applied Materials tool.
bobsgoodies NEW - $417.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0190-09062 TC Assembly for 150mm Susceptor Thermocouple Assy
bobsgoodies Used - $36.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0090-09027 Vexta 2-Phase Stepping Motor PH264-01B-C11
bobsgoodies NEW - $185.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0190-13092 Kaydon 15668001 Veri Thin Bearing
bobsgoodies Used - $977.00 0 Apr/17/13 May/17/13
Description: Applied Materials 1120-01071 OPT Bifurcated BDL SPC 210/230N BICON (1 Assembly)
bobsgoodies NEW - $125.00 0 Apr/17/13 May/17/13
Description: AMAT 0140-09720 Cable Assy, WXZ Chamber Applied Materials
bobsgoodies NEW - $347.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0680-01325 CB Back Panel 54 Positions Square D W/Neutral
bobsgoodies NEW - $85.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock, New Banner SM31EL
bobsgoodies NEW - $125.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0010-01839 & 0040-02706 Sensor and Bracket AMAT 13101RS6310
bobsgoodies NEW - $35.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0150-76405 Cable Assembly New AMAT
bobsgoodies NEW - $65.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0140-75155 H/A, SMIF PLC LLA Interconnect PH, Cabel Assy
bobsgoodies NEW - $107.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
bobsgoodies Used - $1,700.00 0 Apr/17/13 May/17/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
bobsgoodies NEW - $179.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0100-35110 T/C Amp, Thermocouple Amplifier, PCB Assembly AMAT
bobsgoodies NEW - $517.00 0 Apr/17/13 May/17/13
Description: Applied Materials 0190-23123 T/C HTR RF Short Thread Marchi JDSM-1096 14931300
bobsgoodies NEW - $727.00 0 Apr/17/13 May/17/13
Description: Cable Assembly Fiber Optic AMAT 0150-38584 Applied Materials
athomemarket Used - $89.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $63.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $6,799.99 0 Apr/17/13 Apr/24/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $199.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $6,499.99 0 Apr/17/13 Apr/24/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $199.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $4,465.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $99.99 0 Apr/17/13 May/17/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 Apr/17/13 May/17/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $142.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $212.99 0 Apr/17/13 May/17/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
svcstore Used - $449.99 0 Apr/17/13 Apr/20/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $133.99 0 Apr/17/13 Apr/20/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 Apr/17/13 Apr/20/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
sparepartssolution NEW - $1,800.00 0 Apr/17/13 Nov/03/14
Description: (AA01) AMAT APPLIED MATERIALS 0040-21289 ADAPTER 16" CHAM DURASOURCE TTN NEW
svcstore Used - $65.99 0 Apr/17/13 Apr/20/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
visionsemi Used - $89.99 0 Apr/18/13 Apr/25/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20441
visionsemi Used - $89.99 0 Apr/18/13 Apr/25/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20440
actechinc Scrap, for parts - $85.00 0 Apr/18/13 Apr/23/13
Description: Applied Materials 0010-70386 Stand Alone VGA Monitor Base & 0850-90043 Monitor
athomemarket NEW - $252.99 0 Apr/18/13 May/18/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
bring_recycling NEW - $15.00 0 Apr/18/13 Apr/25/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $499.99 0 Apr/18/13 Apr/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semiguy2596 Used - $0.99 0 Apr/19/13 Apr/24/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 NEW - $0.99 0 Apr/19/13 Apr/24/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin
it_equipment_xpress Refurbished - $220.40 0 Apr/19/13 May/01/13
Description: Applied Materials 0190-07502 Powersupply Board
adam_tech3 NEW - $525.00 2 Apr/19/13 Feb/18/14
Description: Applied Materials Inficon Universal Pirani Gauge 0190-26769 3.8E-10 1125 Torr
electronicswest NEW - $100.00 0 Apr/19/13 Apr/19/13
Description: Applied Materials AMAT 0040-77799 P1 Retaining Ring Composite
athomemarket NEW - $105.99 0 Apr/19/13 May/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $315.99 0 Apr/19/13 May/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $115.99 0 Apr/19/13 May/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $290.99 0 Apr/19/13 May/19/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $194.99 0 Apr/19/13 May/19/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $330.99 0 Apr/19/13 May/19/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket Refurbished - $216.99 0 Apr/19/13 May/19/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
svcstore Used - $154.99 0 Apr/19/13 Apr/22/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
brewskibabe-2008 NEW - $200.00 0 Apr/20/13 Apr/23/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
yayais2012 Used - $220.00 0 Apr/20/13 May/20/13
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
athomemarket NEW - $314.99 0 Apr/20/13 May/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
svcstore Used - $449.99 0 Apr/20/13 Apr/23/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $98.99 0 Apr/20/13 Apr/23/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $133.99 0 Apr/20/13 Apr/23/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $65.99 0 Apr/20/13 Apr/23/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
supertechshop Used - $199.00 0 Apr/21/13 May/21/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Apr/21/13 May/21/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
athomemarket NEW - $111.99 0 Apr/21/13 May/21/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $616.99 0 Apr/21/13 May/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $392.99 0 Apr/21/13 May/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
svcstore NEW - $499.99 0 Apr/21/13 Apr/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
bobsgoodies Used - $37.00 0 Apr/22/13 May/17/13
Description: Applied Materials 0150-76156 Cable Assy Insertion Flow Sensor Common Mainframe
bobsgoodies Used - $297.00 0 Apr/22/13 May/17/13
Description: AMAT Applied Materials 0020-20340 Slit Door With "O" ring.
bobsgoodies NEW - $27.00 0 Apr/22/13 May/17/13
Description: Applied Materials 0140-75232 Harness DNET Interconnect, Pump Interface Dnet plug
ptb-sales Refurbished - $250.00 0 Apr/22/13 May/02/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $3,000.00 0 Apr/22/13 May/02/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Apr/22/13 May/02/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Apr/22/13 May/02/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales NEW - $460.00 0 Apr/22/13 May/02/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Scrap, for parts - $5,000.00 0 Apr/22/13 May/02/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $6,300.00 0 Apr/22/13 May/02/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $750.00 0 Apr/22/13 May/02/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
waste-not-recycling Used - $24.99 0 Apr/22/13 May/22/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
athomemarket Used - $5,499.99 0 Apr/22/13 Apr/29/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
athomemarket Used - $2,599.99 0 Apr/22/13 Apr/29/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,199.99 0 Apr/22/13 Apr/29/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $86.99 0 Apr/22/13 May/22/13
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
svcstore Used - $154.99 0 Apr/22/13 Apr/25/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $122.99 0 Apr/22/13 Apr/25/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $23.99 0 Apr/22/13 Apr/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
bobsgoodies NEW - $187.00 0 Apr/23/13 May/17/13
Description: Applied Materials 0040-03746 Bellows Weldment 300mm Lift Assembly HPDCVD
brewskibabe-2008 NEW - $200.00 0 Apr/23/13 Apr/26/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
bobsgoodies NEW - $60.00 0 Apr/23/13 May/17/13
Description: APPLIED MATERIALS 3060-01641 BRG BALL .25 ID X .50 OD X.19 W SST 440C (Lot of 4)
bobsgoodies NEW - $195.00 0 Apr/23/13 May/17/13
Description: Applied Materials 0040-07606 View Port Dome 2-3/4 CFF 250W SZ BESC
bobsgoodies NEW - $697.00 0 Apr/23/13 May/17/13
Description: Applied Materials 0050-34671 MANIFOLD, TTF SUPPLY COMMON M/F
bobsgoodies Used - $1,200.00 0 Apr/23/13 May/17/13
Description: Applied Materials 0010-13272 HP Robot Driver (One Drive Motor assy) HP+
bobsgoodies Used - $1,200.00 0 Apr/23/13 May/17/13
Description: Applied Materials 0010-01711 HP Robot Driver (One Drive Motor assy) HP+
athomemarket NEW - $112.99 0 Apr/23/13 May/23/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $73.99 0 Apr/23/13 May/23/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $269.99 0 Apr/23/13 May/23/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
athomemarket NEW - $1,699.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $312.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $514.99 0 Apr/23/13 May/23/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $3,999.99 0 Apr/23/13 May/23/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $449.99 0 Apr/23/13 Apr/26/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $98.99 0 Apr/23/13 Apr/26/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $133.99 0 Apr/23/13 Apr/26/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ggrassetrecovery Used - $150.00 0 Apr/24/13 May/04/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
electronicswest NEW - $75.00 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0040-77799 P1 Retaining Ring Composite
tripledirect Used - $8,100.00 0 Apr/24/13 May/24/13
Description: Applied Materials Magnet Model # 0010-21810
athomemarket NEW - $5,799.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,499.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $4,999.99 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,799.99 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $4,199.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $239.99 0 Apr/24/13 May/24/13
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket Used - $819.99 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,899.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Used - $4,699.99 0 Apr/24/13 May/24/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Scrap, for parts - $3,499.99 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
svcstore Used - $65.99 0 Apr/24/13 Apr/27/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
sellyoursurplus Used - $750.00 0 Apr/24/13 May/01/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus NEW - $995.00 0 Apr/24/13 May/01/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus NEW - $250.00 0 Apr/24/13 May/01/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $350.00 0 Apr/24/13 May/01/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $95.00 0 Apr/24/13 May/01/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $250.00 0 Apr/24/13 May/01/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus Used - $350.00 0 Apr/24/13 May/01/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus NEW - $95.00 0 Apr/24/13 May/01/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus Used - $95.00 0 Apr/24/13 May/01/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $115.00 0 Apr/24/13 May/01/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $89.00 0 Apr/24/13 May/01/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
svcstore NEW - $499.99 0 Apr/24/13 Apr/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semiguy2596 Used - $0.99 1 Apr/25/13 May/02/13
Description: NO RESERVE!!! APPLIED MATERIALS 0050-09955 WELDMET TUBE INSERT
semiguy2596 Used - $0.99 0 Apr/25/13 May/02/13
Description: NO RESERVE!!! Applied Materials 0150-09240 CABLE ASSY, RF GENERATOR AMAT
semiguy2596 Used - $0.99 0 Apr/25/13 May/02/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 NEW - $0.99 0 Apr/25/13 May/02/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin
kinneycorp Used - $365.87 0 Apr/25/13 May/05/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
athomemarket NEW - $1,699.99 0 Apr/25/13 May/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
waste-not-recycling Used - $49.99 0 Apr/25/13 May/25/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
athomemarket Used - $424.99 0 Apr/25/13 May/02/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
semiconductorsolution NEW - $385.00 0 Apr/25/13 May/25/13
Description: AMAT Applied Materials 1140-01202 Power Supply Display MDX-L Advanced Engergy
athomemarket NEW - $1,799.99 0 Apr/25/13 May/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
supertechshop NEW - $299.00 0 Apr/25/13 May/25/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $122.99 0 Apr/25/13 Apr/28/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
semiguy2596 Refurbished - $0.99 0 Apr/25/13 May/02/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin lot of 6
semiguy2596 NEW - $0.99 0 Apr/25/13 May/02/13
Description: Applied Materials 0150-20112 CABLE ASSY, EMO GENERATOR 1/2 INT AMAT
sellyoursurplus NEW - $125.00 0 Apr/25/13 May/02/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus Used - $100.00 0 Apr/25/13 May/02/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $99.00 0 Apr/25/13 May/02/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
semiguy2596 NEW - $0.99 0 Apr/25/13 May/02/13
Description: APPLIED MATERIALS AMAT 0150-09880 CABLE ESC CONTROLLER CH. D
bring_recycling NEW - $3.99 0 Apr/25/13 May/02/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore Used - $23.99 0 Apr/25/13 Apr/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
sparepartssolution Used - $99.99 0 Apr/26/13 Sep/02/15
Description: (AA01) AMAT APPLIED MATERIALS 0020-26691 HTHU LIFT FINGER NEW WORKING
sparepartssolution Refurbished - $3,999.99 1 Apr/26/13 May/20/13
Description: (HD01) AMAT APPLIED MATERIALS 0010-30686 RF MATCH OVERHAULED INCLUDE TEST SHEET
swliquidators Used - $2,000.00 0 Apr/26/13 May/03/13
Description: applied materials base e standard cathode part no. 0040-09005
trees_for_a_better_tomorrow NEW - $129.50 1 Apr/26/13 Aug/12/13
Description: New Applied Materials AMAT Wasco Vacuum Pressure Switch 0190-35221 rev002
athomemarket Used - $289.99 0 Apr/26/13 May/26/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
ptb-sales NEW - $780.00 0 Apr/26/13 May/06/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $6,499.99 0 Apr/26/13 May/26/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,799.99 0 Apr/26/13 May/26/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $392.99 0 Apr/26/13 May/26/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $505.99 0 Apr/26/13 May/26/13
Description: Applied Materials 0190-35198 Optical Sensor Cable Assy.
athomemarket Refurbished - $1,399.99 1 Apr/26/13 May/03/13
Description: REFURB Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
brewskibabe-2008 NEW - $200.00 0 Apr/26/13 Apr/29/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
athomemarket NEW - $69.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $112.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
neilan1987 NEW - $149.99 0 Apr/26/13 May/03/13
Description: GRANVILLE-PHLLIPS Convectron Gauge Applied Materials AMAT 275592 3310-00313 NEW
svcstore Used - $449.99 0 Apr/26/13 Apr/29/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $133.99 0 Apr/26/13 Apr/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 Apr/26/13 Apr/29/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
j316gallery Used - $3,500.00 0 Apr/27/13 Jul/21/21
Description: 5396 APPLIED MATERIALS MINI-CONTROLLER PRECISION 5000 0010-76036
esprprts NEW - $50.00 2 Apr/27/13 Apr/28/13
Description: NEW, Applied Materials, AMAT 0040-20048 - FEEDTHRU LAMP
esprprts NEW - $7.00 2 Apr/27/13 Jun/26/13
Description: NEW, Applied Materials, AMAT 0190-13035 - LAMP, BAKEOUT with lugs
svcstore Used - $65.99 0 Apr/27/13 Apr/30/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Apr/27/13 Apr/30/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore NEW - $499.99 0 Apr/27/13 Apr/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
industrial_loot Used - $80.00 0 Apr/28/13 May/28/13
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
athomemarket NEW - $523.99 0 Apr/28/13 May/28/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore Used - $122.99 0 Apr/28/13 May/01/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $23.99 0 Apr/28/13 May/01/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
sparepartssolution NEW - $140.00 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-20784 TC HOLDER NEW
sparepartssolution NEW - $50.00 5 Apr/29/13 Aug/12/13
Description: (125-0204) AMAT APPLIED MATERIALS 0020-20666 8" LIFTER THERMAL INSULATOR NEW
sparepartssolution NEW - $600.00 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0010-20138 ASSY TC AMP HSNG NEW
sparepartssolution NEW - $3,499.99 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0010-00889 ASSY LIFTER DEGAS/ORIENTER W/GI NEW
sparepartssolution NEW - $499.99 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-22387 8"HOOP WITH TC NEW
sparepartssolution NEW - $40.00 1 Apr/29/13 Apr/28/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-22361 COVER TC AMP BOARD NEW
sparepartssolution Used - $999.99 0 Apr/29/13 Sep/02/15
Description: (127-0201) AMAT APPLIED MATERIALS 0010-35511 PID CONTROLLER EXCLUDE CABLE USED
sparepartssolution NEW - $249.99 0 Apr/29/13 Sep/02/15
Description: (127-0601) AMAT APPLIED MATERIALS 0040-22487 FINGER EXTENDED LIFT HOOP 8" B1 NEW
sparepartssolution NEW - $199.99 0 Apr/29/13 Apr/20/15
Description: (127-0601) AMAT APPLIED MATERIALS 0021-20073 COVER, DC UPPER FLAME RETARDANT NEW
sparepartssolution Used - $1,999.99 0 Apr/29/13 Feb/03/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-35302 DPS LAMP DRIVER USED
sparepartssolution NEW - $99.99 0 Apr/29/13 Sep/02/15
Description: (127-0601) AMAT APPLIED MATERIALS 0020-24839 SPACER, HTHU LOWER LIFT NEW
sparepartssolution Used - $1,399.99 0 Apr/29/13 Apr/20/15
Description: (127-0201) AMAT APPLIED MATERIALS 1210-02865 RES DUMMY LOAD 1.5K USED WORKING
sparepartssolution NEW - $99.99 0 Apr/29/13 Sep/02/15
Description: (126-0102) AMAT APPLIED MATERIALS 3350-01007 DISC QUARTZ GND&POLISH 1-3/4 NEW
sparepartssolution NEW - $349.99 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-23594 PLATE, 8" CCD VACUUM SEAL REVI NEW
sparepartssolution NEW - $249.99 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-22414 8 CHUCK, TC NEW
athomemarket Used - $409.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
redlinemerch NEW - $850.00 1 Apr/29/13 Sep/17/13
Description: AMAT Cathode kit OX/MLR/NIT 100-150mm 0240-10725 Applied Materials
athomemarket Refurbished - $129.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-25570 Blade Assembly (Refurbished)
athomemarket Scrap, for parts - $109.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,199.99 0 Apr/29/13 May/06/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $462.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,117.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $161.99 0 Apr/29/13 May/06/13
Description: NEW Applied Materials AMAT 0010-25570 Blade Assembly
athomemarket Used - $1,199.99 0 Apr/29/13 May/06/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
gesemiconductor Used - $289.00 0 Apr/29/13 Jan/04/18
Description: Applied Materials 0200-35335 Ceramic Capture Ring (DPS Metal)
supertechshop NEW - $299.00 0 Apr/29/13 May/29/13
Description: Applied Materials CPCI CompactPCI Single Board Computer SBC 3U AMAT 0190-05611
svcstore Used - $133.99 0 Apr/29/13 May/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 Apr/29/13 May/02/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
redlinemerch NEW - $145.00 0 Apr/30/13 Sep/27/13
Description: AMAT Magic Left indexer housing 0020-23028 Applied Materials
neilan1987 Used - $125.00 0 Apr/30/13 May/04/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
liquidationbazar NEW - $999.00 0 Apr/30/13 May/30/13
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
bobsgoodies NEW - $87.57 0 Apr/30/13 May/17/13
Description: AMAT 1310-01029 TC ASSY INJCT-VALVE 1/16 D Applied Materials
athomemarket Used - $3,299.99 0 Apr/30/13 May/07/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
visionsemi Used - $484.99 0 Apr/30/13 Sep/27/13
Description: APPLIED MATERIALS 0500-01139 SCR POWER CONTROLLER CONTROL CONCEPTS 2028B-1007
athomemarket Used - $414.99 0 Apr/30/13 May/07/13
Description: Applied Materials AMAT 0010-30406 Dual Spring-Loaded Throttle Valve Assembly
athomemarket NEW - $1,199.99 0 Apr/30/13 May/30/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $229.99 2 Apr/30/13 May/07/13
Description: Applied Materials AMAT 0190-09472 DCVD Endpoint Detector Assembly
athomemarket Used - $2,599.99 0 Apr/30/13 May/30/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket Used - $109.99 0 Apr/30/13 May/07/13
Description: Applied Materials AMAT 0010-15100 DPN Pneumatic SMC Manifold DPS Cathode Assy.
athomemarket Used - $5,499.99 0 Apr/30/13 May/30/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
svcstore Used - $65.99 0 Apr/30/13 May/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Apr/30/13 May/03/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
silicon_valley_tek Used - $399.00 0 Apr/30/13 May/10/13
Description: APPLIED MATERIALS 1140-00394 power supply module HF10-729
svcstore Used - $449.99 0 Apr/30/13 May/03/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
semiguy2596 NEW - $1.50 0 May/01/13 May/08/13
Description: 3690-03713 applied materials dps esc screws
dr.fantom Used - $2,500.00 1 May/01/13 Sep/18/13
Description: Applied Materials Motion CTRN BOX CPI-VMO 300MM - 0190-25030 0190-13990
zuse81 Used - $1,200.00 0 May/01/13 Jun/30/13
Description: SEKIDENKO 2000 APPLIED MATERIALS 0190-03007 WAFER TEMPERATURE MONITOR 4 CHANNEL
bobsgoodies NEW - $117.00 0 May/01/13 May/17/13
Description: AMAT 0100-09047 Ozonator Power Supply Board Applied Materials
oka1298 NEW - $4.99 0 May/01/13 Aug/29/13
Description: APPLIED MATERIALS 3880-01330 WSHR STANDARD 3/8" ID X 1.0 OD SST ( LOT OF 4)
oka1298 NEW - $6.99 0 May/01/13 Aug/29/13
Description: APPLIED MATERIALS 3690-01956 SCRCAP SKT HD 10-24X7/8L HEX SKTS (X5)
svcstore NEW - $499.99 0 May/01/13 May/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sparepartssolution Used - $2,799.99 1 May/01/13 Jul/01/13
Description: (117-0501) AMAT APPLIED MATERIALS 0010-70254 STANDARD HEATER USED WORKING
svcstore Used - $122.99 0 May/01/13 May/04/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $23.99 0 May/01/13 May/04/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
sparepartssolution Used - $9,999.99 0 May/02/13 Aug/20/13
Description: (HA01) AMAT APPLIED MATERIALS 0010-11997 0040-36180 TXZ HEATER USED CLEANED
dr.fantom Used - $299.00 0 May/02/13 Aug/30/13
Description: APPLIED MATERIALS 691-000134-001 PNEUMATIC VALVE PCB
sparepartssolution NEW - $450.00 0 May/02/13 Dec/04/14
Description: (112-0201) AMAT APPLIED MATERIALS 0150-76461 CABLE, 55 FT RF COAXIAL USED WORKIN
logansemi Used - $385.00 0 May/02/13 Dec/15/15
Description: AMAT Applied Materials Remote Wiring Didtribution BD, Assy 0100-09126
semiguy2596 Used - $0.99 0 May/02/13 May/09/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 Used - $0.99 0 May/02/13 May/09/13
Description: NO RESERVE!!! Applied Materials 0150-09240 CABLE ASSY, RF GENERATOR AMAT
semiguy2596 NEW - $0.99 0 May/02/13 May/09/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin
semiguy2596 Used - $0.99 0 May/02/13 May/09/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 NEW - $180.00 0 May/02/13 Jun/01/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin
visionsemi NEW - $499.00 0 May/02/13 May/09/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
bobsgoodies NEW - $185.00 0 May/02/13 May/17/13
Description: Applied Materials 0870-01021 DC/DC Speed Control
visionsemi Used - $399.99 0 May/02/13 May/09/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
athomemarket NEW - $211.99 0 May/02/13 May/09/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket Used - $4,999.99 0 May/02/13 Jun/01/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,799.99 0 May/02/13 Jun/01/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket Used - $420.99 0 May/02/13 Jun/01/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
athomemarket NEW - $5,899.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 May/02/13 Jun/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $5,899.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,499.99 0 May/02/13 Jun/01/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $5,499.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $4,199.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $819.99 0 May/02/13 Jun/01/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,799.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
bjm522 Used - $249.99 0 May/02/13 May/09/13
Description: Applied Materials Inficon 0190-26328 Universal Pirani Gauge
bring_recycling NEW - $3.99 0 May/02/13 May/09/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
semiguy2596 Refurbished - $0.99 0 May/02/13 May/09/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin lot of 6
svcstore Used - $133.99 0 May/02/13 May/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 May/02/13 May/05/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
redlinemerch NEW - $65.00 1 May/03/13 May/08/13
Description: AMAT 0150-75041 pump/dryvac connector wiring cable applied materials
redlinemerch NEW - $45.00 1 May/03/13 Jun/14/13
Description: AMAT 0150-10409 pump connector wiring cable applied materials
esprprts NEW - $15.00 2 May/03/13 May/30/13
Description: APPLIED MATERIALS 0620-01978 CABLE ASSY CONVECTRON CONTROL 11 FT
electronicswest NEW - $25.00 0 May/03/13 May/10/13
Description: Applied Materials AMAT 0040-77799 P1 Retaining Ring Composite
visionsemi Used - $499.00 0 May/03/13 May/08/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
visionsemi Used - $39.99 0 May/03/13 May/08/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
visionsemi Used - $49.99 0 May/03/13 May/08/13
Description: AMAT APPLIED MATERIALS 0190-35420 BOX PURGE HTF CHAMBER EPI
visionsemi Used - $599.00 0 May/03/13 May/08/13
Description: Applied Materials AMAT Comdel CPS-500 RF Generator 0190-13320 CPS500
visionsemi Used - $14.99 0 May/03/13 May/08/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
automatethis NEW - $2,177.00 0 May/03/13 Jun/27/13
Description: SCR Power Controller Applied Materials 0190-35634 Heater Driver Drive UL Listed
visionsemi Used - $199.99 1 May/03/13 May/20/13
Description: AMAT APPLIED MATERIALS 0020-20587 MACHINED SLIT COVER INSERT
visionsemi Used - $199.99 0 May/03/13 May/08/13
Description: APPLIED MATERIALS AMAT 0021-10223 LINER MXP CHAMBER
athomemarket NEW - $232.99 0 May/03/13 May/10/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $61.99 0 May/03/13 May/10/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $131.99 0 May/03/13 May/10/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
ptb-sales Scrap, for parts - $5,000.00 0 May/03/13 May/13/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales NEW - $460.00 0 May/03/13 May/13/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Refurbished - $250.00 0 May/03/13 May/13/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Refurbished - $250.00 0 May/03/13 May/13/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 May/03/13 May/13/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $6,300.00 0 May/03/13 May/13/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $3,000.00 0 May/03/13 May/13/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 May/03/13 May/13/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket NEW - $1,699.99 0 May/03/13 Jun/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,799.99 0 May/03/13 Jun/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket Used - $424.99 0 May/03/13 Jun/02/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $199.99 0 May/03/13 Jun/02/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $154.99 0 May/03/13 May/06/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $65.99 0 May/03/13 May/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
supertechshop Used - $499.00 0 May/03/13 Jun/02/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 May/03/13 Jun/02/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $149.00 0 May/03/13 Jun/02/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop NEW - $399.00 0 May/03/13 Jun/02/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop Used - $99.99 0 May/03/13 Jun/02/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $199.00 0 May/03/13 Jun/02/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 May/03/13 Jun/02/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
supertechshop NEW - $995.00 0 May/03/13 Jun/02/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 May/03/13 Jun/02/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $499.00 0 May/03/13 Jun/02/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $299.00 0 May/03/13 Jun/02/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $274.99 0 May/03/13 Jun/02/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $499.00 1 May/03/13 May/17/13
Description: NEW AMAT Digital Input / Output Board 0100-20003 Digital I/O Applied Materials
supertechshop NEW - $399.00 0 May/03/13 Jun/02/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 May/03/13 Jun/02/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 May/03/13 Jun/02/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
svcstore Used - $449.99 0 May/03/13 May/06/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
athomemarket NEW - $339.99 0 May/06/13 Jun/05/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $163.99 0 May/06/13 May/13/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $162.99 0 May/06/13 May/13/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $112.99 0 May/06/13 May/13/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $261.99 0 May/06/13 May/13/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
svcstore Used - $133.99 0 May/05/13 May/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 May/05/13 May/08/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket Used - $891.99 0 May/05/13 Jun/04/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
swliquidators Used - $2,000.00 0 May/05/13 May/12/13
Description: applied materials base e standard cathode part no. 0040-09005
athomemarket NEW - $99.99 0 May/05/13 Jun/04/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $75.99 0 May/05/13 Jun/04/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $767.99 0 May/05/13 Jun/04/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Used - $755.99 0 May/05/13 Jun/04/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Refurbished - $153.99 0 May/05/13 Jun/04/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $340.99 0 May/05/13 Jun/04/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket NEW - $77.99 0 May/05/13 Jun/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
svcstore Used - $23.99 0 May/04/13 May/07/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $122.99 0 May/04/13 May/07/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 May/04/13 May/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $209.99 0 May/04/13 Jun/03/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
used1eqsales Used - $1,205.13 1 May/06/13 Oct/13/15
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis Rev. C Used Working
ptb-sales Used - $780.00 0 May/06/13 May/16/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore Used - $65.99 0 May/06/13 May/09/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 May/06/13 May/09/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
yayais2012 Used - $115.00 0 May/06/13 Jun/05/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
yayais2012 Used - $89.00 0 May/06/13 Jun/05/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $320.00 0 May/06/13 Jun/05/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
yayais2012 Used - $320.00 0 May/06/13 Jun/05/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $320.00 0 May/06/13 Jun/05/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 NEW - $15.00 0 May/06/13 Jun/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $850.00 0 May/06/13 Jun/05/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $220.00 0 May/06/13 Jun/05/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $850.00 0 May/06/13 Jun/05/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
brewskibabe-2008 NEW - $200.00 0 May/06/13 May/09/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
svcstore Used - $449.99 0 May/06/13 May/09/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
it_equipment_xpress Refurbished - $220.40 0 May/07/13 May/23/13
Description: Applied Materials 0190-07502 Powersupply Board
usedeqsales Scrap, for parts - $35,003.10 0 May/07/13 May/08/13
Description: AMAT Applied Materials ENDURA 300mm Chamber Assembly 0010-04662
bobsgoodies NEW - $375.00 0 May/07/13 May/17/13
Description: Applied Materials 3870-01791 Valve Pneumatic Diaphragm Dual Air-Act 1/4VCR W/S
athomemarket NEW - $1,117.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $409.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket Refurbished - $109.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket NEW - $413.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $875.60 0 May/07/13 Jun/06/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
waste-not-recycling Used - $99.99 0 May/07/13 May/14/13
Description: Applied Materials 8300 N, P/N 0010-00298 Rev E System Controller AC Distrib Assy
supertechshop NEW - $699.00 0 May/07/13 Jun/06/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $199.00 0 May/07/13 Jun/06/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $99.00 0 May/07/13 Jun/06/13
Description: NEW AMAT Chamber Incert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $299.00 0 May/07/13 Jun/06/13
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop Used - $99.99 0 May/07/13 Jun/06/13
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
athomemarket Used - $3,999.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,299.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
bobsgoodies NEW - $25.00 0 May/07/13 May/17/13
Description: Applied Materials 0190-00052 Elastomer (Lot of 5)
bobsgoodies Used - $110.00 0 May/07/13 May/17/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
bobsgoodies Used - $97.70 0 May/07/13 May/17/13
Description: Applied Materials 0090-20108 Assy,Snesor Cassette AMAT Banner Mini-Beam SM312LV
bobsgoodies NEW - $347.00 0 May/07/13 May/17/13
Description: Cable Assembly Fiber Optic 8.5" AMAT 0190-09134 Applied Materials
bobsgoodies NEW - $55.00 0 May/07/13 May/17/13
Description: Applied Materials 0040-76083 SH4-63 Quick Coupler X 3/4" Tube Stub SS Coupling
bobsgoodies NEW - $98.00 0 May/07/13 May/17/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies NEW - $220.00 0 May/07/13 May/17/13
Description: Applied Materials 0225-33858 Valve Angle Manual Viton Seal Nor-Cal ESVP-1002-NWB
bobsgoodies NEW - $55.00 0 May/07/13 May/17/13
Description: Applied Materials 0040-76084 SSH4-62 Quick Coupler X 3/4" Tube Stub SS Coupling
bobsgoodies NEW - $399.00 0 May/07/13 May/17/13
Description: Applied Materials 0224-44071 Thornton 770 PC Process Ccontoller
athomemarket NEW - $1,199.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $462.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Refurbished - $129.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-25570 Blade Assembly (Refurbished)
athomemarket NEW - $161.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0010-25570 Blade Assembly
athomemarket Scrap, for parts - $109.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,199.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
svcstore NEW - $499.99 0 May/07/13 May/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $122.99 0 May/07/13 May/10/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $23.99 0 May/07/13 May/10/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
tdindustrial Used - $395.00 2 May/08/13 Feb/17/16
Description: AMAT Applied Materials Centura Power Supply 0020-41218, 0010-18173 P1
capitolareatech NEW - $1,250.00 0 May/08/13 Sep/05/13
Description: APPLIED MATERIALS (AMAT) 0021-77072 SHIELD CROSS BOTTOM,DNC,COVER, CROSS SHIELD
capitolareatech NEW - $7,750.00 0 May/08/13 Sep/05/13
Description: APPLIED MATERIALS (AMAT) 0040-32463 RING, MAGNET, UPPER
capitolareatech NEW - $562.50 0 May/08/13 Sep/30/14
Description: APPLIED MATERIALS (AMAT) 0040-09918 BASE, CONNECTOR, HEATER
capitolareatech NEW - $262.50 0 May/08/13 Sep/18/14
Description: APPLIED MATERIALS (AMAT) 0020-31572 PLATE BLOCKER 8" WSI,LID, 200MM
capitolareatech NEW - $16.50 10 May/08/13 Sep/26/13
Description: APPLIED MATERIALS (AMAT) 3700-01455 O-RING, GAS PASSAGE KAL
capitolareatech NEW - $1,520.00 0 May/08/13 Sep/05/13
Description: Applied Materials (AMAT) 0670-01006 CELL OZONE ABSORB 400G/CU-M CHMRZ ORING
capitolareatech NEW - $115.00 0 May/08/13 Sep/05/13
Description: APPLIED MATERIALS (AMAT) 0020-04074 WINDOW, DYNASIL 1000,2.50X2.10X.197THICK
capitolareatech NEW - $61.50 0 May/08/13 Sep/30/14
Description: APPLIED MATERIALS (AMAT) 0020-31304 INSERT, NI, OUTER, 200MM POLY, 218MM NI
capitolareatech NEW - $1.00 0 May/08/13 Sep/05/13
Description: APPLIED MATERIALS (AMAT) 3790-01606 STDF M/F 1/4HEX 8-32 X 3/8THDXL SST
smtechkoreacom NEW - $135.00 5 Oct/18/12 May/07/13
Description: Applied Materials 0190-13175 Light Pen AMAT
usedeqsales Scrap, for parts - $1,254.06 1 Aug/31/12 May/07/13
Description: AMAT Applied Materials 0010-21748 RF Match as is
bobsgoodies NEW - $350.00 3 Apr/12/13 May/07/13
Description: Applied Materials 0010-77763 Dual Pneumatic Robot Wafer Assembly, MIRRA CMP
sparepartssolution NEW - $99.99 1 Apr/27/12 May/06/13
Description: (AA01) AMAT APPLIED MATERIALS 0200-18053 GUIDE, LIFT PIN NEW
acesemi2010 NEW - $25.00 1 Mar/28/12 May/06/13
Description: Applied Materials 0021-39991 INTERPOSER, WRIST, 300MM PRODUCER, ROBOT AMAT
athomemarket NEW - $162.99 0 May/08/13 May/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
waste-not-recycling Used - $259.99 0 May/08/13 Jun/07/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
athomemarket Used - $414.99 2 May/08/13 May/21/13
Description: Applied Materials AMAT 0010-30406 Dual Spring-Loaded Throttle Valve Assembly
athomemarket Used - $3,299.99 0 May/08/13 Jun/07/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
fleckstrade Scrap, for parts - $99.95 0 May/08/13 Sep/05/13
Description: Applied Materials 0020-13047 WAFER ALUMINUM CALIBRATION DISC PCB
fleckstrade Scrap, for parts - $1,999.95 0 May/08/13 Sep/05/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
svcstore Used - $133.99 0 May/08/13 May/11/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 May/08/13 May/11/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ggrassetrecovery Used - $150.00 0 May/09/13 May/19/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
arizindo Used - $109.00 0 May/09/13 May/23/16
Description: Applied Materials AMAT 0010-09022 Slit Valve Assy
arizindo Used - $595.00 0 May/09/13 May/23/16
Description: Applied Materials AMAT 0010-00685 Pneumatic Assy
athomemarket NEW - $958.99 0 May/09/13 Jun/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $115.99 0 May/09/13 Jun/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $406.99 0 May/09/13 Jun/08/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
csi.usa Used - $750.00 2 May/09/13 May/13/13
Description: APPLIED MATERIALS P/N 0010-70162 ACTUATOR SLIT VALVE ASSY.
svcstore Used - $65.99 0 May/09/13 May/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
bring_recycling NEW - $3.99 0 May/09/13 May/16/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
semiguy2596 Refurbished - $0.99 0 May/09/13 May/12/13
Description: APPLIED MATERIALS 0010-39650 dps 5200centura esc lift pin lot of 6
svcstore Used - $154.99 0 May/09/13 May/12/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
bobsgoodies NEW - $95.00 0 May/10/13 May/17/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
bobsgoodies NEW - $10.00 0 May/10/13 May/17/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
bobsgoodies Scrap, for parts - $25.00 0 May/10/13 May/17/13
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C
bobsgoodies Used - $75.00 0 May/10/13 May/17/13
Description: Applied Materials RF Match 0021-07582, 0021-07580, 0140-01175 Assembly
bobsgoodies NEW - $52.25 0 May/10/13 May/17/13
Description: Banner fiber optic cable assembly BT13S Applied Materials 0620-01194 Cable Assy
bobsgoodies Used - $99.00 0 May/10/13 May/17/13
Description: Applied Materials 0150-20160 Cable Assembly EMO Interconnect AMAT
bobsgoodies NEW - $1,675.00 0 May/10/13 May/17/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
bobsgoodies NEW - $147.00 0 May/10/13 May/17/13
Description: GEMS, 183454 Flow Switch .8 GPM Applied Materials 0190-00653
bobsgoodies Used - $327.00 0 May/10/13 May/17/13
Description: Cable Assembly Fiber Optic AMAT 0040-00457 Applied Materials
bobsgoodies NEW - $177.00 0 May/10/13 May/16/13
Description: Applied Materials 0150-36020 Water Flow Switch3/8" Hose Proteus 100SS24, .4 GPM
bjm522 Used - $100.00 0 May/10/13 May/17/13
Description: Applied Materials Inficon 0190-26328 Universal Pirani Gauge
surpluskobay Used - $825.00 0 May/10/13 Jun/09/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
csi.usa Used - $1,000.00 0 May/10/13 May/13/13
Description: APPLIED MATERIALS P/N 0010-70162 ACTUATOR SLIT VALVE ASSY.(1 new, 1 used)
athomemarket NEW - $211.99 0 May/10/13 Jun/09/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
surpluskobay Used - $875.00 0 May/10/13 Jun/09/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
athomemarket Used - $628.99 0 May/10/13 Jun/09/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
athomemarket Used - $816.99 0 May/10/13 Jun/09/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
athomemarket Refurbished - $112.99 0 May/10/13 Jun/09/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $211.99 0 May/10/13 Jun/09/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
svcstore NEW - $499.99 0 May/10/13 May/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $449.99 0 May/10/13 May/13/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
brewskibabe-2008 NEW - $125.00 0 May/10/13 May/13/13
Description: Applied Materials 0050-37815 TUBING, COOLANT LINE AMAT
svcstore Used - $122.99 0 May/10/13 May/13/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $23.99 0 May/10/13 May/13/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
bobsgoodies NEW - $42.00 0 May/13/13 Jun/07/13
Description: Applied Materials 0021-90515 Boss Cover Plate for 0240-91157
bobsgoodies NEW - $199.00 0 May/13/13 Jun/07/13
Description: Applied Materials 0120-92712 Mains Sense 0100-90032 0110-90749
bobsgoodies NEW - $375.00 0 May/13/13 Jun/07/13
Description: Applied Materials 0020-05068 Assy, Switch/Valve,Parker FWC Valve 0010-02475
bobsgoodies NEW - $899.00 0 May/13/13 Jun/07/13
Description: Applied Materials 0090-77106 Assy RTD Electronic Flow Meter / 0090-77123
supertechshop NEW - $99.99 0 May/13/13 Jun/12/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop Used - $299.00 0 May/13/13 Jun/12/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 May/13/13 Jun/12/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $199.00 0 May/13/13 Jun/12/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svcstore Used - $449.99 0 May/13/13 May/16/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
visionsemi Used - $399.99 0 May/15/13 May/22/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi Used - $39.99 0 May/15/13 May/20/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
swliquidators Used - $2,800.00 1 May/15/13 Dec/12/13
Description: applied materials base e standard cathode part no. 0040-09005
yayais2012 NEW - $170.00 0 May/16/13 Jun/15/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $380.00 0 May/16/13 Jun/15/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore Used - $449.99 0 May/16/13 May/19/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $122.99 0 May/16/13 May/19/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $122.99 0 May/16/13 May/19/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bring_recycling NEW - $3.99 0 May/16/13 May/23/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore Used - $60.99 0 May/16/13 May/19/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $90.99 0 May/16/13 May/19/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket NEW - $162.99 0 May/16/13 Jun/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
athomemarket Refurbished - $2,547.99 0 May/16/13 Jun/15/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $520.99 0 May/16/13 Jun/15/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $21.99 0 May/16/13 Jun/15/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
athomemarket NEW - $109.99 0 May/16/13 Jun/15/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
bobsgoodies NEW - $137.00 0 May/16/13 Jun/15/13
Description: Applied Materials 0090-20188 Water Flow Switch 3/8" Hose Proteus 150B24
bobsgoodies NEW - $137.00 0 May/16/13 Jun/15/13
Description: Applied Materials 0190-35288 Water Flow Switch 3/8" Hose Proteus 9100SS2 .5 GPM
bobsgoodies NEW - $137.00 0 May/16/13 Jun/15/13
Description: Applied Materials 0190-35437 Water Flow Switch 3/8" Hose Proteus9108B24P .4 GPM
bobsgoodies NEW - $137.00 0 May/16/13 Jun/15/13
Description: Applied Materials 0150-36020 Water Flow Switch3/8" Hose Proteus 100SS24, .4 GPM
kinneycorp Used - $355.77 0 May/16/13 May/26/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
bobsgoodies NEW - $135.00 0 May/16/13 Jun/15/13
Description: Applied Materials 0090-00415 K-Tec Flow Meter/Switch Proteus 0150SS24
bobsgoodies NEW - $157.00 0 May/16/13 Jun/15/13
Description: AMAT 0040-20433 Rev E Spool Exhaust Transfer Chamber Applied Materials RTSB30
bobsgoodies NEW - $224.00 0 May/16/13 Jun/15/13
Description: Applied Materials 0190-01255 AMAT Flow Switch Turck FCS-G1/4A4-ARX/D018
bobsgoodies NEW - $245.00 4 May/16/13 Jun/15/13
Description: Applied Materials 0190-01186 AMAT Centura Flow Switch Turck FCS-G1/4A4-ARX/D118
bobsgoodies NEW - $225.00 0 May/16/13 Jun/15/13
Description: Applied Materials 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC AMAT Rev C
yayais2012 Used - $95.00 0 May/16/13 Jun/15/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $180.00 0 May/16/13 Jun/15/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
sparepartssolution Refurbished - $3,999.99 0 May/16/13 Feb/20/14
Description: (HD01) AMAT APPLIED MATERIALS 0010-20525 RF MATCH OVERHAULED INCLUDE TEST SHEET
svcstore Used - $154.99 0 May/15/13 May/18/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket Refurbished - $109.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $4,799.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $163.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket NEW - $413.99 0 May/15/13 Jun/14/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,999.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,399.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,299.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $147.99 0 May/15/13 Jun/14/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $137.99 0 May/15/13 Jun/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
bobsgoodies NEW - $65.00 0 May/15/13 Jun/10/13
Description: APPLIED MATERIALS 0020-08772 BRACKET CLAMP
bobsgoodies NEW - $65.00 0 May/15/13 Jun/10/13
Description: APPLIED MATERIALS 0020-08545 BRACKET CLAMP
bobsgoodies NEW - $45.00 0 May/15/13 Jun/10/13
Description: APPLIED MATERIALS 0020-08544 PLATE CLAMP
bobsgoodies NEW - $68.00 0 May/15/13 Jun/10/13
Description: APPLIED MATERIALS 3400-01385 HOSE ASSY TFE/SST Braid 3/16" D X 84" FEM
bobsgoodies NEW - $58.00 0 May/15/13 Jun/10/13
Description: APPLIED MATERIALS 3400-01383 HOSE ASSY TFE/SST Braid 3/16" D X 48" FEM
bobsgoodies NEW - $117.00 0 May/15/13 Jun/10/13
Description: Applied Materials AMAT 3870-02296 VALVE 2WAY TEFLON; PARKER PV-3-1232
visionsemi NEW - $499.00 0 May/15/13 May/22/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi Used - $499.00 0 May/15/13 May/20/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
visionsemi Used - $199.99 0 May/15/13 May/20/13
Description: APPLIED MATERIALS AMAT 0021-10223 LINER MXP CHAMBER
visionsemi Used - $15.49 1 May/15/13 May/20/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
bobsgoodies NEW - $125.00 0 May/15/13 Jun/10/13
Description: Applied Materials 0090-76014 AMAT Center Finder Emitter Assembly in sealed bags
waste-not-recycling Used - $99.99 0 May/14/13 May/21/13
Description: Applied Materials 8300 N, P/N 0010-00298 Rev E System Controller AC Distrib Assy
athomemarket Used - $445.99 0 May/14/13 May/21/13
Description: Applied Materials AMAT 0010-76155 OBS Assy. P5000 DC Power Supply Precision 5000
athomemarket Used - $112.99 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $163.99 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Used - $261.99 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $162.99 0 May/14/13 Jun/13/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Refurbished - $156.99 0 May/14/13 Jun/13/13
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $313.99 0 May/14/13 Jun/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $499.99 0 May/14/13 May/21/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $86.99 0 May/14/13 May/21/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
bruce135 Used - $499.00 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
ptb-sales Used - $1,800.00 0 May/14/13 May/24/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $6,300.00 0 May/14/13 May/23/13
Description: Applied Materials PVD Magnet, AMAT # 0010-12582
ptb-sales Used - $3,000.00 0 May/14/13 May/24/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Scrap, for parts - $5,000.00 0 May/14/13 May/24/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $460.00 0 May/14/13 May/24/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $750.00 0 May/14/13 May/24/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Refurbished - $225.00 0 May/14/13 May/24/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Refurbished - $250.00 0 May/14/13 May/24/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
athomemarket NEW - $212.99 0 May/17/13 Jun/16/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
bobsgoodies NEW - $95.00 1 May/17/13 Jun/12/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
bobsgoodies NEW - $225.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0020-22412 Upper Cover, Slit Valve Bellows
bobsgoodies NEW - $677.00 0 May/17/13 Jul/09/13
Description: AMAT 0150-90317 F/O,T1,1900MM,33C.TX/33A RX Fiber Optic Cable Applied Materials
bobsgoodies NEW - $99.00 0 May/17/13 Jul/09/13
Description: AMAT 0140-01997 P17 SWLL-B INTLKS Harness Assembly Applied Materials
bobsgoodies NEW - $10.00 0 May/17/13 Jul/09/13
Description: Applied Materials Silver Plated Stainless Steel Fasteners 3690-01955 and others
bobsgoodies NEW - $175.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0150-09623 Water Flow Switch, FC-SM40-TUR
bobsgoodies Used - $75.00 0 May/17/13 Jul/09/13
Description: Applied Materials RF Match 0021-07582, 0021-07580, 0140-01175 Assembly
bobsgoodies NEW - $99.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0021-36906 Clamp, Bottom Insert, 300mm RTP
bobsgoodies NEW - $63.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0690-01563 Clamp FLG SGL-Claw NW100 AL M8 (Lot of 9)
bobsgoodies NEW - $125.00 0 May/17/13 Jul/09/13
Description: Applied Materials 1080-01196 CANNON H208G1B Gear Motor CN30-20601
bobsgoodies NEW - $1,695.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0190-09009 Phase Angle Controller, CH04060102
bobsgoodies NEW - $99.00 0 May/17/13 Jul/09/13
Description: AMAT 0140-03221 P17 SWLL-A INTLKS Harness Assembly Applied Materials
bobsgoodies Used - $2,350.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0010-05904 Throttle Valve Assy, 200mm
bobsgoodies NEW - $97.00 1 May/17/13 Jul/09/13
Description: Applied Materials 0190-35788 5-Phase Stepping Motor with cable,Vexta PK569AUA
bobsgoodies NEW - $29.00 0 May/17/13 Jul/09/13
Description: AMAT 0226-97049 Proximity Switch with connector. Applied Materials
bobsgoodies NEW - $10.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0020-79615 P2 AJX-P2-081 Wafer Finger
bobsgoodies NEW - $99.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0090-00857 Pressure Switch & Display
bobsgoodies NEW - $195.00 0 May/17/13 Jun/25/13
Description: AMAT Flow Insertion Sensor SST 3030-04879 Applied Materials Turck W/Gasket
bobsgoodies NEW - $15.75 0 May/17/13 Jul/09/13
Description: Applied Materials 0150-76993 Cable Assy, Dual Freq INT TO Panel
bobsgoodies NEW - $99.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0100-09047 Ozonator Power Supply Bd.
bobsgoodies Scrap, for parts - $125.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0040-09193 Bellows Weldment assembly Slit Valve Door, DPS2
bobsgoodies Used - $125.00 0 May/17/13 Jul/09/13
Description: Applied Materials P36W-17, Varian 04-716704-01 Pressure Switch 10 PSIG
bobsgoodies NEW - $37.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0150-37029 Mini Extender Cable K*Tec Electrioncs
bobsgoodies NEW - $225.00 0 May/17/13 Jul/09/13
Description: Applied Materials 1270-01516 Precision Sensors P17W-73 Pressure Switch 25 PSIG
bobsgoodies NEW - $28.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0150-36152 Cable assy, Display Power Jump
bobsgoodies NEW - $57.00 0 May/17/13 Jul/09/13
Description: AMAT 0040-22144 Z-Bracket, IMP MATCH RF Cable (Lot of 10) Applied Materials
bobsgoodies NEW - $185.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0190-00609 Logic Box, LL Pump Manual Start Switch
bobsgoodies NEW - $89.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0020-76426 CDS Leopold
bobsgoodies NEW - $35.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0140-35646 Harness Assy, Power Facility Coolant Interlock
bobsgoodies NEW - $45.00 0 May/17/13 Jun/29/13
Description: Applied Materials 0190-66276 Thin Ball Bearing Lube Astrol/296
bobsgoodies Used - $6,600.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0010-39646 Throttle Valve NSK AMAT
athomemarket Used - $63.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $89.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
bobsgoodies NEW - $55.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0040-76083 SH4-63 Quick Coupler X 3/4" Tube Stub SS Coupling
bobsgoodies NEW - $25.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0190-00052 Elastomer (Lot of 5)
bobsgoodies Used - $327.00 0 May/17/13 Jul/09/13
Description: Cable Assembly Fiber Optic AMAT 0040-00457 Applied Materials
bobsgoodies NEW - $52.25 0 May/17/13 Jul/09/13
Description: Banner fiber optic cable assembly BT13S Applied Materials 0620-01194 Cable Assy
bobsgoodies Used - $99.00 1 May/17/13 Jun/15/13
Description: Applied Materials 0150-20160 Cable Assembly EMO Interconnect AMAT
bobsgoodies NEW - $147.00 0 May/17/13 Jul/09/13
Description: GEMS, 183454 Flow Switch .8 GPM Applied Materials 0190-00653
bobsgoodies Used - $97.70 0 May/17/13 Jul/09/13
Description: Applied Materials 0090-20108 Assy,Snesor Cassette AMAT Banner Mini-Beam SM312LV
bobsgoodies NEW - $347.00 0 May/17/13 Jul/09/13
Description: Cable Assembly Fiber Optic 8.5" AMAT 0190-09134 Applied Materials
bobsgoodies Used - $110.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0050-10314 Vent Line, Etch Chamber AMAT
bobsgoodies NEW - $1,675.00 1 May/17/13 Jul/09/13
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
bobsgoodies NEW - $98.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies NEW - $185.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0870-01021 DC/DC Speed Control
bobsgoodies NEW - $87.57 0 May/17/13 Jul/09/13
Description: AMAT 1310-01029 TC ASSY INJCT-VALVE 1/16 D Applied Materials
bobsgoodies NEW - $397.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0050-34671 MANIFOLD, TTF SUPPLY COMMON M/F
bobsgoodies Used - $297.00 0 May/17/13 Jul/09/13
Description: AMAT Applied Materials 0020-20340 Slit Door With "O" ring.
bobsgoodies NEW - $55.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0040-76084 SSH4-62 Quick Coupler X 3/4" Tube Stub SS Coupling
bobsgoodies NEW - $60.00 0 May/17/13 Jul/09/13
Description: APPLIED MATERIALS 3060-01641 BRG BALL .25 ID X .50 OD X.19 W SST 440C (Lot of 4)
bobsgoodies Used - $1,200.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0010-01711 HP Robot Driver,One Drive Motor assy,HP+
bobsgoodies NEW - $375.00 0 May/17/13 Jul/09/13
Description: Applied Materials 3870-01791 Valve Pneumatic Diaphragm Dual Air-Act 1/4VCR W/S
bobsgoodies Used - $1,200.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0010-13272 HP Robot Driver,One Drive Motor assy,HP+
bobsgoodies Used - $37.00 0 May/17/13 Jun/24/13
Description: Applied Materials 0150-76156 Cable Assy Insertion Flow Sensor Common Mainframe
bobsgoodies NEW - $117.00 0 May/17/13 Jun/28/13
Description: AMAT 0100-09047 Ozonator Power Supply Board Applied Materials
bobsgoodies NEW - $220.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0225-33858 Valve Angle Manual Viton Seal Nor-Cal ESVP-1002-NWB
bobsgoodies NEW - $195.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0040-03746 SS Bellows Weldment 300mm Lift Assembly HPDCVD
bobsgoodies NEW - $399.00 0 May/17/13 Jun/29/13
Description: Applied Materials 0224-44071 Thornton 770 PC Process Ccontoller
bobsgoodies NEW - $16.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0140-75232 Harness DNET Interconnect, Pump Interface Dnet plug
bobsgoodies NEW - $187.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0040-03746 Bellows Weldment 300mm Lift Assembly HPDCVD
bobsgoodies NEW - $417.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0190-49315 TC Assembly Thermocouple Assy AMAT
bobsgoodies NEW - $527.00 0 May/17/13 Jul/09/13
Description: Cable Assembly Fiber Optic AMAT 0150-38584 Applied Materials
bobsgoodies NEW - $217.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0190-09185 T/C PRSP Type K 26167-1 Thermocouple
bobsgoodies NEW - $517.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0190-35511 T/C Assy; TxZ; MCVD Marchi JDSM-1091 Thermocouple
bobsgoodies NEW - $317.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0040-61007 TC Heater Liner Marchi JDSM-1516 Thermocouple Dual
bobsgoodies Used - $18.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0020-71457 E4 Timing Pulley 11368000
bobsgoodies NEW - $107.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
bobsgoodies Used - $1,700.00 0 May/17/13 Jul/09/13
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
bobsgoodies NEW - $125.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0090-40006 Assy Receiver Loadlock Banner Mini-beam SM31RL
bobsgoodies NEW - $125.00 0 May/17/13 Jul/09/13
Description: AMAT 0140-09720 Cable Assy, WXZ Chamber Applied Materials
bobsgoodies NEW - $50.00 0 May/17/13 Jul/09/13
Description: AMAT 0690-01114 Clamp Flange Double Claw (Lot of 14) Applied Materials
bobsgoodies NEW - $4.50 0 May/17/13 Jul/09/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
bobsgoodies Used - $977.00 1 May/17/13 May/21/13
Description: Applied Materials 1120-01071 OPT Bifurcated BDL SPC 210/230N BICON (1 Assembly)
bobsgoodies NEW - $185.00 4 May/17/13 Jul/09/13
Description: Applied Materials 0190-13092 Kaydon 15668001 Veri Thin Bearing
bobsgoodies NEW - $417.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0190-09062 TC Assembly for 150mm Susceptor Thermocouple Assy
usedeqsales Used - $605.13 5 May/17/13 Jun/22/13
Description: Applied Materials 50001344-01 ConnectPort TS 16 AMAT 0190-27952 Used Working
athomemarket NEW - $99.99 0 May/17/13 Jun/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $4,465.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $6,199.99 0 May/17/13 Jun/16/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $142.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
svcstore Used - $23.99 0 May/17/13 May/20/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 May/17/13 May/20/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
disco.sue1952 Used - $399.99 0 May/18/13 Sep/27/21
Description: Applied Materials Heater, 0010-03559 New In Box.
athomemarket NEW - $252.99 0 May/18/13 Jun/17/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
svcstore Used - $154.99 1 May/18/13 May/20/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
ggrassetrecovery Used - $150.00 0 May/19/13 May/26/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
bjm522 Used - $100.00 1 May/19/13 May/26/13
Description: Applied Materials Inficon 0190-26328 Universal Pirani Gauge
athomemarket Used - $290.99 0 May/19/13 Jun/18/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $194.99 0 May/19/13 Jun/18/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $330.99 0 May/19/13 Jun/18/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket Refurbished - $216.99 0 May/19/13 Jun/18/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
svcstore Used - $90.99 0 May/19/13 May/22/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $449.99 0 May/19/13 May/22/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $60.99 0 May/19/13 May/22/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $122.99 0 May/19/13 May/22/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
yayais2012 Used - $220.00 0 May/20/13 Jun/19/13
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
visionsemi Used - $1,700.00 0 May/20/13 Oct/23/13
Description: Applied Materials AMAT Comdel CPS-500 RF Generator 0190-13320 CPS500
bobsgoodies NEW - $397.00 0 May/20/13 Jul/09/13
Description: Applied Materials 0040-35882 MANIFOLD, TTF SUPPLY SSH4-62 Swagelok 1/2" Quick C
usedeqsales NEW - $205.13 0 May/20/13 May/01/15
Description: Applied Materials 3300-06002 Flex Coupling 4" Lot of 2 New
usedeqsales Used - $405.13 0 May/20/13 May/05/15
Description: Applied Materials 0190-16375 Nano-Change MPIS DeviceNet Rev. 002 Lot of 2 Used
athomemarket NEW - $105.99 0 May/20/13 Jun/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 May/20/13 Jun/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $315.99 0 May/20/13 Jun/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
ptb-sales Used - $780.00 0 May/20/13 May/30/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore NEW - $499.99 0 May/20/13 May/23/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
joseph3kd4 Scrap, for parts - $151.50 1 May/20/13 May/23/13
Description: AMAT Applied Materials Throttle Valve 0010-09174
svcstore Used - $122.99 0 May/20/13 May/23/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bobsgoodies NEW - $125.00 6 May/21/13 Jul/09/13
Description: Applied Materials 0090-76015 AMAT Center Finder Receiver Assy SM31RLMHS Banner
bobsgoodies NEW - $977.00 0 May/21/13 Jun/20/13
Description: AMAT 0190-09299 Cable Bifurcated Fiber Optic 15 FT WLD Applied Materials
usedeqsales Used - $505.13 10 May/21/13 Dec/18/14
Description: Applied Materials P1166 Zero Firing Variable Time Base SCR Power 0190-09764 Used
bobsgoodies Used - $577.00 0 May/21/13 Jun/20/13
Description: AMAT 0190-09299 Cable Bifurcated Fiber Optic 15 FT WLD Applied Materials
bobsgoodies NEW - $67.00 0 May/21/13 Jun/20/13
Description: Applied Materials 0090-40011 Assy, Banner S18SN6L and Angle Bracket Range 2M
athomemarket NEW - $314.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $1,216.99 0 May/21/13 Jun/20/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $916.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $567.99 0 May/21/13 Jun/20/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $111.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
svcstore Used - $21.99 0 May/21/13 May/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
sparepartssolution Refurbished - $3,500.00 1 May/22/13 Sep/10/13
Description: (HD01) AMAT APPLIED MATERIALS 0010-30686 RF MATCH OVERHAULED INCLUDE TEST SHEET
waste-not-recycling Used - $99.99 0 May/22/13 Jun/21/13
Description: Applied Materials 8300 N, P/N 0010-00298 Rev E System Controller AC Distrib Assy
ocean-tech Used - $649.99 0 May/22/13 Jun/21/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
athomemarket Used - $445.99 0 May/22/13 Jun/21/13
Description: Applied Materials AMAT 0010-76155 OBS Assy. P5000 DC Power Supply Precision 5000
athomemarket NEW - $616.99 0 May/22/13 Jun/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $392.99 0 May/22/13 Jun/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
waste-not-recycling Used - $19.99 0 May/22/13 Jun/13/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
athomemarket NEW - $499.99 0 May/22/13 Jun/21/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $86.99 0 May/22/13 Jun/21/13
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
athomemarket NEW - $86.99 0 May/22/13 Jun/21/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
svcstore Used - $449.99 0 May/22/13 May/25/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
helixtek NEW - $260.00 0 May/22/13 Dec/21/13
Description: Applied materials Mirra cmp, barden precision bearing, duplex spindle 0190-14833
svcstore Used - $60.99 0 May/22/13 May/25/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $90.99 0 May/22/13 May/25/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
helixtek NEW - $1,000.00 0 May/22/13 Dec/21/13
Description: Applied materials Mirra cmp Polisher, spindle bearing housing 0020-79039
supertechshop Used - $299.00 0 May/22/13 Jun/21/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
supertechshop Used - $199.00 0 May/22/13 Jun/21/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
svcstore Used - $122.99 0 May/22/13 May/25/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
swliquidators Used - $500.00 0 May/23/13 May/30/13
Description: applied materials assembly kit no. 0242-70781
swliquidators Used - $1,253.47 0 May/23/13 May/30/13
Description: applied materials 0100-76181 wiring distribution board
swliquidators Used - $500.00 0 May/23/13 May/30/13
Description: applied materials assembly kit no. 0240-31575
swliquidators Used - $500.00 0 May/23/13 May/30/13
Description: applied materials insulating flange 0020-31375
swliquidators Used - $525.00 0 May/23/13 May/30/13
Description: applied materials insulating pipe quartz 0020-48171
visionsemi Used - $600.00 0 May/23/13 Sep/20/13
Description: AMAT APPLIED MATERIALS 0020-09122 LOAD LOCK L/L CHAMBER BODY WPI
ptb-sales Used - $1,500.00 0 May/23/13 Jun/02/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
visionsemi Used - $0.99 1 May/23/13 May/30/13
Description: AMAT APPLIED MATERIALS NORCAL ISOLATION VALVE 3 WAY 3870-01212
visionsemi NEW - $69.99 0 May/23/13 May/30/13
Description: AMAT APPLIED MATERIALS K-TEC ELECTRONICS HEATER CABLE ASSY K TEC 0150-09797
visionsemi Used - $199.00 0 May/23/13 May/30/13
Description: AMAT APPLIED MATERIALS XR80 0090-91414 SPIN SCAN CONTROL CHASSIS
athomemarket Used - $73.99 0 May/23/13 Jun/22/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $112.99 0 May/23/13 Jun/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $269.99 0 May/23/13 Jun/22/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
visionsemi Used - $399.99 0 May/23/13 May/30/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
athomemarket NEW - $1,699.99 0 May/23/13 Jun/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 May/23/13 Jun/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket Used - $514.99 0 May/23/13 Jun/22/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $3,999.99 0 May/23/13 Jun/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore NEW - $499.99 0 May/23/13 May/26/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $122.99 0 May/23/13 May/26/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bring_recycling NEW - $3.99 0 May/23/13 May/30/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
supertechshop NEW - $24.99 0 May/23/13 Jun/22/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
j316gallery Used - $6,016.94 0 May/24/13 Sep/19/22
Description: 4127 APPLIED MATERIALS ASSEMBLY MINI LP-3 MAGNET , 0021-04527 0010-01198
bobsgoodies NEW - $425.00 0 May/24/13 Jul/09/13
Description: Applied Materials 0050-27999 Supply & Return Manifold 1/2" Tube Swagelok 316 SS
bobsgoodies NEW - $225.00 0 May/24/13 Jun/23/13
Description: Applied Materials 0010-75302 ASSY, WAFER SENSOR LOW PROFILE TC AMAT
ptb-sales Used - $1,800.00 0 May/24/13 Jun/03/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $225.00 0 May/24/13 Jun/03/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 May/24/13 Jun/03/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $250.00 0 May/24/13 Jun/03/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $750.00 0 May/24/13 Jun/03/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $460.00 0 May/24/13 Jun/03/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $3,000.00 0 May/24/13 Jun/03/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $312.99 0 May/24/13 Jun/23/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $239.99 0 May/24/13 Jun/23/13
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket Used - $4,699.99 0 May/24/13 Jun/23/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
svcstore Used - $21.99 0 May/24/13 May/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
jojoequip NEW - $700.00 0 May/25/13 Jul/17/13
Description: APPLIED MATERIALS ASYST 0242-36357 SMIF LPT2200 INTERLOCK ELECTRICAL KIT - NEW
jojoequip NEW - $250.00 0 May/24/13 Jul/17/13
Description: APPLIED MATERIALS AMAT 0090-00154 PENDANT AND CABLE - NEW
svcstore Used - $449.99 0 May/25/13 May/28/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $60.99 0 May/25/13 May/28/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $90.99 0 May/25/13 May/28/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ggrassetrecovery Used - $150.00 0 May/26/13 Jun/02/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
athomemarket Used - $289.99 0 May/26/13 Jun/25/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
athomemarket Used - $6,499.99 0 May/26/13 Jun/25/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,799.99 0 May/26/13 Jun/25/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $392.99 0 May/26/13 Jun/25/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $505.99 1 May/26/13 Jun/13/13
Description: Applied Materials 0190-35198 Optical Sensor Cable Assy.
athomemarket NEW - $69.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $112.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $199.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
waste-not-recycling Used - $24.99 0 May/26/13 May/31/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
svcstore Used - $122.99 0 May/26/13 May/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 May/26/13 May/29/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $122.99 0 May/26/13 May/29/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
chriswebber16 NEW - $799.99 0 May/27/13 Jun/26/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
svcstore Used - $21.99 0 May/27/13 May/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
bobsgoodies Used - $425.00 1 May/28/13 May/30/13
Description: Applied Materials 0010-38027 BWCVD Throttle Valve Assy,100, 125 & 150M
usedeqsales NEW - $505.13 0 May/28/13 May/05/15
Description: Applied Materials 3060-00275 Vinton Sealed Ball Bearings Lot of 16 New
usedeqsales NEW - $1,502.13 1 May/28/13 Jun/17/13
Description: AMAT Applied Materials 0100-01321 Digital I/O Board new
athomemarket NEW - $523.99 0 May/28/13 Jun/27/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
usedeqsales NEW - $305.13 1 May/28/13 Oct/12/13
Description: Applied Materials 3300-02155 Tee Union Flange 3T-NW-25B Lot of 4 New
trees_for_a_better_tomorrow Used - $1,197.00 1 May/28/13 Jun/20/13
Description: TXZ Drive Assembly 5000 5200 5500 CVD 0010-36521 AMAT Applied Materials
trees_for_a_better_tomorrow Used - $398.75 1 May/28/13 Aug/17/15
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
svcstore Used - $90.99 0 May/28/13 May/31/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $60.99 0 May/28/13 May/31/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
industrial_loot Used - $80.00 0 May/29/13 Jun/28/13
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
bobsgoodies NEW - $185.00 0 May/29/13 Jul/09/13
Description: Applied Materials 0040-41728 Supply & Return Manifold 1/2" Tube Swagelok 316 SS
usedeqsales NEW - $355.13 0 May/29/13 Dec/04/14
Description: AMAT Applied Materials 0240-17388 Asst Dual RF/IF Tag Reader Kit New
used1eqsales Used - $2,005.13 1 May/29/13 Apr/22/16
Description: AMAT Applied Materials 0190-01769 Endura Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 1 May/29/13 Apr/22/16
Description: AMAT Applied Materials 0190-05576 Endura Mainframe Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 1 May/29/13 May/23/17
Description: AMAT Applied Materials 0190-02032 Endura RF Cable Rev. 006 Used Working
gesemiconductor Used - $10,500.00 1 May/29/13 Nov/29/16
Description: Applied Materials 0020-33806 DPS+ Poly Upper Chamber Body
bobsgoodies NEW - $87.50 0 May/29/13 Jun/28/13
Description: Applied Materials 0620-01185 Cable Assy Temp Sensing 300MM LG PT100 L-H TM
gesemiconductor Used - $10,500.00 0 May/29/13 Feb/16/15
Description: Applied Materials 0021-01421 DPS Metal Upper Chamber Body
gesemiconductor Used - $8,500.00 2 May/29/13 Jul/03/13
Description: Applied Materials 0040-02544 DPS Metal Upper Chamber Body
svcstore NEW - $499.99 0 May/29/13 Jun/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $122.99 0 May/29/13 Jun/01/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop NEW - $99.00 0 May/29/13 Jun/28/13
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop NEW - $299.00 0 May/29/13 Jun/28/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $122.99 0 May/29/13 Jun/01/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
trees_for_a_better_tomorrow Used - $500.00 0 May/29/13 Jun/05/13
Description: TXZ Drive Assembly 5000 5200 5500 CVD 0010-36521 AMAT Applied Materials
supertechshop NEW - $199.00 0 May/29/13 Jun/28/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
svcstore Used - $449.99 0 May/29/13 Jun/01/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
electronicswest NEW - $25.00 0 May/30/13 Jun/06/13
Description: Applied Materials AMAT 0040-77799 P1 Retaining Ring Composite
bobsgoodies Used - $225.00 0 May/30/13 Jul/09/13
Description: Applied Materials 0010-09120 HEAT SINK AND MOTOR ASSEMBLY, THROTTLE DRIVE
tripledirect Used - $8,100.00 0 May/30/13 Jun/29/13
Description: Applied Materials Magnet Model # 0010-21810
athomemarket Used - $2,599.99 0 May/30/13 Jun/29/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,199.99 0 May/30/13 Jun/29/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore Used - $21.99 0 May/30/13 Jun/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
bring_recycling NEW - $3.99 0 May/30/13 Jun/06/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
liquidationbazar NEW - $999.00 0 May/31/13 Jun/30/13
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
ptb-sales Used - $780.00 0 May/31/13 Jun/10/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $5,499.99 0 May/31/13 Jun/30/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
trees_for_a_better_tomorrow NEW - $250.00 0 Jun/01/13 Jun/08/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
trees_for_a_better_tomorrow Used - $350.00 0 Jun/01/13 Jun/08/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
svcstore Used - $449.99 0 Jun/01/13 Jun/04/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $122.99 0 Jun/01/13 Jun/04/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Jun/01/13 Jun/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $122.99 0 Jun/01/13 Jun/04/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
trees_for_a_better_tomorrow Used - $100.00 0 Jun/01/13 Jun/08/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
athomemarket NEW - $4,199.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $819.99 0 Jun/01/13 Jul/01/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,799.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,999.99 0 Jun/01/13 Jul/01/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $399.99 0 Jun/01/13 Jul/01/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
athomemarket Scrap, for parts - $3,799.99 0 Jun/01/13 Jul/01/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 Jun/01/13 Jul/01/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
waste-not-recycling Used - $9.99 0 Jun/01/13 Jun/06/13
Description: AMAT Analog Power Supply 0010-00019 Rev C, Applied Materials We pulled ourselves
sparepartssolution NEW - $29.99 6 Jun/01/13 Sep/02/15
Description: (AA01) AMAT APPLIED MATERIALS 0020-23093 WASHER INSULATOR FOR PVD LIFTER NEW
svcstore Used - $60.99 0 May/31/13 Jun/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $90.99 0 May/31/13 Jun/03/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
swliquidators Used - $500.00 0 Jun/02/13 Jun/09/13
Description: applied materials assembly kit no. 0240-31575
swliquidators Used - $525.00 0 Jun/02/13 Jun/09/13
Description: applied materials insulating pipe quartz 0020-48171
swliquidators Used - $1,253.47 0 Jun/02/13 Jun/09/13
Description: applied materials 0100-76181 wiring distribution board
swliquidators Used - $500.00 0 Jun/02/13 Jun/09/13
Description: applied materials assembly kit no. 0242-70781
swliquidators Used - $500.00 0 Jun/02/13 Jun/09/13
Description: applied materials insulating flange 0020-31375
athomemarket NEW - $5,499.99 0 Jun/02/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Scrap, for parts - $3,499.99 0 Jun/02/13 Jul/02/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $1,699.99 0 Jun/02/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,799.99 0 Jun/02/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket Used - $424.99 0 Jun/02/13 Jul/02/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
svcstore Used - $21.99 0 Jun/02/13 Jun/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
ggrassetrecovery Used - $150.00 0 Jun/03/13 Jun/10/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
bobsgoodies Used - $155.00 0 Jun/03/13 Jul/09/13
Description: Applied Materials 0010-09022 SLIT VALVE ASSEMBLY
bobsgoodies Used - $299.00 0 Jun/03/13 Jul/09/13
Description: Applied Materials 0240-76507 SLIT VALVE OPERATOR S 513 Chemraz 5000 Cleanroom
bobsgoodies Used - $299.00 0 Jun/03/13 Jul/09/13
Description: Applied Materials 0010-70162 SLIT VALVE ACTUATOR 5000 Cleanroom
athomemarket NEW - $199.99 0 Jun/03/13 Jul/03/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $209.99 0 Jun/03/13 Jul/03/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
pete-sigep Used - $475.00 0 Jun/03/13 Jul/03/13
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
svcstore Used - $90.99 0 Jun/03/13 Jun/06/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $60.99 0 Jun/03/13 Jun/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
redlinemerch NEW - $255.00 1 Jun/04/13 Sep/12/13
Description: AMAT applied materials 0200-00038 Quartz CLAMP RING 100MM RIGID 3/16 Thick Oxide
redlinemerch NEW - $175.00 1 Jun/04/13 Feb/07/14
Description: AMAT applied materials 3" x 1/2" round Quartz grd & polish disk 3350-01009 5000
visionsemi Used - $199.99 0 Jun/04/13 Oct/02/13
Description: AMAT APPLIED MATERIALS 0020-20587 MACHINED SLIT COVER INSERT
bobsgoodies NEW - $179.00 0 Jun/04/13 Jul/09/13
Description: AMAT 0190-70103 Monitor LightPen Interconnect Board Assembly Applied Materials
bobsgoodies NEW - $56.00 0 Jun/04/13 Jul/09/13
Description: Applied Materials 0100-09085 LVDT Voltage Regulator Board Assembly
bobsgoodies NEW - $9.50 0 Jun/04/13 Jul/09/13
Description: Applied Materials 0226-47964 Connector Water Temp K-Type Thermocouple
athomemarket Used - $72.99 0 Jun/04/13 Jun/11/13
Description: Applied Materials AMAT 0150-76646 Centura Controller 75' Interconnect EMO Cable
athomemarket NEW - $99.99 0 Jun/04/13 Jul/04/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $767.99 0 Jun/04/13 Jul/04/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket NEW - $75.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Refurbished - $153.99 0 Jun/04/13 Jul/04/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $755.99 0 Jun/04/13 Jul/04/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Used - $299.99 0 Jun/04/13 Jul/04/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket NEW - $77.99 0 Jun/04/13 Jul/04/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
svcstore Used - $122.99 0 Jun/04/13 Jun/07/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop Used - $149.00 0 Jun/04/13 Jul/04/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop NEW - $399.00 0 Jun/04/13 Jul/04/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $995.00 0 Jun/04/13 Jul/04/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Jun/04/13 Jul/04/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $199.00 0 Jun/04/13 Jul/04/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $499.00 0 Jun/04/13 Jul/04/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop Used - $99.99 0 Jun/04/13 Jul/04/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop NEW - $299.00 0 Jun/04/13 Jul/04/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $199.00 0 Jun/04/13 Jul/04/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
supertechshop Used - $274.99 0 Jun/04/13 Jul/04/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $399.00 0 Jun/04/13 Jul/04/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $399.00 0 Jun/04/13 Jul/04/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $699.00 0 Jun/04/13 Jul/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $299.00 1 Jun/04/13 Jun/05/13
Description: Applied Materials CPCI CompactPCI Single Board Computer SBC 3U AMAT 0190-05611
svcstore NEW - $499.99 0 Jun/04/13 Jun/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop Used - $499.00 0 Jun/04/13 Jul/04/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Jun/04/13 Jul/04/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
svcstore Used - $449.99 0 Jun/04/13 Jun/07/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $122.99 0 Jun/04/13 Jun/07/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
megawavz Used - $395.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Arc Linear Board 0120-90758
megawavz Used - $495.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT ARC Heatsink Module 0100-90095
megawavz Used - $295.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Motor Potentiometer Unit Board 0100-90052
megawavz Used - $205.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Temperature Servo Board 0120-90418
megawavz Used - $395.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Ion Gauge Power Supply 0100-90093
ptb-sales Used - $3,000.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,800.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $250.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $225.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $750.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $460.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Scrap, for parts - $5,000.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $1,500.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
megawavz Used - $795.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Temperature Controller w/ 0090-90145, 0090-90194 Plug-ins
athomemarket Used - $891.99 0 Jun/05/13 Jul/05/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
athomemarket NEW - $162.99 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT 0010-10954 Stainless Steel 8" Assembly JMF Blade SST
athomemarket NEW - $339.99 0 Jun/05/13 Jul/05/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
kingprecision NEW - $465.00 0 Jun/05/13 Sep/03/13
Description: Applied Materials 0190-35511 T/C Assy; TxZ; Thermocouple
kingprecision NEW - $525.00 1 Jun/05/13 Aug/22/13
Description: Applied Materials 0150-76028 O/D TC Degas Unified Wire
svcstore Used - $21.99 0 Jun/05/13 Jun/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 Used - $115.00 8 Jun/05/13 Jun/13/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
yayais2012 Used - $89.00 0 Jun/05/13 Jul/05/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $320.00 0 Jun/05/13 Jul/05/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
yayais2012 Used - $320.00 0 Jun/05/13 Jul/05/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $320.00 0 Jun/05/13 Jul/05/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 Used - $850.00 0 Jun/05/13 Jul/05/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $15.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $220.00 0 Jun/05/13 Jul/05/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $850.00 0 Jun/05/13 Jul/05/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
marc-star NEW - $349.00 0 Jun/06/13 Aug/30/14
Description: Applied Materials AMAT DIP294-2 DeviceNet I/O 9090-00273
usedeqsales Used - $7,506.13 0 Jun/06/13 Sep/04/13
Description: Applied Materials 0195-10797 3 Phase Transformer Square D EE225T1755H Used
athomemarket NEW - $413.99 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $875.60 0 Jun/06/13 Jul/06/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket Scrap, for parts - $109.99 0 Jun/06/13 Jul/06/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $161.99 2 Jun/06/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-25570 Blade Assembly
athomemarket Used - $1,199.99 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,199.99 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,117.99 0 Jun/06/13 Jul/06/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $409.99 0 Jun/06/13 Jul/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
supertechshop NEW - $699.00 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $99.00 0 Jun/06/13 Jul/06/13
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Jun/06/13 Jul/06/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop Used - $99.99 0 Jun/06/13 Jul/06/13
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 Jun/06/13 Jul/06/13
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
partskorea1 Used - $2,999.00 0 Jun/06/13 Jun/09/13
Description: Applied Materials AMAT 0010-09416 RF MATCH PHASE IV P5000
svcstore Used - $60.99 0 Jun/06/13 Jun/09/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
bring_recycling NEW - $3.99 0 Jun/06/13 Jun/13/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore Used - $90.99 0 Jun/06/13 Jun/09/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
bobsgoodies NEW - $875.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 3400-01118 Hose Pump Cryo Flex Helium Str-M/Elbw-F 1/2" ID
bobsgoodies NEW - $145.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 3620-01188 PUMP FTG UNION CRYO HELIUM LINE FEM/FEM AMAT
bobsgoodies NEW - $225.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-77765 Assy, Load Cup, Pneumatic
bobsgoodies NEW - $99.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0140-36699 Cable Assembly.
bobsgoodies NEW - $112.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0190-21317 Texturing fixture 8" Backing Pad
bobsgoodies NEW - $185.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-30580 Hose Assy, DI Water Return with Quick Disconnect
bobsgoodies NEW - $89.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 3300-03064 Fitting coupling Close VCR Female 1/2", Tube SST
bobsgoodies Used - $525.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-98306 AIT Appllied Implant Technology Tilt Actuator Hood
bobsgoodies NEW - $349.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0021-20721 DISK 6" B101 SHUTTER VEC TI 2-10968000-430 Rev:A
bobsgoodies NEW - $125.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1270-90147 Pressure Switch D51 805803
bobsgoodies NEW - $125.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 3140-01035 Bearing Ball Bushing 1.0" ID X 1.56" OD X 2.250" L
bobsgoodies NEW - $165.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-20283 Assy Flow Switch Chem Tec LPH-250-8A
bobsgoodies NEW - $97.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0190-35442 P1, Flow Switch 3/8" Hose Proteus 9100SS24P15
bobsgoodies NEW - $67.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0040-40137 Line Water Cooling Rooftop 3/8" 15.5"
bobsgoodies NEW - $42.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0021-90515 Boss Cover Plate for 0240-91157
bobsgoodies NEW - $32.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0190-77128 Ball Bearing 20MM ID X 37MM OD
bobsgoodies Used - $175.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 3870-01352 Manual Operated Angle Valve MDC 996035
bobsgoodies NEW - $22.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0020-27118 Extension Pin, PVD Degas, Opwn Interloc
bobsgoodies NEW - $39.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0140-78232 Harness, DNet Interconnect, Pump Interface
bobsgoodies NEW - $225.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 3870-01161 Isolation Valve, Nor-Cal Vacuum Valve
bobsgoodies NEW - $88.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0190-18277 Parker 5732-6 Hose Assy. W/Couplers
bobsgoodies NEW - $250.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1270-90350 Safedge Switch 520MM Long AMAT
bobsgoodies NEW - $145.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0015-00101 Stepping Motor, Modified Slo-Syn M061-LS-546E 1.25V
bobsgoodies NEW - $85.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0140-20219 Harness Assy, Mag Lamp Current Sense AMAT
bobsgoodies NEW - $899.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-77096 Assy RTD Electronics AMAT
bobsgoodies NEW - $135.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0140-78208 Robot Cable Assembly
bobsgoodies NEW - $199.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0120-92712 Mains Sense 0100-90032 0110-90749
bobsgoodies NEW - $179.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-76231 SMC ISE4-T1-25 PRESSURE SWITCH
bobsgoodies NEW - $59.00 1 Jun/07/13 Jul/08/13
Description: Applied Materials 1360-01100 Transfomer Power 120/240 V Primary 24 V Sec 100VA
bobsgoodies Used - $35.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0150-37195 Cable Assembly, Bias Current RF Match
bobsgoodies Used - $399.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-20932 Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $69.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0150-36084 Cable Assembly, Over-Temp Switch TXZ MCVD
bobsgoodies NEW - $69.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0150-10044 Thermocouple Cable Assy, K*Tec Electronics
bobsgoodies Used - $877.00 0 Jun/07/13 Jul/09/13
Description: AMAT 0190-09299 Cable Bifurcated Fiber Optic 15 FT WLD Applied Materials
bobsgoodies NEW - $45.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0910-01115 Fast Acting Class T JJN-15 Fuse (Lot of 5)
bobsgoodies NEW - $115.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies NEW - $125.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0150-37311 Cabel Assembly, J Lamp Driver D
bobsgoodies Used - $75.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1080-01142 Motor Chopper Assy Series H 82334.5 CW Crouzet
bobsgoodies NEW - $325.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 1310-00017 T/C, ROOF TOP Dual Circuit, Marchi SDSM-1617
bobsgoodies NEW - $125.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0050-21186 Gasline Heater Bypass H2O Chamber
bobsgoodies NEW - $20.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0021-03006 Stainless Steel Mount
bobsgoodies NEW - $29.50 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $115.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-76220 Pneumatic Assembly SMC VFR Pilot Valves
bobsgoodies NEW - $19.00 1 Jun/07/13 Jul/09/13
Description: Applied Materials 1270-03056 Switch Thermal SPST 68 C / 154.4 F Manual-Reset
bobsgoodies NEW - $85.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0140-20694 Harness Assy PVD Dhutter Sensors
bobsgoodies NEW - $45.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0027-05924 FAC Manifold Thermocouple
bobsgoodies NEW - $80.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0150-10314 Cable Assy. SMC D-F79
bobsgoodies NEW - $15.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-00427 Switch Assembly C&K 7101SD9ABE switch Amp connector
bobsgoodies NEW - $44.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 3060-01616 Ball Bearing, MIRRA CMP
bobsgoodies NEW - $135.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0021-79660 P1 SHP01 Aluminum Cover
bobsgoodies Used - $68.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies NEW - $97.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0040-03508 Feedthrough Upper SWLL, 300MM (Assy: 0242-09885)
bobsgoodies NEW - $67.75 0 Jun/07/13 Jun/29/13
Description: Applied Materials 3060-01783 Thrust Bearing Set, New - unused, Barden 101H
bobsgoodies NEW - $155.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-77764 Assy, Water Separator, Parker 08F48AC
bobsgoodies NEW - $135.00 37 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-13018 Control Flow Monitor Assy.
bobsgoodies NEW - $1,250.00 0 Jun/07/13 Jun/26/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
bobsgoodies NEW - $99.00 0 Jun/07/13 Jun/29/13
Description: APPLIED MATERIALS 0100-20071 PCB ASSY, 15V NONITOR
bobsgoodies NEW - $39.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0200-55508 Support, 1600 PVD Module Rev 3, (Lot of 3)
bobsgoodies NEW - $125.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-16022 PA Assy Sensor Source Cuttler Hammer 11100R6517
bobsgoodies Used - $135.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
bobsgoodies NEW - $29.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 3060-01116 Ball Bearing .500 ID X .750 OD X .156 W.
bobsgoodies NEW - $99.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 4020-01318 Sporlan Refrigeration Filter Housing Type C-484-P
bobsgoodies NEW - $45.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0040-76419 Block, Sensor, Weldment
bobsgoodies NEW - $23.50 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0150-36316 Wire Harness
bobsgoodies Used - $725.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0190-09534 Phasetronics Single Phase Lamp Driver
bobsgoodies NEW - $625.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (Box of 10)
bobsgoodies NEW - $153.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1270-01707 EFECTOR SCM12ABAFPKG/US Flow Monitor
bobsgoodies NEW - $97.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0190-35168 Switch, Flow 3/8" Proteus 9100B24P14
bobsgoodies NEW - $35.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0150-20038 Cable Assy, AI/O Contorller Interconnect 326815-DC
bobsgoodies NEW - $899.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-77106 Assy RTD Electronic Flow Meter / 0090-77123
bobsgoodies NEW - $99.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-09027 Vexta 2-Phase Stepping Motor PH264-01B-C11
bobsgoodies NEW - $15.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1010-50117 Fuji Electric AH165-2SZ M1 Command Indicatror Light
bobsgoodies Used - $45.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-40005 Assembly, Emitter Loadlock Banner SM31EL
bobsgoodies NEW - $165.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0226-97975 Hose Assy ChA. Supply to Cathode 3/8" Hose 102" lg.
bobsgoodies Used - $175.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-00071 2.25" Stepping Motor Assembly, Atmosphere
bobsgoodies Used - $399.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0010-76431 Cass Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $99.00 0 Jun/07/13 Jul/09/13
Description: AMAT 0140-01988 MP1 Mainframe I/O Assy Cable Applied Materials
bobsgoodies NEW - $135.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0040-05998 SS Shaft Approx 3/4" Daimeter 20 3/8" long
bobsgoodies Used - $599.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-10033 Wafer Lift Ceramic Hoop Precision 5000
bobsgoodies Used - $117.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1720-01623 E36W-H35 Vacuum Switch 1/4" VCR
bobsgoodies Used - $525.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0500-01139 SCR Power Controller 2028B-1007 108 VAC
bobsgoodies NEW - $265.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 3870-02286 Manual Isolation Valve, Nor-Cal Vacuum Valve
bobsgoodies NEW - $35.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0021-01482 A Holder, Tupe Mntg, Opt 1122428-001b (Lot of 10)
bobsgoodies NEW - $160.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines
bobsgoodies NEW - $19.50 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0140-10071 Harness, Switch Interlock Filter Box
bobsgoodies NEW - $65.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0140-02425 Harness Assembly
bobsgoodies NEW - $160.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0020-20626 Block, Mounting, Shut-Off Valve. (3 bags of 2)
bobsgoodies NEW - $65.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0050-31367 1/4" VCR Weldment New
bobsgoodies NEW - $177.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0140-76835 Harness C/D Bundle, TEOS Interlock
bobsgoodies NEW - $88.00 0 Jun/07/13 Jun/28/13
Description: Applied Materials 0190-18083 Parker 5732-8 Hose Assy.W/Couplers BH4-60 BH4-61
bobsgoodies Used - $145.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1270-01701 Precision Sensors E36W-H42 Pressure Switch
bobsgoodies Scrap, for parts - $350.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0200-35116 Susceptor, 150mm SGL Carobn Group
bobsgoodies NEW - $165.00 2 Jun/07/13 Jun/24/13
Description: Applied Materials 1080-01114 Gear Motor RB-35GM-CA35-H114 Autotrol Corp.
bobsgoodies NEW - $125.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0150-09110 Roots Vacuum Switch Set"75 Torr Close Decreasing
visionsemi Used - $39.99 0 Jun/07/13 Oct/05/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
visionsemi Used - $399.99 0 Jun/07/13 Oct/05/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
bobsgoodies NEW - $575.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0020-05068 Assy, Switch/Valve,Parker FWC Valve 0010-02475
visionsemi Used - $499.00 1 Jun/07/13 Jun/26/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
visionsemi Used - $1,300.00 0 Jun/07/13 Sep/05/13
Description: AMAT APPLIED MATERIALS XR80 0090-91414 SPIN SCAN CONTROL CHASSIS
visionsemi NEW - $499.00 0 Jun/07/13 Sep/05/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi Used - $300.00 0 Jun/07/13 Oct/01/13
Description: APPLIED MATERIALS AMAT 0021-10223 LINER MXP CHAMBER
athomemarket Refurbished - $462.99 0 Jun/07/13 Jul/07/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Refurbished - $129.99 0 Jun/07/13 Jul/07/13
Description: Applied Materials AMAT 0010-25570 Blade Assembly (Refurbished)
athomemarket Used - $3,299.99 0 Jun/07/13 Jul/07/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore NEW - $499.99 0 Jun/07/13 Jun/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $122.99 0 Jun/07/13 Jun/10/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $122.99 0 Jun/07/13 Jun/10/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $449.99 0 Jun/07/13 Jun/10/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
sparepartssolution NEW - $999.99 2 Jun/08/13 Sep/02/15
Description: (118-0501) AMAT APPLIED MATERIALS 0150-76028 T/C, DEGAS UNIFIED WIRE HARNES NEW
sparepartssolution NEW - $99.99 0 Jun/08/13 Sep/02/15
Description: (125-0102) AMAT APPLIED MATERIALS 0020-35188 ARM, COOLDOWN LIFTER NEW
sparepartssolution NEW - $59.99 10 Jun/08/13 Nov/18/13
Description: (125-0102) AMAT APPLIED MATERIALS 0020-20521 SPACER, INSULATOR LIFTER NEW
sparepartssolution Used - $2,999.99 0 Jun/08/13 Apr/20/15
Description: (127-0203) AMAT APPLIED MATERIALS 0010-76600 BLADE ASSY 8 THIN META USED WORKING
sparepartssolution Used - $99.99 0 Jun/08/13 Sep/02/15
Description: (118-0101) AMAT APPLIED MATERIALS 3870-01306 VERIFLO 930AOPLPNCSFSFF USED WORKIN
sparepartssolution Used - $999.99 0 Jun/08/13 Sep/02/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-22545 SPECIFICATION, 5.X FI USED WORKING
sparepartssolution Used - $499.99 0 Jun/08/13 Sep/02/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-22543 SPECIFICATION, 5.X FI USED WORKING
sparepartssolution NEW - $49.99 0 Jun/08/13 Sep/02/15
Description: (125-0102) AMAT APPLIED MATERIALS 0200-09002 WINDOW SLIT CVD CHBR NEW
sparepartssolution NEW - $1,499.99 0 Jun/08/13 Sep/02/15
Description: (125-0102) AMAT APPLIED MATERIALS 0020-35818 PLATE, COOLDOWN PEDESTAL NEW
sparepartssolution NEW - $200.00 1 Jun/08/13 Apr/21/14
Description: (125-0102) AMAT APPLIED MATERIALS 0010-21803 ASSEMBLY, UPPER DC HOUSING W/B NEW
yayais2012 Used - $180.00 1 Jun/08/13 Jun/25/13
Description: APPLIED MATERIALS ANALOG I/O BOARD ASSY NO. 0110-01995
athomemarket NEW - $958.99 0 Jun/08/13 Jul/08/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket Used - $406.99 0 Jun/08/13 Jul/08/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $89.99 0 Jun/08/13 Jul/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $21.99 0 Jun/08/13 Jun/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $211.99 0 Jun/09/13 Jul/09/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
surpluskobay Used - $698.00 0 Jun/09/13 Jul/09/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
surpluskobay Used - $735.00 0 Jun/09/13 Jul/09/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
athomemarket Used - $628.99 1 Jun/09/13 Jun/19/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
athomemarket Refurbished - $112.99 0 Jun/09/13 Jul/09/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Used - $816.99 0 Jun/09/13 Jul/09/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
athomemarket NEW - $211.99 0 Jun/09/13 Jul/09/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
partskorea1 Used - $1,999.00 1 Jun/09/13 Mar/16/15
Description: Applied Materials AMAT 0010-09416 RF MATCH PHASE IV P5000
svcstore Used - $90.99 0 Jun/09/13 Jun/12/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $60.99 0 Jun/09/13 Jun/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
ggrassetrecovery Used - $99.00 0 Jun/10/13 Jun/17/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
bobsgoodies NEW - $65.00 0 Jun/10/13 Jul/09/13
Description: APPLIED MATERIALS 0020-08772 BRACKET CLAMP
bobsgoodies NEW - $65.00 0 Jun/10/13 Jul/09/13
Description: APPLIED MATERIALS 0020-08545 BRACKET CLAMP
capitolareatech NEW - $750.00 0 Jun/10/13 Oct/03/14
Description: APPLIED MATERIALS (AMAT) 0021-77092 MOUNT-DRIVE MOTOR
capitolareatech NEW - $750.00 0 Jun/10/13 Oct/03/14
Description: APPLIED MATERIALS (AMAT) 0050-10247 WELDM'T,H2 FINAL,POS D,WXZ
capitolareatech NEW - $700.00 0 Jun/10/13 Sep/08/13
Description: APPLIED MATERIALS (AMAT) 0040-92614 POLISHED HEATSINK CASTING 200
capitolareatech NEW - $535.00 0 Jun/10/13 Sep/08/13
Description: APPLIED MATERIALS (AMAT) 0050-09399 WELDMENT,LINE 2, CHAMBER B
bobsgoodies NEW - $58.00 0 Jun/10/13 Jul/09/13
Description: APPLIED MATERIALS 3400-01383 HOSE ASSY TFE/SST Braid 3/16" D X 48" FEM
bobsgoodies NEW - $68.00 1 Jun/10/13 Jun/12/13
Description: APPLIED MATERIALS 3400-01385 HOSE ASSY TFE/SST Braid 3/16" D X 84" FEM
bobsgoodies NEW - $117.00 0 Jun/10/13 Jul/09/13
Description: Applied Materials AMAT 3870-02296 VALVE 2WAY TEFLON; PARKER PV-3-1232
bobsgoodies NEW - $45.00 0 Jun/10/13 Jul/09/13
Description: APPLIED MATERIALS 0020-08544 PLATE CLAMP
capitolareatech NEW - $300.00 0 Jun/10/13 Oct/03/14
Description: APPLIED MATERIALS INC 0226-48326 TRANSMITTER COMPUTER PC COMPANION
capitolareatech NEW - $345.00 0 Jun/10/13 Oct/03/14
Description: APPLIED MATERIALS (AMAT) 0020-31609 COVER RF SHIELD INTERLCK DELTA SACVD ALU
bobsgoodies Used - $135.00 0 Jun/10/13 Jul/09/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
athomemarket NEW - $263.99 0 Jun/10/13 Jul/10/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
visionsemi Used - $1,800.00 0 Jun/10/13 Oct/08/13
Description: 0010-09297 APPLIED MATERIALS AMAT TUNGSTEN POWER SUPPLY 15V
athomemarket Used - $326.99 0 Jun/10/13 Jul/10/13
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket Refurbished - $101.99 0 Jun/10/13 Jul/10/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
athomemarket NEW - $234.99 0 Jun/10/13 Jul/10/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $90.99 0 Jun/10/13 Jul/10/13
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
svcstore Used - $122.99 0 Jun/10/13 Jun/13/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Jun/10/13 Jun/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
dvkelectronics Used - $6,500.00 0 Jun/10/13 Jul/10/13
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
svcstore Used - $449.99 0 Jun/10/13 Jun/13/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $122.99 0 Jun/10/13 Jun/13/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bobsgoodies Used - $1,250.00 0 Jun/11/13 Jul/09/13
Description: Applied Materials 0010-35249 Transformer Assembly working AMAT
ptb-sales Used - $780.00 0 Jun/11/13 Jun/21/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
swliquidators Used - $750.00 0 Jun/11/13 Mar/03/16
Description: applied materials assembly kit no. 0240-31575
swliquidators Used - $785.00 1 Jun/11/13 Mar/16/16
Description: applied materials insulating pipe quartz 0020-48171
swliquidators Used - $750.00 1 Jun/11/13 Mar/25/14
Description: applied materials insulating flange 0020-31375
swliquidators Used - $375.00 0 Jun/11/13 Mar/03/16
Description: applied materials assembly kit no. 0242-70781
marc-star NEW - $349.00 0 Jun/12/13 Jun/12/13
Description: Applied Materials AMAT DIP294-2 DeviceNet I/O 9090-00273
supertechshop Used - $299.00 0 Jun/12/13 Jul/12/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
athomemarket Refurbished - $109.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $163.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket NEW - $413.99 0 Jun/14/13 Jul/14/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,799.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $3,999.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,399.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,299.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $147.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $137.99 0 Jun/14/13 Jul/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
visionsemi Used - $1,479.99 1 Jun/14/13 Jun/17/13
Description: AMAT APPLIED MATERIALS 0100-76181 P5000 WIRING DISTRIBUTION BOARD ASSY
bobsgoodies Used - $325.00 0 Jun/14/13 Jul/09/13
Description: Applied Materials Throttle Valve with Drive 0020-09999 CDSL-D-186
surplusssam NEW - $249.99 1 Jun/14/13 Jul/19/13
Description: NEW APPLIED MATERIALS 0100-11002 AMAT DIGITAL I/O BOARD
svcstore Used - $449.99 0 Jun/13/13 Jun/16/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $122.99 0 Jun/13/13 Jun/16/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bring_recycling NEW - $3.99 0 Jun/13/13 Jun/20/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
athomemarket Used - $163.99 0 Jun/13/13 Jul/13/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Used - $112.99 0 Jun/13/13 Jul/13/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket NEW - $162.99 0 Jun/13/13 Jul/13/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Refurbished - $156.99 0 Jun/13/13 Jul/13/13
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket Used - $261.99 0 Jun/13/13 Jul/13/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $269.99 0 Jun/13/13 Jul/13/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
bobsgoodies Used - $1,350.00 0 Jun/13/13 Jul/09/13
Description: Applied Materials 0190-35452 AMI P1161 Power Supply Input 200 VAC Sn:129338
bobsgoodies NEW - $68.00 0 Jun/13/13 Jul/09/13
Description: APPLIED MATERIALS 3400-01386 HOSE ASSY TFE/SST BRD 3/16" ID X 48" Long
sparepartssolution Used - $399.99 0 Jun/13/13 Sep/02/15
Description: (128-0201) AMAT APPLIED MATERIALS 3870-01331 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $299.99 0 Jun/13/13 Sep/02/15
Description: (118-0201) AMAT APPLIED MATERIALS 3870-01919 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $299.99 0 Jun/13/13 Sep/02/15
Description: (118-0201) AMAT APPLIED MATERIALS 3870-01244 NOR-CAL VALVE USED WORKING
supertechshop Used - $499.00 0 Jun/12/13 Jul/12/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $299.00 0 Jun/12/13 Jul/12/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Jun/12/13 Jul/12/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $299.00 0 Jun/12/13 Jul/12/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $299.00 0 Jun/12/13 Jul/12/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop NEW - $99.99 0 Jun/12/13 Jul/12/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $399.00 0 Jun/12/13 Jul/12/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $149.00 0 Jun/12/13 Jul/12/13
Description: AMAT Manifold Out Left Chamber Lid SACVD 0040-87102 Applied Materials
supertechshop NEW - $399.00 0 Jun/12/13 Jul/12/13
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
supertechshop Used - $199.00 0 Jun/12/13 Jul/12/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop NEW - $199.00 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
athomemarket NEW - $232.99 0 Jun/12/13 Jul/12/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Used - $72.99 1 Jun/12/13 Jun/16/13
Description: Applied Materials AMAT 0150-76646 Centura Controller 75' Interconnect EMO Cable
athomemarket NEW - $61.99 0 Jun/12/13 Jul/12/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $131.99 0 Jun/12/13 Jul/12/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $196.99 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket Refurbished - $416.99 0 Jun/12/13 Jul/12/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket Refurbished - $206.99 0 Jun/12/13 Jul/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
visionsemi Used - $1,000.02 0 Jun/12/13 Oct/10/13
Description: AMAT APPLIED MATERIALS 0190-35452 POWER SUPPLY AMI P1161
beststuff2u Used - $1,295.00 0 Jun/12/13 Jul/12/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
surplusssam Used - $99.99 0 Jun/12/13 Mar/02/22
Description: NEW AMAT APPLIED MATERIALS AKT 1140-01128 TDK KEPCO FAK5-3K POWER SUPPLY
svcstore Used - $21.99 0 Jun/11/13 Jun/14/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
mattron747 Scrap, for parts - $200.00 0 Jun/11/13 Jul/11/13
Description: Applied Materials 0190-70060 Kollmorgen Magnet Driver
swliquidators Used - $1,600.01 0 Jun/11/13 Jun/18/13
Description: applied materials 0100-76181 wiring distribution board
athomemarket Refurbished - $516.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $214.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $101.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Jun/11/13 Jul/11/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
svcstore Used - $83.99 0 Jun/14/13 Jun/17/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $55.99 0 Jun/14/13 Jun/17/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $21.99 0 Jun/14/13 Jun/17/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $112.99 0 Jun/14/13 Jun/17/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop Used - $149.00 0 Jun/14/13 Jul/14/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
svcstore NEW - $499.99 0 Jun/14/13 Jun/17/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $520.99 0 Jun/15/13 Jul/15/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Refurbished - $2,547.99 0 Jun/15/13 Jul/15/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $16.99 0 Jun/15/13 Jul/15/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
athomemarket NEW - $109.99 0 Jun/15/13 Jun/21/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
athomemarket NEW - $162.99 0 Jun/15/13 Jul/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
athomemarket NEW - $212.99 0 Jun/16/13 Jul/16/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Used - $89.99 0 Jun/16/13 Jul/16/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $63.99 0 Jun/16/13 Jul/16/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
sellyoursurplus NEW - $95.00 0 Jun/16/13 Jun/19/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $95.00 0 Jun/16/13 Jun/19/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus NEW - $250.00 0 Jun/16/13 Jun/19/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus Used - $115.00 0 Jun/16/13 Jun/19/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $89.00 0 Jun/16/13 Jun/19/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $350.00 0 Jun/16/13 Jun/19/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $995.00 0 Jun/16/13 Jun/19/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Jun/16/13 Jun/19/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus NEW - $250.00 0 Jun/16/13 Jun/19/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $95.00 0 Jun/16/13 Jun/19/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus Used - $350.00 0 Jun/16/13 Jun/19/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus NEW - $99.00 0 Jun/16/13 Jun/19/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
sellyoursurplus NEW - $125.00 0 Jun/16/13 Jun/19/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus Used - $100.00 0 Jun/16/13 Jun/19/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
svcstore Used - $449.99 0 Jun/16/13 Jun/19/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bobsgoodies NEW - $224.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0190-01255 AMAT Flow Switch Turck FCS-G1/4A4-ARX/D018
bobsgoodies NEW - $137.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0090-20188 Water Flow Switch 3/8" Hose Proteus 150B24
bobsgoodies NEW - $137.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0150-36020 Water Flow Switch3/8" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $225.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC AMAT Rev C
bobsgoodies NEW - $137.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0190-35288 Water Flow Switch 3/8" Hose Proteus 9100SS2 .5 GPM
bobsgoodies NEW - $135.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0090-00415 K-Tec Flow Meter/Switch Proteus 0150SS24
bobsgoodies NEW - $137.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0190-35437 Water Flow Switch 3/8" Hose Proteus9108B24P .4 GPM
bobsgoodies NEW - $245.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0190-01186 AMAT Centura Flow Switch Turck FCS-G1/4A4-ARX/D118
bobsgoodies NEW - $157.00 0 Jun/17/13 Jul/09/13
Description: AMAT 0040-20433 Rev E Spool Exhaust Transfer Chamber Applied Materials RTSB30
bobsgoodies NEW - $575.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0010-76765 OTF CENTERFINDER EMITTER ASSEMBLY W/CB 0130-76055
ptb-sales Used - $3,000.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,800.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Scrap, for parts - $5,000.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $225.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $460.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $250.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $1,500.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ggrassetrecovery Used - $99.00 0 Jun/17/13 Jun/24/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
athomemarket NEW - $252.99 0 Jun/17/13 Jul/17/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket Refurbished - $142.99 0 Jun/17/13 Jul/17/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $6,199.99 0 Jun/17/13 Jul/17/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,465.99 0 Jun/17/13 Jul/17/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $99.99 0 Jun/17/13 Jul/17/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $2,599.99 0 Jun/17/13 Jun/24/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
surplus2012 Used - $550.00 0 Jun/17/13 Jun/22/13
Description: APPLIED MATERIALS P5000 RF MATCH PHASE IV 0240-39919
svcstore Used - $83.99 0 Jun/17/13 Jun/20/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $55.99 0 Jun/17/13 Jun/20/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $112.99 0 Jun/17/13 Jun/20/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Jun/17/13 Jun/20/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 NEW - $170.00 0 Jun/18/13 Jun/18/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 NEW - $170.00 0 Jun/18/13 Jul/18/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $380.00 0 Jun/18/13 Jul/18/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $95.00 0 Jun/18/13 Jul/18/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $180.00 0 Jun/18/13 Jul/18/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $215.00 0 Jun/18/13 Jul/18/13
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
yayais2012 NEW - $70.00 0 Jun/18/13 Jul/18/13
Description: Applied Materials 0190-10270 Diamond Pad Cond
athomemarket Used - $290.99 0 Jun/18/13 Jul/18/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $194.99 0 Jun/18/13 Jul/18/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $330.99 0 Jun/18/13 Jul/18/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket Refurbished - $216.99 0 Jun/18/13 Jul/18/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
swliquidators NEW - $4,000.00 1 Jun/18/13 Apr/10/14
Description: Applied Materials 0010-09416 R rf match unit
supertechshop Used - $299.00 0 Jun/18/13 Jul/18/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svcstore Used - $19.99 0 Jun/18/13 Jun/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $112.99 0 Jun/18/13 Jun/21/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
sparepartssolution Used - $2,999.99 0 Jun/19/13 Mar/06/14
Description: (112-0301) AMAT APPLIED MATERIALS 0010-20351 5/6 PVD Degas USED WORKING
athomemarket NEW - $105.99 0 Jun/19/13 Jul/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $89.99 0 Jun/19/13 Jul/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $315.99 0 Jun/19/13 Jul/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
capitolareatech NEW - $40.00 0 Jun/19/13 Sep/17/13
Description: APPLIED MATERIALS 0020-34041 LIFT PIN,SIMPLE CATHODE, LONG
capitolareatech NEW - $1.00 0 Jun/19/13 Jul/15/13
Description: APPLIED MATERIALS (AMAT 3880-01023 WSHRLKG SPLIT #6 .25OD X .148ID X .031TH
capitolareatech NEW - $129.10 2 Jun/19/13 Apr/13/14
Description: Applied Materials (AMAT) 0100-35248 PCBA, TC INTLK Trip 350 Degree C Reset 275
capitolareatech NEW - $46.87 0 Jun/19/13 Sep/12/14
Description: APPLIED MATERIALS (AMAT) 0050-05006 WELDMENT, MANIFOLD, BYPASS - VAP
capitolareatech NEW - $650.00 0 Jun/19/13 Sep/17/13
Description: APPLIED MATERIALS (AMAT) 0021-26425 COVER, CESC UNIVERSAL DPS2
capitolareatech NEW - $303.75 0 Jun/19/13 Sep/17/13
Description: APPLIED MATERIALS (AMAT) 0190-25307 CONTROLLER, VALVE PRESSURE PM-6 T.G.V. W
capitolareatech NEW - $60.75 1 Jun/19/13 Feb/05/14
Description: Applied Materials (AMAT) 3870-01086 VALVE PNEU BLWS 2WAY 1/4VCR-F/F N/O 1/8NPT S
capitolareatech NEW - $47.34 0 Jun/19/13 Sep/16/14
Description: Applied Materials (AMAT) 3870-02677 VALVE MNL DIAPH 1/4VCR-F/M 1/4TURN-HDL VIM V
capitolareatech NEW - $23.67 0 Jun/19/13 Sep/12/14
Description: Applied Materials (AMAT) 3870-01828 VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR
capitolareatech NEW - $95.00 3 Jun/19/13 Feb/05/14
Description: APPLIED MATERIALS 0020-31692 FTG,ELBOW,GAS SUPPLY, UNIBODY
capitolareatech NEW - $37.50 0 Jun/19/13 Sep/12/14
Description: APPLIED MATERIALS (AMAT) 0040-94220 LEAD SHIELD,SIDE
capitolareatech NEW - $4.05 0 Jun/19/13 Sep/17/13
Description: Applied Materials (AMAT) 3300-02746 FTG TUBE CONN 1/4T X 1/2MP SST
svcstore Used - $449.99 0 Jun/19/13 Jun/22/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
yayais2012 Used - $220.00 0 Jun/20/13 Jul/20/13
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
bhjang1 NEW - $350.00 3 Jun/20/13 Jan/29/15
Description: APPLIED MATERIALS P/N 0020-70271 BLADE SHAFT ASSY. LEFT
bhjang1 NEW - $350.00 3 Jun/20/13 May/15/14
Description: APPLIED MATERIALS P/N 0020-70272 BLADE SHAFT ASSY. RIGHT
supertechshop Used - $199.00 0 Jun/21/13 Jul/21/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Jun/21/13 Jul/21/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
svcstore Used - $112.99 0 Jun/21/13 Jun/24/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $19.99 0 Jun/21/13 Jun/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $445.99 0 Jun/21/13 Jul/21/13
Description: Applied Materials AMAT 0010-76155 OBS Assy. P5000 DC Power Supply Precision 5000
athomemarket NEW - $86.99 0 Jun/21/13 Jul/21/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $616.99 0 Jun/21/13 Jul/21/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $299.99 0 Jun/21/13 Jul/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
capitolareatech NEW - $45.00 0 Jun/21/13 Sep/19/13
Description: APPLIED MATERIALS (AMAT) 0020-51402 ADAPTOR, PASSAGE
ptb-sales Used - $780.00 0 Jun/21/13 Jul/01/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
bobsgoodies NEW - $977.00 0 Jun/21/13 Jul/09/13
Description: AMAT 0190-09299 Cable Bifurcated Fiber Optic 15 FT WLD Applied Materials
bobsgoodies NEW - $67.00 0 Jun/21/13 Jul/09/13
Description: Applied Materials 0090-40011 Assy, Banner S18SN6L and Angle Bracket Range 2M
bobsgoodies Used - $577.00 0 Jun/21/13 Jul/03/13
Description: AMAT 0190-09299 Cable Bifurcated Fiber Optic 15 FT WLD Applied Materials
sellyoursurplus Used - $350.00 0 Jun/20/13 Jun/30/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus NEW - $95.00 0 Jun/20/13 Jun/30/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $995.00 0 Jun/20/13 Jun/30/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Jun/20/13 Jun/30/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus NEW - $250.00 0 Jun/20/13 Jun/30/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
svcstore NEW - $499.99 0 Jun/20/13 Jun/23/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sellyoursurplus NEW - $89.00 0 Jun/20/13 Jun/30/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $115.00 0 Jun/20/13 Jun/30/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $100.00 0 Jun/20/13 Jun/30/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus Used - $95.00 0 Jun/20/13 Jun/30/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus NEW - $250.00 0 Jun/20/13 Jun/30/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus Used - $350.00 0 Jun/20/13 Jun/30/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $95.00 0 Jun/20/13 Jun/30/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $99.00 0 Jun/20/13 Jun/30/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
sellyoursurplus NEW - $125.00 0 Jun/20/13 Jun/30/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
bring_recycling NEW - $3.99 0 Jun/20/13 Jun/27/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore Used - $55.99 0 Jun/20/13 Jun/23/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $112.99 0 Jun/20/13 Jun/23/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $83.99 0 Jun/20/13 Jun/23/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
silicon_valley_tek Used - $399.00 0 Jun/20/13 Jul/20/13
Description: APPLIED MATERIALS 1140-00394 power supply module HF10-729
athomemarket Used - $1,216.99 0 Jun/20/13 Jul/20/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $916.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $567.99 0 Jun/20/13 Jul/20/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $111.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $314.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
ntsurplus302 Used - $3,800.00 0 Jun/20/13 Jan/18/15
Description: 2712 Applied Materials 8300 RIE Reactor Chamber P/N: 0010-00172
athomemarket NEW - $499.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $86.99 0 Jun/22/13 Jul/22/13
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
athomemarket Used - $3,999.99 0 Jun/22/13 Jul/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $112.99 0 Jun/22/13 Jul/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $73.99 0 Jun/22/13 Jul/22/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $189.99 0 Jun/22/13 Jul/22/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
athomemarket NEW - $1,699.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
svcstore Used - $449.99 0 Jun/22/13 Jun/25/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
athomemarket NEW - $312.99 0 Jun/23/13 Jul/23/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $514.99 0 Jun/23/13 Jul/23/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $239.99 0 Jun/23/13 Jul/23/13
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
svcstore Used - $83.99 0 Jun/23/13 Jun/26/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $55.99 0 Jun/23/13 Jun/26/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $112.99 0 Jun/23/13 Jun/26/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Jun/23/13 Jun/26/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
bobsgoodies NEW - $165.00 0 Jun/24/13 Jul/09/13
Description: Applied Materials 1080-01114 Gear Motor RB-35GM-CA35-H114 Autotrol Corp.
waste-not-recycling Used - $49.99 0 Jun/24/13 Jul/24/13
Description: Applied Materials 8300 N, P/N 0010-00298 Rev E System Controller AC Distrib Assy
bobsgoodies NEW - $555.00 0 Jun/24/13 Jul/09/13
Description: Applied Materials 0010-76765 OTF CENTERFINDER EMITTER ASSEMBLY Sn: 2-25-0-9-45
bobsgoodies NEW - $555.00 0 Jun/24/13 Jul/09/13
Description: Applied Materials 0010-76764 OTF CENTERFINDER RECEIVER ASSEMBLY Sn:2-22-99-14-54
bobsgoodies NEW - $555.00 0 Jun/24/13 Jul/09/13
Description: Applied Materials 0010-76764 OTF CENTERFINDER RECEIVER ASSEMBLY Sn:1-20-99-8-16
bobsgoodies NEW - $225.00 0 Jun/24/13 Jul/09/13
Description: Applied Materials 0010-75302 ASSY, WAFER SENSOR LOW PROFILE TC AMAT
athomemarket Used - $4,699.99 0 Jun/24/13 Jul/24/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
svcstore Used - $19.99 0 Jun/24/13 Jun/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
supertechshop NEW - $24.99 0 Jun/24/13 Jul/24/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
svcstore Used - $112.99 0 Jun/24/13 Jun/27/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
logansemi Used - $149.00 0 Jun/25/13 Jul/02/13
Description: AMAT Applied Materials 8300 15 Volt, Power Supply, P/N 0010-00028
bobsgoodies NEW - $8.50 0 Jun/25/13 Jul/09/13
Description: Applied Materials 0910-01191 AMAT SHAWNUT Slo-Blo Time Delay Fuse, ATQ-2-1/2
bobsgoodies Used - $455.00 0 Jun/25/13 Jul/09/13
Description: Applied Materials 0010-76765 OTF CENTERFINDER EMITTER ASSEMBLY Sn: 8-5-96-12-49
bobsgoodies NEW - $555.00 0 Jun/25/13 Jul/09/13
Description: Applied Materials 0010-76764 OTF Centerfinder Receiver Assembly Sn:3-15-0-21-42
ggrassetrecovery Used - $99.00 0 Jun/25/13 Jul/02/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
bobsgoodies Scrap, for parts - $50.00 0 Jun/25/13 Jul/09/13
Description: Applied Materials 0130-76055 Centerfinder Int Bd 0100-76055 AMAT
ntsurplus302 Used - $150.00 0 Jun/25/13 Feb/04/14
Description: 2735 Applied Materials Stepping Motor P/N: 0010-00183
ntsurplus302 Used - $1,600.00 0 Jun/25/13 May/21/14
Description: 2732 Applied Materials Pre-Reactor Chamber P/N: 0010-00172
athomemarket NEW - $199.99 1 Jun/25/13 Jul/25/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $2,340.99 0 Jun/25/13 Jul/02/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $289.99 0 Jun/25/13 Jul/25/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
athomemarket Used - $6,799.99 0 Jun/25/13 Jul/25/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket Used - $6,499.99 0 Jun/25/13 Jul/25/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $392.99 0 Jun/25/13 Jul/25/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket NEW - $199.99 0 Jun/25/13 Jul/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
esoteric_specialties Used - $950.00 0 Jun/25/13 Jul/25/13
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
surplus-q Used - $1,200.00 0 Jun/26/13 Jul/05/13
Description: Applied Materials AKT P2 Backplane 60K CVD 0242-72575
athomemarket NEW - $112.99 0 Jun/26/13 Jul/26/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $69.99 0 Jun/26/13 Jul/26/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
svcstore Used - $112.99 0 Jun/26/13 Jun/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $83.99 0 Jun/26/13 Jun/29/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $55.99 0 Jun/26/13 Jun/29/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $499.99 0 Jun/26/13 Jun/29/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ocean-tech Used - $529.99 0 Jun/27/13 Jul/27/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
ptb-sales Used - $1,800.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $3,000.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $225.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $460.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Scrap, for parts - $5,000.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $750.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,500.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
bobsgoodies NEW - $119.00 2 Jun/27/13 Jul/03/13
Description: Applied Materials 0150-09063 Rev E Wasco Vacuum Switch Set: 15" HG Decreasing
athomemarket NEW - $523.99 0 Jun/27/13 Jul/27/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore Used - $19.99 0 Jun/27/13 Jun/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
bring_recycling NEW - $3.99 0 Jun/27/13 Jul/04/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore Used - $112.99 0 Jun/27/13 Jun/30/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
industrial_loot Used - $78.00 0 Jun/28/13 Jul/28/13
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
capitolareatech NEW - $46.87 0 Jun/28/13 Sep/21/14
Description: APPLIED MATERIALS (AMAT) 0050-04725 WELDMENT, LINE 2, CHAMBER D
usedeqsales NEW - $906.13 0 Jun/28/13 Dec/04/14
Description: AMAT Applied Materials 0620-04248 RF Cable 79 Ft. 2MHz New
svcstore Used - $449.99 0 Jun/28/13 Jul/01/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bobsgoodies NEW - $87.50 0 Jun/29/13 Jul/09/13
Description: Applied Materials 0620-01185 Cable Assy Temp Sensing 300MM LG PT100 L-H TM
athomemarket NEW - $1,199.99 0 Jun/29/13 Jul/29/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,599.99 0 Jun/29/13 Jul/29/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
getsurplusherenow NEW - $40.00 0 Jun/29/13 Jul/06/13
Description: Applied Materials; Cable Assy SYS EXP FAIL INVTR DIO, P/N: 0150-20610
getsurplusherenow NEW - $14.00 0 Jun/29/13 Jul/06/13
Description: Applied Materials; Cable Assy ION Gauge AI P/N: 0150-20608
getsurplusherenow NEW - $495.00 0 Jun/29/13 Jul/23/13
Description: Applied Materials; W/B BARATRON ADAPTER P/N 0040-20733
getsurplusherenow NEW - $750.00 0 Jun/29/13 Jul/23/13
Description: Applied Materials; PCB Assy, Pneumatic Distribution 0100-20007
getsurplusherenow NEW - $1,350.00 0 Jun/29/13 Jul/23/13
Description: Applied Materials; ASSY PCB STEPPER CNTRLR W/DAUGHTER CARDS, P/N 0100-00979
getsurplusherenow NEW - $75.00 0 Jun/29/13 Jul/23/13
Description: Applied Materials; Cable ASSY SYS EXP 5EFDIOBLKHD, P/N 0150-21039
getsurplusherenow NEW - $495.00 1 Jun/29/13 Jul/23/13
Description: Applied Materials; Fan Pack 300 CFM 19"x3.5"x8" 115VAC, P/N 0600-01076
getsurplusherenow NEW - $245.00 0 Jun/29/13 Jul/06/13
Description: Applied Materials; AMAT 0100-20197 PCB, CONVECTRON GAUGE INTERCONNECT
getsurplusherenow NEW - $875.00 0 Jun/29/13 Jul/23/13
Description: Applied Materials; Assy PCB System Expansion, P/N 0100-20152
svcstore Used - $83.99 0 Jun/29/13 Jul/02/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $55.99 0 Jun/29/13 Jul/02/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $112.99 0 Jun/29/13 Jul/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
liquidationbazar NEW - $999.00 0 Jun/30/13 Jul/29/13
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
athomemarket Used - $5,499.99 0 Jun/30/13 Jul/30/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
svcstore NEW - $499.99 0 Jun/30/13 Jul/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $19.99 0 Jun/30/13 Jul/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $112.99 0 Jun/30/13 Jul/03/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
wideerp01 Used - $600.00 0 Jul/01/13 Jul/08/13
Description: Applied Materials 0040-50344
visionsemi Used - $600.00 0 Jul/01/13 Sep/29/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
athomemarket NEW - $5,899.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 Jul/01/13 Jul/31/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
bruce135 Used - $499.00 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket NEW - $5,899.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $4,199.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $819.99 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,799.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,999.99 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,799.99 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket Used - $399.99 0 Jul/01/13 Jul/31/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
trees_for_a_better_tomorrow Used - $350.00 0 Jul/01/13 Jul/08/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $250.00 0 Jul/01/13 Jul/08/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
trees_for_a_better_tomorrow Used - $100.00 0 Jul/01/13 Jul/08/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
svcstore Used - $449.99 0 Jul/01/13 Jul/04/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
surplustechmart Used - $40.00 1 Jul/02/13 Mar/05/15
Description: APPLIED MATERIALS Model 0100-20006 CHAMBER DRIVER BACKP
dr.fantom Used - $850.00 0 Jul/02/13 Feb/12/15
Description: Applied Materials 0110-01321 ASSY PCB DIGITAL I/O DIO Module
surplusssam NEW - $199.99 1 Jul/02/13 Nov/12/15
Description: NEW AMAT APPLIED MATERIALS 0020-63185 BLOCKER PLATE UNIFORM FLOW 0.2"
logansemi Used - $149.00 0 Jul/02/13 Jul/09/13
Description: AMAT Applied Materials 8300 15 Volt, Power Supply, P/N 0010-00028
capitolareatech NEW - $1.01 0 Jul/02/13 Jul/25/13
Description: Applied Materials (AMAT) 3690-03680 SCR FLT HD 8-32X1-1/4L SLOT NYLON
csi.usa NEW - $1,795.00 0 Jul/02/13 Jul/03/13
Description: APPLIED MATERIALS P/N 0020-44453 BLOOCKER TEOS, 300SE 300MM PRODUCER
crazycustomcolors Used - $2,200.00 1 Jul/02/13 Jul/02/13
Description: applied materials bell jar 0040-00003 etcher chamber vacuum deposition coating
ptb-sales Used - $780.00 0 Jul/02/13 Jul/12/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ggrassetrecovery Used - $99.00 0 Jul/02/13 Jul/09/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
usedeqsales NEW - $507.13 0 Jul/02/13 May/04/15
Description: AMAT Applied Materials 0620-02789 EVC Cable New
athomemarket Scrap, for parts - $3,149.99 0 Jul/02/13 Aug/01/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $4,949.99 0 Jul/02/13 Aug/01/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $1,619.99 0 Jul/02/13 Aug/01/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket Used - $382.49 0 Jul/02/13 Aug/01/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
supertechshop NEW - $199.00 0 Jul/02/13 Aug/01/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $99.00 0 Jul/02/13 Aug/01/13
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop NEW - $299.00 0 Jul/02/13 Aug/01/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $83.99 0 Jul/02/13 Jul/05/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $55.99 0 Jul/02/13 Jul/05/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $112.99 0 Jul/02/13 Jul/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
swliquidators Used - $1,400.00 1 Jul/03/13 Dec/19/13
Description: applied materials 0100-76181 wiring distribution board
bobsgoodies NEW - $345.00 0 Jul/03/13 Jul/09/13
Description: Applied Materials 1080-01190 Brushless DC motor 24 VDC Vexta K0043-M
neilan1987 Used - $49.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
athomemarket NEW - $1,529.99 0 Jul/03/13 Aug/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket Used - $188.99 0 Jul/03/13 Aug/02/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $2,106.89 0 Jul/03/13 Aug/02/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $179.99 0 Jul/03/13 Aug/02/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore NEW - $499.99 0 Jul/03/13 Jul/06/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $19.99 0 Jul/03/13 Jul/06/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $112.99 0 Jul/03/13 Jul/06/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
neilan1987 Used - $149.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
surplus2012 Used - $500.00 0 Jul/04/13 Jul/09/13
Description: APPLIED MATERIALS P5000 RF MATCH PHASE IV 0240-39919
neilan1987 NEW - $349.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 NEW - $349.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
wedone NEW - $375.00 0 Jul/04/13 Aug/03/13
Description: Applied Materials AMAT 0240-76382 Centura robot Cal tool kit, standard
athomemarket Used - $269.99 0 Jul/04/13 Aug/03/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket NEW - $70.19 0 Jul/04/13 Aug/03/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $89.99 0 Jul/04/13 Aug/03/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $68.39 0 Jul/04/13 Aug/03/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $680.39 0 Jul/04/13 Aug/03/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Used - $691.19 0 Jul/04/13 Aug/03/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Refurbished - $138.59 0 Jul/04/13 Aug/03/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
svcstore Used - $449.99 0 Jul/04/13 Jul/07/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bring_recycling NEW - $3.99 0 Jul/04/13 Jul/11/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
sparepartssolution Used - $2,799.99 0 Jul/05/13 Jul/02/14
Description: (117-0501) AMAT APPLIED MATERIALS 0010-70254 STANDARD HEATER USED WORKING
surplusssam NEW - $179.99 0 Jul/05/13 Jul/15/13
Description: NEW APPLIED MATERIALS 0150-21348 AMAT DI/0 SIGNAL CABLE GEN RACK 1-2 K-TEC ELEC
athomemarket NEW - $146.69 1 Jul/05/13 Aug/02/13
Description: Applied Materials AMAT 0010-10954 Stainless Steel 8" Assembly JMF Blade SST
athomemarket NEW - $305.99 0 Jul/05/13 Aug/04/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $802.79 0 Jul/05/13 Aug/04/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
supertechshop Used - $199.00 0 Jul/05/13 Aug/04/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Jul/05/13 Aug/04/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
supertechshop NEW - $995.00 0 Jul/05/13 Aug/04/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Jul/05/13 Aug/04/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $499.00 0 Jul/05/13 Jul/18/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop Used - $99.99 0 Jul/05/13 Aug/04/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop NEW - $299.00 0 Jul/05/13 Aug/04/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $274.99 0 Jul/05/13 Aug/04/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $399.00 0 Jul/05/13 Aug/04/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $399.00 0 Jul/05/13 Aug/04/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $699.00 0 Jul/05/13 Aug/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $499.00 0 Jul/05/13 Aug/04/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Jul/05/13 Aug/04/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
svcstore Used - $112.99 0 Jul/05/13 Jul/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop Used - $149.00 0 Jul/05/13 Aug/04/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop NEW - $399.00 0 Jul/05/13 Aug/04/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
svcstore Used - $83.99 0 Jul/05/13 Jul/08/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $55.99 0 Jul/05/13 Jul/08/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
yayais2012 Used - $320.00 0 Jul/06/13 Aug/05/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
yayais2012 Used - $89.00 0 Jul/06/13 Aug/05/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $320.00 0 Jul/06/13 Aug/05/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $320.00 0 Jul/06/13 Aug/05/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 NEW - $15.00 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $850.00 0 Jul/06/13 Aug/05/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $850.00 0 Jul/06/13 Aug/05/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $220.00 0 Jul/06/13 Aug/05/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket NEW - $1,006.19 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $368.99 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $372.59 0 Jul/06/13 Aug/05/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $788.04 0 Jul/06/13 Aug/05/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket Used - $1,079.99 0 Jul/06/13 Aug/05/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,079.99 0 Jul/06/13 Aug/05/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
svcstore NEW - $499.99 0 Jul/06/13 Jul/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $19.99 0 Jul/06/13 Jul/09/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Refurbished - $416.69 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Refurbished - $129.99 0 Jul/07/13 Jul/22/13
Description: Applied Materials AMAT 0010-25570 Blade Assembly (Refurbished)
athomemarket Scrap, for parts - $98.99 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $2,969.99 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
chriswebber16 NEW - $499.99 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
svcstore Used - $112.99 0 Jul/07/13 Jul/10/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $449.99 0 Jul/07/13 Jul/10/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
dr.fantom Used - $250.00 0 Jul/08/13 Feb/12/15
Description: Applied Materials GAS BOX DISTRIBUTION 0100-00567 SCHEM REF 0130-00567 Board
ptb-sales Used - $225.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $460.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $250.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $1,500.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
bobsgoodies NEW - $399.00 0 Jul/08/13 Aug/14/13
Description: Applied Materials 0227-43138 Assy Display SRDAM Inter Thornton 770 PC Contorller
ptb-sales Used - $3,000.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
eisale1535 NEW - $1,999.00 1 Jul/08/13 Oct/03/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly
ptb-sales Used - $1,800.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
gesemiconductor Used - $7,500.00 0 Jul/08/13 Jun/24/15
Description: Applied Materials 0010-06544 Throttle Valve Assembly EMAX 200mm Rev.2
gesemiconductor Used - $7,500.00 0 Jul/08/13 Apr/04/17
Description: Applied Materials 0010-06544 Throttle Valve Assembly Dual Flap SC Rev.3
athomemarket NEW - $863.09 0 Jul/08/13 Aug/07/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket Used - $366.29 0 Jul/08/13 Aug/07/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $80.99 0 Jul/08/13 Aug/07/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $219.99 0 Jul/08/13 Jul/15/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $261.89 0 Jul/18/13 Aug/17/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $175.49 0 Jul/18/13 Aug/17/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $297.89 0 Jul/18/13 Aug/17/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket Refurbished - $195.29 0 Jul/18/13 Aug/17/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
ptb-sales Used - $3,000.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,800.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $460.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $225.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $250.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $750.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,500.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
kinneycorp Used - $299.73 0 Jul/18/13 Jul/28/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
yayais2012 NEW - $170.00 0 Jul/18/13 Aug/17/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $380.00 0 Jul/18/13 Aug/17/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $95.00 0 Jul/18/13 Aug/17/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $180.00 0 Jul/18/13 Aug/17/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 NEW - $70.00 0 Jul/18/13 Aug/17/13
Description: Applied Materials 0190-10270 Diamond Pad Cond
yayais2012 Used - $215.00 0 Jul/18/13 Aug/17/13
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
neilan1987 NEW - $299.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 NEW - $299.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 Used - $99.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
tripledirect Used - $8,100.00 0 Jul/18/13 Jul/18/13
Description: Applied Materials Magnet Model # 0010-21810
hot!cardz NEW - $22,000.00 0 Jul/17/13 Jul/27/13
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
athomemarket NEW - $227.69 0 Jul/17/13 Aug/16/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $5,579.99 0 Jul/17/13 Aug/16/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,019.39 0 Jul/17/13 Aug/16/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $89.99 0 Jul/17/13 Aug/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Refurbished - $128.69 0 Jul/17/13 Aug/16/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
neilan1987 Used - $39.99 0 Jul/17/13 Jul/24/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
ggrassetrecovery Used - $99.00 0 Jul/17/13 Jul/24/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
surplusssam NEW - $179.99 0 Jul/17/13 Jul/27/13
Description: NEW APPLIED MATERIALS 0150-21348 AMAT DI/0 SIGNAL CABLE GEN RACK 1-2 K-TEC ELEC
oka1298 NEW - $1.49 0 Jul/17/13 Dec/04/15
Description: Applied Materials AMAT SS Screw, 5/16-18x1 3690-01210 ( lot of 3)
jojoequip NEW - $75.00 0 Jul/17/13 Nov/11/13
Description: APPLIED MATERIALS AMAT 0020-28754 PEDESTAL COVER - NEW
dr.fantom Used - $600.00 0 Jul/17/13 Feb/12/15
Description: Applied Materials AMAT 0190-14415 PRESSURE DISPLAY 300MM FI PANEL
neilan1987 NEW - $199.99 0 Jul/17/13 Jul/24/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
svcstore Used - $449.99 0 Jul/16/13 Jul/19/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $17.99 0 Jul/16/13 Jul/19/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
odysseystudios Used - $2,900.00 0 Jul/16/13 Sep/12/17
Description: Applied Materials AMAT MOLB-II 0195-01346 Rev 3 with 60 day warranty
odysseystudios Refurbished - $3,900.00 0 Jul/16/13 Dec/03/15
Description: * Refurb* Applied Materials 0010-16687 Rev 2 Degas/Preheat LLA Assy 300MM Endur
odysseystudios Used - $2,900.00 0 Jul/16/13 Jul/24/23
Description: * Refurb* Applied Materials AMAT 0242-70274 Rev E6 Kit with 30 day warranty
odysseystudios Used - $1,900.00 0 Jul/16/13 Jul/24/23
Description: * Refurb* Applied Materials 0040-76266 Plate Chamber Insulator + 30 day warranty
athomemarket NEW - $191.69 0 Jul/16/13 Aug/15/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Used - $57.59 0 Jul/16/13 Aug/15/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $80.99 0 Jul/16/13 Aug/15/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $198.99 0 Jul/16/13 Jul/23/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,319.99 0 Jul/16/13 Aug/15/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket NEW - $146.69 0 Jul/16/13 Aug/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
bobsgoodies Used - $1,700.00 0 Jul/16/13 Aug/14/13
Description: Applied Materials 0010-10188 Wafer Lift BWCVD Precision 5000, 471-TW
odysseystudios Refurbished - $1,900.00 0 Jul/16/13 Sep/12/17
Description: * Refurb* Applied Materials 0041-05535 0041-05535R Direct Cooled Liner +Warranty
odysseystudios Refurbished - $2,900.00 0 Jul/16/13 Dec/03/15
Description: * Refurb* Applied Materials 0041-05536 0041-05536R Direct Cooled Liner +Warranty
neilan1987 NEW - $379.99 0 Jul/16/13 Jul/23/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
svcstore Used - $103.99 0 Jul/15/13 Jul/18/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Jul/15/13 Jul/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Jul/15/13 Jul/18/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $76.99 0 Jul/15/13 Jul/18/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop NEW - $299.00 0 Jul/15/13 Aug/14/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $499.00 0 Jul/15/13 Aug/14/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop Used - $299.00 0 Jul/15/13 Aug/14/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop NEW - $299.00 0 Jul/15/13 Aug/14/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop Used - $299.00 0 Jul/15/13 Aug/14/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop NEW - $99.00 0 Jul/15/13 Aug/14/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Jul/15/13 Aug/14/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop Used - $149.00 0 Jul/15/13 Aug/14/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $199.00 0 Jul/15/13 Aug/14/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $399.00 0 Jul/15/13 Aug/14/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $149.00 0 Jul/15/13 Aug/14/13
Description: AMAT Manifold Out Left Chamber Lid SACVD 0040-87102 Applied Materials
supertechshop NEW - $399.00 0 Jul/15/13 Aug/14/13
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
supertechshop Used - $199.00 0 Jul/15/13 Aug/14/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Jul/15/13 Aug/14/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
surplus2012 Used - $300.00 1 Jul/15/13 Jul/22/13
Description: APPLIED MATERIALS P5000 RF MATCH PHASE IV 0240-39919
tripledirect Used - $8,100.00 0 Jul/18/13 Aug/17/13
Description: Applied Materials Magnet Model # 0010-21810
usedeqsales Used - $307.13 0 Jul/15/13 Dec/15/20
Description: AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working
svcstore Used - $103.99 0 Jul/18/13 Jul/21/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $76.99 0 Jul/18/13 Jul/21/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
bring_recycling NEW - $3.99 0 Jul/18/13 Jul/25/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
svcstore NEW - $499.99 0 Jul/18/13 Jul/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $103.99 0 Jul/18/13 Jul/21/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $50.99 0 Jul/18/13 Jul/21/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
fa-parts NEW - $1,349.99 0 Jul/19/13 Jun/02/16
Description: AMAT APPLIED MATERIALS POWER SUPPLY BOARD ASSY NO. 0100-90015 O120-90521 NEW
bobsgoodies NEW - $75.00 0 Jul/19/13 Aug/14/13
Description: Applied Materials 0190-18083 Parker 5732-8 Hose Assy.W/Couplers BH4-60 BH4-61
neilan1987 NEW - $39.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
yayais2012 Used - $220.00 0 Jul/20/13 Aug/19/13
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
svcstore Used - $449.99 0 Jul/19/13 Jul/22/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $17.99 0 Jul/19/13 Jul/22/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $95.39 0 Jul/19/13 Aug/18/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 Jul/19/13 Aug/18/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $284.39 0 Jul/19/13 Aug/18/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $59.99 0 Jul/19/13 Jul/26/13
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
athomemarket NEW - $283.49 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $1,095.29 0 Jul/20/13 Aug/19/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $825.29 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $511.19 0 Jul/20/13 Aug/19/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $100.79 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
supertechshop Used - $299.00 0 Jul/20/13 Aug/19/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $499.00 0 Jul/20/13 Aug/19/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
allpart2013 Used - $999.00 2 Jul/21/13 Jul/22/13
Description: Applied Materials AMAT 0010-77682 rev 02P NP420-DN1 Pneumatic Station
j316gallery NEW - $328.36 0 Jul/21/13 Jan/03/22
Description: 112 APPLIED MATERIALS MAX EMISSOMETER CALI WAFER-HIGH EMISSITI NEW 0190-14999
j316gallery Used - $2,005.64 1 Jul/21/13 Dec/08/22
Description: 3503 APPLIED MATERIALS ONODA OR-4ZA OZONEREX GEN. OZONE 0920-01016
athomemarket NEW - $78.29 0 Jul/21/13 Aug/20/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket Used - $445.99 1 Jul/21/13 Jul/25/13
Description: Applied Materials AMAT 0010-76155 OBS Assy. P5000 DC Power Supply Precision 5000
athomemarket NEW - $555.29 0 Jul/21/13 Aug/20/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $269.99 0 Jul/21/13 Aug/20/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
svcstore Used - $103.99 0 Jul/21/13 Jul/24/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $50.99 0 Jul/21/13 Jul/24/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $76.99 0 Jul/21/13 Jul/24/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket NEW - $449.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $78.29 0 Jul/22/13 Aug/21/13
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
athomemarket Used - $3,599.99 0 Jul/22/13 Aug/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $101.69 0 Jul/22/13 Aug/21/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $66.59 0 Jul/22/13 Aug/21/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $170.99 0 Jul/22/13 Aug/21/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
athomemarket NEW - $1,619.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,529.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
silicon_valley_tek Used - $299.00 1 Jul/22/13 Jan/28/14
Description: APPLIED MATERIALS 1140-00394 power supply module HF10-729
svcstore Used - $103.99 0 Jul/22/13 Jul/25/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Jul/22/13 Jul/25/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $17.99 0 Jul/22/13 Jul/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $215.99 0 Jul/23/13 Aug/22/13
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
usedeqsales Used - $407.13 0 Jul/23/13 May/05/15
Description: AMAT Applied Materials 0270-70685 Centura Endura LLK Assembly Stand Used Working
athomemarket NEW - $281.69 0 Jul/23/13 Aug/22/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $463.49 0 Jul/23/13 Aug/22/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
bobsgoodies Used - $1,200.00 0 Jul/23/13 Aug/14/13
Description: Applied Materials 0190-22014 PVD Dual Mode Driver P1198-240/240 AMAT Sn 132601
bobsgoodies Used - $1,200.00 0 Jul/23/13 Aug/14/13
Description: Applied Materials PVD Dual Mode Driver 0190-22014 P1198-208/208 AMAT Sn 140446
bobsgoodies Used - $1,200.00 0 Jul/23/13 Aug/14/13
Description: AMAT 0190-09560 Phasetronics lamp driver for Applied Materials tool, P1140
svcstore Used - $449.99 0 Jul/23/13 Jul/26/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
neilan1987 NEW - $349.99 0 Jul/23/13 Jul/30/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
athomemarket Used - $4,229.99 0 Jul/24/13 Aug/23/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $179.09 0 Jul/24/13 Aug/23/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
waste-not-recycling Used - $49.99 0 Jul/24/13 Aug/23/13
Description: Applied Materials 8300 N, P/N 0010-00298 Rev E System Controller AC Distrib Assy
ggrassetrecovery Used - $99.00 0 Jul/24/13 Jul/31/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
supertechshop Used - $199.00 0 Jul/24/13 Aug/23/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Jul/24/13 Aug/23/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
neilan1987 NEW - $179.99 0 Jul/24/13 Jul/31/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
svcstore Used - $103.99 0 Jul/24/13 Jul/27/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $76.99 0 Jul/24/13 Jul/27/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
neilan1987 Used - $39.99 0 Jul/24/13 Jul/31/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
usedeqsales Used - $307.13 0 Jul/25/13 May/18/23
Description: AMAT Applied Materials 0270-04365 EVR Extraction Tool Used Working
athomemarket Used - $260.99 0 Jul/25/13 Aug/24/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
usedeqsales Scrap, for parts - $1,007.13 2 Jul/25/13 Feb/20/18
Description: AMAT Applied Materials 0019-19010 300mm Motorized Lift Assembly Untested As-Is
athomemarket Used - $6,119.99 0 Jul/25/13 Aug/24/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $353.69 0 Jul/25/13 Aug/24/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $5,849.99 0 Jul/25/13 Aug/24/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
supertechshop NEW - $24.99 0 Jul/25/13 Aug/24/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
athomemarket NEW - $179.99 0 Jul/25/13 Aug/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore Used - $103.99 0 Jul/25/13 Jul/28/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Jul/25/13 Jul/28/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Jul/25/13 Jul/28/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
neilan1987 NEW - $279.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 NEW - $279.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 Used - $89.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
svcstore Used - $17.99 0 Jul/25/13 Jul/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
esoteric_specialties Used - $950.00 0 Jul/25/13 Aug/24/13
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
bring_recycling NEW - $3.99 0 Jul/25/13 Aug/01/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
usedeqsales Used - $607.13 0 Jul/26/13 May/04/15
Description: AMAT Applied Materials 1350-00133 Baratron Capacitance Manometer 1 Torr Tested
neilan1987 NEW - $39.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
usedeqsales NEW - $2,007.13 0 Jul/26/13 Dec/04/14
Description: Applied Materials 0040-64000 Next Generation Cathode 300mm Emax Bulkhead New
hot!cardz NEW - $13,900.00 0 Jul/26/13 Jul/29/13
Description: Applied Materials 0040-34866 LINER EMAX CATHODE MAG RING WAFFLE-LESS AMAT
athomemarket NEW - $101.69 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $62.99 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $179.99 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
bobsgoodies NEW - $75.00 0 Jul/26/13 Aug/14/13
Description: Applied Materials 0015-20039 Vexta PX245M-02AA 2-Phase Stepping Motor
hot!cardz NEW - $15,900.00 0 Jul/26/13 Jul/29/13
Description: Applied Materials 0040-34865 DGDP EMAX CHAMBER WAFFLE-LESS 200MM AMAT
athomemarket NEW - $471.59 0 Jul/27/13 Aug/26/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore Used - $103.99 0 Jul/27/13 Jul/30/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $76.99 0 Jul/27/13 Jul/30/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
hot!cardz NEW - $16,000.00 0 Jul/28/13 Jul/29/13
Description: AMAT 0040-32905 ESC / Pedestal / echuck Applied Materials * OEM * New In Box
hot!cardz NEW - $22,000.00 0 Jul/28/13 Aug/07/13
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
athomemarket Used - $2,339.99 0 Jul/29/13 Aug/28/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $53.99 0 Jul/29/13 Aug/28/13
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
ptb-sales Used - $3,000.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,800.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Scrap, for parts - $5,000.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $225.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $250.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $460.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $1,500.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore Used - $17.99 0 Jul/28/13 Jul/31/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
red-tag-liquidators NEW - $150.00 0 Jul/28/13 Aug/13/15
Description: AMAT 5 Phase Driver, Fan Assembly, 0090-35005 Applied Materials (#50)
svcstore Used - $103.99 0 Jul/28/13 Jul/31/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Jul/28/13 Jul/31/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Jul/28/13 Jul/31/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket NEW - $1,079.99 0 Jul/29/13 Aug/28/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
imca00 Scrap, for parts - $1,500.00 0 Jul/29/13 Aug/03/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
imca00 Scrap, for parts - $1,550.00 0 Jul/29/13 Aug/03/13
Description: Applied Materials AMAT Vectra IMP Source Box for PVD Chamber p/n: 0010-13622
liquidationbazar NEW - $999.00 0 Jul/29/13 Sep/27/13
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
getsurplusherenow NEW - $1,025.00 1 Jul/29/13 Jun/09/14
Description: Applied Materials; ASSY PCB STEPPER CNTRLR W/DAUGHTER CARDS, P/N 0100-00979
getsurplusherenow NEW - $665.00 1 Jul/29/13 Dec/30/13
Description: Applied Materials; PCB Assy, Pneumatic Distribution 0100-20007
odysseystudios NEW - $3,900.00 0 Jul/30/13 Nov/17/15
Description: NEW Applied Materials 0240-52896 Rev 1 Kit, L-Door DRPII, Endura 2 SWLL
odysseystudios NEW - $3,900.00 0 Jul/30/13 Nov/17/21
Description: NEW Applied Materials 0240-52897 Rev 2 Kit Indexer DRPII Endura 2 SWLL Warranty
odysseystudios NEW - $2,900.00 0 Jul/30/13 Sep/12/17
Description: NEW Applied Materials 0010-28976 Rev 2 Lid swlla center diffuser w/purg
athomemarket Used - $4,949.99 0 Jul/30/13 Aug/29/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
usedeqsales NEW - $153.57 0 Jul/30/13 Oct/29/13
Description: AMAT Applied Materials 3070-00014 Bellow Flex Coupling 2FC-NW-50-3 Lot of 5 New
ocean-tech Used - $429.99 0 Jul/30/13 Aug/29/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
obtainium! NEW - $23.94 1 Jul/30/13 Mar/26/14
Description: Applied Materials HiVac Flexible Heating Pad Assembly 0090-00046 Rev B
industrial_loot Used - $78.00 0 Jul/30/13 Aug/29/13
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
surplusssam Used - $189.99 0 Jul/30/13 Aug/05/21
Description: NEW APPLIED MATERIALS 0150-21348 AMAT DI/0 SIGNAL CABLE GEN RACK 1-2 K-TEC ELEC
getsurplusherenow NEW - $975.00 1 Jul/30/13 Nov/17/15
Description: Applied Materials; ASSY PCB DIGITAL I/O DIO BD P/N: 0100-01321 *NEW*
odysseystudios NEW - $900.00 1 Jul/30/13 Nov/14/13
Description: NEW Applied Materials 0040-55456 Bell Jar, 300mm, PC XT/XTE w/ 60 day warranty
odysseystudios NEW - $900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0040-39587 Lower Shield, 300mm, PC XT/XTE with Warranty
odysseystudios NEW - $900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0020-92461 Inner Shield, 300mm PC XT/XTE 30 day warranty
odysseystudios NEW - $900.00 0 Jul/30/13 Mar/20/20
Description: NEW Applied Materials 0040-08492 Gas Distribution Plate with 60 day warranty
odysseystudios NEW - $450.00 1 Jul/30/13 May/03/21
Description: NEW Applied Materials 0200-03346 Rev 6 Ceramic Deposition Ring +60 day warranty
odysseystudios NEW - $450.00 1 Jul/30/13 May/07/19
Description: NEW Applied Materials 0040-07291 Rev 8 Pedestal Ring Dep with 60 day warranty
odysseystudios NEW - $900.00 1 Jul/30/13 Mar/22/17
Description: NEW Applied Materials 0200-01903 Rev 5 Insulator Pedestal Quartz w/ Warranty
odysseystudios NEW - $4,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-43051 Rev 1 Kit, SWLL Purge Gaslines and EQ to ATM
odysseystudios NEW - $3,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-45500 Rev 1 Kit, Vent/Vac DRPII, Endura 2 SWLL
odysseystudios NEW - $3,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-45497 Rev 1 Kit, DRPII SWLL Hoop Endura 2 + Warranty
odysseystudios NEW - $2,900.00 0 Jul/30/13 Sep/12/17
Description: NEW Applied Materials 0010-28977 Rev 2 Lid swllb center diffuser with purg
odysseystudios Used - $450.00 1 Jul/30/13 Jun/23/21
Description: LSA Cleanpart 233482002 Outer Shield Applied Materials 0021-07984
odysseystudios Refurbished - $450.00 1 Jul/30/13 Feb/25/18
Description: LSA Cleanpart 233555099 Top Ceramic Ring Applied Materials 0200-36399 Rev 1
odysseystudios Refurbished - $450.00 1 Jul/30/13 Feb/25/18
Description: LSA Cleanpart 233555047 Inner Shield Applied Materials 0040-04586 Rev 6
odysseystudios Refurbished - $450.00 1 Jul/30/13 Apr/21/21
Description: LSA Cleanpart 233482008 Edge Ring Middle Applied Materials 0021-07586 Rev 6
odysseystudios Refurbished - $900.00 1 Jul/30/13 Jun/30/16
Description: LSA Cleanpart 233555052 Chamber Insert Applied Materials 0200-00531 Rev 4
svcstore Used - $103.99 0 Jul/30/13 Aug/02/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
usedeqsales Used - $2,107.56 1 Jul/31/13 Mar/07/23
Description: AMAT Applied Materials 0010-22569 Chamber Assembly 409909-P4-ECH2 Rev. 001 As-Is
ggrassetrecovery Used - $99.00 0 Jul/31/13 Aug/07/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
usedeqsales NEW - $2,501.13 2 Jul/31/13 Dec/30/14
Description: AMAT Applied Materials 0010-12802 300mm Titan-I Head Edge Fast Tungsten Process
athomemarket NEW - $3,779.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $737.99 0 Jul/31/13 Aug/30/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,219.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,499.99 0 Jul/31/13 Aug/30/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,419.99 0 Jul/31/13 Aug/30/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,309.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,309.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $457.19 0 Jul/31/13 Aug/30/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket Used - $359.99 0 Jul/31/13 Aug/30/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
svcstore Used - $103.99 0 Jul/31/13 Aug/03/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Jul/31/13 Aug/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Jul/31/13 Aug/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $76.99 0 Jul/31/13 Aug/03/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $17.99 0 Jul/31/13 Aug/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 Used - $295.00 1 Aug/01/13 Aug/06/13
Description: APPLIED MATERIALS 0010-04514 LASER MODULE
pohyh Used - $1,750.00 0 Aug/01/13 Jul/03/20
Description: 208 Applied Materials Model VAD610664 0190-01893
pohyh Used - $3,000.00 0 Aug/01/13 Oct/02/13
Description: 203 Applied Materials 24V P.S. ASSEMBLY 0010-20211 REV F 2188
j316gallery Used - $334.27 6 Aug/01/13 May/19/22
Description: 234 APPLIED MATERIALS ASSY, LLB, LEFT, 0010-20291 0100-20073
j316gallery Used - $345.97 0 Aug/01/13 May/18/23
Description: 190 APPLIED MATERIALS WAFER, 8" ALN, NOTCH WXZ 0200-10001
j316gallery Used - $33.43 0 Aug/01/13 Jul/28/22
Description: 186 APPLIED MATERIALS 300MM OUTER SHIELD GROUND PLUNGER CAP 0020-42948
bobsgoodies NEW - $125.00 0 Aug/01/13 Aug/14/13
Description: Applied Materials 0050-42474 1/2" VCR SS Flexible Hose 112172 OAL 39 1/4"
surplus-q Used - $199.00 0 Jul/31/13 Mar/25/14
Description: Applied Materials AKT 0023-74178 rev 002 Gate Valve Housing Flange Throttle
kinneycorp Used - $240.68 0 Aug/01/13 Aug/11/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales NEW - $458.13 0 Aug/01/13 Dec/04/14
Description: AMAT Applied Materials 0020-40569 Conversion Plate Robot New
surplus-q Used - $200.00 0 Aug/01/13 Sep/15/14
Description: Applied Materials AKT P-CH Interlock Board 0100-71261 Rev 01
surplus-q Used - $200.00 0 Aug/01/13 Sep/15/14
Description: AKT Applied Materials Chamber Control CVD 25K Board 0100-71121
bruce135 Used - $499.00 0 Aug/01/13 Aug/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket Used - $382.49 0 Aug/01/13 Aug/31/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Scrap, for parts - $3,149.99 0 Aug/01/13 Aug/31/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $4,949.99 0 Aug/01/13 Aug/31/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
supertechshop NEW - $199.00 0 Aug/01/13 Aug/31/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
bring_recycling NEW - $3.99 0 Aug/01/13 Aug/08/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
usedeqsales NEW - $358.13 0 Aug/02/13 May/05/15
Description: AMAT Applied Materials 0270-01156 Cal Tool Heater-To-Robot 300mm ESC New
usedeqsales NEW - $3,508.13 0 Aug/02/13 May/01/15
Description: AMAT Applied Materials 0010-47411 3/4" Hose Assembly 75' Sup/Ret High Temp New
usedeqsales NEW - $458.13 0 Aug/02/13 May/05/15
Description: AMAT Applied Materials 0150-19999 ASTRONex Power 2 Cable Assembly New
bobsgoodies NEW - $29.00 0 Aug/02/13 Aug/14/13
Description: Applied Materials 3060-01116 Ball Bearing .500 ID X .750 OD X .156 W.
yayais2012 Used - $110.00 0 Aug/02/13 Sep/01/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
usedeqsales Used - $605.13 2 Aug/02/13 Dec/05/17
Description: AMAT Applied Materials 0190-27952 ConnectPort TS 16 50001344-01 Used Working
athomemarket NEW - $1,529.99 0 Aug/02/13 Sep/01/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,619.99 0 Aug/02/13 Sep/01/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
yayais2012 Used - $110.00 0 Aug/02/13 Sep/01/13
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $78.00 0 Aug/02/13 Sep/01/13
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 Used - $75.00 0 Aug/02/13 Sep/01/13
Description: APPLIED MATERIALS 0041-12354 REV 03
athomemarket Used - $188.99 0 Aug/02/13 Sep/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
usedeqsales Used - $508.13 0 Aug/02/13 May/04/15
Description: AMAT Applied Materials 0090-06586 Rack Tool Control Server Rev. 01 Used Working
athomemarket Used - $2,106.89 0 Aug/02/13 Sep/01/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $179.99 0 Aug/02/13 Sep/01/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $103.99 0 Aug/02/13 Aug/05/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
neilan1987 NEW - $299.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
neilan1987 NEW - $99.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
neilan1987 Used - $89.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
neilan1987 NEW - $199.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 NEW - $199.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
allpart2013 Used - $300.00 0 Aug/03/13 Sep/09/14
Description: APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD 30 DAY WARRANTY
yayais2012 Used - $350.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $350.00 0 Aug/03/13 Sep/02/13
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Used - $250.00 0 Aug/03/13 Sep/02/13
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Used - $250.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
yayais2012 Used - $250.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $250.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Scrap, for parts - $250.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $250.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $180.00 1 Aug/03/13 Aug/07/13
Description: Applied Materials 0110-01995 Analog I/O Board
athomemarket NEW - $89.99 0 Aug/03/13 Sep/02/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Refurbished - $138.59 0 Aug/03/13 Sep/02/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $68.39 0 Aug/03/13 Sep/02/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $680.39 0 Aug/03/13 Sep/02/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Used - $691.19 0 Aug/03/13 Sep/02/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket NEW - $70.19 0 Aug/03/13 Sep/02/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Used - $269.99 0 Aug/03/13 Sep/02/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
svcstore Used - $103.99 0 Aug/03/13 Aug/06/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Aug/03/13 Aug/06/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Aug/03/13 Aug/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $76.99 0 Aug/03/13 Aug/06/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
trees_for_a_better_tomorrow NEW - $250.00 0 Aug/03/13 Aug/10/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
trees_for_a_better_tomorrow Used - $350.00 0 Aug/03/13 Aug/10/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $100.00 0 Aug/03/13 Aug/10/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
imca00 Scrap, for parts - $1,550.00 1 Aug/04/13 Aug/09/13
Description: Applied Materials AMAT Vectra IMP Source Box for PVD Chamber p/n: 0010-13622
imca00 Scrap, for parts - $1,500.00 0 Aug/04/13 Aug/09/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
athomemarket NEW - $305.99 0 Aug/04/13 Sep/03/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $802.79 0 Aug/04/13 Sep/03/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
svcstore Used - $17.99 0 Aug/04/13 Aug/07/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 Used - $320.00 0 Aug/05/13 Sep/04/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 Used - $850.00 0 Aug/05/13 Sep/04/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $15.00 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $850.00 0 Aug/05/13 Sep/04/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $220.00 0 Aug/05/13 Sep/04/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $320.00 0 Aug/05/13 Sep/04/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
yayais2012 Used - $89.00 0 Aug/05/13 Sep/04/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $320.00 0 Aug/05/13 Sep/04/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
usedeqsales Used - $308.13 0 Aug/05/13 Aug/05/13
Description: AMAT Applied Materials 0540-00083 Filter Assembly Working
wedone NEW - $275.00 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT 0240-76382 Centura robot Cal tool kit, standard
supertechshop NEW - $299.00 0 Aug/05/13 Sep/04/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop NEW - $299.00 0 Aug/05/13 Sep/04/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
athomemarket NEW - $1,006.19 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $368.99 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $372.59 0 Aug/05/13 Sep/04/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
supertechshop NEW - $995.00 0 Aug/05/13 Sep/04/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $99.00 0 Aug/05/13 Sep/04/13
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop NEW - $399.00 0 Aug/05/13 Sep/04/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Aug/05/13 Sep/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Aug/05/13 Sep/04/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
bjnaf4 NEW - $875.60 0 Aug/05/13 Sep/04/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
svcstore Used - $103.99 0 Aug/05/13 Aug/08/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
supertechshop Used - $99.99 0 Aug/06/13 Sep/05/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Aug/06/13 Sep/05/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop Used - $499.00 0 Aug/06/13 Sep/05/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Aug/06/13 Sep/05/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Aug/06/13 Sep/05/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Aug/06/13 Sep/05/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
supertechshop Used - $149.00 0 Aug/06/13 Sep/05/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 Aug/06/13 Sep/05/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop NEW - $399.00 0 Aug/06/13 Sep/05/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
usedeqsales Used - $308.13 0 Aug/06/13 May/05/15
Description: AMAT Applied Materials 0190-27313 Capacitance/Pirani Gauge Used Working
electronicswest NEW - $200.00 0 Aug/06/13 Aug/13/13
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
athomemarket Scrap, for parts - $98.99 0 Aug/06/13 Sep/05/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,079.99 0 Aug/06/13 Sep/05/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,079.99 0 Aug/06/13 Sep/05/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Refurbished - $416.69 0 Aug/06/13 Sep/05/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
svcstore Used - $76.99 0 Aug/06/13 Aug/09/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $103.99 0 Aug/06/13 Aug/09/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore NEW - $499.99 0 Aug/06/13 Aug/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Aug/06/13 Aug/09/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
ggrassetrecovery Used - $99.00 0 Aug/07/13 Aug/14/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
shadow15b NEW - $125.00 0 Aug/07/13 May/08/14
Description: APPLIED MATERIALS AMAT ADO-R UTILITY CART 300MM / 0190-22462 / 408412-WK / NEW
athomemarket NEW - $863.09 0 Aug/07/13 Sep/06/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $80.99 0 Aug/07/13 Sep/06/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $366.29 0 Aug/07/13 Sep/06/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket Used - $2,969.99 0 Aug/07/13 Sep/06/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Used - $17.99 0 Aug/07/13 Aug/10/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
usedeqsales Used - $2,008.13 0 Aug/07/13 Oct/09/14
Description: AMAT Applied Materials 9090-00945 ITL MAG PSU Assembly XR80 Used Working
hot!cardz NEW - $22,000.00 0 Aug/08/13 Aug/18/13
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
allpart2013 Used - $1,500.00 0 Aug/08/13 Jun/04/17
Description: APPLIED MATERIALS ELITE DIGITIZER BOARD 0190-16521 30 DAY WARRANTY
semisparesinc NEW - $190.00 1 Aug/08/13 Nov/05/14
Description: AMAT Applied Materials 0150-90666 F/O/, T1, 12000mm
electronicswest NEW - $500.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0190-23501 TS Heated Weldment 17.75 , KF40/50
ptb-sales Used - $1,800.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $250.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $460.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $750.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Scrap, for parts - $5,000.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $225.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,500.00 3 Aug/08/13 Aug/09/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
electronicswest NEW - $50.00 0 Aug/08/13 Aug/15/13
Description: Applied Materials AMAT 0010-30659 Lid Lift Fixture Tetra II
logansemi Used - $145.00 3 Aug/08/13 Sep/08/13
Description: AMAT Applied Materials Wafer Lift Ring, 0020-10059
surpluskobay Used - $735.00 0 Aug/08/13 Sep/07/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surpluskobay Used - $698.00 0 Aug/08/13 Sep/07/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
svcstore Used - $103.99 0 Aug/08/13 Aug/11/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bring_recycling NEW - $3.99 0 Aug/09/13 Aug/16/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
yayais2012 Used - $170.00 0 Aug/09/13 Sep/08/13
Description: APPLIED MATERIALS 0010-04235 REV. 002
electronicswest NEW - $75.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0021-30912 Single Coil Tilt Ring , .20
electronicswest NEW - $75.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0021-30902 Single Coil Tilt Ring , .30
electronicswest NEW - $100.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0150-76186 EMC Comp., Cable Assy , Pump Umbilical
electronicswest Used - $2,000.00 0 Aug/09/13 Aug/12/13
Description: Applied Materials AMAT 0040-70407 Rev 002 PEDESTAL ASSY, EQ POTENTIAL,PHOTOMASK
athomemarket Refurbished - $101.69 0 Aug/09/13 Sep/08/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $190.79 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $190.79 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
ptb-sales Used - $2,500.00 0 Aug/09/13 Aug/19/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
neilan1987 NEW - $279.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
electronicswest NEW - $75.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
electronicswest NEW - $75.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0021-30913 Single Coil Tilt Ring , .25
athomemarket NEW - $211.49 0 Aug/09/13 Sep/08/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $81.89 0 Aug/09/13 Sep/08/13
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
athomemarket NEW - $237.59 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
svcstore Used - $76.99 0 Aug/09/13 Aug/12/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
dvkelectronics Used - $6,500.00 0 Aug/09/13 Sep/08/13
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
svcstore NEW - $499.99 0 Aug/09/13 Aug/12/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $103.99 0 Aug/09/13 Aug/12/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $50.99 0 Aug/09/13 Aug/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
neilan1987 NEW - $79.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
neilan1987 NEW - $199.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 NEW - $199.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 Used - $79.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
athomemarket Refurbished - $101.99 1 Aug/10/13 Aug/16/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
athomemarket Used - $294.29 0 Aug/10/13 Sep/09/13
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket Refurbished - $175.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $175.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $193.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $193.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $175.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $465.29 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket NEW - $446.39 0 Aug/10/13 Sep/09/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $91.79 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket Used - $816.99 3 Aug/10/13 Aug/19/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
svcstore Used - $17.99 0 Aug/10/13 Aug/13/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
mattron747 Scrap, for parts - $200.00 1 Aug/10/13 Aug/27/13
Description: Applied Materials 0190-70060 Kollmorgen Magnet Driver
dr.fantom Used - $500.00 0 Aug/11/13 Feb/12/15
Description: Applied Materials AMAT 0100-20012 PCB ASSY ISOLATION AMPLIFIER
yayais2012 Used - $115.00 1 Aug/11/13 Sep/10/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
imca00 Scrap, for parts - $2,000.00 0 Aug/11/13 Sep/30/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
supertechshop NEW - $299.00 0 Aug/11/13 Sep/10/13
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop Used - $99.99 0 Aug/11/13 Sep/10/13
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $99.00 0 Aug/11/13 Sep/10/13
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Aug/11/13 Sep/10/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
imca00 Used - $2,499.00 0 Aug/11/13 Aug/16/13
Description: Comdel CDX-1000 AMAT Applied Materials Dual Frequency RF Generator pn 0190-07242
imca00 Used - $2,499.00 0 Aug/11/13 Aug/16/13
Description: AMAT Applied Materials Centura Generator Rack p/n: 0290-70082
imca00 NEW - $3,499.00 0 Aug/11/13 Aug/14/13
Description: AE Advanced Energy AMAT Applied Materials HFV 8000 RF Generator p/n: 0190-13203
athomemarket NEW - $177.29 0 Aug/11/13 Sep/10/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket Refurbished - $375.29 0 Aug/11/13 Sep/10/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket Refurbished - $186.29 0 Aug/11/13 Sep/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
imca00 NEW - $4,999.00 0 Aug/11/13 Aug/16/13
Description: AE Advanced Energy AMAT Applied Materials MDX-L12M RF Generator p/n: 0242-75337
svcstore Used - $103.99 0 Aug/11/13 Aug/14/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bobsgoodies NEW - $85.00 0 Aug/12/13 Aug/14/13
Description: Applied Materials 0150-90012 Fiber Optic Cable Assembly
chriswebber16 NEW - $499.99 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
electronicswest Used - $500.00 0 Aug/12/13 Aug/15/13
Description: Applied Materials AMAT 0040-70407 Rev 002 PEDESTAL ASSY, EQ POTENTIAL,PHOTOMASK
athomemarket Used - $101.69 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $147.59 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Used - $235.79 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $146.69 0 Aug/12/13 Sep/11/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Refurbished - $141.29 0 Aug/12/13 Sep/11/13
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $242.99 0 Aug/12/13 Sep/11/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $209.69 0 Aug/12/13 Sep/11/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $55.79 0 Aug/12/13 Sep/11/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $118.79 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
neilan1987 NEW - $49.99 0 Aug/12/13 Aug/19/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
neilan1987 Used - $59.99 0 Aug/12/13 Aug/19/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
testeqe NEW - $812.49 1 Aug/13/13 Aug/30/13
Description: NEW AMAT/Applied Materials PN: 0010-00070 Stepper Motor 2.25" VAC
athomemarket Used - $2,159.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket NEW - $124.19 0 Aug/13/13 Sep/12/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $133.19 0 Aug/13/13 Sep/12/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
usedeqsales Scrap, for parts - $604.07 1 Aug/13/13 Dec/04/13
Description: AMAT Applied Materials 0010-28715 Heater/Purge Ring Assembly 300mm As-Is
athomemarket Used - $2,969.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,599.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
allpart2013 Used - $350.00 0 Aug/14/13 Sep/09/14
Description: Applied Materials Digital I/O Board AMAT 0100-20003
ntsurplus302 Used - $200.00 0 Aug/14/13 Jan/18/15
Description: 2805 Applied Materials 0021-07984 Outer Shield
ntsurplus302 Used - $400.00 0 Aug/14/13 Jan/18/15
Description: 2803 Applied Materials P/N: 0020-84596 Faceplate
electronicswest NEW - $75.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
electronicswest NEW - $75.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0150-76186 EMC Comp., Cable Assy , Pump Umbilical
ntsurplus302 Used - $450.00 0 Aug/14/13 Jan/18/15
Description: 2802 Applied Materials P/N: 0040-50893
electronicswest NEW - $55.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0021-30913 Single Coil Tilt Ring , .25
electronicswest NEW - $55.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0021-30902 Single Coil Tilt Ring , .30
electronicswest NEW - $55.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0021-30912 Single Coil Tilt Ring , .20
electronicswest NEW - $100.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
ntsurplus302 Used - $250.00 0 Aug/14/13 Jan/18/15
Description: 2812 Applied Materials 0200-36399 Ceramic Ring
ntsurplus302 Used - $450.00 0 Aug/14/13 Jan/18/15
Description: 2811 Applied Materials 0200-02421 Isolator
usedeqsales Used - $352.10 1 Aug/14/13 Oct/17/18
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
ntsurplus302 Used - $450.00 0 Aug/14/13 Jan/18/15
Description: 2806 Applied Materials P/N: 0040-34416
ntsurplus302 Used - $280.00 0 Aug/14/13 Jan/18/15
Description: 2825 Set of 2 Applied Materials P/N: 0020-62770 & 0020-62771. Screens
ntsurplus302 Used - $120.00 0 Aug/14/13 Jan/18/15
Description: 2824 Applied Materials P/N: 0020-48183
athomemarket Refurbished - $98.99 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket NEW - $372.59 0 Aug/14/13 Sep/13/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $147.59 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
ntsurplus302 Used - $250.00 0 Aug/14/13 Jan/18/15
Description: 2819 Applied Materials P/N: 0020-13660 Lift Hoop
ntsurplus302 Used - $480.00 0 Aug/14/13 Jan/18/15
Description: 2818 Applied Materials P/N: 0040-79996
ggrassetrecovery Used - $99.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
ntsurplus302 Used - $700.00 0 Aug/14/13 Jan/18/15
Description: 2831 Applied Materials Circuit Breaker Assy. P/N: 0090-04064
athomemarket Refurbished - $2,293.19 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $468.89 0 Aug/14/13 Sep/13/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket NEW - $16.99 0 Aug/14/13 Aug/20/13
Description: NEW AMAT Sylvania Halogen Heater Lamp 120V/750W 0190-35602 Applied Materials IPS
ntsurplus302 Used - $700.00 0 Aug/14/13 Jan/18/15
Description: 2834 Applied Materials Circuit Breaker Assy. P/N: 0090-04064
neilan1987 NEW - $1,499.99 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
usedeqsales Used - $508.13 0 Aug/15/13 Aug/15/14
Description: AMAT Applied Materials 0010-75090 Stand Alone VGA Monitor Base Precision 5000
usedeqsales NEW - $308.13 1 Aug/15/13 Dec/05/13
Description: AMAT Applied Materials 0040-43516 Wide Blade Nitronic 60 Insert Lot of 2 New
hot!cardz NEW - $99,500.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials
hot!cardz NEW - $9,500.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $1,500.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $29,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
hot!cardz NEW - $6,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
electronicswest NEW - $50.00 0 Aug/15/13 Aug/22/13
Description: Applied Materials AMAT 0010-30659 Lid Lift Fixture Tetra II
electronicswest Used - $400.00 0 Aug/15/13 Aug/22/13
Description: Applied Materials AMAT 0040-70407 Rev 002 PEDESTAL ASSY, EQ POTENTIAL,PHOTOMASK
athomemarket Used - $57.59 0 Aug/15/13 Sep/14/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $80.99 0 Aug/15/13 Sep/14/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $4,319.99 0 Aug/15/13 Sep/14/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket NEW - $162.99 1 Aug/15/13 Aug/20/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
athomemarket NEW - $191.69 0 Aug/15/13 Sep/14/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
svcstore Used - $15.99 0 Aug/15/13 Aug/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Aug/15/13 Aug/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $349.99 0 Aug/15/13 Aug/18/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $95.99 0 Aug/15/13 Aug/18/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $70.99 0 Aug/15/13 Aug/18/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $95.99 0 Aug/15/13 Aug/18/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $46.99 0 Aug/15/13 Aug/18/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
bring_recycling NEW - $3.99 0 Aug/16/13 Aug/23/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
supertechshop Used - $299.00 0 Aug/16/13 Sep/15/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $299.00 0 Aug/16/13 Sep/15/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop NEW - $399.00 0 Aug/16/13 Sep/15/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $149.00 0 Aug/16/13 Sep/15/13
Description: AMAT Manifold Out Left Chamber Lid SACVD 0040-87102 Applied Materials
supertechshop Used - $199.00 0 Aug/16/13 Sep/15/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Aug/16/13 Sep/15/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $499.00 0 Aug/16/13 Sep/15/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $299.00 0 Aug/16/13 Sep/15/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $99.99 0 Aug/16/13 Sep/15/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $399.00 0 Aug/16/13 Sep/15/13
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
gesemiconductor NEW - $400.00 2 Aug/16/13 Apr/14/15
Description: New Applied Materials 0020-33808 Adapter Gas Feed DPS+ Poly
athomemarket NEW - $227.69 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket Used - $4,019.39 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $5,579.99 0 Aug/16/13 Sep/15/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $128.69 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $89.99 0 Aug/16/13 Sep/15/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
neilan1987 NEW - $99.99 0 Aug/16/13 Aug/23/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
comp24seven-2000 Used - $150.00 1 Aug/16/13 Feb/07/14
Description: Applied Materials 0190-24007 CPCI-3720
usedeqsales NEW - $808.13 0 Aug/14/13 Dec/04/14
Description: AMAT Applied Materials 0021-16287 Lower Narrow Neck Shield 300mm New
neilan1987 NEW - $399.99 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
neilan1987 NEW - $279.99 0 Aug/16/13 Aug/23/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 NEW - $279.99 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 Used - $129.99 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
yayais2012 NEW - $170.00 0 Aug/17/13 Sep/16/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $380.00 0 Aug/17/13 Sep/16/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $95.00 0 Aug/17/13 Sep/16/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $180.00 0 Aug/17/13 Sep/16/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $215.00 0 Aug/17/13 Sep/16/13
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
yayais2012 NEW - $70.00 0 Aug/17/13 Sep/16/13
Description: Applied Materials 0190-10270 Diamond Pad Cond
athomemarket Refurbished - $195.29 0 Aug/17/13 Sep/16/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
athomemarket Used - $297.89 0 Aug/17/13 Sep/16/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket Used - $261.89 0 Aug/17/13 Sep/16/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $175.49 0 Aug/17/13 Sep/16/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
allpart2013 Used - $400.00 0 Aug/18/13 Sep/02/19
Description: Applied Materials AMAT FINGER ASSY PEEK, LONG, WALKING BEAM 300mm 0010-15708
athomemarket NEW - $95.39 0 Aug/18/13 Sep/17/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 Aug/18/13 Sep/17/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $284.39 0 Aug/18/13 Sep/17/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
svcstore Used - $70.99 0 Aug/18/13 Aug/21/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $499.99 0 Aug/18/13 Aug/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $15.99 0 Aug/18/13 Aug/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Aug/18/13 Aug/21/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $95.99 0 Aug/18/13 Aug/21/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $95.99 0 Aug/18/13 Aug/21/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $46.99 0 Aug/18/13 Aug/21/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
getsurplusherenow NEW - $495.00 0 Aug/19/13 Aug/24/13
Description: Applied Materials; W/B BARATRON ADAPTER P/N 0040-20733
getsurplusherenow NEW - $35.00 1 Aug/19/13 Jul/31/17
Description: Applied Materials; Cable ASSY SYS EXP 5EFDIOBLKHD, P/N 0150-21039
getsurplusherenow NEW - $725.00 1 Aug/19/13 Apr/23/15
Description: Applied Materials; Assy PCB System Expansion, P/N 0100-20152
getsurplusherenow NEW - $1,950.00 0 Aug/19/13 Dec/11/13
Description: KIT, ELEC PVD CHAMBER Applied Materials; P/N 0240-20073
getsurplusherenow NEW - $1,950.00 0 Aug/19/13 Dec/11/13
Description: Assy PVD Chamber Driver, Applied Materials P/N 0010-20079
ptb-sales Used - $1,800.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $225.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $460.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $250.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $3,000.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Scrap, for parts - $5,000.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $780.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
electronicswest NEW - $250.00 0 Aug/19/13 Aug/26/13
Description: Applied Materials AMAT 0190-23501 TS Heated Weldment 17.75 , KF40/50
usedeqsales Used - $604.07 1 Aug/19/13 Jan/28/14
Description: AMAT Applied Materials 1080-90117 Servo Motor S32 With End Effector Kit Working
pete-sigep Used - $475.00 0 Aug/19/13 Sep/18/13
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
athomemarket Scrap, for parts - $2,999.99 0 Aug/19/13 Aug/26/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,499.99 0 Aug/19/13 Aug/26/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket NEW - $283.49 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Scrap, for parts - $1,599.99 0 Aug/19/13 Aug/26/13
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
athomemarket Used - $1,095.29 0 Aug/19/13 Sep/18/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $825.29 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $511.19 0 Aug/19/13 Sep/18/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $100.79 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket Used - $2,799.99 0 Aug/19/13 Aug/26/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
hot!cardz NEW - $22,000.00 0 Aug/19/13 Aug/29/13
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
yayais2012 Used - $220.00 0 Aug/20/13 Sep/19/13
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 Used - $780.00 0 Aug/20/13 Sep/19/13
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
neilan1987 Used - $59.99 0 Aug/19/13 Sep/18/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 NEW - $39.99 0 Aug/19/13 Sep/18/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
red-tag-liquidators NEW - $30.00 0 Aug/20/13 Sep/04/17
Description: Applied Materials Cable 0620-02083 CTI Cryogenics 8135193G020 AMAT (C13-341
red-tag-liquidators NEW - $40.00 1 Aug/20/13 Sep/04/17
Description: Applied Materials Cable Assy 0620-03940 CTI Cryogenics 8186532G005 AMAT (C13-340
red-tag-liquidators NEW - $7.00 2 Aug/20/13 Sep/04/17
Description: Applied Materials HD15 Plug 0190-19484 CTI Cryogenics 8186530G001 AMAT (C13-344)
red-tag-liquidators NEW - $80.00 0 Aug/20/13 Sep/04/17
Description: Applied Materials 50' Cable 0620-03943 CTI Cryogenics 8186544G050 AMAT (C12-343)
red-tag-liquidators NEW - $60.00 2 Aug/20/13 Sep/04/17
Description: Applied Materials 10' Cable 0620-03942 CTI Cryogenics 8186542G010 AMAT (C13-342)
gesemiconductor Used - $60.00 10 Aug/20/13 Oct/20/16
Description: Applied Materials 0200-35293 Window Manometer Port DPS Metal
athomemarket NEW - $78.29 0 Aug/20/13 Sep/19/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $555.29 0 Aug/20/13 Sep/19/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $269.99 0 Aug/20/13 Sep/19/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
kingprecision NEW - $425.00 0 Aug/20/13 Oct/01/21
Description: Applied Materials 0190-13257 T/C Assy Thermocouple AMAT
athomemarket NEW - $101.69 0 Aug/21/13 Sep/20/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $66.59 0 Aug/21/13 Sep/20/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $170.99 0 Aug/21/13 Sep/20/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
athomemarket NEW - $1,529.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,619.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $449.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $78.29 0 Aug/21/13 Sep/20/13
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
bruce135 Used - $299.00 0 Aug/21/13 Sep/20/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
svcstore Used - $70.99 0 Aug/21/13 Aug/24/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore NEW - $499.99 0 Aug/21/13 Aug/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $15.99 0 Aug/21/13 Aug/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
supertechshop Used - $499.00 0 Aug/21/13 Sep/20/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
svcstore Used - $349.99 0 Aug/21/13 Aug/24/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
supertechshop Used - $299.00 0 Aug/21/13 Sep/20/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svcstore Used - $95.99 0 Aug/21/13 Aug/24/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $95.99 0 Aug/21/13 Aug/24/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
sellyoursurplus Used - $250.00 0 Aug/21/13 Aug/28/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $75.00 0 Aug/21/13 Aug/28/13
Description: Lot of 20 New OEM Genuine Applied Materials AMAT Lamp Bulbs 0190-14083
sellyoursurplus NEW - $250.00 0 Aug/21/13 Aug/28/13
Description: New OEM Genuine AMAT Applied Materials 0040-96157 300mm Slit Valve Door
svcstore Used - $46.99 0 Aug/21/13 Aug/24/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
sellyoursurplus NEW - $250.00 0 Aug/21/13 Aug/28/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
sellyoursurplus Used - $600.00 0 Aug/21/13 Aug/28/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
tripledirect Used - $8,100.00 0 Aug/22/13 Sep/21/13
Description: Applied Materials Magnet Model # 0010-21810
megawavz Used - $395.00 0 Aug/22/13 Sep/21/13
Description: Applied Materials AMAT Arc Linear Board 0120-90758
ggrassetrecovery Used - $99.00 0 Aug/22/13 Aug/29/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
electronicswest NEW - $100.00 0 Aug/22/13 Aug/29/13
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
electronicswest NEW - $55.00 0 Aug/22/13 Aug/29/13
Description: Applied Materials AMAT 0150-76186 EMC Comp., Cable Assy , Pump Umbilical
electronicswest NEW - $55.00 0 Aug/22/13 Aug/29/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
athomemarket NEW - $281.69 0 Aug/22/13 Sep/21/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $463.49 0 Aug/22/13 Sep/21/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
gesemiconductor Used - $8,500.00 0 Aug/22/13 Apr/02/15
Description: Applied Materials 0021-01421 DPS Metal Upper Chamber Body (non-assembly)
athomemarket Used - $3,599.99 0 Aug/22/13 Sep/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
kingprecision NEW - $525.00 1 Aug/22/13 Oct/20/13
Description: Applied Materials 0150-76028 O/D TC Degas Unified Wire AMAT
athomemarket Used - $215.99 0 Aug/22/13 Sep/21/13
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
yayais2012 Used - $180.00 0 Aug/22/13 Sep/21/13
Description: Applied Materials 0110-01995 Analog I/O Board
bring_recycling NEW - $3.99 0 Aug/23/13 Aug/30/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
sellyoursurplus NEW - $250.00 0 Aug/23/13 Aug/30/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $99.00 0 Aug/23/13 Aug/30/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
sellyoursurplus Used - $115.00 0 Aug/23/13 Aug/30/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $89.00 0 Aug/23/13 Aug/30/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $750.00 0 Aug/23/13 Aug/30/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus NEW - $250.00 0 Aug/23/13 Aug/30/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $95.00 0 Aug/23/13 Aug/30/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $995.00 0 Aug/23/13 Aug/30/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $350.00 0 Aug/23/13 Aug/30/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
megawavz Used - $395.00 0 Aug/23/13 Sep/22/13
Description: Applied Materials AMAT Temperature Controller w/ 0090-90145, 0090-90194 Plug-ins
athomemarket Used - $179.09 0 Aug/23/13 Sep/22/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
sellyoursurplus NEW - $125.00 0 Aug/23/13 Aug/30/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $95.00 0 Aug/23/13 Aug/30/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $95.00 0 Aug/23/13 Aug/30/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $350.00 0 Aug/23/13 Aug/30/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $100.00 0 Aug/23/13 Aug/30/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
athomemarket Used - $4,229.99 0 Aug/23/13 Sep/22/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
neilan1987 NEW - $99.99 0 Aug/23/13 Aug/30/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
ptb-sales Used - $1,800.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $460.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $250.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $225.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $780.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $4,949.99 0 Aug/29/13 Sep/28/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
ggrassetrecovery Used - $99.00 0 Aug/29/13 Sep/05/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
supertechshop NEW - $24.99 0 Aug/28/13 Sep/27/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
athomemarket NEW - $1,079.99 0 Aug/28/13 Sep/27/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,339.99 0 Aug/28/13 Sep/27/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $53.99 0 Aug/28/13 Sep/27/13
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
megawavz Used - $495.00 0 Aug/28/13 Sep/27/13
Description: AMAT Applied Materials 0120-90718 Beam Profiler Interface Board
svcstore Used - $46.99 0 Aug/27/13 Aug/30/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $95.99 0 Aug/27/13 Aug/30/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $15.99 0 Aug/27/13 Aug/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Aug/27/13 Aug/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $349.99 0 Aug/27/13 Aug/30/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $95.99 0 Aug/27/13 Aug/30/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
gesemiconductor Used - $8,500.00 0 Aug/27/13 Sep/07/17
Description: Applied Materials 0010-70679 Assembly Lid CENT VHP + 4" LP Waffer Sensor Upgrade
gesemiconductor Used - $420.00 1 Aug/27/13 May/12/14
Description: Applied Materials 0020-33804 Gas Feed Adapter DPS Metal
gesemiconductor Used - $165.00 6 Aug/27/13 Oct/20/14
Description: Applied Materials 0020-19002 Door Slit Buffer Super E Oxide
gesemiconductor NEW - $80.00 1 Aug/27/13 Mar/11/15
Description: New Applied Materials 0021-03878 Flap, Anodized, Bottom, Throttle Valve
gesemiconductor Used - $50.00 2 Aug/27/13 Apr/28/15
Description: Applied Materials 0020-33815 Blank Off Plate DPS Metal / DPS Poly
athomemarket Used - $2,520.99 0 Aug/27/13 Sep/03/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $2,250.99 0 Aug/27/13 Sep/03/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $1,440.99 0 Aug/27/13 Sep/03/13
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
ptb-sales Used - $2,500.00 0 Aug/27/13 Sep/06/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
hot!cardz NEW - $6,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $99,500.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials
hot!cardz NEW - $9,500.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $1,500.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $29,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
athomemarket Scrap, for parts - $2,700.99 0 Aug/27/13 Sep/03/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
logansemi Used - $179.00 0 Aug/27/13 Sep/03/13
Description: AMAT Applied Materials Sync Detect PWB, 0100-00132
logansemi Used - $199.00 0 Aug/27/13 Sep/03/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
logansemi Used - $229.00 0 Aug/27/13 Sep/03/13
Description: AMAT Applied Materials Butterfly Valve 0015-09077 / 0240-41052 / 0020-40380
imca00 Used - $2,499.00 0 Aug/27/13 Sep/06/13
Description: AMAT Applied Materials Centura Generator Rack p/n: 0290-70082
imca00 Used - $2,299.00 0 Aug/27/13 Sep/06/13
Description: Comdel CDX-1000 AMAT Applied Materials Dual Frequency RF Generator pn 0190-07242
semisparesinc NEW - $65.00 0 Aug/26/13 Oct/28/14
Description: AMAT Applied Materials 0020-98914 Reflector 9500 Filament
semisparesinc NEW - $375.00 2 Aug/26/13 Aug/24/14
Description: AMAT Applied Materials 0020-31492 Plate Gas Distribution
semisparesinc NEW - $200.00 0 Aug/26/13 Jul/03/16
Description: AMAT Applied Materials 0200-20151 INSULATOR,6" SMLMF PC II EXTERNAL
semisparesinc NEW - $275.00 1 Aug/26/13 Nov/05/13
Description: AMAT Applied Materials 0015-09055 Bellows Hollow
athomemarket NEW - $471.59 0 Aug/26/13 Sep/25/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
electronicswest NEW - $125.00 0 Aug/26/13 Sep/02/13
Description: Applied Materials AMAT 0190-23501 TS Heated Weldment 17.75 , KF40/50
j316gallery Used - $328.36 0 Aug/29/13 Dec/29/21
Description: 403 APPLIED MATERIALS HDPCVD ULTIMA CONDUCTANCE TUBE 0040-01227
hot!cardz NEW - $22,000.00 0 Aug/29/13 Sep/08/13
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
industrial_loot Used - $78.00 0 Aug/29/13 Sep/28/13
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
bring_recycling NEW - $3.99 0 Aug/30/13 Sep/06/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
liquiditech Used - $150.00 1 Aug/30/13 Sep/24/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
antnie NEW - $17.99 1 Aug/30/13 May/12/21
Description: Appled Materials AMAT 3700-01091 O-Ring 20" ID .210 CSD (-389) 70 Duro Viton
athomemarket Used - $819.99 1 Aug/30/13 Sep/05/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,219.99 0 Aug/30/13 Sep/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,499.99 0 Aug/30/13 Sep/29/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,309.99 0 Aug/30/13 Sep/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,309.99 0 Aug/30/13 Sep/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,419.99 0 Aug/30/13 Sep/29/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket Used - $359.99 0 Aug/30/13 Sep/29/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
athomemarket NEW - $457.19 0 Aug/30/13 Sep/29/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
ocean-tech Used - $429.99 0 Aug/30/13 Sep/29/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
svcstore Used - $15.99 0 Aug/30/13 Sep/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Aug/30/13 Sep/02/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $349.99 0 Aug/30/13 Sep/02/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $95.99 1 Aug/30/13 Sep/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $95.99 0 Aug/30/13 Sep/02/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $46.99 0 Aug/30/13 Sep/02/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
neilan1987 NEW - $99.99 0 Aug/30/13 Sep/06/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
athomemarket Scrap, for parts - $3,149.99 0 Aug/31/13 Sep/30/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $3,779.99 0 Aug/31/13 Sep/30/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $95.00 0 Aug/31/13 Sep/07/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus Used - $350.00 0 Aug/31/13 Sep/07/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus NEW - $89.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: New OEM Genuine AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $600.00 0 Aug/31/13 Sep/07/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
sellyoursurplus NEW - $995.00 0 Aug/31/13 Sep/07/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Aug/31/13 Sep/07/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus Used - $250.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
athomemarket NEW - $4,949.99 0 Aug/31/13 Sep/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus Used - $95.00 0 Aug/31/13 Sep/07/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus NEW - $125.00 0 Aug/31/13 Sep/07/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
sellyoursurplus NEW - $95.00 0 Aug/31/13 Sep/07/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus Used - $350.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
dr.fantom Used - $1,500.00 1 Sep/01/13 Sep/09/13
Description: Applied Materials AMAT 0010-30321 0021-89134-002 CENTURA TCG 300mm Rotation
sellyoursurplus NEW - $75.00 0 Aug/31/13 Sep/07/13
Description: Lot of 20 New OEM Genuine Applied Materials AMAT Lamp Bulbs 0190-14083
sellyoursurplus Used - $100.00 0 Aug/31/13 Sep/07/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $99.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
sellyoursurplus Used - $115.00 0 Aug/31/13 Sep/07/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
galacticmarketplace Used - $99.99 2 Sep/01/13 Jan/01/22
Description: APPLIED MATERIALS VGA MONITOR BASE 0010-13445 REV
athomemarket NEW - $179.99 0 Sep/01/13 Oct/01/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket NEW - $1,529.99 0 Sep/01/13 Sep/25/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,619.99 0 Sep/01/13 Sep/25/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket Used - $735.29 0 Sep/01/13 Sep/06/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
athomemarket Used - $382.49 0 Sep/01/13 Oct/01/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Used - $188.99 0 Sep/01/13 Oct/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $2,106.89 0 Sep/01/13 Oct/01/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
mattron747 Used - $500.00 0 Sep/02/13 Sep/16/13
Description: Applied Materials 0190-35198 Optical Cable Only
yayais2012 Used - $250.00 0 Sep/02/13 Oct/02/13
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Used - $250.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $75.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 Scrap, for parts - $250.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $110.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $350.00 0 Sep/02/13 Oct/02/13
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Used - $78.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 Used - $110.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $350.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $250.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $250.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $250.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
athomemarket NEW - $70.19 0 Sep/02/13 Oct/02/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $89.99 0 Sep/02/13 Oct/02/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $680.39 0 Sep/02/13 Oct/02/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Refurbished - $138.59 0 Sep/02/13 Oct/02/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $68.39 0 Sep/02/13 Oct/02/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $691.19 0 Sep/02/13 Oct/02/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Used - $269.99 0 Sep/02/13 Oct/02/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
svcstore Used - $46.99 0 Sep/06/13 Sep/09/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
bobsgoodies NEW - $1,250.00 0 Sep/04/13 Oct/28/13
Description: Applied Materials 0090-70025 Assy AC-Remote Top Panel
bobsgoodies NEW - $22.00 0 Sep/04/13 Nov/06/13
Description: Applied Materials 0190-77128 Ball Bearing 20MM ID X 37MM OD
bobsgoodies NEW - $160.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines
bobsgoodies NEW - $145.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0015-00101 Stepping Motor, Modified Slo-Syn M061-LS-546E 1.25V
bobsgoodies NEW - $39.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0200-55508 Support, 1600 PVD Module Rev 3, (Lot of 3)
bobsgoodies NEW - $250.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 1270-90350 Safedge Switch 520MM Long AMAT
bobsgoodies NEW - $125.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 3140-01035 Bearing Ball Bushing 1.0" ID X 1.56" OD X 2.250" L
bobsgoodies NEW - $700.00 0 Sep/04/13 Feb/05/14
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (10 Lamps)
bobsgoodies NEW - $80.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0150-10314 Cable Assy. SMC D-F79
bobsgoodies Used - $135.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
bobsgoodies NEW - $220.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0040-08541 Block Left Controller
bobsgoodies Used - $500.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0190-09764 Magnet Driver SCR Power Supply
bobsgoodies Used - $725.00 1 Sep/04/13 Jun/13/14
Description: Applied Materials 0190-09534 Phasetronics Single Phase Lamp Driver
bobsgoodies NEW - $175.00 1 Sep/04/13 Jun/17/14
Description: Applied Materials 0090-09027 Vexta 2-Phase Stepping Motor PH264-01B-C11
bobsgoodies NEW - $42.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0021-90515 Boss Cover Plate for 0240-91157
bobsgoodies NEW - $99.00 0 Sep/04/13 Nov/08/13
Description: APPLIED MATERIALS 0100-20071 PCB ASSY, 15V NONITOR
bobsgoodies NEW - $245.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0150-39085 Cable Assy, 50 T Baratron - 300MM Mainframe
bobsgoodies NEW - $899.00 3 Sep/04/13 Dec/19/13
Description: Applied Materials 0090-77096 Assy RTD Electronics AMAT
bobsgoodies NEW - $125.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0150-36020 Water Flow Switch3/8" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $135.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0010-13018 Control Flow Monitor Assy.
bobsgoodies Used - $79.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0090-76218 Banner Q23SN6CV50 Photoelectric Sensor NPN
bobsgoodies NEW - $135.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0040-05998 SS Shaft Approx 3/4" Daimeter 20 3/8" long
bobsgoodies NEW - $67.75 0 Sep/04/13 Jun/17/14
Description: Applied Materials 3060-01783 Thrust Bearing Set, New - unused, Barden 101H
bobsgoodies Used - $525.00 1 Sep/04/13 Apr/28/14
Description: Applied Materials 0010-98306 AIT Appllied Implant Technology Tilt Actuator Hood
bobsgoodies NEW - $265.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 3870-02286 Manual Isolation Valve, Nor-Cal Vacuum Valve
yayais2012 Used - $220.00 0 Sep/05/13 Oct/05/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
athomemarket NEW - $1,006.19 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $368.99 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $372.59 0 Sep/04/13 Oct/04/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
bjnaf4 NEW - $875.60 0 Sep/04/13 Oct/04/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket Used - $2,268.89 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,430.89 0 Sep/04/13 Oct/04/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,025.89 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
wedone NEW - $250.00 1 Sep/04/13 Sep/26/13
Description: Applied Materials AMAT 0240-76382 Centura robot Cal tool kit, standard
bobsgoodies NEW - $135.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0021-79660 P1 SHP01 Aluminum Cover
bobsgoodies Used - $75.00 1 Sep/04/13 Jun/17/14
Description: Applied Materials 1080-01142 Motor Chopper Assy Series H 82334.5 CW Crouzet
bobsgoodies NEW - $119.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0190-00211 Wasco SV128-31W2B-X/7575 Set 190 Torr Operate Decr
bobsgoodies NEW - $44.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 3060-01616 Ball Bearing, MIRRA CMP
bobsgoodies NEW - $179.00 2 Sep/04/13 Jun/17/14
Description: Applied Materials 0010-76231 SMC ISE4-T1-25 PRESSURE SWITCH
visionsemi Used - $850.00 0 Sep/05/13 Oct/05/13
Description: APPLIED MATERIALS AMAT TILT OUT CASSETTE ENDURA PVD 200MM 8" 0020-75108
d-dog0818 Scrap, for parts - $74.95 0 Sep/05/13 Sep/12/13
Description: AMAT Applied Materials 0010-70386 Rev. A Stand Alone VGA Monitor Base
ggrassetrecovery Used - $99.00 0 Sep/05/13 Sep/12/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
yayais2012 Used - $89.00 0 Sep/05/13 Oct/05/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $320.00 1 Sep/05/13 Sep/24/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
usedeqsales Used - $509.13 0 Sep/05/13 May/04/15
Description: AMAT Applied Materials 0010-09933 HV Module ESC Assembly Used Working
yayais2012 Used - $320.00 0 Sep/05/13 Oct/05/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $320.00 1 Sep/05/13 Sep/24/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 Used - $850.00 0 Sep/05/13 Oct/05/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $15.00 0 Sep/05/13 Oct/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $850.00 0 Sep/05/13 Oct/05/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
athomemarket NEW - $863.09 0 Sep/06/13 Oct/06/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket Used - $366.29 0 Sep/06/13 Oct/06/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $80.99 0 Sep/06/13 Oct/06/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
neilan1987 NEW - $99.99 0 Sep/06/13 Sep/13/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
bring_recycling NEW - $3.99 0 Sep/06/13 Sep/13/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
supertechshop NEW - $299.00 0 Sep/06/13 Oct/06/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop NEW - $299.00 0 Sep/06/13 Oct/06/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $95.99 0 Sep/05/13 Sep/08/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $15.99 0 Sep/05/13 Sep/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Sep/05/13 Sep/08/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
odysseystudios NEW - $1,200.00 3 Sep/05/13 Oct/15/13
Description: NEW Applied Materials 0040-95475 TEOS HIGH DEP RATE, 300MM Face Plate
athomemarket Refurbished - $416.69 0 Sep/05/13 Oct/05/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Scrap, for parts - $98.99 0 Sep/05/13 Oct/05/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,079.99 0 Sep/05/13 Oct/05/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,079.99 0 Sep/05/13 Oct/05/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $2,969.99 0 Sep/06/13 Oct/06/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Used - $349.99 0 Sep/05/13 Sep/08/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
d-dog0818 Used - $1,149.95 0 Sep/05/13 Sep/12/13
Description: AMAT Applied Materials 0010-09181 Precision 5000 DC Power Supply - Working
athomemarket Scrap, for parts - $1,296.89 0 Sep/04/13 Oct/04/13
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
bobsgoodies Used - $399.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0010-20932 Index Motor Assy, Vexta A3723-9215
bobsgoodies NEW - $85.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0150-36785 Wire harness with 2 Banner SM312FV Photo Sensors
bobsgoodies NEW - $97.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0040-03508 Feedthrough Upper SWLL, 300MM (Assy: 0242-09885)
bobsgoodies NEW - $575.00 1 Sep/04/13 May/19/14
Description: Applied Materials 0010-02475 Assy, Valve, Mechanical Parker FWC Valve
surplusexiting Used - $735.00 0 Sep/08/13 Oct/08/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surplusexiting Used - $698.00 0 Sep/08/13 Oct/08/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
athomemarket Refurbished - $101.69 0 Sep/08/13 Oct/08/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $190.79 0 Sep/08/13 Oct/08/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $190.79 0 Sep/08/13 Oct/08/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $237.59 0 Sep/08/13 Oct/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
dvkelectronics Used - $6,500.00 0 Sep/08/13 Oct/08/13
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
svcstore NEW - $499.99 0 Sep/08/13 Sep/11/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $349.99 0 Sep/08/13 Sep/11/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
wideerp01 NEW - $400.00 0 Sep/08/13 Sep/15/13
Description: Applied Materials Delta Nitride,Throttle Valve 0010-76175
svcstore Used - $15.99 0 Sep/08/13 Sep/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $95.99 0 Sep/08/13 Sep/11/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
hot!cardz NEW - $6,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $22,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
hot!cardz NEW - $29,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
hot!cardz NEW - $1,500.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $9,500.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $99,500.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials
hot!cardz NEW - $14,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
usedeqsales Used - $359.13 0 Sep/09/13 Mar/28/22
Description: AMAT Applied Materials 9090-00273 DeviceNet I/O Block DIP294-2 Used Working
athomemarket NEW - $211.49 0 Sep/09/13 Oct/09/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $81.89 0 Sep/09/13 Oct/09/13
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
athomemarket Used - $294.29 0 Sep/09/13 Oct/09/13
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket NEW - $446.39 0 Sep/09/13 Oct/09/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $91.79 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket Refurbished - $175.49 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $175.49 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $193.49 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $175.49 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $465.29 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $193.49 1 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
ptb-sales Used - $750.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $250.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $3,000.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $225.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $460.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $780.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Scrap, for parts - $5,000.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials Chamber AMAT 0040-07498
supertechshop NEW - $399.00 0 Sep/09/13 Oct/09/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop Used - $499.00 0 Sep/09/13 Oct/09/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Sep/09/13 Oct/09/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Sep/09/13 Oct/09/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Sep/09/13 Oct/09/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
ptb-sales Used - $1,800.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
supertechshop NEW - $699.00 0 Sep/09/13 Oct/09/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $99.00 0 Sep/09/13 Oct/09/13
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop Used - $99.99 0 Sep/09/13 Oct/09/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Sep/09/13 Oct/09/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $399.00 0 Sep/09/13 Oct/09/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $995.00 0 Sep/09/13 Oct/09/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Sep/09/13 Oct/09/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 Sep/09/13 Oct/09/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop NEW - $399.00 0 Sep/09/13 Oct/09/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
svcstore Used - $46.99 0 Sep/09/13 Sep/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
jygdiy1976 NEW - $420.00 0 Sep/10/13 Apr/19/15
Description: MKS APPLIED MATERIALS HSS696R AQUISITION MODULE 0190-38992
usedeqsales NEW - $509.13 0 Sep/10/13 May/04/15
Description: AMAT Applied Materials 0190-37934 Lamp Assembly Non-Intergrated Lot of 10 New
yayais2012 Used - $115.00 0 Sep/10/13 Oct/10/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
onlinesmt Used - $375.00 0 Sep/10/13 Sep/17/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
yayais2012 Used - $170.00 0 Sep/10/13 Oct/10/13
Description: APPLIED MATERIALS 0010-04235 REV. 002
athomemarket Refurbished - $186.29 0 Sep/10/13 Oct/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
testeqe NEW - $833.04 0 Sep/10/13 Sep/05/14
Description: NEW AMAT/Applied Materials PN: 0190-00086 DCM Oil Reservoir
testeqe NEW - $812.49 2 Sep/10/13 Dec/18/13
Description: NEW AMAT/Applied Materials PN: 0010-00070 Stepper Motor 2.25" VAC
supertechshop NEW - $199.00 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop Used - $99.99 0 Sep/11/13 Oct/11/13
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 Sep/11/13 Oct/11/13
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop NEW - $99.00 0 Sep/11/13 Oct/11/13
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
gesemiconductor NEW - $1,000.00 0 Sep/11/13 Sep/25/13
Description: Applied Materials 0010-10185 Assembly Throttle Valve Drive
usedeqsales NEW - $127.28 2 Sep/11/13 Dec/01/14
Description: AMAT Applied Materials 0010-23791 MKS MFC Block Assembly New
athomemarket Refurbished - $118.79 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $209.69 0 Sep/11/13 Oct/11/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Used - $235.79 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $146.69 0 Sep/11/13 Oct/11/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Refurbished - $141.29 0 Sep/11/13 Oct/11/13
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $242.99 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $55.79 0 Sep/11/13 Oct/11/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $375.29 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket NEW - $177.29 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket Used - $101.69 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $147.59 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
chriswebber16 NEW - $399.99 0 Sep/12/13 Oct/12/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
ggrassetrecovery Used - $99.00 0 Sep/12/13 Sep/19/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
usedeqsales NEW - $509.13 0 Sep/12/13 Mar/02/15
Description: AMAT Applied Materials 0190-38516 Lamp Assembly Radiance Lot of 10 New
d-dog0818 Scrap, for parts - $64.95 1 Sep/12/13 Jan/07/14
Description: AMAT Applied Materials 0010-70386 Rev. A Stand Alone VGA Monitor Base
d-dog0818 Used - $874.95 0 Sep/12/13 Jan/17/16
Description: AMAT Applied Materials 0010-09181 Precision 5000 DC Power Supply - Working
sparesllc09 Used - $20,372.10 0 Sep/12/13 Jan/16/15
Description: 0010-30135 / APPLIED MATERIALS DPS, METAL, THROTTLE VALVE 5-PHASE, ASSY
athomemarket Used - $133.19 0 Sep/12/13 Oct/12/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $124.19 0 Sep/12/13 Oct/12/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
visionsemi Used - $55.00 0 Sep/12/13 Oct/12/13
Description: 0620-01044 APPLIED MATERIALS AMAT GRANVILLE PHILIPS CONVECTRON GAUGE CABLE
svcstore NEW - $499.99 0 Sep/12/13 Sep/15/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
bring_recycling NEW - $3.99 0 Sep/13/13 Sep/20/13
Description: New Applied Materials 0020-38700 Clamp Bulkhead NEW
usedeqsales Used - $309.13 0 Sep/13/13 May/05/15
Description: AMAT Applied Materials 0100-01363 Signal Conditioning Board Working
usedeqsales Used - $509.13 0 Sep/13/13 May/04/15
Description: AMAT Applied Materials 0190-03705 Interlock Module SE/E DIP-060-020 Working
d-dog0818 Used - $574.95 1 Sep/13/13 Jan/17/14
Description: AMAT Applied Materials P5000 0010-09978 Gold Lamp Module Assembly Rev.A Working
electronicswest NEW - $55.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0021-30912 Single Coil Tilt Ring , .20
electronicswest NEW - $70.00 0 Sep/13/13 Sep/18/13
Description: Applied Materials AMAT 0190-23501 TS Heated Weldment 17.75 , KF40/50
electronicswest Used - $70.00 0 Sep/13/13 Sep/18/13
Description: Applied Materials AMAT 0040-70407 Rev 002 PEDESTAL ASSY, EQ POTENTIAL,PHOTOMASK
electronicswest NEW - $55.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0021-30902 Single Coil Tilt Ring , .30
electronicswest NEW - $50.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0010-30659 Lid Lift Fixture Tetra II
electronicswest NEW - $75.00 0 Sep/13/13 Sep/18/13
Description: Applied Materials AMAT 0020-26588 CU RF PCII SHIELD AL
electronicswest NEW - $70.00 0 Sep/13/13 Sep/19/13
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
electronicswest NEW - $45.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0150-76186 EMC Comp., Cable Assy , Pump Umbilical
electronicswest NEW - $55.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
electronicswest NEW - $55.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0021-30913 Single Coil Tilt Ring , .25
usedeqsales Used - $2,809.13 1 Sep/12/13 Mar/04/24
Description: AMAT Applied Materials 9010-01181 ITL Load Lock Door 0021-07994 Quantum Leap III
usedeqsales NEW - $309.13 1 Sep/13/13 Sep/14/13
Description: AMAT Applied Materials 0190-00959 4 Point Contact Ball Radial Bearing Lot of 2
athomemarket NEW - $372.59 0 Sep/13/13 Oct/13/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $147.59 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $98.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $2,159.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,599.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,969.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $468.89 0 Sep/13/13 Oct/13/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Refurbished - $2,293.19 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
supertechshop Used - $950.00 0 Sep/13/13 Oct/13/13
Description: Applied Materials 0130-11001 Analog Output Board P5000 PCB 0100-11001 / Warranty
californiaseller777 Scrap, for parts - $1,250.00 1 Sep/13/13 Sep/14/13
Description: AMAT APPLIED MATERIALS 0010-20524 RF MATCH SOLD AS IS. UNTESTED.
neilan1987 NEW - $1,499.99 0 Sep/13/13 Oct/25/13
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
neilan1987 NEW - $79.99 1 Sep/13/13 Oct/07/13
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER Pack of 2
athomemarket Used - $4,319.99 0 Sep/14/13 Oct/14/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $80.99 0 Sep/14/13 Oct/14/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $57.59 0 Sep/14/13 Oct/14/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
svcstore Used - $87.99 0 Sep/14/13 Sep/17/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $42.99 0 Sep/14/13 Sep/17/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $13.99 0 Sep/14/13 Sep/17/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Sep/14/13 Sep/17/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
athomemarket NEW - $191.69 0 Sep/15/13 Oct/15/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
supertechshop NEW - $299.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $499.00 0 Sep/15/13 Oct/15/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $99.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $299.00 0 Sep/15/13 Oct/15/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.99 0 Sep/15/13 Oct/15/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop Used - $149.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $199.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $399.00 0 Sep/15/13 Oct/15/13
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
athomemarket Used - $261.89 0 Sep/16/13 Oct/16/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $175.49 0 Sep/16/13 Oct/16/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $297.89 0 Sep/16/13 Oct/16/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket Refurbished - $195.29 0 Sep/16/13 Oct/16/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
bobsgoodies NEW - $1,675.00 0 Sep/16/13 May/14/14
Description: AMAT Applied Materials 1270-01354 ABB 800 Amp Contactor EH550-YL 24VDC Coil NIB
neilan1987 NEW - $399.99 0 Sep/15/13 Oct/15/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
trees_for_a_better_tomorrow NEW - $250.00 0 Sep/15/13 Sep/22/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
trees_for_a_better_tomorrow Used - $350.00 0 Sep/15/13 Sep/22/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $100.00 0 Sep/15/13 Sep/22/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
pneumatplus10 Used - $129.00 1 Sep/15/13 Oct/24/22
Description: Applied Materials 0040-13883 Plate CZ Face seal R3 AL Proc Slitvalve,AMAT$92343
svcstore NEW - $499.99 0 Sep/15/13 Sep/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $227.69 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket Used - $4,019.39 0 Sep/15/13 Oct/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Refurbished - $128.69 0 Sep/15/13 Oct/15/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $5,579.99 0 Sep/15/13 Oct/15/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $89.99 0 Sep/15/13 Oct/15/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
yayais2012 Used - $380.00 0 Sep/16/13 Oct/16/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 NEW - $170.00 0 Sep/16/13 Oct/16/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $180.00 0 Sep/16/13 Oct/16/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $95.00 0 Sep/16/13 Oct/16/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 NEW - $70.00 0 Sep/16/13 Oct/16/13
Description: Applied Materials 0190-10270 Diamond Pad Cond
yayais2012 Used - $215.00 0 Sep/16/13 Oct/16/13
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
neilan1987 Used - $129.99 1 Sep/16/13 Oct/08/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
neilan1987 NEW - $279.99 1 Sep/16/13 Jan/07/14
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
athomemarket NEW - $95.39 0 Sep/17/13 Oct/17/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 Sep/17/13 Oct/17/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $284.39 0 Sep/17/13 Oct/17/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
gesemiconductor Used - $1,500.00 1 Sep/17/13 Oct/01/13
Description: Applied Materials 0190-11525 Mother Board AMAT PIII
svcstore Used - $42.99 0 Sep/17/13 Sep/20/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
gesemiconductor Used - $500.00 0 Sep/17/13 Jan/16/15
Description: Applied Materials 0190-70102 VGA Video Controller
gesemiconductor Used - $500.00 3 Sep/17/13 Dec/13/13
Description: Applied Materials 0190-76050 VGA Video Controller
gesemiconductor Used - $850.00 1 Sep/17/13 Nov/13/14
Description: Applied Materials 0100-00396 Analog I/O Board
gesemiconductor Used - $1,200.00 1 Sep/17/13 Mar/22/17
Description: Applied Materials 0100-09054 Analog Input Board
svcstore Used - $349.99 0 Sep/17/13 Sep/20/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $87.99 0 Sep/17/13 Sep/20/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $13.99 0 Sep/17/13 Sep/20/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
jygdiy1976 Used - $299.00 4 Sep/18/13 May/06/19
Description: APPLIED MATERIALS CDG Capacitance Manometer 0190-72234 10Torr
jygdiy1976 NEW - $399.00 0 Sep/18/13 Jun/28/17
Description: Applied Materials 0190-72680 10 torr pressure sensor capacitance manometer
jygdiy1976 NEW - $399.00 0 Sep/18/13 Jul/04/16
Description: Applied Materials AMAT 0100-01366 Vibration Monitor Board Used Working XR80
onlinesmt Used - $375.00 0 Sep/18/13 Sep/25/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
athomemarket NEW - $283.49 0 Sep/18/13 Oct/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
testeqe NEW - $124.99 0 Sep/18/13 Jun/04/16
Description: Qty. 4: NEW AMAT/Applied Materials PN: 0020-00927 Viewport, Glass (Load) Window
athomemarket NEW - $100.79 0 Sep/18/13 Oct/18/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
svcstore NEW - $499.99 0 Sep/18/13 Sep/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 Used - $480.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0010-04514 LASER MODULE lot of 2
yayais2012 NEW - $220.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS ASSY RIM PRESSURE VALVE/S 0010-47135
yayais2012 NEW - $240.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
howardl NEW - $2,499.99 1 Sep/19/13 Jul/09/15
Description: Applied Materials 0010-76428 PVD Slit Valve Actuator Assembly "NEW"
yayais2012 NEW - $170.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0240-52734 KIT, H2 ON INDICATOR PER PROCESS PLATTER, HELIOS
yayais2012 NEW - $250.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0190-23270 OHT HOKUYO DMS-HB1-Z28, E84 VARIABLE SENSOR
neilan1987 Used - $59.99 0 Sep/18/13 Oct/18/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 NEW - $39.99 0 Sep/18/13 Oct/18/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
yayais2012 Used - $220.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 Used - $780.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $110.00 0 Sep/19/13 Oct/19/13
Description: Applied Materials 0050-53004 WELDMENT, CONVECTRON ADAPTER, NW50 W/1/4'' VCR ADA
yayais2012 NEW - $110.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
gesemiconductor Used - $340.00 0 Sep/19/13 Dec/19/17
Description: Applied Materials 0100-11002 Digital I/O Board
yayais2012 NEW - $110.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-15387 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH-B IH1000
gesemiconductor Used - $350.00 0 Sep/19/13 Jul/24/15
Description: Applied Materials 0015-09077 Butterfly Valve
gesemiconductor Used - $250.00 1 Sep/19/13 Mar/24/15
Description: Applied Materials 0100-09008 Pneumatic Control Board
gesemiconductor Used - $500.00 0 Sep/19/13 Dec/19/17
Description: Applied Materials 0010-09340 Susceptor Lift Precision 5000
gesemiconductor Used - $500.00 0 Sep/19/13 Dec/19/17
Description: Applied Materials 0010-09341 Wafer Lift Precision 5000
yayais2012 NEW - $75.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 NEW - $95.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-15386 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-A IH1000
yayais2012 NEW - $150.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-15385 CABLE ASSY HELIOS-4 INLET1 TO FACILITY N2 PRESS S
yayais2012 NEW - $220.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-27540 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH
yayais2012 NEW - $220.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-22912 CABLE ASSY SCRUBBER RUNNING SICONI 300M
athomemarket Refurbished - $511.19 0 Sep/19/13 Oct/19/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $825.29 0 Sep/19/13 Oct/19/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Used - $1,095.29 0 Sep/19/13 Oct/19/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket Refurbished - $4,299.99 0 Sep/19/13 Sep/26/13
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
athomemarket NEW - $78.29 0 Sep/19/13 Oct/19/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $555.29 0 Sep/19/13 Oct/19/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket NEW - $269.99 0 Sep/19/13 Oct/19/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
ggrassetrecovery Used - $79.00 0 Sep/19/13 Sep/26/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
gesemiconductor Used - $300.00 1 Sep/19/13 May/15/15
Description: Applied Materials 0010-09088 Robot Alignment Tool P5000
wideerp01 Refurbished - $199.00 0 Sep/20/13 Sep/27/13
Description: APPLIED MATERIALS 200MM PVD UPPER SHIELD 0020-47722
wideerp01 Refurbished - $700.00 0 Sep/20/13 Sep/27/13
Description: APPLIED MATERIALS 0020-26225
j316gallery Used - $125.25 0 Sep/20/13 Dec/20/21
Description: 490 APPLIED MATERIALS MODULE MFG WAFER LIFT PRECISION 5000 0010-09341
j316gallery Used - $776.13 0 Sep/20/13 Dec/20/21
Description: 475 APPLIED MATERIALS 5000 CVD WAFER LIFT W/O 0040-09271 BRACKET,BASE 0010-09341
ptb-sales Scrap, for parts - $5,000.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $1,800.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $460.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $3,000.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $225.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $250.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $2,500.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $86.99 0 Sep/20/13 Oct/20/13
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
athomemarket NEW - $499.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
pete-sigep Used - $475.00 0 Sep/20/13 Oct/20/13
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
athomemarket NEW - $1,799.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,699.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
svcstore Used - $87.99 0 Sep/20/13 Sep/23/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $42.99 1 Sep/20/13 Sep/21/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $13.99 0 Sep/20/13 Sep/23/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Sep/20/13 Sep/23/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
supertechshop Used - $299.00 0 Sep/21/13 Oct/21/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop Used - $499.00 0 Sep/21/13 Oct/21/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 Sep/21/13 Oct/21/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $149.00 0 Sep/21/13 Oct/08/13
Description: AMAT Manifold Out Left Chamber Lid SACVD 0040-87102 Applied Materials
supertechshop Used - $199.00 0 Sep/21/13 Oct/21/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Sep/21/13 Oct/21/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Sep/21/13 Oct/21/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $49.95 0 Sep/21/13 Oct/21/13
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
yayais2012 NEW - $110.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 NEW - $95.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 NEW - $45.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 NEW - $75.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $85.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $120.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $140.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $75.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0150-12497 REV 002
yayais2012 NEW - $110.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $78.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
athomemarket Used - $3,999.99 0 Sep/21/13 Oct/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $73.99 0 Sep/21/13 Oct/21/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $112.99 0 Sep/21/13 Oct/21/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $189.99 0 Sep/21/13 Oct/21/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
athomemarket Used - $239.99 0 Sep/21/13 Oct/21/13
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket NEW - $312.99 0 Sep/21/13 Oct/21/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $514.99 0 Sep/21/13 Oct/21/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
svcstore NEW - $499.99 0 Sep/21/13 Sep/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 Used - $180.00 0 Sep/21/13 Oct/21/13
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 NEW - $120.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $48.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 NEW - $120.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 NEW - $45.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
yayais2012 NEW - $35.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $55.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 NEW - $38.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0242-04762 CD MANUALS SICONI ACP
yayais2012 NEW - $38.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0242-46009 CD CENTURA ACP 300 MANUALS
yayais2012 NEW - $38.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
yayais2012 NEW - $160.00 0 Sep/22/13 Oct/22/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable TRU
athomemarket Used - $4,699.99 0 Sep/22/13 Oct/22/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $198.99 0 Sep/22/13 Oct/22/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
dr.fantom Used - $2,500.00 1 Sep/23/13 Dec/07/14
Description: Applied Materials Motion CTRN BOX CPI-VMO 300MM - 0190-25030 0190-13990
wideerp01 Refurbished - $499.00 0 Sep/23/13 Sep/30/13
Description: APPLIED MATERIALS CERAMIC PLATE,EDGE COVER DXZ 0200-10169
wideerp01 Refurbished - $249.00 0 Sep/23/13 Sep/30/13
Description: APPLIED MATERIALS 5500 ENDURA PVD COVER RING 8" 101% 0020-24914
wideerp01 NEW - $299.00 0 Sep/23/13 Sep/30/13
Description: APPLIED MATERIALS COVER RING 8" 101% 0020-24914
wideerp01 Refurbished - $199.00 0 Sep/23/13 Sep/30/13
Description: APPLIED MATERIALS CVD Ti LID LINER 0200-04840
wideerp01 NEW - $799.00 0 Sep/23/13 Sep/30/13
Description: APPLIED MATERIALS DEPOSITION PVD RING TUNGSTEN 0040-75531
alvin1462 NEW - $433.00 0 Sep/23/13 May/05/18
Description: Applied Materials 0041-05861 ,2 L/R Bracket , Shipping Frame , CPI CVD PRODUCER
alvin1462 NEW - $198.00 8 Sep/23/13 Jun/20/22
Description: AMAT Applied Materials 0140-09445 HARNESS ASSY, BACKPLANE TO AC DRAWER COM NEW
esolutions1 Refurbished - $400.29 0 Sep/23/13 Dec/21/14
Description: Applied Materials AMAT 0020-25731 SHIELD ADAPTER G12 DBL KNEE SST
alvin1462 NEW - $298.00 0 Sep/23/13 Jun/28/18
Description: AMAT Applied Materials 0140-16710 Harness Assembly DRVRS to Bulkhead to CL new
alvin1462 NEW - $1,688.00 7 Sep/23/13 Feb/08/17
Description: AMAT Applied Materials 0190-37081 Endura 2 CVD TOP ASSY W/PLC new
alvin1462 NEW - $555.00 10 Sep/23/13 Apr/28/22
Description: AMAT Applied Materials 0190-14178 Controller Cable , MAG LEV , 300 new
alvin1462 NEW - $888.00 2 Sep/23/13 Jul/15/16
Description: AMAT Applied Materials 0190-31257 COPLEY CONTROLS 800-1514A SERVO AMPLFR NEW
neilan1987 NEW - $279.99 0 Sep/22/13 Oct/22/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
onlinesmt NEW - $249.00 0 Sep/23/13 Oct/23/13
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Sep/23/13 Oct/23/13
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
beststuff2u Used - $1,200.00 0 Sep/23/13 Sep/30/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
onlinesmt NEW - $199.00 0 Sep/23/13 Oct/23/13
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
athomemarket Used - $6,499.99 0 Sep/23/13 Oct/23/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,799.99 0 Sep/23/13 Oct/23/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $392.99 0 Sep/23/13 Oct/23/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $1,199.99 0 Sep/23/13 Sep/30/13
Description: Synergy Microsystems V21 Board PCB AMAT Applied Materials 0090-76110
svcstore Used - $13.99 0 Sep/23/13 Sep/26/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Sep/23/13 Sep/26/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $87.99 0 Sep/23/13 Sep/26/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
sparepartssolution Refurbished - $3,599.99 0 Sep/23/13 Feb/20/14
Description: (HD01) AMAT APPLIED MATERIALS 0010-36162 RF MATCH OVERHAULED INCLUDE TEST SHEET
sparepartssolution Refurbished - $3,999.00 0 Sep/23/13 Feb/20/14
Description: (HD01) AMAT APPLIED MATERIALS 0010-30686 RF MATCH OVERHAULED INCLUDE TEST SHEET
athomemarket NEW - $112.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
hot!cardz NEW - $22,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
hot!cardz NEW - $6,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $99,500.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials
hot!cardz NEW - $9,500.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $1,500.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $29,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
enochengineering NEW - $200.00 0 Sep/24/13 Oct/04/13
Description: MFC Model SEC-4400M Mfg No: 282105016, Applied Materials P/N: 3030-06075
yayais2012 NEW - $65.00 0 Sep/24/13 Oct/24/13
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $27.00 0 Sep/24/13 Oct/24/13
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $85.00 0 Sep/24/13 Oct/24/13
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
bruce135 Used - $299.00 0 Sep/24/13 Oct/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
esoteric_specialties Used - $950.00 0 Sep/24/13 Oct/24/13
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
athomemarket NEW - $69.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
svcstore NEW - $499.99 0 Sep/24/13 Sep/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
wideerp01 NEW - $149.00 0 Sep/25/13 Oct/02/13
Description: APPLIED MATERIALS CALIBRATION PLATE 8" SPACER,NITRIDE/OXIDE 0020-10041
wideerp01 Refurbished - $699.00 0 Sep/25/13 Oct/02/13
Description: APPLIED MATERIALS BOX,GAS AMO,DXZ P500 0021-09760
wideerp01 Refurbished - $599.00 0 Sep/25/13 Oct/02/13
Description: APPLIED MATERIALS ADAPTER PUMPING RING 0020-30287
wideerp01 NEW - $149.00 0 Sep/25/13 Oct/02/13
Description: APPLIED MATERIALS 3 ZONE INJECTOR BAFFLE 0200-35159 REV B
wideerp01 NEW - $399.00 0 Sep/25/13 Oct/02/13
Description: APPLIED MATERIALS CERAMIC LINER BOTTOM 0200-04084
wideerp01 NEW - $99.00 0 Sep/25/13 Oct/02/13
Description: APPLIED MATERIALS CABLE ASSY,15A,120V L5-15P 25' DCVDXZ 300MM 0620-01715
alvin1462 NEW - $1,688.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-13120 Harness ASSY , CH-A Main Bundle , VANT NEW
alvin1462 NEW - $1,688.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-18336 Harness ASSY , Chamber A - Main Bundle V NEW
alvin1462 NEW - $1,688.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-11539 Harness ASSY , Chamber B - Main Bundle VA NEW
alvin1462 NEW - $222.00 0 Sep/25/13 Jun/30/18
Description: AMAT Applied Materials 0190-10835 Hose 1" CH B Supply , Vantag NEW
alvin1462 NEW - $388.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16351 Harness Assembly Sputter Chamber Bottom NEW
alvin1462 NEW - $388.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0010-33034 Flow Switch 1" Manifold 2 Lamphead RAD NEW
alvin1462 NEW - $298.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-17345 Harness Assembly Water Flow INTC G NEW
alvin1462 NEW - $400.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16350 Harness Assembly Sputter Chamber Rear RO NEW
alvin1462 NEW - $298.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16348 Cable ASSY NEW
alvin1462 NEW - $298.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16351 Cable ASSY NEW
alvin1462 NEW - $298.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16349 Harness Assembly Sputter Chamber Right R NEW
alvin1462 NEW - $188.00 0 Sep/25/13 Jun/11/16
Description: AMAT Applied Materials 0140-16347 Cable ASSY NEW
alvin1462 NEW - $388.00 2 Sep/25/13 Sep/22/14
Description: AMAT Applied Materials 0050-91046 Forline , Curved , Sacvd Produc - [AMT00] NEW
alvin1462 NEW - $188.00 0 Sep/25/13 Mar/04/22
Description: AMAT Applied Materials 0150-18533 Cable ASSY NEW
alvin1462 NEW - $68.00 4 Sep/25/13 Oct/29/13
Description: AMAT Applied Materials 0200-09969 WINDOW, SIDE, UV COATED, SAPPHIRE, DXZ NEW
alvin1462 NEW - $388.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16370 Harness Assembly P/C Chmber RI NEW
alvin1462 NEW - $188.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0150-14681 Cable Assy , MAG LEV Control JM New
alvin1462 NEW - $222.00 0 Sep/25/13 Jan/19/23
Description: AMAT Applied Materials 0140-16371 Harness Assembly P/C Chambr LEF NEW
bobsgoodies Used - $1,395.00 1 Sep/25/13 Nov/23/13
Description: Applied Materials 0010-35249 Transformer Assembly working AMAT Power Supply
capitolareatech Refurbished - $350.00 0 Sep/25/13 Sep/20/14
Description: APPLIED MATERIALS INC 0010-08113 ASSY MESA CLEANER GRIPPER W/ ADJUST BRACKET I
onlinesmt NEW - $950.00 0 Sep/25/13 Oct/25/13
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
ultra_clean_warehouse NEW - $330.06 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT MOUNT PLATE MEG LDM HVM 300MM - 0020-13244 - REV 001
ultra_clean_warehouse NEW - $764.94 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-77064 FEEDTHRU SOLID 300 MM GEMINI II - REV 03
ultra_clean_warehouse NEW - $104.56 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-51838 PLATE RING SLURRY ARM ASSY MNT POLISHER REV 03
ultra_clean_warehouse NEW - $218.07 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0021-28676 PUMPING LINER TOP - REV 03
ultra_clean_warehouse NEW - $135.33 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-84176 MOUNTING PLATE 2.5 DRIVE BRUSH - Rev 002
ultra_clean_warehouse NEW - $109.00 20 Sep/25/13 Dec/23/13
Description: APPLIED MATERIALS AMAT 0040-75779 SWING ARM LEFT BRUSH BOX CLEAN MODULE - Rev 05
ultra_clean_warehouse NEW - $115.26 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT BRACKET PAD CONDITIONER RFLXN GT - Rev 04 - 0021-47983
ultra_clean_warehouse NEW - $119.10 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-75780 SWING ARM RIGHT BRUSH BOX CLN - Rev 004
ultra_clean_warehouse NEW - $342.42 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0010-15708 FINGER ASSY PK LG WALK BEAM 300MM - Rev 001
ultra_clean_warehouse NEW - $749.00 3 Sep/24/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-35451 CHILL PLATE, LH, LOWER REFLECTOR - REV 002
ultra_clean_warehouse NEW - $54.10 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-41026 LIFTER 6 INCH ORIENTER - UHV - Rev A
ultra_clean_warehouse NEW - $348.38 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-53066 SPOOL PUMP STACK DPN 300MM - Rev 04
ultra_clean_warehouse NEW - $266.92 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-74395 TRANS DOOR LEVER TSSL 15KX - Rev 4
ultra_clean_warehouse NEW - $325.29 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-74400 F-CRANK LEFT 15KX TSSL - Rev 5
athomemarket NEW - $523.99 0 Sep/25/13 Oct/25/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
ultra_clean_warehouse NEW - $62.08 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-18478 BLANK OFF CATHODE DPS2 300MM - REV 006
ultra_clean_warehouse NEW - $466.84 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0041-02168 MANIFOLD 200MM WALD LID GAS - Rev 02
ultra_clean_warehouse NEW - $120.21 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0021-78092 CHUCK WAFER - Rev AA
ultra_clean_warehouse NEW - $120.21 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-77582 HOUSING END POINT DETECTOR - Rev AA
ultra_clean_warehouse NEW - $51.30 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0041-12140 BRACKET RPS SUPPORT 300MM ALD-TIN - REV 03
ultra_clean_warehouse NEW - $24.25 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-78228 POST COVER - REV P2
ultra_clean_warehouse NEW - $294.94 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-34217 FINGER ASSY SHRT WB 300 - REV 006
ultra_clean_warehouse NEW - $52.98 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-96261 KNURLED FLAG ASSY,DRIVE SIDE,BRUSH MODULE REV2
ultra_clean_warehouse NEW - $15.23 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-31753 CLAMP ROTAT. SHAFT OUTPUT STAT.300MM - REV 05
ultra_clean_warehouse NEW - $77.41 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-78983 CLAMP DRIVE SPINDLE - REV B
ultra_clean_warehouse NEW - $726.22 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-78377 TOP COVER RINSE ARM REFLECTION - REV 20
ultra_clean_warehouse NEW - $40.98 0 Sep/26/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-96259 KNURLED FLAG ASSY, OUTPUT FLOW SIDE - REV 002
ultra_clean_warehouse NEW - $122.90 0 Sep/26/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-03447 BOTTOM COLD TRAP - REV P2
ultra_clean_warehouse NEW - $90.02 0 Sep/26/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-27388 FINGER WAFER TRANSFER TSMC ANNEAL 300MM REV003
ultra_clean_warehouse NEW - $325.80 0 Sep/26/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-77367 MOUNT PLATE - REV B
yayais2012 NEW - $95.00 0 Sep/26/13 Oct/26/13
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
megawavz Used - $395.00 0 Sep/26/13 Oct/26/13
Description: Applied Materials AMAT Arc Linear Board 0120-90758
megawavz Used - $195.00 0 Sep/26/13 Oct/26/13
Description: AMAT Applied Materials 0100-90025 Power Supply Board, 24V, 1A
yayais2012 Used - $150.00 2 Sep/25/13 Sep/27/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS US_SY 200381 AMAT 0010-30955 REV. 002
yayais2012 Used - $120.00 0 Sep/26/13 Oct/26/13
Description: APPLIED MATERIALS 0090-04247 FPS1 208 VAC
yayais2012 Used - $210.00 0 Sep/26/13 Oct/26/13
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
megawavz Used - $395.00 0 Sep/26/13 Oct/26/13
Description: AMAT Applied Materials 0120-90182 Converter Wheel Board, 1/V
supertechshop Used - $199.00 0 Sep/26/13 Oct/26/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Sep/26/13 Oct/26/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
testeqe NEW - $499.99 1 Sep/26/13 Jan/17/14
Description: NEW AMAT/Applied Materials PN: 1140-01010 PowerTec 19E-A00-ABCD DC Power Supply
svcstore Used - $87.99 0 Sep/26/13 Sep/29/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $13.99 0 Sep/26/13 Sep/29/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Sep/26/13 Sep/29/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
bobsgoodies NEW - $53.00 48 Sep/27/13 Jan/14/14
Description: Applied Materials 1270-01707 EFECTOR SCM12ABAFPKG/US Flow Monitor
megawavz Used - $395.00 0 Sep/27/13 Oct/27/13
Description: AMAT Applied Materials 0120-90718 Beam Profiler Interface Board
ggrassetrecovery Used - $69.00 0 Sep/27/13 Oct/04/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
wideerp01 NEW - $199.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS UPPER SHIELD 0020-24530
wideerp01 NEW - $599.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS TOP LINER CVD TI CL4 TIN 0040-03284
wideerp01 NEW - $199.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS TI NITRIDE XL,BLOCKER,PLATE 0020-30111
wideerp01 NEW - $9.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS SPACER MODIF SHIELD 8" G-12 0020-23587
wideerp01 NEW - $99.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS SKIN FRONT AC BOX ,PRODUCER 0021-08343
wideerp01 NEW - $299.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS SHIELD,PERF 8" BASE 0200-09617
wideerp01 NEW - $199.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS RING,SINGLE,LOW PROFILE 200MM SNNF,QTZ DPN 0200-02000
wideerp01 NEW - $999.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS RING,COVER PVD TUNGSTEN 2 200MM 0020-26477
wideerp01 NEW - $299.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS RING WAFER LIFT 200MM 0020-10192
wideerp01 NEW - $199.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS PRODUCER FACE PLATE,WATER COOLER SACVD 0040-70319
wideerp01 NEW - $49.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS P500 CABLE ASSY,EMC COMPUTER SYSTEM V 0150-76190
wideerp01 NEW - $299.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS LOWER LID FLANGE TI-XZ 0200-36544
wideerp01 NEW - $599.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS LOWER ESC SINGLE 0021-20788 REVA
wideerp01 NEW - $199.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS LID LINER 0200-04840
wideerp01 NEW - $99.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS KIT,GDA SLD, ENCLOSURE,COVER,PRODUCER 0242-15130
wideerp01 NEW - $139.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS ISOLATOR,200MM B-W 0200-09129
wideerp01 NEW - $299.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS INSULATOR, ARDEL TEOS 0020-09310
wideerp01 NEW - $999.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS FINGER LIFT RING 0200-36649
wideerp01 NEW - $199.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS ESC THERMOCOUPLE 0190-09248 REV B
wideerp01 NEW - $399.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS ESC PVD, ANTI-LIFT BRAKET 8" 0040-06711
wideerp01 Refurbished - $999.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS ESC POLYMIDE 195MM 0090-35133
wideerp01 NEW - $1,199.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS CVD TRHOTTLE VALVE 0010-76175 REV G
liquidationbazar NEW - $999.00 0 Sep/27/13 Oct/27/13
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
athomemarket Refurbished - $3,870.99 0 Sep/27/13 Oct/04/13
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
athomemarket NEW - $59.99 0 Sep/27/13 Oct/27/13
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
athomemarket NEW - $1,199.99 0 Sep/27/13 Oct/27/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,599.99 0 Sep/27/13 Oct/27/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
svcstore NEW - $499.99 0 Sep/27/13 Sep/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
parts4all8 Used - $99.99 1 Sep/28/13 Nov/14/15
Description: APPLIED MATERIALS AMAT DIGITAL I/O BOARD ASSY 0100-01321
athomemarket Used - $5,499.99 0 Sep/28/13 Oct/28/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
ultra_clean_warehouse NEW - $93.93 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT - 0020-79832 - SUPPORT SE MEMBRANE 8" TITAN HEAD - REV P3
ultra_clean_warehouse NEW - $81.47 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT - 0020-97929 - ISRM COVER PLATE NO WINDOW - REV 003
ultra_clean_warehouse NEW - $46.29 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-25671 - SHAFT INNER - REV 001
ultra_clean_warehouse NEW - $30.77 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-78246 - ANCHOR CLEVIS - REV 200
ultra_clean_warehouse NEW - $49.79 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-17800 - THROTTLE RPS VALVE PRODUCER - REV 003
ultra_clean_warehouse NEW - $59.40 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT VECTRA 0021-04258 PLATE RETAINER RIGID COIL SUPPORT R002
ultra_clean_warehouse NEW - $260.97 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II - 0040-78952 MANIFOLD BLOCK 4 300MM
ultra_clean_warehouse NEW - $66.73 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-27155 SLEEVE BRUSH MODULE - REV 004
ultra_clean_warehouse NEW - $269.82 2 Sep/29/13 Dec/23/13
Description: APPLIED MATERIALS AMAT ENDURA 0020-17689 PUMPING PLATE FC & NCSR 300MM - REV 004
ultra_clean_warehouse NEW - $545.67 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II 0040-87405 FEEDTHRU CHAMBER BODY 300MM REV 002
athomemarket NEW - $5,799.99 0 Sep/29/13 Oct/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
ultra_clean_warehouse NEW - $549.47 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II 0040-78151 - MANIFOLD BLOCK 1, 300MM - REV 001
athomemarket Used - $4,999.99 0 Sep/29/13 Oct/29/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,899.99 0 Sep/29/13 Oct/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Sep/29/13 Oct/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,799.99 0 Sep/29/13 Oct/29/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket Used - $399.99 0 Sep/29/13 Oct/29/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
athomemarket NEW - $507.99 0 Sep/29/13 Oct/29/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
ultra_clean_warehouse NEW - $29.79 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-78984 PLATE ADAPTER MOTOR SPINDLE FLEX CLAMP REV B01
svcstore Used - $87.99 0 Sep/29/13 Oct/02/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
ultra_clean_warehouse NEW - $106.27 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-64046 BRACKET WAFER HOLDER OUTPUT STATION 300M REV2
ultra_clean_warehouse NEW - $29.46 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT- 0015-00803 - LOTO SLIT VALVE DOOR 300MM DPS - REV 004
svcstore Used - $13.99 0 Sep/29/13 Oct/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Sep/29/13 Oct/02/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
wideerp01 Refurbished - $700.00 0 Sep/29/13 Oct/06/13
Description: APPLIED MATERIALS 0020-26225
wideerp01 Refurbished - $450.00 0 Sep/29/13 Oct/06/13
Description: APPLIED MATERIALS 0020-26225
wideerp01 Refurbished - $199.00 0 Sep/29/13 Oct/06/13
Description: APPLIED MATERIALS 200MM PVD UPPER SHIELD 0020-47722
wideerp01 NEW - $300.00 0 Sep/30/13 Oct/07/13
Description: Applied Materials Delta Nitride,Throttle Valve 0010-76175
imca00 Used - $2,299.00 0 Sep/30/13 Oct/10/13
Description: Comdel CDX-1000 AMAT Applied Materials Dual Frequency RF Generator pn 0190-07242
athomemarket NEW - $4,199.99 0 Sep/30/13 Oct/30/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
ptb-sales Used - $1,800.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $460.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $250.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $3,000.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Scrap, for parts - $5,000.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $225.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $2,500.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ntsurplus302 Used - $250.00 0 Sep/30/13 Feb/28/15
Description: HD49 Applied Materials 0100-90293 PWBA Slotted Opto Board
athomemarket NEW - $5,499.99 0 Sep/30/13 Oct/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Scrap, for parts - $3,499.99 0 Sep/30/13 Oct/30/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
svcstore NEW - $499.99 0 Sep/30/13 Oct/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
industrial_loot Used - $78.00 0 Sep/30/13 Oct/30/13
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
supertechshop NEW - $24.99 0 Sep/30/13 Oct/30/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/19/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13235 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/19/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-70441 MOTORIZED LIFT ASSEMBY USED WORKING
imca00 Scrap, for parts - $1,499.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/01/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13629 MOTORIZED LIFT ASSEMBY USED WORKING
mayraytan NEW - $1,150.00 0 Oct/01/13 Jan/22/14
Description: Applied Materials AMAT Circuit Board, 0190-02076
bobsgoodies Used - $145.00 1 Oct/01/13 Mar/17/14
Description: Applied Materials 0190-01186 AMAT Centura Flow Switch Turck FCS-G1/4A4-ARX/D118
athomemarket Used - $424.99 0 Oct/01/13 Oct/31/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $49.99 0 Oct/01/13 Oct/08/13
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket Used - $1,080.99 0 Oct/01/13 Oct/08/13
Description: Synergy Microsystems V21 Board PCB AMAT Applied Materials 0090-76110
athomemarket Used - $2,340.99 0 Oct/01/13 Oct/31/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $199.99 0 Oct/01/13 Oct/31/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $179.99 0 Oct/02/13 Nov/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $77.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Used - $299.99 0 Oct/02/13 Nov/01/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
ntsurplus302 NEW - $20.00 0 Oct/02/13 Feb/28/15
Description: 2943 Applied Materials P/N: 0020-61022 001
ntsurplus302 Used - $95.00 0 Oct/02/13 Feb/28/15
Description: 2942 Applied Materials P/N: 0090-03469 002
ntsurplus302 Used - $75.00 0 Oct/02/13 Feb/28/15
Description: 2941 Applied Materials P/N: 0020-26723 001
ntsurplus302 NEW - $210.00 0 Oct/02/13 Feb/28/15
Description: 2940 Applied Materials P/N: 0020-63120 001
ntsurplus302 NEW - $60.00 0 Oct/02/13 Feb/28/15
Description: 2939 Applied Materials P/N: 0020-61020 002
ntsurplus302 NEW - $60.00 0 Oct/02/13 Feb/28/15
Description: 2938 Applied Materials P/N: 0040-07509 002
ntsurplus302 NEW - $50.00 0 Oct/02/13 Feb/28/15
Description: 2937 Lot of 2 Applied Materials P/N: 0040-86019 001
ntsurplus302 NEW - $60.00 0 Oct/02/13 Feb/28/15
Description: 2936 Applied Materials P/N: 0020-48767 004
ntsurplus302 NEW - $65.00 0 Oct/02/13 Feb/28/15
Description: 2935 Applied Materials P/N: 0040-48775 004
ntsurplus302 NEW - $180.00 0 Oct/02/13 Feb/28/15
Description: 2933 Applied Materials P/N: 0020-61027 001
athomemarket Used - $755.99 0 Oct/02/13 Nov/01/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket NEW - $99.99 0 Oct/02/13 Nov/01/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $75.99 0 Oct/02/13 Nov/01/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $767.99 0 Oct/02/13 Nov/01/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Refurbished - $153.99 0 Oct/02/13 Nov/01/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
kingprecision NEW - $850.00 0 Oct/02/13 Oct/01/21
Description: Applied Materials 0190-35511 T/C Assy; TxZ; Thermocouple AMAT
ntsurplus302 NEW - $210.00 0 Oct/02/13 Feb/28/15
Description: 2947 Applied Materials P/N: 0020-63120 001
ntsurplus302 Used - $1,800.00 0 Oct/02/13 Feb/28/15
Description: 2946 Applied Materials P/N: 0040-79028 002
ntsurplus302 NEW - $90.00 0 Oct/02/13 Feb/28/15
Description: 2945 Applied Materials P/N: 0520-47711 002
svcstore Used - $87.99 0 Oct/02/13 Oct/05/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $13.99 0 Oct/02/13 Oct/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Oct/02/13 Oct/05/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
athomemarket NEW - $1,199.99 0 Oct/03/13 Oct/10/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,199.99 0 Oct/03/13 Oct/10/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
bruce135 Used - $499.00 0 Oct/03/13 Nov/02/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket NEW - $339.99 0 Oct/03/13 Nov/02/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $891.99 0 Oct/03/13 Nov/02/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
svcstore NEW - $499.99 0 Oct/03/13 Oct/06/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 Used - $78.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 Used - $350.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $110.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $250.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $110.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $250.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $75.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 Scrap, for parts - $250.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $250.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
yayais2012 Used - $250.00 0 Oct/03/13 Nov/02/13
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Used - $250.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $350.00 0 Oct/03/13 Nov/02/13
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
bobsgoodies Used - $450.00 2 Oct/04/13 Nov/27/13
Description: AMAT 0010-77357 Pad Conditioner Pneumatic Control Assembly Applied Materials
onlinesmt Used - $375.00 0 Oct/04/13 Oct/11/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
ggrassetrecovery Used - $69.00 0 Oct/04/13 Oct/11/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
usedeqsales Used - $2,010.13 0 Oct/04/13 Oct/04/13
Description: AMAT Applied Materials 0040-53718 ESC Assembly 300mm OD 5mm 0010-24774 Used
odysseyrf Refurbished - $3,500.00 0 Oct/04/13 Jan/21/14
Description: Applied Materials 0010-09750 - 90-Day Warranty
bjnaf4 NEW - $770.53 0 Oct/04/13 Nov/03/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket Used - $2,520.99 0 Oct/04/13 Nov/03/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,700.99 0 Oct/04/13 Nov/03/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,250.99 0 Oct/04/13 Nov/03/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $1,440.99 0 Oct/04/13 Nov/03/13
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
athomemarket Used - $409.99 0 Oct/04/13 Nov/03/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $413.99 0 Oct/04/13 Nov/03/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
adickson NEW - $24.75 1 Oct/05/13 Oct/10/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
wideerp01 Refurbished - $300.00 0 Oct/05/13 Oct/12/13
Description: APPLIED MATERIALS BOX,GAS AMO,DXZ P500 0021-09760
wideerp01 Refurbished - $420.00 0 Oct/05/13 Oct/12/13
Description: APPLIED MATERIALS ESC POLYMIDE 195MM 0090-35133
wideerp01 NEW - $299.00 0 Oct/05/13 Oct/12/13
Description: APPLIED MATERIALS LOWER LID FLANGE TI-XZ 0200-36544
wideerp01 NEW - $400.00 0 Oct/05/13 Oct/12/13
Description: APPLIED MATERIALS RING,COVER PVD TUNGSTEN 2 200MM 0020-26477
wideerp01 NEW - $250.00 0 Oct/05/13 Oct/12/13
Description: APPLIED MATERIALS TOP LINER CVD TI CL4 TIN 0040-03284
yayais2012 Used - $89.00 0 Oct/05/13 Nov/04/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $320.00 0 Oct/05/13 Nov/04/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $850.00 0 Oct/05/13 Nov/04/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $15.00 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $220.00 0 Oct/05/13 Nov/04/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $850.00 0 Oct/05/13 Nov/04/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
turkeymakesmetired-2008 NEW - $499.99 1 Oct/05/13 Sep/01/15
Description: Applied Materials AMAT 5200 HDP-CVD Ultima 0100-18025 Chamber Interface Board
turkeymakesmetired-2008 NEW - $1,499.99 1 Oct/05/13 Jul/20/15
Description: AMAT Applied Materials HP Upgrade Arm Leveling Calibration Tool 0270-76328 New
athomemarket NEW - $1,117.99 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
turkeymakesmetired-2008 NEW - $749.99 1 Oct/05/13 Mar/13/14
Description: AMAT Applied Materials 0100-20313 Chamber Interconnect Module Board New
athomemarket Refurbished - $462.99 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Scrap, for parts - $109.99 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,199.99 0 Oct/05/13 Nov/04/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,199.99 0 Oct/05/13 Nov/04/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
supertechshop NEW - $199.00 0 Oct/05/13 Nov/04/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
svcstore Used - $87.99 0 Oct/05/13 Oct/08/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $13.99 0 Oct/05/13 Oct/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Oct/05/13 Oct/08/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
chunkysemiconductor NEW - $250.00 0 Oct/06/13 Oct/28/13
Description: APPLIED MATERIALS AMAT 0040-63107 MANIFOLD AL CH. 2 PRODUCER SE - NEW
athomemarket Used - $3,299.99 0 Oct/06/13 Nov/05/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
sellyoursurplus NEW - $250.00 0 Oct/06/13 Oct/13/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
sellyoursurplus Used - $750.00 0 Oct/06/13 Oct/13/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
athomemarket Used - $406.99 0 Oct/06/13 Nov/05/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $958.99 0 Oct/06/13 Nov/05/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $89.99 0 Oct/06/13 Nov/05/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
forestnorth NEW - $2,500.00 0 Oct/06/13 Oct/12/13
Description: Applied Materials 300mm Ceramic Heater CVD Producer 0010-30838
svcstore NEW - $499.99 0 Oct/06/13 Oct/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
jygdiy1976 Used - $990.00 0 Oct/07/13 Oct/14/13
Description: APPLIED MATERIALS HV FILTER BOX 0010-93076 0021-09622
jygdiy1976 Used - $590.00 0 Oct/07/13 Oct/14/13
Description: APPLIED MATERIALS HV FILTER BOX 0010-93076 0100-35394 PCB BORAD
ocean-tech Used - $349.99 0 Oct/07/13 Nov/06/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
pete-sigep Used - $375.00 0 Oct/07/13 Nov/06/13
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
forestnorth Used - $1,750.00 0 Oct/07/13 Oct/17/13
Description: Applied Materials ISOLATOR, CERAMIC, LOWK, 300MM PRODUCER 0200-04470 Lot of 12
athomemarket Refurbished - $3,870.99 0 Oct/07/13 Nov/06/13
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
pete-sigep Used - $225.00 0 Oct/07/13 Nov/06/13
Description: Applied Materials AMAT 0100-09099 Chamber Interface PCB
beststuff2u Used - $1,200.00 0 Oct/07/13 Nov/06/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
pete-sigep Used - $475.00 0 Oct/07/13 Nov/06/13
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
gesemiconductor Used - $8,500.00 0 Oct/07/13 Aug/13/14
Description: Applied Materials 0040-34865 Chamber Liner 200mm EMAX
wideerp01 NEW - $199.00 0 Oct/08/13 Oct/15/13
Description: APPLIED MATERIALS LID LINER 0200-04840
wideerp01 NEW - $199.00 0 Oct/08/13 Oct/15/13
Description: APPLIED MATERIALS PRODUCER FACE PLATE,WATER COOLER SACVD 0040-70319
wideerp01 NEW - $299.00 0 Oct/08/13 Oct/15/13
Description: APPLIED MATERIALS SHIELD,PERF 8" BASE 0200-09617
wideerp01 Refurbished - $199.00 0 Oct/08/13 Oct/15/13
Description: APPLIED MATERIALS 200MM PVD UPPER SHIELD 0020-47722
wideerp01 NEW - $300.00 0 Oct/08/13 Oct/15/13
Description: Applied Materials Delta Nitride,Throttle Valve 0010-76175
keykorea NEW - $620.00 1 Oct/08/13 Jan/26/16
Description: AMAT 0200-00638 COVER RING Applied Materials, NEW
wideerp01 NEW - $500.00 0 Oct/08/13 Oct/15/13
Description: APPLIED MATERIALS CVD TRHOTTLE VALVE 0010-76175 REV G
surplusexiting Used - $735.00 0 Oct/08/13 Nov/07/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surplusexiting Used - $698.00 0 Oct/08/13 Nov/07/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
athomemarket NEW - $211.99 0 Oct/08/13 Nov/07/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $211.99 0 Oct/08/13 Nov/07/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Refurbished - $112.99 0 Oct/08/13 Nov/07/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
imca00 NEW - $4,499.00 0 Oct/08/13 Oct/13/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/08/13 Oct/13/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
dvkelectronics Used - $6,500.00 0 Oct/08/13 Nov/07/13
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
jygdiy1976 Used - $1,950.00 1 Oct/08/13 Oct/31/13
Description: Applied Materials AMAT 0190-09395 LAMP DRIVER
svcstore Used - $87.99 0 Oct/08/13 Oct/11/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bobsgoodies Used - $1,200.00 0 Oct/09/13 Nov/08/13
Description: AMAT 0190-09560 Phasetronics lamp driver for Applied Materials P1140 Sn:127612
bobsgoodies Used - $1,200.00 0 Oct/09/13 Nov/08/13
Description: Applied Materials PVD Dual Mode Driver 0190-22014 P1198-208/208 AMAT Sn 140446
bobsgoodies Used - $1,200.00 0 Oct/09/13 Nov/08/13
Description: Applied Materials 0190-22014 PVD Dual Mode Driver P1198-240/240 AMAT Sn 132601
neilan1987 NEW - $49.99 0 Oct/08/13 Apr/21/14
Description: Applied Materials 3320-01026 GSKT 10 CFF COPPER
svcstore Used - $13.99 0 Oct/08/13 Oct/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Oct/08/13 Oct/11/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
ntsurplus302 Used - $180.00 0 Oct/09/13 Mar/08/15
Description: 2975 Applied Materials P/N: 839-061641-002 C Flexible Hose Assy.
athomemarket Refurbished - $214.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $516.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $214.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $194.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $101.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket Used - $1,080.99 0 Oct/09/13 Nov/08/13
Description: Synergy Microsystems V21 Board PCB AMAT Applied Materials 0090-76110
athomemarket Used - $326.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
wideerp01 NEW - $299.00 0 Oct/09/13 Oct/16/13
Description: APPLIED MATERIALS RING WAFER LIFT 200MM 0020-10192
athomemarket NEW - $234.99 0 Oct/09/13 Nov/08/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $90.99 0 Oct/09/13 Nov/08/13
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
athomemarket NEW - $263.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $45.99 0 Oct/09/13 Oct/16/13
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
wideerp01 NEW - $29.99 0 Oct/09/13 Oct/16/13
Description: Applied Materials 0021-11514 (Gas Panel) Welddement Bracket
wideerp01 Refurbished - $24.99 0 Oct/09/13 Oct/16/13
Description: Applied Materials 0020-38890 Cover,Cathode,DPS Chamber
wideerp01 NEW - $299.00 0 Oct/09/13 Oct/16/13
Description: Applied Materials 0200-04092 Ceramic liner,top,Adf 200mm Producer
ntsurplus302 Used - $95.00 0 Oct/09/13 Mar/08/15
Description: 2953 Applied Materials P/N: 0040-00089
wideerp01 NEW - $24.99 0 Oct/09/13 Oct/16/13
Description: Applied Materials 0690-01037 (chamber) Clamp hing NW50 Wing Nut
ntsurplus302 NEW - $40.00 0 Oct/09/13 Mar/08/15
Description: 2952 Applied Materials P/N: 0050-42352 001
wideerp01 NEW - $19.99 0 Oct/09/13 Oct/16/13
Description: Applied Materials 3070-01004 (chamber) FTG Blws section flex NW50 x NW50
ntsurplus302 NEW - $130.00 0 Oct/09/13 Mar/08/15
Description: 2951 Applied Materials P/N: 0050-42367 002
ntsurplus302 NEW - $90.00 0 Oct/09/13 Mar/08/15
Description: 2950 Applied Materials P/N: 0050-44367 001
ntsurplus302 NEW - $150.00 0 Oct/09/13 Mar/08/15
Description: 2949 Applied Materials P/N: 0050-42351 002
yayais2012 Used - $115.00 0 Oct/11/13 Nov/10/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
yayais2012 Used - $170.00 0 Oct/11/13 Nov/10/13
Description: APPLIED MATERIALS 0010-04235 REV. 002
sparepartssolution Used - $950.00 1 Oct/11/13 May/14/14
Description: AMAT APPLIED MATERIALS 0010-10982 SHELL ASY,150MM,FLAT(1S),5.679DIA,5MIC USED
sparepartssolution Used - $2,000.00 0 Oct/11/13 Nov/10/13
Description: AMAT APPLIED MATERIALS 0010-10448 ASSEMBLY,HINGE,R2 USED
sparepartssolution Used - $1,000.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-10436 SCU-STC-L ASSY,AC DISTRIBUTION,120 VAC,R2 USED
sparepartssolution NEW - $1,500.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-10328 SHELL ASSY,200MM,FLAT(1S 5MIC,0.8THK,SI NEW
sparepartssolution Used - $300.00 1 Oct/11/13 Aug/05/14
Description: AMAT APPLIED MATERIALS 0010-10061 ASSY, HINGE-POS A & D- UNILID USED
sparepartssolution Used - $500.00 0 Oct/11/13 Jul/09/14
Description: AMAT APPLIED MATERIALS 0010-09967 ASSY 8-SLOT WAFER POSITION SENSOR MOUNT USED
sparepartssolution Used - $2,000.00 0 Oct/11/13 Feb/20/14
Description: AMAT APPLIED MATERIALS 0010-09933 HV MODULE ASSY, ESC INCLUDE OVERHAUL SHEET.
sparepartssolution Used - $1,000.00 2 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09928 CONTROLLER BOX ASSY, ESC USED
sparepartssolution Used - $3,000.00 0 Oct/11/13 Apr/29/15
Description: AMAT APPLIED MATERIALS 0010-09913 ASP, LAMP MODULE ASSY, 500W USED
sparepartssolution NEW - $4,000.00 0 Oct/11/13 Nov/09/14
Description: AMAT APPLIED MATERIALS 0010-09912 ASP, LAMP MODULE BASIC ASSY, 1000W NEW
sparepartssolution Used - $3,000.00 0 Oct/11/13 Apr/29/15
Description: AMAT APPLIED MATERIALS 0010-09911 ASP,LAMP MODULE ASSY, 1000W USED
sparepartssolution Used - $700.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09803 TEMP CONTROL PWR DIST. ASSY PER SYSTEM USED
sparepartssolution Used - $800.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09779 ASSY LEVEL SENSE/TEMPURATURE PCB'S USED
sparepartssolution NEW - $1,500.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09574 PEDESTAL ASSY OX/MLR/NIT 125MM FLAT NEW
sparepartssolution Used - $1,000.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09497 ASSY LID GAS BOX WSI USED
sparepartssolution Used - $1,000.00 0 Oct/11/13 Jun/17/14
Description: AMAT APPLIED MATERIALS 0010-09427 ASSY,RETROFIT,CHAMBER CLAMP,SACVD/USG USED
sparepartssolution NEW - $500.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09418 ASSY. NESLAB H.EX. HOSE,50FT NEW
supertechshop NEW - $995.00 0 Oct/10/13 Nov/09/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Oct/10/13 Nov/09/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $149.00 0 Oct/10/13 Nov/09/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop NEW - $399.00 0 Oct/10/13 Nov/09/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $99.00 0 Oct/10/13 Nov/09/13
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop Used - $99.99 0 Oct/10/13 Nov/09/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Oct/10/13 Nov/09/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $399.00 0 Oct/10/13 Nov/09/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Oct/10/13 Nov/09/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Oct/10/13 Nov/09/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop Used - $499.00 0 Oct/10/13 Nov/09/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Oct/10/13 Nov/09/13
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Oct/10/13 Nov/09/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Oct/10/13 Nov/09/13
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
hot!cardz NEW - $22,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
hot!cardz NEW - $6,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $99,500.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials
hot!cardz NEW - $9,500.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $1,500.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $29,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
athomemarket Refurbished - $206.99 0 Oct/10/13 Nov/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
supertechshop NEW - $299.00 0 Oct/10/13 Nov/09/13
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop NEW - $299.00 0 Oct/10/13 Nov/09/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
usedeqsales Used - $310.13 2 Oct/10/13 Mar/30/15
Description: AMAT Applied Materials 0100-90863 Hi-Standard Gas Interlock XR80 Used Working
usedeqsales Used - $310.13 2 Oct/10/13 Oct/14/14
Description: Applied Materials AMAT 0110-99011 Hi Standard Gas Interlock XR80 Used Working
adickson NEW - $24.75 0 Oct/10/13 Oct/15/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
sparepartssolution Used - $500.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09316 TEMP CONT ASSY CLOSED LOOP,TEOS USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09301 THROTTLE VALVE ASSEMBLY,TEOS, DOWNSTREAM USED
sparepartssolution Used - $500.00 0 Oct/10/13 Dec/01/14
Description: AMAT APPLIED MATERIALS 0010-09292 ASSY, TC AMP HOUSING GENERIC USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-09267 CASSETTE ASSEMBLY USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-09265 125MM CASSETTE HANDLER HEAD USED
sparepartssolution Used - $300.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09120 ASSY HEATSINK AND MOTOR USED
sparepartssolution Used - $600.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-09103 STAND ALONE MONITOR USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-09053 ASSY, 200MM STORAGE USED
sparepartssolution Used - $800.00 2 Oct/10/13 Nov/06/13
Description: AMAT APPLIED MATERIALS 0010-09052 ASSY, 150MM STORAGE USED
sparepartssolution Used - $800.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09051 ASSEMBLY, 125MM STORAGE USED
sparepartssolution Used - $1,800.00 0 Oct/10/13 Dec/09/14
Description: AMAT APPLIED MATERIALS 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Jul/15/14
Description: AMAT APPLIED MATERIALS 0010-09019 THROTTLE VALVE ASSY, ETCH USED
sparepartssolution NEW - $1,000.00 0 Oct/10/13 Dec/25/14
Description: AMAT APPLIED MATERIALS 0010-07348 BLANK-OFF, DPS METAL, THROTTLE VALVE NEW
sparepartssolution NEW - $2,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-05904 ASSY, 200MM PROD. UNIVERSAL SIGMA TV W/I NEW
sparepartssolution Used - $200.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-05428 ASSY, HOSE, CATHODE LINER IX, SS/TFE RET USED
sparepartssolution Used - $8,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-04941 ASSEMBLY;MAGNET SIP-CU 300MM;VERSION 2 USED
sparepartssolution Used - $1,500.00 2 Oct/10/13 Dec/18/13
Description: AMAT APPLIED MATERIALS 0010-04926 HV MODULE ASSY, NEGATIVE, CESC USED OVERHAULED
sparepartssolution Used - $5,000.00 0 Oct/10/13 Sep/29/14
Description: AMAT APPLIED MATERIALS 0010-04542 ASSY, HEATER, 200MM WXZ CERAMIC RING, OS USED
sparepartssolution Used - $8,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-03488 MAGNET, IMP 300MM PVD USED
sparepartssolution Used - $5,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-03486 MAGNET TI ASSY 300MM PVD USED
sparepartssolution Used - $8,000.00 0 Oct/10/13 Dec/18/13
Description: AMAT APPLIED MATERIALS 0010-03244 ASSEMBLY PURGE HEATER, E.U. SNNF, HP TXZ USED
sparepartssolution Used - $4,000.00 0 Oct/10/13 Feb/20/14
Description: AMAT APPLIED MATERIALS 0010-02747 R1 DPS Enhanced Metal RF Match OVERHAULED
sparepartssolution Used - $2,500.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-02631 ASSY AC BOX AMAT 0 W/O NEUTRAL USED
sparepartssolution Used - $4,000.00 1 Oct/10/13 Mar/30/15
Description: AMAT APPLIED MATERIALS 0010-01711 ASSY, HP, UPGRADE ROBOT, ENDURA USED
sparepartssolution Used - $2,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-00510 ASSEMBLY BEARING USED
sparepartssolution Used - $8,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-01198 ASSEMBLY MINI LP-3 MAGNET USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-00744 HE PRESSURE CONTROL (20SCCM) ASSY USED
ggrassetrecovery Used - $69.00 0 Oct/11/13 Oct/18/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
ptb-sales Used - $750.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,800.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $250.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $225.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $3,000.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $460.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $780.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
athomemarket Refurbished - $131.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket Refurbished - $416.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket NEW - $196.99 0 Oct/11/13 Nov/10/13
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
athomemarket NEW - $1,080.99 0 Oct/11/13 Oct/18/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,080.99 0 Oct/11/13 Oct/18/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $112.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $163.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $162.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $261.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $269.99 0 Oct/11/13 Nov/10/13
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket Refurbished - $156.99 0 Oct/11/13 Nov/10/13
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $61.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $232.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
sparepartssolution Used - $1,500.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20664 ASSY, SLIT VALVE USED
sparepartssolution NEW - $350.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21789 ASSEMBLY, DC BIAS NEW
sparepartssolution Used - $10,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21676 RH-2 MAGNET ASSY USED
sparepartssolution Used - $150.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21409 ASSY THERMAL SWITCH PVD CHAMBER USED
sparepartssolution Used - $6,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21403 MAGNET ASSY DURASOURCE TTN MINUS USED
sparepartssolution Used - $5,000.00 0 Oct/12/13 Jan/06/15
Description: AMAT APPLIED MATERIALS 0010-21393 ASSY, SHUTTER ROTATION, LINKAGE USED
sparepartssolution NEW - $1,800.00 1 Oct/12/13 Jun/24/15
Description: AMAT APPLIED MATERIALS 0010-21335 SHUTTER ENCLOSURE ASSY @ POSITION C NEW
sparepartssolution Used - $5,000.00 0 Oct/12/13 Oct/16/13
Description: AMAT APPLIED MATERIALS 0010-21246 ASSEMBLY, NON-NTM ADVANCED 101 - 8" USED
sparepartssolution Used - $8,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20819 MAGNET ASSY UNCHARGED 13TI *** USED
sparepartssolution Used - $1,800.00 0 Oct/12/13 Dec/16/14
Description: AMAT APPLIED MATERIALS 0010-20753 WAFER LIFT ASSEMBLY PRECLEAN 2 USED
sparepartssolution Used - $350.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20683 ASSY SPECIAL CASS CLAMPING USED
sparepartssolution Used - $350.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT MONITOR USED
sparepartssolution Used - $3,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20481 ASSY 200MM CASSETTE HANDLER (LLB) USED
sparepartssolution Used - $3,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20480 ASSY 200MM CASSETTE HANDLER LEFT (LLA) USED
sparepartssolution Used - $5,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20422 ASSY, 8 IN SHIELD TREATMENT W/PROTECTIVE USED
sparepartssolution Used - $700.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20399 ASSY, CASSETTE CLAMPING 200MM PLASTIC USED
sparepartssolution Used - $6,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20328 OPTIONAL 8"AL MAGNET ASY USED
sparepartssolution Used - $3,000.00 0 Oct/12/13 Mar/06/14
Description: AMAT APPLIED MATERIALS 0010-20317 8PVD Degas USED
sparepartssolution Used - $2,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20300 ASSY LIFT PVD USED
sparepartssolution Used - $1,500.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20295 ASSY LIFTER DEGAS/ORIENT USED
sparepartssolution Used - $2,000.00 1 Oct/12/13 May/22/14
Description: AMAT APPLIED MATERIALS 0010-20286 ASSY, INDEXER RIGHT AUTOMATED LOAD LOCK USED
sparepartssolution Used - $8,000.00 0 Oct/12/13 Mar/04/15
Description: AMAT APPLIED MATERIALS 0010-20225 MAGNET 'A' 13.0 AL ASSY USED
sparepartssolution Used - $7,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20223 wMAGNET REM 11.3"TIN ASY USED
sparepartssolution Used - $1,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20098 CHASSIS ASSY, SHIELD TREATMENT USED
sparepartssolution Used - $1,500.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20021 SLIT VALVE ASSEMBLY USED
sparepartssolution Used - $5,000.00 0 Oct/12/13 Nov/05/13
Description: AMAT APPLIED MATERIALS 0010-20000 ASSY PWR SUPPLY 15V USED
sparepartssolution Used - $900.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-18159 INTERLOCK SWITCH BOX, BIAS MATCH, HDPCVD USED
sparepartssolution NEW - $1,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-17406 ASSY, LH, PIVOT AND BEARING, 300MM ROBOT NEW
sparepartssolution NEW - $1,300.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM NEW
sparepartssolution NEW - $850.00 3 Oct/12/13 Jun/12/14
Description: AMAT APPLIED MATERIALS 0010-13928 ASSY, CABLE,RF MATCH INTERLOCK, PRECLEAN NEW
sparepartssolution Used - $4,000.00 0 Oct/12/13 Mar/10/14
Description: AMAT APPLIED MATERIALS 0010-13927 PEDESTAL LIFT ASSEMBLY PRECLEAN 2K USED
sparepartssolution NEW - $1,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-13912 ASSY, VGA MONITOR STAND ALONE NEW
sparepartssolution NEW - $2,200.00 0 Oct/12/13 Jun/17/14
Description: AMAT APPLIED MATERIALS 0010-13901 ASSY, 2/5 PHASE DVR ENCLOSURE ASSY NEW
sparepartssolution Used - $2,000.00 0 Oct/12/13 Oct/09/14
Description: AMAT APPLIED MATERIALS 0010-13650 AC BOX, HTESC, P1 USED
sparepartssolution Used - $1,500.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-13642 29 POSN STORAGE ELEVATOR USED
sparepartssolution Used - $6,000.00 0 Oct/12/13 Feb/20/14
Description: AMAT APPLIED MATERIALS 0010-13623 RF MATCH .015UF OVERHAULED INCLUDE TEST SHEET
sparepartssolution Used - $4,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-13437 AC-BOX, HTESC USED
sparepartssolution Used - $4,000.00 0 Oct/12/13 Sep/25/14
Description: AMAT APPLIED MATERIALS 0010-13242 ASSEMBLY,EXTENDED REACH WRIST USED
sparepartssolution Used - $3,800.00 0 Oct/12/13 Apr/17/14
Description: AMAT APPLIED MATERIALS 0010-12168 AC BOX 200MM SLT ESC ASSEMBLY USED
sparepartssolution Used - $4,000.00 0 Oct/12/13 Feb/20/14
Description: AMAT APPLIED MATERIALS 0010-11239 HV MODULE OVERHAUL ASSY INCLUDE OVERHAUL SHEET
neilan1987 Used - $149.99 1 Oct/12/13 Apr/17/14
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
athomemarket NEW - $137.99 0 Oct/12/13 Nov/11/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $147.99 0 Oct/12/13 Nov/11/13
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
supertechshop NEW - $199.00 0 Oct/12/13 Nov/11/13
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $99.00 0 Oct/12/13 Nov/11/13
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $299.00 0 Oct/12/13 Nov/11/13
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop Used - $99.99 0 Oct/12/13 Nov/11/13
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
forestnorth NEW - $1,500.00 2 Oct/12/13 Oct/12/13
Description: NEW Applied Materials 300mm Ceramic Heater CVD Producer 0010-30838
svcstore NEW - $499.99 0 Oct/12/13 Oct/15/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $163.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $109.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket NEW - $413.99 0 Oct/13/13 Nov/12/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,299.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,399.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,999.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $520.99 0 Oct/13/13 Nov/12/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Refurbished - $2,547.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
eisale1535 Used - $1,960.00 2 Oct/13/13 Jul/19/14
Description: AMAT Applied Materials 0040-36180 TXZ HEATER
onlinesmt Used - $375.00 0 Oct/14/13 Oct/21/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
electronicswest Used - $100.00 0 Oct/14/13 Oct/21/13
Description: Applied Materials AMAT MKS Baratron Etch Manometer E28B-24565 1350-00200
visionsemi Used - $398.00 6 Oct/15/13 Oct/18/13
Description: APPLIED MATERIALS AMAT DIGITAL I/O BOARD ASSY 0100-20003
visionsemi Used - $650.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT/OUTPUT ASSY PCB P5000
visionsemi Used - $1,500.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0040-49020 CERAMIC HEATER
visionsemi Used - $1,500.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0040-82421 CERAMIC HEATER
visionsemi Used - $1,000.02 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0190-35452 POWER SUPPLY AMI P1161
visionsemi NEW - $750.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0200-02124 E-MAX QUARTZ COVER RING 200MM
visionsemi NEW - $35.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS
visionsemi Used - $1,500.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0040-82421 CERAMIC HEATER
visionsemi NEW - $40.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3860-01050 TBG PLASTIC 1/8 OD .032 WALL POLYURETHAN 160FT
bobsgoodies NEW - $27.00 0 Oct/15/13 Jun/17/14
Description: Applied Materials 0100-09085 LVDT Voltage Regulator Board Assembly
sparepartssolution NEW - $1,800.00 0 Oct/15/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0020-23046 ADAPTER 13 SOURCE WIDE BODY CHAMBER NEW
sparepartssolution Used - $2,000.00 0 Oct/15/13 Feb/20/14
Description: AMAT APPLIED MATERIALS 0010-30091 HV MODULE ASSY OVERHAULED INCLUDE TEST SHEET
sparepartssolution NEW - $1,300.00 2 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-30057 ASSEMBLY, CATHODE LINER, MXP+ NEW
sparepartssolution Used - $10,000.00 0 Oct/15/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-25254 HEATER USED
sparepartssolution NEW - $5,000.00 0 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-22930 FACILITY PLATE, POSITION 2, WIRED NEW
sparepartssolution NEW - $1,000.00 0 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21868 COOLDOWN MANIFOLD 200 MM NEW
sparepartssolution Used - $10,000.00 0 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-22043 MAGNET USED
wideerp01 NEW - $600.00 0 Oct/15/13 Oct/22/13
Description: APPLIED MATERIALS FINGER LIFT RING 0200-36649
wideerp01 NEW - $139.00 0 Oct/15/13 Oct/22/13
Description: APPLIED MATERIALS ISOLATOR,200MM B-W 0200-09129
wideerp01 Refurbished - $249.00 0 Oct/15/13 Oct/22/13
Description: APPLIED MATERIALS 5500 ENDURA PVD COVER RING 8" 101% 0020-24914
athomemarket Used - $89.99 0 Oct/14/13 Nov/13/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $63.99 0 Oct/14/13 Nov/13/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $4,799.99 0 Oct/14/13 Nov/13/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
visionsemi Used - $650.00 0 Oct/15/13 Nov/14/13
Description: AMAT 0020-05384 BESC Shutter Disk Applied Materials
visionsemi Used - $125.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0150-09708 REMOTE SYSTEM CRT
visionsemi Used - $355.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
visionsemi NEW - $20.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0021-35065 BRACKET FUJIKIN VALVE, SLD
visionsemi NEW - $8.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0020-20119 PAD DC BIAS
visionsemi NEW - $50.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS 3200-00200 ENCLOSURE PUMP CABINET
visionsemi Used - $25.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0021-12855 AM017 HOUSING, QUARTZ TUBE TXZ 300MM
visionsemi NEW - $20.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3480-00279 ALCATEL BRACKET
visionsemi Used - $20.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3400-01141 HOSE FLEX PVC WIRE 4'
visionsemi Used - $600.00 0 Oct/15/13 Oct/15/13
Description: AMAT APPLIED MATERIALS 0020-09122 LOAD LOCK L/L CHAMBER BODY WPI
visionsemi Used - $415.00 3 Oct/15/13 Oct/18/13
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
visionsemi NEW - $35.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT O-RING 3700-01941
visionsemi Used - $2,300.00 0 Oct/15/13 Oct/23/13
Description: AE ADVANCED ENERGY RF MATCH 3155031-037 AMAT 1110-00056 APPLIED MATERIALS
visionsemi Used - $550.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 8" FORK LIFT HOOP 0020-21707
visionsemi NEW - $14.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0020-20117 CONDUCTOR FLEX DC BIAS
visionsemi NEW - $50.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0150-76190 CABLE VIDEO ASSY
visionsemi NEW - $200.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0040-77207 PAD CONDITIONER DISK CARRIER 2
visionsemi NEW - $3,500.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
visionsemi NEW - $90.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
visionsemi NEW - $10.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0270-20037 TOOL CALIBRATION BAR
visionsemi NEW - $19.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-25917 ISOLATOR DC BIAS SHUTTER COMP REV A
visionsemi NEW - $850.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 300MM BLOCKER PLATE 0021-19312
visionsemi Used - $14,500.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT ENDURA 2 CBM ASSY ANNEAL/PRECLEAN 0010-26336
visionsemi NEW - $35.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT SPACER THROTTLE VALVE 0020-31531
visionsemi Used - $25.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0150-00319 CABLE ASSY
visionsemi Used - $484.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS 0500-01139 SCR POWER CONTROLLER CONTROL CONCEPTS 2028B-1007
visionsemi Used - $600.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
visionsemi NEW - $19.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
visionsemi NEW - $775.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS PRECLEAN BELL JAR 0040-13509 ZCOAT NEW
visionsemi NEW - $3,500.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
visionsemi NEW - $1,100.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0200-20055 INSULATOR QUARTZ 8" PRE-CLEAN W/ ANTENAE PCII
visionsemi NEW - $10.00 0 Oct/15/13 Nov/14/13
Description: 0910-01128 Applied Materials AMAT Fuse FA 4A 250V
visionsemi NEW - $25.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials Dynamic Seal 3700-02333 AMAT
visionsemi Used - $300.00 0 Oct/15/13 Oct/15/13
Description: APPLIED MATERIALS AMAT 0021-10223 LINER MXP CHAMBER
visionsemi NEW - $20.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials Greentweed O-Ring 3700-02299 SS513
visionsemi NEW - $7.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS 3700-01086 SEAL NW-16-CR-SV KF 16
visionsemi NEW - $20.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-09446 GASKET MAG-AC
visionsemi NEW - $675.00 0 Oct/15/13 Nov/14/13
Description: NEW! AMAT APPLIED MATERIALS SHIELD 8" TIW ARC SPRAY 0020-25893
visionsemi Used - $30.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS 0020-34167 COVER SHIELD FRONT R2
visionsemi NEW - $17.50 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-20114 ISOLATOR DC BIAS CERAMICS REV-C (NEW)
visionsemi Used - $415.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS SERIPLEX 0660-01809 SQUARE D 30299-083 INTFC VME CARD
visionsemi NEW - $15.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-09617 BUSHING, REAR
visionsemi NEW - $400.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS SHIELD UPPPER 8" K3/P4 AL FLAME SPRAY 0020-27808
visionsemi Used - $189.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PROD 200MM 0021-01813
visionsemi Used - $78.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT KALREZ 6375 LIP SEAL 0030-00096 (LOT OF 2)
visionsemi NEW - $850.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0040-94904 HT SWLL COOLDOWN PEDESTAL, BLANK
visionsemi Used - $175.01 0 Oct/15/13 Nov/14/13
Description: Applied Materials 0020-23035 Cam Inboard Lot of 10 AMAT
visionsemi NEW - $35.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0140-10098 Distrib Box Harness
visionsemi Used - $10.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 1010-01063 IDEC Lamp Pilot
visionsemi NEW - $90.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
visionsemi NEW - $450.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0200-02555 COLLAR STEPPED 200MM
visionsemi Used - $450.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials Stepper Driver PCB 0100-00003 AMAT
visionsemi Used - $75.00 0 Oct/15/13 Nov/14/13
Description: VERIFLO 944AOPLPNCSFSFF DIAPHRAGM VALVE 42600719 APPLIED MATERIALS 3870-01306
visionsemi NEW - $175.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials 0200-10147 Quartz Insert 88 Hole GDP
visionsemi NEW - $400.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0020-28160 CLAMP RING 8"
visionsemi NEW - $300.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0200-20059 INSULATOR QZ 5" SMRMF PCII
visionsemi NEW - $165.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0090-77082 CTE CHEM TEC EQUIPMENT 125-T-BP NC (32929-12)
visionsemi NEW - $400.00 0 Oct/15/13 Oct/16/13
Description: AMAT APPLIED MATERIALS SHIELD UPPER 0020-27190
visionsemi NEW - $40.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials 3690-02288 Screw SKTHD 6-32 X 7/8 AMA
eisale1535 Used - $1,499.00 0 Oct/15/13 May/07/15
Description: AMAT 0010-04542 M WXZ HEATER ASSY ( Applied Materials 0040-04542 )
visionsemi NEW - $64.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 8" PRCLN/CL LFT/RBT CAL PLATE 0270-76103
visionsemi NEW - $125.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0190-28262 CMP DIAMOND DISK A1921X
visionsemi Used - $45.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0150-70002 Cable Flat Assy SCSI
visionsemi Used - $850.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT TILT OUT CASSETTE ENDURA PVD 200MM 8" 0020-75108
visionsemi NEW - $879.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS CALIBRATION TOOL KIT 0240-76382 CENTURA HP ROBOT
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-01094 SCR CAP SKT HD 10-32
visionsemi NEW - $499.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi NEW - $45.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 3710-01095 Shaft HSG Roller Assy
visionsemi NEW - $64.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT TOOL CAL 101 LIFT TO ROBOT 0270-20152
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-03911 SCR 10-32 X 7/8L SST
visionsemi Used - $50.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0020-97794 Spacer Blade 100-150
visionsemi NEW - $75.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT GENERATOR CABLE 0150-09593
visionsemi NEW - $130.00 1 Oct/15/13 Oct/18/13
Description: APPLIED MATERIALS AMAT ROBOT CALIBRATION CABLE 0150-76116 LOT OF 2
visionsemi Used - $39.99 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-01766 SCR 10-32 X 2-3/8L
visionsemi NEW - $249.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT TOOL ZERO POSITION 0270-20080
visionsemi Used - $1,300.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS XR80 0090-91414 SPIN SCAN CONTROL CHASSIS
visionsemi NEW - $39.99 0 Oct/15/13 Nov/14/13
Description: ROBERTSHAW BC10-L2S THERMOCOUPLE AMAT APPLIED MATERIALS 1350-50000
visionsemi NEW - $64.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 8" LIFT TO ROBOT CAL PLATE 0270-70048
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-01822 SCR 4-40 X 5/16L SST
visionsemi Used - $50.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
visionsemi NEW - $110.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT T-HANDLE ADAPTER TARGET 0270-20053 LOT OF 2
visionsemi NEW - $60.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS SEAL CTR RING ASSY 3700-01626 (LOT-4)
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-04651 SCR 4-40 X 5/8L SST
visionsemi Used - $1,800.00 0 Oct/15/13 Oct/15/13
Description: 0010-09297 APPLIED MATERIALS AMAT TUNGSTEN POWER SUPPLY 15V
visionsemi NEW - $40.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0620-01144 CABLE ASSY KEY BOARD
visionsemi Used - $399.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi NEW - $100.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 1270-00485 WASCO PRESSURE SWITCH P400V-25W3B-X/9671
athomemarket NEW - $99.99 0 Oct/15/13 Nov/14/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Refurbished - $142.99 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket Used - $4,465.99 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $6,199.99 0 Oct/15/13 Nov/14/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $212.99 0 Oct/15/13 Nov/14/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
wideerp01 Refurbished - $599.00 0 Oct/15/13 Oct/22/13
Description: APPLIED MATERIALS ADAPTER PUMPING RING 0020-30287
wideerp01 NEW - $149.00 0 Oct/15/13 Oct/22/13
Description: APPLIED MATERIALS 3 ZONE INJECTOR BAFFLE 0200-35159 REV B
wideerp01 NEW - $799.00 0 Oct/15/13 Oct/22/13
Description: APPLIED MATERIALS DEPOSITION PVD RING TUNGSTEN 0040-75531
athomemarket NEW - $252.99 0 Oct/15/13 Nov/14/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
svcstore Used - $11.99 0 Oct/15/13 Oct/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Oct/15/13 Oct/18/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore NEW - $499.99 0 Oct/15/13 Oct/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $80.99 0 Oct/15/13 Oct/18/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
wideerp01 Refurbished - $360.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS ESC POLYMIDE 195MM 0090-35133
wideerp01 NEW - $250.00 0 Oct/16/13 Oct/23/13
Description: Applied Materials Delta Nitride,Throttle Valve 0010-76175
wideerp01 NEW - $199.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS PRODUCER FACE PLATE,WATER COOLER SACVD 0040-70319
wideerp01 NEW - $300.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS CVD TRHOTTLE VALVE 0010-76175 REV G
neilan1987 NEW - $399.99 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
sparepartssolution NEW - $5,000.00 1 Oct/16/13 Mar/04/15
Description: AMAT APPLIED MATERIALS 0010-01886 ASSY, CERAMIC DOME, ES DTCU, V-ELECTRODE NEW
alvin1462 NEW - $555.00 6 Oct/16/13 Oct/10/17
Description: AMAT Applied Materials 0190-41388 DRVR,100W,DNET,SIGMA II, SGDH-01AE-N3Y920 NEW
usedeqsales NEW - $360.13 0 Oct/16/13 May/05/15
Description: MFD-3006 Applied Materials 50 FT DC Cable AMAT 0190-01560 New
wideerp01 NEW - $199.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS TI NITRIDE XL,BLOCKER,PLATE 0020-30111
wideerp01 NEW - $49.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS P500 CABLE ASSY,EMC COMPUTER SYSTEM V 0150-76190
wideerp01 NEW - $199.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS ESC THERMOCOUPLE 0190-09248 REV B
wideerp01 NEW - $99.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS CABLE ASSY,15A,120V L5-15P 25' DCVDXZ 300MM 0620-01715
usedeqsales NEW - $410.13 0 Oct/16/13 May/05/15
Description: MFD-1307 Applied Materials 75 FT DC Cable AMAT 0190-01559 New
wideerp01 NEW - $399.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS CERAMIC LINER BOTTOM 0200-04084
wideerp01 NEW - $149.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS CALIBRATION PLATE 8" SPACER,NITRIDE/OXIDE 0020-10041
wideerp01 Refurbished - $499.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS CERAMIC PLATE,EDGE COVER DXZ 0200-10169
wideerp01 NEW - $199.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS RING,SINGLE,LOW PROFILE 200MM SNNF,QTZ DPN 0200-02000
usedeqsales NEW - $410.13 1 Oct/16/13 Nov/07/14
Description: Applied Materials ULP-2-.350-N/S Low Pass Filter AMAT 0190-09186 Lot of 2 New
usedeqsales NEW - $310.13 3 Oct/16/13 Oct/01/14
Description: Applied Materials 911-62-001 Shortened U-Jet with Crossover AMAT 0190-00199 New
athomemarket Used - $330.99 0 Oct/16/13 Nov/15/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket Refurbished - $216.99 0 Oct/16/13 Nov/15/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
wideerp01 NEW - $24.99 0 Oct/16/13 Oct/23/13
Description: Applied Materials 0690-01037 (chamber) Clamp hing NW50 Wing Nut
wideerp01 NEW - $19.99 0 Oct/16/13 Oct/23/13
Description: Applied Materials 3070-01004 (chamber) FTG Blws section flex NW50 x NW50
wideerp01 NEW - $29.99 0 Oct/16/13 Oct/23/13
Description: Applied Materials 0021-11514 (Gas Panel) Welddement Bracket
wideerp01 Refurbished - $24.99 0 Oct/16/13 Oct/23/13
Description: Applied Materials 0020-38890 Cover,Cathode,DPS Chamber
wideerp01 NEW - $299.00 0 Oct/16/13 Oct/23/13
Description: Applied Materials 0200-04092 Ceramic liner,top,Adf 200mm Producer
usedeqsales NEW - $705.07 4 Oct/16/13 Nov/24/13
Description: 0190-05276 AMAT Applied Materials CDO Lower Double Secondary New
adickson NEW - $24.75 0 Oct/17/13 Oct/22/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
svcstore Used - $11.99 0 Oct/18/13 Oct/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
wideerp01 Used - $13,999.00 0 Oct/18/13 Oct/25/13
Description: Applied Materials P5000 29 Wafer Storage Elevator 0020-34338
athomemarket NEW - $111.99 0 Oct/18/13 Nov/17/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $314.99 0 Oct/18/13 Nov/17/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
bobsgoodies NEW - $125.00 0 Oct/18/13 Jun/17/14
Description: Applied Materials 0680-01124 Square D QDB 20 Amp Circuit Breaker 3 pole 240 VAC
ggrassetrecovery Used - $69.00 0 Oct/18/13 Oct/25/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
usedeqsales Used - $605.07 0 Oct/18/13 Jan/23/14
Description: AMAT Applied Materials 1080-00069 Process Module Quantum Leap III Used
athomemarket NEW - $45.99 0 Oct/17/13 Nov/16/13
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $89.99 0 Oct/17/13 Nov/16/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $105.99 0 Oct/17/13 Nov/16/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $315.99 0 Oct/17/13 Nov/16/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
aaaorings NEW - $47.99 0 Oct/17/13 Oct/24/13
Description: Applied Materials Amat 0040-26607 Washer
athomemarket NEW - $139.99 0 Oct/17/13 Oct/24/13
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $290.99 0 Oct/17/13 Nov/16/13
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $194.99 0 Oct/17/13 Nov/16/13
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $149.99 0 Oct/17/13 Oct/24/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yayais2012 Used - $380.00 0 Oct/17/13 Nov/16/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 NEW - $170.00 0 Oct/17/13 Nov/16/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $95.00 0 Oct/17/13 Nov/16/13
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $180.00 0 Oct/17/13 Nov/16/13
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $215.00 0 Oct/17/13 Nov/16/13
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
yayais2012 NEW - $70.00 0 Oct/17/13 Nov/16/13
Description: Applied Materials 0190-10270 Diamond Pad Cond
usedeqsales NEW - $660.13 0 Oct/17/13 May/04/15
Description: AMAT Applied Materials 26167-1 T/C PRSP Thermocouple 0190-09185 Lot of 4 New
bobsgoodies Scrap, for parts - $399.00 0 Oct/17/13 Jun/17/14
Description: AMAT 0010-09837 Wafer Lift Precision 5000 Applied Materials
bobsgoodies Scrap, for parts - $399.00 0 Oct/17/13 Jun/17/14
Description: AMAT 0010-01088 Wafer Lift BWCVD Precision 5000 Applied Materials
comwaysind NEW - $297.00 0 Oct/17/13 Jun/03/16
Description: Applied Materials 0190-09320 FTG REDUCER KF40-AF25 HEATED mks 100999669
svcstore Used - $349.99 0 Oct/18/13 Oct/21/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $80.99 0 Oct/18/13 Oct/21/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Oct/18/13 Oct/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
skyhorse9002 Used - $90.00 0 Oct/19/13 Jun/14/14
Description: 0190-36511 APPLIED MATERIALS DIP294 Device Net I/O Block
skyhorse9002 Used - $355.00 0 Oct/19/13 Jun/14/14
Description: 0190-22543 REV 003 FI Controller APPLIED MATERIALS Factory Interface Digital I/O
athomemarket Refurbished - $567.99 0 Oct/19/13 Nov/18/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $916.99 0 Oct/19/13 Nov/18/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Used - $1,216.99 0 Oct/19/13 Nov/18/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
yayais2012 NEW - $220.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-27540 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH
yayais2012 NEW - $95.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-15386 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-A IH1000
yayais2012 NEW - $150.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-22912 CABLE ASSY SCRUBBER RUNNING SICONI 300M
yayais2012 NEW - $110.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-15387 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH-B IH1000
yayais2012 NEW - $110.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
yayais2012 NEW - $75.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 NEW - $150.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-15385 CABLE ASSY HELIOS-4 INLET1 TO FACILITY N2 PRESS S
yayais2012 Used - $220.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 NEW - $110.00 0 Oct/19/13 Nov/18/13
Description: Applied Materials 0050-53004 WELDMENT, CONVECTRON ADAPTER, NW50 W/1/4'' VCR ADA
yayais2012 Used - $480.00 1 Oct/19/13 Oct/22/13
Description: APPLIED MATERIALS 0010-04514 LASER MODULE lot of 2
yayais2012 NEW - $170.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0240-52734 KIT, H2 ON INDICATOR PER PROCESS PLATTER, HELIOS
yayais2012 NEW - $220.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS ASSY RIM PRESSURE VALVE/S 0010-47135
yayais2012 NEW - $240.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
yayais2012 Used - $780.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $250.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0190-23270 OHT HOKUYO DMS-HB1-Z28, E84 VARIABLE SENSOR
yayais2012 Used - $200.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 FW: 1.201 / HW: 02
neilan1987 Used - $59.99 0 Oct/18/13 Nov/17/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 NEW - $39.99 0 Oct/18/13 Nov/17/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
athomemarket NEW - $299.99 0 Oct/19/13 Nov/18/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $86.99 0 Oct/19/13 Nov/18/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $616.99 0 Oct/19/13 Nov/18/13
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
turkeymakesmetired-2008 NEW - $349.99 1 Oct/19/13 Apr/22/15
Description: Applied Materials AMAT 0100-09145 RS232 Video Interconnect BD Assy Board New
turkeymakesmetired-2008 NEW - $249.99 2 Oct/19/13 Jun/26/14
Description: Applied Materials AMAT 200mm Top Plate Ceramic Liner 0200-04092 New
turkeymakesmetired-2008 NEW - $399.99 1 Oct/19/13 Oct/23/13
Description: Applied Materials AMAT 0200-10169 Plate Edge Cover DXZ GECO New
turkeymakesmetired-2008 Used - $999.99 0 Oct/19/13 Nov/03/16
Description: Applied Materials AMAT 0100-11002 D I/O Board
turkeymakesmetired-2008 NEW - $999.99 1 Oct/19/13 Feb/05/14
Description: Applied Materials AMAT 0100-09299 Serial Sideboard Assy Board New
forestnorth Used - $1,750.00 0 Oct/19/13 Oct/29/13
Description: Applied Materials ISOLATOR, CERAMIC, LOWK, 300MM PRODUCER 0200-04470 Lot of 12
yayais2012 Used - $400.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $400.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $110.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $180.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
supertechshop NEW - $299.00 0 Oct/20/13 Nov/19/13
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $99.99 0 Oct/20/13 Nov/19/13
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $399.00 0 Oct/20/13 Nov/19/13
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
imca00 NEW - $4,499.00 0 Oct/20/13 Oct/25/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 Used - $2,299.00 0 Oct/20/13 Oct/30/13
Description: Comdel CDX-1000 AMAT Applied Materials Dual Frequency RF Generator pn 0190-07242
imca00 Scrap, for parts - $1,499.00 0 Oct/20/13 Oct/30/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
imca00 NEW - $499.00 0 Oct/20/13 Oct/25/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
supertechshop Used - $499.00 0 Oct/20/13 Nov/19/13
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $199.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop Used - $950.00 0 Oct/20/13 Nov/19/13
Description: Applied Materials 0130-11001 Analog Output Board P5000 PCB 0100-11001 / Warranty
turkeymakesmetired-2008 NEW - $1,499.99 0 Oct/20/13 Nov/03/16
Description: Applied Materials 0010-93007 20640-RPE Manual Lid Hoist Bracket Mainframe New
athomemarket NEW - $1,699.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $499.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $86.99 0 Oct/20/13 Nov/19/13
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
yayais2012 Used - $300.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-1627-06
yayais2012 Used - $300.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3657-11
ptb-sales Used - $1,800.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
yayais2012 Used - $90.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0190-10208 DIP-CDN180-12, 8 BIT DIR DI/O, 8AI, 5V
ptb-sales Used - $250.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $225.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $2,500.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $460.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $780.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
yayais2012 Used - $250.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0190-10208 CARD, INTERFACE DNET, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 Used - $450.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 Used - $300.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $300.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3607-05
yayais2012 NEW - $350.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $45.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
athomemarket NEW - $1,080.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
onlinesmt Used - $375.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
athomemarket NEW - $312.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $514.99 0 Oct/21/13 Nov/20/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
yayais2012 NEW - $110.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $85.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $110.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 NEW - $95.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 NEW - $75.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0150-12497 REV 002
yayais2012 NEW - $75.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $45.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 NEW - $120.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $78.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
athomemarket Used - $3,999.99 0 Oct/21/13 Nov/20/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $73.99 0 Oct/21/13 Nov/20/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $112.99 0 Oct/21/13 Nov/20/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $189.99 0 Oct/21/13 Nov/20/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
athomemarket Used - $239.99 0 Oct/21/13 Nov/20/13
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket NEW - $1,080.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
wideerp01 Used - $8,999.00 0 Oct/21/13 Oct/28/13
Description: Applied Materials 8" wafer ORIENTER P5000/CENTURA 0010-70131
svcstore Used - $11.99 0 Oct/21/13 Oct/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Oct/21/13 Oct/24/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $80.99 0 Oct/21/13 Oct/24/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Oct/21/13 Oct/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop Used - $299.00 0 Oct/22/13 Nov/21/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $199.00 0 Oct/22/13 Nov/21/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
bobsgoodies Used - $499.00 0 Oct/22/13 Jun/17/14
Description: AMAT Applied Materials 0010-00685 5000 ETCH Actuator Std. Cathode Assembly
supertechshop Used - $299.00 0 Oct/22/13 Nov/21/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Oct/22/13 Nov/21/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop Used - $499.00 0 Oct/22/13 Nov/21/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 Oct/22/13 Nov/21/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
adickson NEW - $24.75 0 Oct/22/13 Oct/27/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
yayais2012 NEW - $45.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
yayais2012 NEW - $38.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0242-04762 CD MANUALS SICONI ACP
yayais2012 Used - $180.00 0 Oct/22/13 Nov/21/13
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 NEW - $48.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 NEW - $38.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0242-46009 CD CENTURA ACP 300 MANUALS
yayais2012 NEW - $38.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
yayais2012 NEW - $160.00 0 Oct/22/13 Nov/21/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable TRU
yayais2012 NEW - $120.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $120.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 NEW - $55.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 NEW - $35.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
athomemarket Used - $198.99 0 Oct/22/13 Nov/21/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,699.99 0 Oct/22/13 Nov/21/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket NEW - $523.99 0 Oct/25/13 Nov/24/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
athomemarket NEW - $126.99 0 Oct/25/13 Nov/01/13
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket NEW - $135.99 0 Oct/25/13 Nov/01/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yayais2012 Used - $110.00 0 Oct/25/13 Nov/24/13
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
ggrassetrecovery Used - $59.00 0 Oct/25/13 Nov/01/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
neilan1987 NEW - $1,499.99 0 Oct/25/13 Jan/02/14
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
usedeqsales NEW - $1,510.13 0 Oct/25/13 May/01/15
Description: Applied Materials 37222302 9ft Industrial Brush AMAT 0190-29777 New
bruce135 Used - $299.00 0 Oct/25/13 Nov/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
aaaorings NEW - $47.99 0 Oct/25/13 Nov/01/13
Description: Applied Materials Amat 0040-26607 Washer
svcstore NEW - $499.99 0 Oct/24/13 Oct/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $80.99 0 Oct/24/13 Oct/27/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
yayais2012 NEW - $85.00 0 Oct/24/13 Nov/23/13
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $65.00 0 Oct/24/13 Nov/23/13
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $27.00 0 Oct/24/13 Nov/23/13
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
svcstore Used - $349.99 0 Oct/24/13 Oct/27/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $11.99 0 Oct/24/13 Oct/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $112.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $69.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
esoteric_specialties Used - $950.00 0 Oct/24/13 Nov/23/13
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
athomemarket NEW - $199.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
usedeqsales Used - $360.13 1 Oct/24/13 Oct/25/13
Description: Applied Materials AMAT 4060-00324 24-Port Pneumatic Manifold Lot of 2 Used
usedeqsales Used - $802.12 0 Oct/24/13 Aug/15/14
Description: AMAT Applied Materials P5000 Monitor Base Cart 0010-70386 Rev.A working
electronicswest Used - $151.03 1 Oct/24/13 Oct/31/13
Description: Applied Materials AMAT MKS Baratron Etch Manometer E28B-24565 1350-00200
bobsgoodies NEW - $18.00 0 Oct/24/13 Jun/17/14
Description: APPLIED MATERIALS 0140-20116 HARNESS ASSY LASER DRIVE INTERCONNECT AMAT
sparepartssolution NEW - $500.00 0 Oct/24/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-01052 PANEL BRIDGE ASSY POWER SUPPLY USED
sparepartssolution Refurbished - $5,000.00 0 Oct/24/13 May/13/14
Description: AMAT APPLIED MATERIALS 0010-23794 ASSY, CERAMIC HEATER, MC, HA-12, USED ASIS
wideerp01 Refurbished - $499.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS CERAMIC PLATE,EDGE COVER DXZ 0200-10169
wideerp01 NEW - $199.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS RING,SINGLE,LOW PROFILE 200MM SNNF,QTZ DPN 0200-02000
wideerp01 NEW - $99.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS KIT,GDA SLD, ENCLOSURE,COVER,PRODUCER 0242-15130
wideerp01 NEW - $199.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS PRODUCER FACE PLATE,WATER COOLER SACVD 0040-70319
wideerp01 NEW - $299.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS SHIELD,PERF 8" BASE 0200-09617
wideerp01 NEW - $999.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS FINGER LIFT RING 0200-36649
wideerp01 NEW - $399.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS ESC PVD, ANTI-LIFT BRAKET 8" 0040-06711
wideerp01 NEW - $149.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS CALIBRATION PLATE 8" SPACER,NITRIDE/OXIDE 0020-10041
wideerp01 NEW - $299.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS LOWER LID FLANGE TI-XZ 0200-36544
wideerp01 Refurbished - $599.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS ADAPTER PUMPING RING 0020-30287
wideerp01 NEW - $149.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS 3 ZONE INJECTOR BAFFLE 0200-35159 REV B
wideerp01 NEW - $199.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS LID LINER 0200-04840
wideerp01 NEW - $1,199.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS CVD TRHOTTLE VALVE 0010-76175 REV G
wideerp01 Refurbished - $249.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS 5500 ENDURA PVD COVER RING 8" 101% 0020-24914
wideerp01 NEW - $199.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS ESC THERMOCOUPLE 0190-09248 REV B
wideerp01 NEW - $9.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS SPACER MODIF SHIELD 8" G-12 0020-23587
wideerp01 NEW - $300.00 0 Oct/23/13 Oct/30/13
Description: Applied Materials Delta Nitride,Throttle Valve 0010-76175
wideerp01 NEW - $99.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS SKIN FRONT AC BOX ,PRODUCER 0021-08343
wideerp01 NEW - $599.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS TOP LINER CVD TI CL4 TIN 0040-03284
wideerp01 NEW - $199.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS TI NITRIDE XL,BLOCKER,PLATE 0020-30111
wideerp01 Used - $15,199.00 0 Oct/23/13 Oct/30/13
Description: Applied Materials CVD 8" Tungston Chamber WXL P500 0040-09136
athomemarket Used - $6,499.99 0 Oct/23/13 Nov/22/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,799.99 0 Oct/23/13 Nov/22/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $392.99 0 Oct/23/13 Nov/22/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
ultra_clean_warehouse NEW - $14.71 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-79813 - STUD ADJ VERTICAL CONE, LOADCUP ASSY REV 2Q
ultra_clean_warehouse NEW - $19.28 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0190-00763 - BEARING, BALL, 5/8 OD, 1/4 ID - REV 001
ultra_clean_warehouse NEW - $38.30 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-14877 - POINTER ADJ SPRAY BAR SCRUB HVM 300 REV 002
ultra_clean_warehouse NEW - $30.14 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-03750 - CLAMP, UR, VAPRZR, TANOX CHAMBER - REV 002
ultra_clean_warehouse NEW - $15.13 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-03749 - CLAMP, UL, VAPRZR, TANOX CHAMBER - REV 002
ultra_clean_warehouse NEW - $328.04 0 Oct/23/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-87928 - GEMINI II - MANIFOLD BLOCK 5 300MM - REV 001
ultra_clean_warehouse NEW - $14.62 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-77194 - SPACER - REV P6
ultra_clean_warehouse NEW - $14.62 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0270-71075 - CLAMP SHIPPING LL DOOR - REV 2
ultra_clean_warehouse NEW - $25.24 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-33157 - PVT ROD ASSY, LIN LIF, MOD, 300MM - REV 003
ultra_clean_warehouse NEW - $199.54 0 Oct/23/13 May/07/14
Description: APPLIED MATERIALS AMAT 0023-70101 FLEX CLAMP TSSL 15KX - REV 2
ultra_clean_warehouse NEW - $39.83 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-03748 CLAMP, LWR, VAPRZR, TANOX CHAMBER - REV 002
ultra_clean_warehouse NEW - $32.08 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0015-03713 - MODIFIED IGUS PROFILE RAIL 125MM LG - REV 04
ultra_clean_warehouse NEW - $31.33 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-79064 BRACKET VACUUM CUP PNEUMATIC SYSTEM - REV C
pete-sigep Used - $475.00 0 Oct/23/13 Nov/22/13
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
ultra_clean_warehouse NEW - $11.12 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0190-03281 LOT OF 25 HIGH STRENGTH BOLT HEX HD 1/2 REV003
bobsgoodies NEW - $125.00 1 Oct/23/13 Apr/22/14
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Proteus 9100B24P16
ultra_clean_warehouse NEW - $37.40 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-05750 - PLUG W/B LOADLOCK ENDURA INTERFACE - REV A
ultra_clean_warehouse NEW - $21.96 3 Oct/23/13 Dec/05/13
Description: APPLIED MATERIALS AMAT 0020-77416 - PIN CAP - REV B
sparepartssolution Used - $4,500.00 0 Oct/25/13 Mar/04/15
Description: AMAT APPLIED MATERIALS 0190-70099 COMDEL CPS 1001S GENERATOR USED
sparepartssolution NEW - $1,200.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0190-35975 CABLE ASSY,FIBER OPTIC,25',RECESS ETCH NEW
sparepartssolution Used - $350.00 0 Oct/25/13 May/17/15
Description: AMAT APPLIED MATERIALS 0150-76288 CABLE ASSY 50FT DC SOURCE - MDL USED
sparepartssolution Used - $600.00 0 Oct/25/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0150-01409 CABLE ASSY 50' RX COAXIAL 400KHZ W/ CLAM USED
sparepartssolution Used - $1,500.00 0 Oct/25/13 Nov/20/14
Description: AMAT APPLIED MATERIALS 0100-20069 OBS,PCB WAFER ORIENTER USED
sparepartssolution NEW - $1,000.00 0 Oct/25/13 Jul/13/15
Description: AMAT APPLIED MATERIALS 0021-35819 CHUCK, ASP, 200MM, TOP MOUNT TYPE NEW
sparepartssolution Used - $500.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-29346 SHIELD 8" CLMPD .190"THK AL 3 SPRTS VECT USED
sparepartssolution Used - $350.00 3 Oct/25/13 Dec/18/13
Description: AMAT APPLIED MATERIALS 0010-76317 CENTURA INDEXER MOTOR ASSY. USED
sparepartssolution NEW - $3,200.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-29214 COIL 1/8 THK, CENTER HOLES-KNURLED, VEC NEW
sparepartssolution Used - $9,000.00 0 Oct/25/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0242-88819 0020-23286 0020-26321 IMP SOURCE ASSEMBLY USED
sparepartssolution Used - $300.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium USED
sparepartssolution Used - $200.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 3400-01160 SS Braid CTI Flex-line Cryogenics Helium USED
sparepartssolution Used - $250.00 0 Oct/25/13 Dec/21/14
Description: AMAT APPLIED MATERIALS 3400-01134 sOSE ASSY FLEX BRAID 1/4IDX23.5L 1/4VC USED
sparepartssolution Used - $250.00 0 Oct/25/13 May/25/15
Description: AMAT APPLIED MATERIALS 0870-01003 DRVER 2-PHASE STEPPER MOTOR USED
sparepartssolution Used - $2,000.00 2 Oct/25/13 Mar/05/15
Description: AMAT APPLIED MATERIALS 0190-76043 PCBA ASSY, SBC SYNERGY 68040 CONTROLLER USED
sparepartssolution Used - $1,500.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0150-38585 CABLE ASSY, FIBER OBTIC, 25, HI-TEMP, I USED
sparepartssolution Used - $2,000.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0090-75015 PCBA ASSY,SBC SYNERGY 68040 CONTROLLER USED
sparepartssolution Used - $4,000.00 1 Oct/25/13 Jan/22/14
Description: AMAT APPLIED MATERIALS 0090-03467 ASSEMBLY, ELECTRICAL, SYNERGY V452 SBC W USED
sparepartssolution Used - $100.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0050-54970 GASLINE, 3/8 OD BACKSIDE GAS, 300MM ESC, USED
sparepartssolution Used - $300.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0015-01649 FLEX HOSE, 1/4IDX36L 1/4VCR-F/F SST, CLE USED
sparepartssolution Used - $10,000.00 0 Oct/25/13 Jun/02/14
Description: AMAT APPLIED MATERIALS 0010-22411 HEATER ASSEMBLY MCA'D, JMF, LOW TEMP MCA+ USED
yayais2012 Used - $210.00 0 Oct/26/13 Nov/25/13
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
yayais2012 NEW - $95.00 0 Oct/26/13 Nov/25/13
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
y.t.r2011 Used - $90.00 0 Oct/26/13 Nov/20/14
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $120.00 0 Oct/26/13 Nov/25/13
Description: APPLIED MATERIALS 0090-04247 FPS1 208 VAC
wideerp01 Used - $16,999.00 0 Oct/27/13 Nov/03/13
Description: Applied Materials P5000 29 SLOT Storage Elevator 0010-70058 REV D
athomemarket NEW - $59.99 0 Oct/27/13 Nov/26/13
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
athomemarket Used - $2,599.99 0 Oct/27/13 Nov/26/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,199.99 0 Oct/27/13 Nov/26/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore Used - $11.99 0 Oct/27/13 Oct/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
smtechkoreacom Used - $4,000.00 0 Oct/27/13 Dec/10/13
Description: applied materials 0090-76133 V452 PCB AMAT
svcstore Used - $349.99 0 Oct/27/13 Oct/30/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
liquidationbazar NEW - $999.00 0 Oct/27/13 Nov/26/13
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
supertechshop Used - $49.95 0 Oct/28/13 Nov/26/13
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
chriswebber16 NEW - $399.99 0 Oct/27/13 Nov/25/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
svcstore Used - $80.99 0 Oct/27/13 Oct/30/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Oct/27/13 Oct/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop Used - $199.00 0 Oct/28/13 Nov/26/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Oct/28/13 Nov/26/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
visionsemi NEW - $750.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0200-02124 E-MAX QUARTZ COVER RING 200MM
visionsemi Used - $20.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3400-01141 HOSE FLEX PVC WIRE 4'
visionsemi NEW - $50.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0150-76190 CABLE VIDEO ASSY
visionsemi NEW - $35.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS
visionsemi NEW - $20.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3480-00279 ALCATEL BRACKET
visionsemi NEW - $19.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-25917 ISOLATOR DC BIAS SHUTTER COMP REV A
visionsemi NEW - $90.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
visionsemi Used - $1,500.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0040-82421 CERAMIC HEATER
visionsemi Used - $399.99 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi Used - $75.00 0 Oct/28/13 Dec/27/13
Description: VERIFLO 944AOPLPNCSFSFF DIAPHRAGM VALVE 42600719 APPLIED MATERIALS 3870-01306
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-04651 SCR 4-40 X 5/8L SST
visionsemi NEW - $14.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0020-20117 CONDUCTOR FLEX DC BIAS
visionsemi NEW - $90.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
visionsemi NEW - $75.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT GENERATOR CABLE 0150-09593
visionsemi NEW - $1,100.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0200-20055 INSULATOR QUARTZ 8" PRE-CLEAN W/ ANTENAE PCII
visionsemi NEW - $175.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials 0200-10147 Quartz Insert 88 Hole GDP
visionsemi Used - $25.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0150-00319 CABLE ASSY
visionsemi NEW - $850.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0040-94904 HT SWLL COOLDOWN PEDESTAL, BLANK
visionsemi NEW - $17.50 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-20114 ISOLATOR DC BIAS CERAMICS REV-C (NEW)
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-01822 SCR 4-40 X 5/16L SST
visionsemi NEW - $40.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3860-01050 TBG PLASTIC 1/8 OD .032 WALL POLYURETHAN 160FT
visionsemi NEW - $675.00 0 Oct/28/13 Dec/27/13
Description: NEW! AMAT APPLIED MATERIALS SHIELD 8" TIW ARC SPRAY 0020-25893
visionsemi Used - $650.00 0 Oct/28/13 Dec/27/13
Description: AMAT 0020-05384 BESC Shutter Disk Applied Materials
visionsemi NEW - $19.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
visionsemi NEW - $400.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0020-28160 CLAMP RING 8"
visionsemi NEW - $100.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 1270-00485 WASCO PRESSURE SWITCH P400V-25W3B-X/9671
visionsemi NEW - $200.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0040-77207 PAD CONDITIONER DISK CARRIER 2
visionsemi Used - $355.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-01094 SCR CAP SKT HD 10-32
visionsemi NEW - $300.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0200-20059 INSULATOR QZ 5" SMRMF PCII
visionsemi Used - $14,500.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT ENDURA 2 CBM ASSY ANNEAL/PRECLEAN 0010-26336
visionsemi Used - $850.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT TILT OUT CASSETTE ENDURA PVD 200MM 8" 0020-75108
visionsemi NEW - $850.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 300MM BLOCKER PLATE 0021-19312
visionsemi NEW - $64.99 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 8" LIFT TO ROBOT CAL PLATE 0270-70048
visionsemi NEW - $20.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0021-35065 BRACKET FUJIKIN VALVE, SLD
visionsemi NEW - $249.99 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT TOOL ZERO POSITION 0270-20080
visionsemi NEW - $3,500.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
visionsemi NEW - $7.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS 3700-01086 SEAL NW-16-CR-SV KF 16
visionsemi NEW - $10.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0270-20037 TOOL CALIBRATION BAR
visionsemi NEW - $40.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0620-01144 CABLE ASSY KEY BOARD
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-03911 SCR 10-32 X 7/8L SST
visionsemi Used - $30.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS 0020-34167 COVER SHIELD FRONT R2
visionsemi NEW - $40.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials 3690-02288 Screw SKTHD 6-32 X 7/8 AMA
visionsemi Used - $1,500.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0040-82421 CERAMIC HEATER
visionsemi Used - $55.00 0 Oct/28/13 Dec/27/13
Description: 0620-01044 APPLIED MATERIALS AMAT GRANVILLE PHILIPS CONVECTRON GAUGE CABLE
visionsemi NEW - $499.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi Used - $175.01 0 Oct/28/13 Dec/27/13
Description: Applied Materials 0020-23035 Cam Inboard Lot of 10 AMAT
visionsemi NEW - $30.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0020-20522 INSULATOR LIFTER WASHER
visionsemi Used - $600.00 1 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
visionsemi NEW - $20.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials Greentweed O-Ring 3700-02299 SS513
visionsemi NEW - $15.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-09617 BUSHING, REAR
visionsemi Used - $550.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 8" FORK LIFT HOOP 0020-21707
visionsemi NEW - $45.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials AMAT 3710-01095 Shaft HSG Roller Assy
visionsemi NEW - $20.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-09446 GASKET MAG-AC
visionsemi NEW - $299.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0150-09880 CABLE ESC CONTROLLER CH. D
visionsemi NEW - $879.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS CALIBRATION TOOL KIT 0240-76382 CENTURA HP ROBOT
visionsemi NEW - $110.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT T-HANDLE ADAPTER TARGET 0270-20053 LOT OF 2
visionsemi NEW - $450.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0200-02555 COLLAR STEPPED 200MM
visionsemi Used - $450.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials Stepper Driver PCB 0100-00003 AMAT
visionsemi NEW - $39.99 0 Oct/28/13 Dec/27/13
Description: ROBERTSHAW BC10-L2S THERMOCOUPLE AMAT APPLIED MATERIALS 1350-50000
visionsemi NEW - $64.99 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT TOOL CAL 101 LIFT TO ROBOT 0270-20152
visionsemi Used - $45.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials AMAT 0150-70002 Cable Flat Assy SCSI
visionsemi NEW - $25.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials Dynamic Seal 3700-02333 AMAT
visionsemi Used - $39.99 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
visionsemi Used - $1,000.02 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0190-35452 POWER SUPPLY AMI P1161
visionsemi NEW - $3,500.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
visionsemi NEW - $60.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS SEAL CTR RING ASSY 3700-01626 (LOT-4)
visionsemi NEW - $10.00 0 Oct/28/13 Dec/27/13
Description: 0910-01128 Applied Materials AMAT Fuse FA 4A 250V
visionsemi Used - $50.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials AMAT 0020-97794 Spacer Blade 100-150
visionsemi Used - $484.99 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS 0500-01139 SCR POWER CONTROLLER CONTROL CONCEPTS 2028B-1007
visionsemi NEW - $775.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS PRECLEAN BELL JAR 0040-13509 ZCOAT NEW
visionsemi NEW - $35.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT SPACER THROTTLE VALVE 0020-31531
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-01766 SCR 10-32 X 2-3/8L
visionsemi NEW - $165.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0090-77082 CTE CHEM TEC EQUIPMENT 125-T-BP NC (32929-12)
visionsemi NEW - $35.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials AMAT 0140-10098 Distrib Box Harness
visionsemi NEW - $50.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS 3200-00200 ENCLOSURE PUMP CABINET
visionsemi NEW - $345.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS FUJIKIN VALVE ASSEMBLY 0010-10891 ANXID001
visionsemi Refurbished - $500.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0200-01041 COVER RING 8" AL ARC-SPRAY 200mm
visionsemi Used - $189.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PROD 200MM 0021-01813
visionsemi Used - $650.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT/OUTPUT ASSY PCB P5000
visionsemi NEW - $100.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT TC ASSY SHEATH ODL3000 1310-50000
visionsemi NEW - $8.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0020-20119 PAD DC BIAS
visionsemi Used - $25.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0021-12855 AM017 HOUSING, QUARTZ TUBE TXZ 300MM
visionsemi NEW - $400.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS SHIELD UPPPER 8" K3/P4 AL FLAME SPRAY 0020-27808
visionsemi Used - $125.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0150-09708 REMOTE SYSTEM CRT
visionsemi NEW - $125.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0190-28262 CMP DIAMOND DISK A1921X
visionsemi NEW - $35.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT O-RING 3700-01941
bobsgoodies NEW - $150.00 0 Oct/28/13 Jun/17/14
Description: Applied Materials 0040-20165 1/4 VCR 4-way With Angle Bracket
imca00 NEW - $3,999.00 0 Oct/28/13 Nov/07/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/28/13 Nov/07/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
conquer_2011 NEW - $225.00 0 Oct/28/13 Nov/04/13
Description: Applied Materials AMAT 1/4" FVCR Valve, 3870-01695
adickson NEW - $24.75 10 Oct/28/13 Feb/27/14
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
athomemarket NEW - $229.99 1 Oct/28/13 Nov/04/13
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket Used - $229.99 0 Oct/28/13 Nov/04/13
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
usedeqsales NEW - $2,010.13 0 Oct/28/13 Dec/04/13
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
athomemarket NEW - $299.99 0 Oct/28/13 Nov/04/13
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket Used - $199.99 0 Oct/28/13 Nov/04/13
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
ultra_clean_warehouse NEW - $243.15 0 Oct/28/13 May/07/14
Description: APPLIED MATERIALS AMAT - 0040-72465 BLOCK BEARING CASTER FRONT 5500 - REV 4
athomemarket Used - $199.99 0 Oct/28/13 Nov/04/13
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
ultra_clean_warehouse NEW - $18.15 0 Oct/28/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-79011 - SHOULDER PIN - REV A
ultra_clean_warehouse NEW - $28.49 0 Oct/28/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-44008 - BRACKET ROTATN SENSORS LIFT NEON - REV 03
athomemarket NEW - $329.99 0 Oct/28/13 Nov/04/13
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket Used - $5,499.99 0 Oct/28/13 Nov/27/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
ultra_clean_warehouse NEW - $68.79 0 Oct/28/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-78967 SLEEVE WRIST DRIVE SHAFT,ROBOT ARM ASSY REV AA
athomemarket Used - $199.99 0 Oct/28/13 Nov/04/13
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
yayais2012 NEW - $45.00 0 Oct/29/13 Nov/28/13
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $30.00 0 Oct/29/13 Nov/28/13
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 Used - $45.00 0 Oct/29/13 Nov/28/13
Description: applied materials 0021-89703 rev 002 119423-237
yayais2012 Used - $1,600.00 0 Oct/29/13 Nov/28/13
Description: APPLIED MATERIALS 0041-06180 REV 004 300520 0311 MFC10D31967
athomemarket NEW - $99.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $379.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $379.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket Scrap, for parts - $3,799.99 0 Oct/29/13 Nov/28/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 Oct/29/13 Nov/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 Oct/29/13 Nov/28/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket NEW - $5,899.99 0 Oct/29/13 Nov/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Used - $399.99 0 Oct/29/13 Nov/28/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
usedeqsales Used - $1,510.13 0 Oct/29/13 Oct/30/13
Description: AMAT Applied Materials 4060-00293 ENI Generator Rack Brass Manifold Assembly
wideerp01 Used - $9,999.00 0 Oct/30/13 Nov/28/13
Description: Applied Materials 8" wafer ORIENTER P5000/CENTURA 0010-70131
sagatron-inc Used - $750.00 10 Oct/29/13 Oct/30/13
Description: Applied Materials AMAT 1 Heat Exchanger PN# 0242-02163 / 0010-70073 Rev C
athomemarket Used - $199.99 0 Oct/30/13 Nov/06/13
Description: MKS/dIP/AMAT Control CDN491R Applied Materials 0190-34282 DeviceNet PCB Board
athomemarket NEW - $4,199.99 0 Oct/30/13 Nov/29/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
ntsurplus302 Used - $250.00 0 Oct/30/13 May/12/15
Description: 3010 Applied Materials P/N: 0040-00290 Gas Distribution Manifold
athomemarket Used - $119.99 0 Oct/30/13 Nov/06/13
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket NEW - $119.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $5,799.99 0 Oct/30/13 Nov/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,999.99 0 Oct/30/13 Nov/29/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $99.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $419.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
svcstore Used - $11.99 0 Oct/30/13 Nov/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Oct/30/13 Nov/02/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $80.99 0 Oct/30/13 Nov/02/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Oct/30/13 Nov/02/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ptb-sales Used - $750.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,800.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $250.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $225.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $3,000.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $460.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
athomemarket NEW - $219.99 1 Oct/31/13 Nov/07/13
Description: NEW Applied Materials 0090-00362 Enhanced OTF Control Centerfinder PCB Board
athomemarket NEW - $129.99 0 Oct/31/13 Nov/07/13
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
wideerp01 Refurbished - $499.99 0 Oct/31/13 Nov/30/13
Description: APPLIED MATERIALS CERAMIC PLATE,EDGE COVER DXZ 0200-10169
wideerp01 NEW - $199.99 0 Oct/31/13 Nov/30/13
Description: APPLIED MATERIALS TI NITRIDE XL,BLOCKER,PLATE 0020-30111
athomemarket Used - $424.99 0 Oct/31/13 Nov/30/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Scrap, for parts - $3,499.99 0 Oct/31/13 Nov/30/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $5,499.99 0 Oct/31/13 Nov/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $199.99 0 Oct/31/13 Nov/30/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $2,340.99 0 Oct/31/13 Nov/30/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $139.99 0 Oct/31/13 Nov/07/13
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
sagatron-inc Used - $270.00 1 Oct/31/13 Mar/20/15
Description: AMAT Applied Materials 0010-09933 HV Module ESC Assembly Used Working
sparepartssolution Used - $300.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 3870-02569 0050-31433 VALVE QUICK EXHAUST .1-1MPA USED
sparepartssolution Used - $100.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 3780-01130 SPR GAS 7.88 STROKE120LB USED
sparepartssolution Used - $20.00 0 Nov/01/13 Mar/12/15
Description: AMAT APPLIED MATERIALS 3550-01096 PIN QUICK RELEASE 3/8 DIA 3 GRIP LENGTH USED
sparepartssolution Used - $400.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0870-01002 DRIVE 5-PHASE STEPPER MOTOR USED
sparepartssolution Used - $6,500.00 0 Nov/01/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0190-27891 DC Power Supply, ENI DCG-200Z OPT-200Z-00 USED
sparepartssolution Used - $150.00 0 Nov/01/13 May/22/15
Description: AMAT APPLIED MATERIALS 0090-39197 ELECT. ASSY,INTLK SW,LOWER CHAMBER COVER USED
sparepartssolution Used - $330.00 1 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0050-39361 INSERT,GAS FEED,LOWER CHAMBER,POLY,DPS-A USED
sparepartssolution Used - $1,200.00 0 Nov/01/13 Nov/03/14
Description: AMAT APPLIED MATERIALS 0040-76073 ARM, WELDMENT, SOURCE, W/B USED
sparepartssolution Used - $1,200.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0040-76072 ARM, WELDMENT USED
sparepartssolution Used - $150.00 0 Nov/01/13 Jan/26/15
Description: AMAT APPLIED MATERIALS 0040-20048 FEEDTHRU LAMP USED
sparepartssolution Used - $299.99 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0040-02425 MTG BLOCK, WB CHAMBER, 150MM SPACING, AL USED
sparepartssolution Used - $160.00 2 Nov/01/13 Jun/07/14
Description: AMAT APPLIED MATERIALS 0021-70282 COVER,BACK,CHMBR COOLING,DPS POLY USED
sparepartssolution Used - $120.00 2 Nov/01/13 Jun/07/14
Description: AMAT APPLIED MATERIALS 0021-70281 COVER,FRONT,CHMBR COOLING,DPS POLY USED
sparepartssolution Used - $450.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0021-70269 BLANK-OFF, SIDE, LWR CHBR, DPS USED
sparepartssolution Used - $50.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0021-36724 BRACKET, MOUNTING, PONTOON, FACILITIES, USED
sparepartssolution Used - $200.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0021-36625 COVER,SIDE,LOWER CHAMBER,DPS USED
sparepartssolution Used - $120.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0021-09580 BLOCK,BRACKET,MAIN,LOWER CHAMBER,DPS USED
sparepartssolution Used - $400.00 1 Nov/01/13 May/22/14
Description: AMAT APPLIED MATERIALS 0020-33782 HOOP,8 INCH,WAFER LIFT,DPS USED
sparepartssolution Used - $60.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-20484 HUB END LAMP COVER USED
sparepartssolution Used - $200.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-20483 HUB LAMP CORNER COVER USED
sparepartssolution Used - $299.99 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-20156 BRKT, PILLOW BLOCK SOURCE USED
sparepartssolution Used - $4,500.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-30138 ASSEMBLY, MAIN LIFT, DPS CHAMBER USED
sparepartssolution Used - $3,800.00 0 Nov/01/13 May/22/15
Description: AMAT APPLIED MATERIALS 0010-10979 ASSY, SIMPLE CATHODE, 200MM, RPS USED
bobsgoodies NEW - $175.00 0 Nov/01/13 Jun/17/14
Description: Applied Materials AMAT 0100-00366 Feed Through Board
bobsgoodies NEW - $26.00 0 Nov/01/13 Jun/17/14
Description: APPLIED MATERIALS AMAT 0020-32222 Terminal Block 0020-32222-A 30/96-EMP
industrial_loot Used - $78.00 0 Nov/01/13 Dec/01/13
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
yayais2012 Used - $450.00 0 Nov/01/13 Dec/01/13
Description: Applied Materials 0040-48318 bellows 300mm pedestal
wideerp01 NEW - $349.00 9 Nov/01/13 Jul/10/14
Description: APPLIED MATERIALS FINGER LIFT RING 0200-36649
wideerp01 NEW - $199.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS PRODUCER FACE PLATE,WATER COOLER SACVD 0040-70319
wideerp01 NEW - $99.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS KIT,GDA SLD, ENCLOSURE,COVER,PRODUCER 0242-15130
wideerp01 NEW - $199.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS ESC THERMOCOUPLE 0190-09248 REV B
wideerp01 NEW - $299.00 0 Nov/01/13 Jul/13/17
Description: APPLIED MATERIALS LOWER LID FLANGE TI-XZ 0200-36544
wideerp01 NEW - $1,199.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS CVD TRHOTTLE VALVE 0010-76175 REV G
wideerp01 NEW - $299.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS SHIELD,PERF 8" BASE 0200-09617
wideerp01 NEW - $199.00 0 Nov/01/13 Jul/13/17
Description: APPLIED MATERIALS LID LINER 0200-04840
wideerp01 NEW - $199.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS RING,SINGLE,LOW PROFILE 200MM SNNF,QTZ DPN 0200-02000
wideerp01 Refurbished - $249.00 1 Nov/01/13 Jul/10/15
Description: APPLIED MATERIALS 5500 ENDURA PVD COVER RING 8" 101% 0020-24914
wideerp01 Refurbished - $499.00 0 Nov/01/13 Jul/13/17
Description: APPLIED MATERIALS CERAMIC PLATE,EDGE COVER DXZ 0200-10169
wideerp01 NEW - $149.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS 3 ZONE INJECTOR BAFFLE 0200-35159 REV B
wideerp01 NEW - $149.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS CALIBRATION PLATE 8" SPACER,NITRIDE/OXIDE 0020-10041
wideerp01 Refurbished - $300.00 1 Nov/01/13 Jun/11/15
Description: APPLIED MATERIALS ADAPTER PUMPING RING 0020-30287
yayais2012 NEW - $78.00 0 Nov/01/13 Dec/01/13
Description: APPLIED MATERIALS CABLE ASSY 0150-03048
wideerp01 NEW - $19.99 1 Nov/01/13 Mar/31/14
Description: Applied Materials 3070-01004 (chamber) FTG Blws section flex NW50 x NW50
wideerp01 NEW - $299.00 1 Nov/01/13 Aug/21/14
Description: Applied Materials 0200-04092 Ceramic liner,top,Adf 200mm Producer
wideerp01 NEW - $24.99 0 Nov/01/13 Nov/11/15
Description: Applied Materials 0690-01037 (chamber) Clamp hing NW50 Wing Nut
wideerp01 NEW - $29.99 0 Nov/01/13 Nov/11/15
Description: Applied Materials 0021-11514 (Gas Panel) Welddement Bracket
wideerp01 NEW - $299.00 1 Nov/01/13 Jan/07/14
Description: APPLIED MATERIALS RING WAFER LIFT 200MM 0020-10192
wideerp01 Refurbished - $24.99 1 Nov/01/13 Sep/21/15
Description: Applied Materials 0020-38890 Cover,Cathode,DPS Chamber
yayais2012 Used - $220.00 0 Nov/01/13 Dec/01/13
Description: APPLIED MATERIALS 0040-62981 KSM 099-9242
yayais2012 NEW - $125.00 0 Nov/01/13 Dec/01/13
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $180.00 0 Nov/01/13 Dec/01/13
Description: Applied Materials 3870-01331 Nor-Cal NW 50 In-Line Pneumatic Valve
athomemarket Used - $99.99 0 Nov/01/13 Dec/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
wideerp01 Refurbished - $1,000.00 0 Nov/01/13 Jul/13/17
Description: APPLIED MATERIALS CHAMBER 0040-32797 REV002
athomemarket NEW - $99.99 0 Nov/01/13 Dec/01/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $767.99 0 Nov/01/13 Dec/01/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket NEW - $75.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Refurbished - $153.99 0 Nov/01/13 Dec/01/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $755.99 0 Nov/01/13 Dec/01/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Used - $299.99 0 Nov/01/13 Dec/01/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket NEW - $77.99 0 Nov/01/13 Dec/01/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
sparepartssolution Used - $6,000.00 0 Nov/01/13 Nov/05/13
Description: AMAT APPLIED MATERIALS 0190-13203 HFV8000 3155083-002A GENERATOR USED WORKING
sparepartssolution Used - $800.00 1 Nov/01/13 Jun/04/14
Description: AMAT APPLIED MATERIALS 0021-35819 CHUCK, ASP, 200MM, TOP MOUNT TYPE USED
sparepartssolution Used - $800.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-31503 CHUCK,200MM,ASP USED
sparepartssolution Used - $3,000.00 0 Nov/01/13 Feb/20/14
Description: AMAT APPLIED MATERIALS 0010-39867 HV MODULE ASSY, POSITIVE, CESC TESTED SHEET
sparepartssolution Used - $2,000.00 1 Nov/01/13 Jan/20/14
Description: AMAT APPLIED MATERIALS 0010-14157 MONOCROMATOR MODULE ASSY ENDPOINT TESTED SHEET
sparepartssolution Used - $2,000.00 0 Nov/01/13 Nov/03/14
Description: AMAT APPLIED MATERIALS 0010-10556 MONOCROMATOR MODULE ENDPOINT TESTED SHEET
athomemarket NEW - $339.99 0 Nov/02/13 Dec/02/13
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $891.99 0 Nov/02/13 Dec/02/13
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
svcstore Used - $11.99 0 Nov/02/13 Nov/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Nov/02/13 Nov/05/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
imca00 Used - $949.00 0 Nov/02/13 Nov/07/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
svcstore Used - $80.99 0 Nov/02/13 Nov/05/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Nov/02/13 Nov/05/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $2,250.99 0 Nov/03/13 Dec/03/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $1,440.99 0 Nov/03/13 Dec/03/13
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
athomemarket Used - $2,520.99 0 Nov/03/13 Dec/03/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,700.99 0 Nov/03/13 Dec/03/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
bjnaf4 NEW - $875.60 0 Nov/03/13 Dec/03/13
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket Used - $409.99 0 Nov/03/13 Dec/03/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $413.99 0 Nov/03/13 Dec/03/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
chunkysemiconductor Used - $750.00 2 Nov/03/13 Nov/04/13
Description: AMAT APPLIED MATERIALS 0100-20001 REV F SYSTEM ELECTRICAL BD. ASSY
chunkysemiconductor Used - $350.00 2 Nov/03/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0190-76050 REV B VGA VIDEO CONTROLLER BOARD
chunkysemiconductor Used - $500.00 1 Nov/04/13 Nov/20/13
Description: AMAT APPLIED MATERIALS 0100-20173 ASSY.PCB, STEPPER CONTROLLER 1.7 AMP DRIVER
chunkysemiconductor Used - $2,000.00 0 Nov/04/13 Feb/14/14
Description: AMAT APPLIED MATERIALS 0020-20125 HEATER PVD ASSY (6")
esolutions1 Refurbished - $179.10 0 Nov/04/13 Dec/21/14
Description: AMAT 0226-33290 APPLIED MATERIALS UNIT UFC-1260A MFC 500 SCCM 10RA !!
ggrassetrecovery Used - $59.00 0 Nov/04/13 Nov/11/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
yayais2012 Used - $250.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
yayais2012 Scrap, for parts - $250.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $250.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $75.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 Used - $350.00 0 Nov/04/13 Dec/04/13
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Used - $250.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $250.00 0 Nov/04/13 Dec/04/13
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Used - $110.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $110.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $78.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 Used - $350.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $250.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $4,000.00 0 Nov/04/13 Dec/04/13
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $89.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $320.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $850.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $15.00 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $220.00 0 Nov/04/13 Dec/04/13
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $850.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
usedeqsales Used - $311.13 0 Nov/04/13 May/05/15
Description: AMAT Applied Materials 9321-02 DeviceNet Passive Drop Box 0190-16372 Lot of 3
athomemarket NEW - $219.99 0 Nov/04/13 Nov/11/13
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $189.99 1 Nov/04/13 Nov/11/13
Description: AMAT/Applied Materials 0090-00362 Enhanced OTF Control Centerfinder PCB Board
athomemarket NEW - $329.99 0 Nov/04/13 Nov/11/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $126.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Refurbished - $462.99 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
mghaines Scrap, for parts - $220.00 1 Nov/04/13 Dec/01/15
Description: APPLIED MATERIALS DIGITAL IO BOARD 0100-20003
athomemarket NEW - $1,117.99 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,199.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,199.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Scrap, for parts - $109.99 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $135.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket Used - $139.99 0 Nov/04/13 Nov/11/13
Description: AMAT/Applied Materials 0103-65042 Digital Inkjet 60K Board PCB Assembly AKT
athomemarket NEW - $329.99 0 Nov/04/13 Nov/11/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
supertechshop NEW - $199.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 Nov/04/13 Dec/04/13
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
yayais2012 Used - $3,500.00 0 Nov/04/13 Dec/04/13
Description: AMAT APPLIED MATERIALS 0040-23341 REV 007 EEP-364
onlinesmt NEW - $249.00 0 Nov/05/13 Dec/05/13
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
onlinesmt NEW - $950.00 0 Nov/05/13 Dec/05/13
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
onlinesmt NEW - $199.00 0 Nov/05/13 Dec/05/13
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Nov/05/13 Dec/05/13
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
athomemarket Used - $207.99 0 Nov/05/13 Nov/12/13
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $180.99 0 Nov/05/13 Nov/12/13
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
athomemarket Used - $180.99 0 Nov/05/13 Nov/12/13
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
athomemarket Used - $3,299.99 0 Nov/05/13 Dec/05/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $297.99 0 Nov/05/13 Nov/12/13
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket Used - $180.99 0 Nov/05/13 Nov/12/13
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
athomemarket Used - $59.99 0 Nov/05/13 Nov/12/13
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket NEW - $958.99 0 Nov/05/13 Dec/05/13
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $270.99 0 Nov/05/13 Nov/12/13
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket Used - $406.99 0 Nov/05/13 Dec/05/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $89.99 0 Nov/05/13 Dec/05/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $229.99 10 Nov/05/13 Dec/05/13
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
svcstore Used - $11.99 0 Nov/05/13 Nov/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
nps NEW - $15,000.00 1 Nov/05/13 Jan/09/14
Description: Applied Materials 6000-06201 Heater Assembly 300MM MCAH E-Chuck 26.38"*
svcstore Used - $349.99 0 Nov/05/13 Nov/08/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
wideerp01 Used - $5,999.00 0 Nov/05/13 Aug/06/14
Description: Applied Materials P5000 29 SLOT Storage Elevator 0010-70058 REV D
svcstore Used - $80.99 0 Nov/05/13 Nov/08/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
ocean-tech Used - $299.99 0 Nov/06/13 Dec/06/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
conquer_2011 NEW - $225.00 0 Nov/06/13 Nov/16/13
Description: Applied Materials AMAT 1/4" FVCR Valve, 3870-01695
bobsgoodies NEW - $29.00 0 Nov/06/13 Jun/17/14
Description: Applied Materials 3060-01616 Ball Bearing .37mm OD X 20mm ID
bobsgoodies NEW - $22.00 0 Nov/06/13 Jun/17/14
Description: Applied Materials 0190-77128 Ball Bearing 20MM ID X 37MM OD
athomemarket NEW - $342.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $90.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $342.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
bobsgoodies NEW - $19.00 0 Nov/06/13 Jun/17/14
Description: Applied Materials 3060-01116 Ball Bearing .750" OD X .500" ID X .155" W
athomemarket Refurbished - $3,870.99 0 Nov/06/13 Dec/06/13
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
usedeqsales Used - $411.13 1 Nov/06/13 Mar/13/14
Description: AMAT Applied Materials 101714-01 DC Power Supply AMAT 0190-34624 Used
sparepartssolution Used - $7,000.00 0 Nov/06/13 Feb/20/14
Description: AMAT APPLIED MATERIALS 0010-13622 RF MATCH .01UF OVERHAULED INCLUDE TEST SHEET
svcstore NEW - $499.99 0 Nov/06/13 Nov/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sparepartssolution Used - $7,000.00 0 Nov/06/13 Feb/12/14
Description: AMAT APPLIED MATERIALS 0010-10970 0010-09874 VDS ASSEMBLY USED
sparepartssolution Used - $250.00 0 Nov/07/13 Apr/23/14
Description: AMAT APPLIED MATERIALS 0520-01036 SCHRADER BELLOWS VA22-XX2-B15 ACTUATOR USED
sparepartssolution Used - $1,500.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3 USED
sparepartssolution Used - $1,500.00 2 Nov/07/13 Mar/18/14
Description: AMAT APPLIED MATERIALS 0190-22326 MICROSTEP DRIVE/CONTROLLER, OEM750X, ASP USED
sparepartssolution Used - $500.00 0 Nov/07/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0150-76771 CABLE ASSY, 50 FT, HIGH VOLTAGE POWERSUP USED
sparepartssolution Used - $300.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0150-05864 MKS 750B13TCD2GA 1000TORR MONOMETER USED
sparepartssolution Used - $350.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0140-70500 DPS, POLY, HARNESS, CATHODE MAINT. USED
sparepartssolution Used - $600.00 0 Nov/07/13 Nov/20/14
Description: AMAT APPLIED MATERIALS 0140-37846 HARNESS ASSY, INTERCONNECT, PVD IMP CH, USED
sparepartssolution Used - $100.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0140-20694 HARNESS ASSY PVD SHUTTER SENSORS USED
sparepartssolution Used - $400.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0140-04267 HRNS ASSY MF INTERCONNECT USED
sparepartssolution Used - $500.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0140-01927 HRNS ASSY INTRCONN PVD CHMBR WB CHMBR USED
sparepartssolution Used - $1,300.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0100-18043 ASSEMBLY, PCB REMOTE SERIPLEX I/O HDP-CV USED
sparepartssolution Used - $1,000.00 0 Nov/07/13 Dec/14/14
Description: AMAT APPLIED MATERIALS 0100-09172 EIGHT CHANNEL EMMISSION/LASER ENDPO USED
sparepartssolution Used - $2,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0090-01432 ASSY TC AMP LOW TEMP BESC USED
sparepartssolution Used - $350.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0050-75354 FEEDTHRU ADJ AR, MIX USED
sparepartssolution Used - $350.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0040-20055 FEED THRU ADJ AR USED
sparepartssolution Used - $100.00 0 Nov/07/13 Sep/19/14
Description: AMAT APPLIED MATERIALS 0020-23441 BRACKET PLUMBING MOTORIZED LFT USED
sparepartssolution Used - $5,000.00 0 Nov/07/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-30689 AXZ LIFT DRIVE ASSEMBLY USED
sparepartssolution Used - $2,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-22158 0010-22158 TC AMP BOARD USED
sparepartssolution Used - $1,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20498 ASSY +/- 15V POWER SUPPLY GEN RACK USED
sparepartssolution Used - $1,200.00 1 Nov/07/13 Oct/16/14
Description: AMAT APPLIED MATERIALS 0010-20498 0140-20073 ASSY +/- 15V POWER SUPPLY GEN USED
sparepartssolution Used - $1,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-13647 0050-75733 0050-75732 GASLINE, ISOLATOR, USED
surplusexiting Used - $735.00 0 Nov/07/13 Dec/07/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surplusexiting Used - $698.00 0 Nov/07/13 Dec/07/13
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
bobsgoodies NEW - $125.00 0 Nov/07/13 Jun/17/14
Description: Applied Materials 0190-35147 Flow Switch, 3/4" hose Proteus 9160824P2
bobsgoodies NEW - $575.00 0 Nov/07/13 Feb/06/14
Description: Applied Materials 0020-79326 Bracket Pad Conditioner 0020-79328 AMAT 0190-77016
athomemarket NEW - $211.99 0 Nov/07/13 Dec/07/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $211.99 0 Nov/07/13 Dec/07/13
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket Refurbished - $112.99 0 Nov/07/13 Dec/07/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Used - $108.99 0 Nov/07/13 Nov/14/13
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket NEW - $108.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket NEW - $90.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Used - $199.99 0 Nov/07/13 Nov/14/13
Description: MKS/dIP/AMAT Control CDN396R Applied Materials 0190-27708 DeviceNet PCB Board
athomemarket Used - $180.99 0 Nov/07/13 Nov/14/13
Description: MKS/dIP/AMAT Control CDN491R Applied Materials 0190-34282 DeviceNet PCB Board
athomemarket Used - $199.99 0 Nov/07/13 Nov/14/13
Description: MKS/dIP/AMAT Control CDN391R Applied Materials 0190-24115 DeviceNet PCB Board
athomemarket NEW - $378.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
csi.usa NEW - $599.00 0 Nov/07/13 Mar/03/15
Description: APPLIED MATERIALS P/N 0270-40092 CENTER FINDER FOR TOOL E CHUCK SYSTEM EC74S
dvkelectronics Used - $6,500.00 0 Nov/07/13 Dec/07/13
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
wideerp01 Used - $5,699.00 0 Nov/07/13 Mar/06/14
Description: Applied Materials P5000 Mark II XT VME RACK 0010-70613
bobsgoodies Used - $900.00 1 Nov/08/13 Jun/13/14
Description: AMAT 0190-09560 Phasetronics lamp driver for Applied Materials P1140 Sn:127612
bobsgoodies Used - $900.00 0 Nov/08/13 Jun/17/14
Description: Applied Materials PVD Dual Mode Driver 0190-22014 P1198-208/208 AMAT Sn 140446
bobsgoodies Used - $900.00 0 Nov/08/13 Jun/17/14
Description: Applied Materials 0190-22014 PVD Dual Mode Driver P1198-240/240 AMAT Sn 132601
athomemarket NEW - $117.99 0 Nov/08/13 Nov/15/13
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket Refurbished - $101.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Used - $1,080.99 1 Nov/08/13 Dec/08/13
Description: Synergy Microsystems V21 Board PCB AMAT Applied Materials 0090-76110
athomemarket NEW - $263.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Used - $326.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket NEW - $234.99 0 Nov/08/13 Dec/08/13
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $90.99 0 Nov/08/13 Dec/08/13
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
usedeqsales NEW - $411.13 0 Nov/08/13 May/05/15
Description: AMAT Applied Materials 0190-38840 75FT Power Supply DC Filter Cable 1912-0019
athomemarket NEW - $219.99 1 Nov/08/13 Nov/11/13
Description: NEW Applied Materials 0090-00362 Enhanced OTF Control Centerfinder PCB Board
athomemarket NEW - $126.99 0 Nov/08/13 Nov/15/13
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket Refurbished - $214.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $516.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
svcstore Used - $11.99 0 Nov/08/13 Nov/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Nov/08/13 Nov/11/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $80.99 0 Nov/08/13 Nov/11/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
supertechshop NEW - $699.00 0 Nov/11/13 Dec/11/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Nov/11/13 Dec/11/13
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop Used - $99.99 0 Nov/11/13 Dec/11/13
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop NEW - $99.00 0 Nov/11/13 Dec/11/13
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop NEW - $399.00 0 Nov/11/13 Dec/11/13
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
athomemarket Refurbished - $142.99 0 Nov/14/13 Dec/14/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
supertechshop Used - $149.00 0 Nov/11/13 Dec/11/13
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $149.00 0 Nov/11/13 Dec/11/13
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop NEW - $399.00 0 Nov/11/13 Dec/11/13
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $995.00 0 Nov/11/13 Dec/11/13
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
usedeqsales Used - $411.13 0 Nov/12/13 May/05/15
Description: AMAT Applied Materials 0190-33337 Heater Jacket Gemini Used Working
shadow15b NEW - $75.00 1 Nov/12/13 Feb/02/15
Description: Applied Materials DC Motor Assy Ease Flat Finder 0090-00014
athomemarket NEW - $198.99 0 Nov/12/13 Nov/19/13
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket Refurbished - $109.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket NEW - $413.99 0 Nov/12/13 Dec/12/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,299.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,399.99 0 Nov/12/13 Nov/26/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
sagatron-inc Used - $200.00 0 Nov/13/13 Sep/04/15
Description: Applied Materials 0190-09764 Magnet Driver SCR Power Supply
athomemarket Used - $3,999.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $520.99 0 Nov/12/13 Dec/12/13
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Refurbished - $2,547.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $297.99 0 Nov/12/13 Nov/19/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
visionsemi Used - $175.00 1 Nov/12/13 Dec/09/13
Description: APPLIED MATERIALS AMAT 0100-20131 HF RF MATCH PCB
athomemarket NEW - $297.99 0 Nov/13/13 Dec/13/13
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $297.99 0 Nov/12/13 Nov/19/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket Used - $180.99 0 Nov/13/13 Dec/13/13
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
athomemarket Used - $126.99 0 Nov/12/13 Nov/19/13
Description: AMAT/Applied Materials 0103-65042 Digital Inkjet 60K Board PCB Assembly AKT
svcstore NEW - $499.99 0 Nov/12/13 Nov/15/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $163.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
trees_for_a_better_tomorrow NEW - $250.00 0 Nov/12/13 Nov/19/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
athomemarket NEW - $6,199.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Nov/12/13 Nov/19/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow Used - $350.00 0 Nov/12/13 Nov/19/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
svcstore Used - $349.99 0 Nov/12/13 Nov/15/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $10.99 0 Nov/12/13 Nov/15/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $73.99 0 Nov/12/13 Nov/15/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
sparepartssolution Used - $5,000.00 0 Nov/13/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-28081 0010-28081 HEATER ASSY, HA-12, MC USED ASIS
sparepartssolution Used - $4,000.00 0 Nov/13/13 Dec/04/13
Description: AMAT APPLIED MATERIALS 0190-20098 PC II PRECLEAN II CHAMEBR RESONATOR USED
imca00 Used - $1,800.00 0 Nov/13/13 Nov/27/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
athomemarket Used - $4,799.99 0 Nov/13/13 Dec/13/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $207.99 0 Nov/13/13 Dec/13/13
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $63.99 0 Nov/13/13 Dec/13/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $180.99 0 Nov/13/13 Dec/13/13
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
athomemarket Used - $89.99 0 Nov/13/13 Dec/13/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $54.99 0 Nov/13/13 Nov/20/13
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $180.99 0 Nov/13/13 Dec/13/13
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
benny-lim Refurbished - $200.00 0 Nov/14/13 Jan/09/14
Description: AMAT CMP 0090-77026 ASSY, HCLU/PEDESTAL SENSO Applied Materials
mayraytan NEW - $14.50 5 Nov/14/13 Jan/25/14
Description: Applied Materials AMAT MCGILL Cam Follower, CFH-1/2-SB, 3150-01006
yayais2012 Used - $95.00 0 Nov/14/13 Dec/14/13
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
athomemarket NEW - $270.99 0 Nov/13/13 Dec/13/13
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket Used - $4,465.99 0 Nov/14/13 Dec/14/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
bobsgoodies NEW - $40.50 0 Nov/14/13 Jun/17/14
Description: Applied Materials 0015-20039 Vexta PX245M-02AA 2-Phase Stepping Motor
supertechshop Used - $274.99 0 Nov/11/13 Dec/11/13
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
athomemarket NEW - $99.99 0 Nov/14/13 Dec/14/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $252.99 0 Nov/14/13 Dec/14/13
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $212.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $342.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $90.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $342.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
supertechshop Used - $499.00 0 Nov/11/13 Dec/11/13
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
neilan1987 NEW - $399.99 0 Nov/14/13 Dec/14/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
visionsemi NEW - $25.00 1 Nov/15/13 Oct/21/14
Description: APPLIED MATERIALS FLEX DC BIAS CONDUCTOR 0020-29409
athomemarket Used - $330.99 0 Nov/15/13 Dec/15/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket NEW - $90.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Refurbished - $216.99 0 Nov/15/13 Dec/15/13
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
athomemarket NEW - $108.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket Used - $180.99 0 Nov/15/13 Dec/15/13
Description: MKS/dIP/AMAT Control CDN491R Applied Materials 0190-34282 DeviceNet PCB Board
athomemarket Used - $108.99 0 Nov/15/13 Dec/15/13
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket NEW - $378.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
svcstore Used - $10.99 0 Nov/15/13 Nov/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Nov/15/13 Nov/18/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore NEW - $499.99 0 Nov/15/13 Nov/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $73.99 0 Nov/15/13 Nov/18/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
athomemarket NEW - $69.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket Used - $6,499.99 0 Nov/22/13 Dec/22/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $392.99 0 Nov/22/13 Dec/22/13
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $6,799.99 0 Nov/22/13 Dec/22/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
mghaines NEW - $350.00 3 Nov/22/13 Feb/07/14
Description: VAT 90124-R1 WHIT APPLIED MATERIALS 0190-70044
mghaines NEW - $175.00 0 Nov/22/13 Apr/25/14
Description: Applied Materials 3690-01833 SCREW MACH SKT HD 10 NYLON
mghaines NEW - $125.00 0 Nov/22/13 Apr/25/14
Description: APPLIED MATERIALS 0690-01038 CLAMP HINGED NW25 WING-NUT & SCR CLOSURE AL QTY 5
usedeqsales Used - $361.13 0 Nov/22/13 May/05/15
Description: AMAT Applied Materials 0190-76252 DeviceNet I/O Block DIP294 Used Working
trees_for_a_better_tomorrow Used - $350.00 0 Nov/22/13 Nov/29/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $100.00 0 Nov/22/13 Nov/29/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow NEW - $250.00 0 Nov/22/13 Nov/29/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
imca00 NEW - $550.00 0 Nov/22/13 Nov/01/14
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
imca00 Used - $2,750.00 1 Nov/22/13 May/05/14
Description: Comdel CDX-1000 AMAT Applied Materials Dual Frequency RF Generator pn 0190-07242
neilan1987 NEW - $279.99 0 Nov/21/13 Dec/21/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
svcstore Used - $73.99 0 Nov/21/13 Nov/24/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $349.99 0 Nov/21/13 Nov/24/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $10.99 0 Nov/21/13 Nov/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Nov/21/13 Nov/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop Used - $299.00 0 Nov/21/13 Dec/13/13
Description: AMAT 200mm Producer Heater Cooling Hub 0040-38661 Weldment Applied Materials
supertechshop Used - $499.00 0 Nov/21/13 Dec/21/13
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 Nov/21/13 Dec/21/13
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $199.00 0 Nov/21/13 Dec/21/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Nov/21/13 Dec/21/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Nov/21/13 Dec/21/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
athomemarket Used - $54.99 0 Nov/21/13 Dec/21/13
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $4,699.99 0 Nov/21/13 Dec/21/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $198.99 0 Nov/21/13 Dec/21/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
ptb-sales Used - $750.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,800.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $225.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $250.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
yayais2012 Used - $180.00 0 Nov/21/13 Dec/21/13
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 NEW - $35.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $55.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 NEW - $160.00 0 Nov/21/13 Dec/21/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable TRU
yayais2012 NEW - $120.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 NEW - $120.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $45.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
yayais2012 NEW - $38.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0242-46009 CD CENTURA ACP 300 MANUALS
yayais2012 NEW - $38.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
yayais2012 NEW - $48.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 NEW - $38.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0242-04762 CD MANUALS SICONI ACP
ptb-sales Used - $460.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $3,000.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
onlinesmt Used - $375.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
usedeqsales NEW - $411.13 0 Nov/21/13 May/05/15
Description: AMAT Applied Materials 10762200 75FT RF Cable 0620-02771 New
yayais2012 NEW - $75.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-12497 REV 002
yayais2012 NEW - $110.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $85.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $75.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $45.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 NEW - $110.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 NEW - $120.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $95.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 NEW - $78.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
yayais2012 NEW - $140.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
imca00 NEW - $3,999.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
athomemarket NEW - $112.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
esoteric_specialties Used - $950.00 0 Nov/23/13 Dec/23/13
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
athomemarket NEW - $523.99 0 Nov/24/13 Dec/24/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore Used - $10.99 0 Nov/24/13 Nov/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Nov/24/13 Nov/27/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore NEW - $499.99 0 Nov/24/13 Nov/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $73.99 0 Nov/24/13 Nov/27/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
dr.fantom Used - $50.00 1 Nov/25/13 Mar/03/14
Description: lot of 2 BANNER MD14BB6 Photoelectric Sensor Applied Materials 0090-02138
dr.fantom NEW - $130.00 1 Nov/25/13 May/31/14
Description: Applied Materials AMAT 0150-22371 Blue RF Cable
chriswebber16 NEW - $399.99 0 Nov/25/13 Dec/25/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
forestnorth Used - $850.00 0 Nov/25/13 Dec/09/13
Description: Applied Materials ISOLATOR, CERAMIC, LOWK, 300MM PRODUCER 0200-04470 Lot of 12
yayais2012 NEW - $27.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $65.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $110.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $210.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
yayais2012 NEW - $85.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $95.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
trees_for_a_better_tomorrow NEW - $150.00 0 Nov/25/13 Dec/02/13
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow Used - $225.00 0 Nov/25/13 Dec/02/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $95.00 0 Nov/25/13 Dec/02/13
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $295.00 0 Nov/25/13 Dec/02/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow Used - $20.00 1 Nov/25/13 Dec/02/13
Description: Used AMAT Applied Materials 0190-09085 60 EV Manifold Pnuematic PCB READ DESCRIP
trees_for_a_better_tomorrow NEW - $125.00 0 Nov/25/13 Dec/02/13
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $80.00 0 Nov/25/13 Dec/02/13
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
bruce135 Used - $239.00 0 Nov/25/13 Dec/25/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
trees_for_a_better_tomorrow Used - $750.00 0 Nov/25/13 Dec/02/13
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow NEW - $75.00 0 Nov/25/13 Dec/02/13
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow Used - $350.00 0 Nov/25/13 Dec/02/13
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
igotnoworries NEW - $25.00 0 Nov/25/13 Dec/25/13
Description: AMAT Applied Materials 3300-04849 FTG TBG ADPTR 1/4T FLARE x 3/8MNPT SST
trees_for_a_better_tomorrow Used - $100.00 0 Nov/25/13 Dec/02/13
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $150.00 0 Nov/25/13 Dec/02/13
Description: *2* AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $195.00 0 Nov/25/13 Dec/02/13
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $195.00 0 Nov/25/13 Dec/02/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
trees_for_a_better_tomorrow NEW - $95.00 0 Nov/25/13 Dec/02/13
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $125.00 0 Nov/25/13 Dec/02/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
conquer_2011 Used - $400.00 2 Nov/25/13 Nov/26/13
Description: AMAT APPLIED MATERIALS 0010-20138 ASSY TC AMP HSNG
trees_for_a_better_tomorrow Used - $50.00 0 Nov/25/13 Dec/02/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
sparepartssolution NEW - $59.99 1 Nov/26/13 Sep/02/15
Description: (125-0102) AMAT APPLIED MATERIALS 0020-20521 SPACER, INSULATOR LIFTER NEW
yayais2012 Used - $120.00 0 Nov/26/13 Dec/26/13
Description: APPLIED MATERIALS 0090-04247 FPS1 208 VAC
athomemarket Used - $2,599.99 0 Nov/26/13 Dec/26/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,199.99 0 Nov/26/13 Dec/26/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $59.99 0 Nov/26/13 Dec/26/13
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
capitolareatech Used - $187.50 1 Nov/26/13 Aug/13/14
Description: APPLIED MATERIALS (AMAT) 0010-13445 Monitor, VGA Base
capitolareatech Used - $187.50 2 Nov/26/13 Apr/21/14
Description: APPLIED MATERIALS (AMAT) 0010-76244 Module Manufacture Assembly, VGA, Monitor
capitolareatech NEW - $500.00 0 Nov/26/13 Jan/09/14
Description: Applied Materials (AMAT) 0020-26474 Clamp, Ring
mghaines NEW - $50.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 0910-01070 FUSE NORM-BLO 6A 250V 1/4x1-1/4 LONG GLS
mghaines NEW - $12.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 0910-01135 FUSE SLO BLO 1A 250V 1/4x1-1/4 LONG
mghaines NEW - $12.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 0910-01136 FUSE SLO BLO 5A 250V 1/4x1-1/4 LONG
mghaines NEW - $40.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 3700-01288
mghaines NEW - $50.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 0910-01129 fuse fast ACTING 2A 250V 5x20mm GLS
mghaines NEW - $42.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 0910-01109
mghaines NEW - $30.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 3690-01952 SCR CAP SKT HD 6-32 X 3/8L HEX SKT SST SLVR-PLT
mghaines NEW - $50.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 3500-01014 NUT HEX FULL 5/16-18 SST PSVT
mghaines NEW - $30.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 3880-01027 WSHRLKG SPLIT #5/16 .125W X .078THK SST
mghaines NEW - $70.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 0910-01077 FUSE DUAL TIME DELAY 15A 250V CERAMIC
mghaines NEW - $60.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 0910-01107 FUSE FAST ACTING 1/4A GL BODY 250V
mghaines NEW - $50.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 0910-01126 FUSE FAST ACTING 6.3A 250V 5x20mm GLASS
athomemarket Used - $180.99 0 Nov/27/13 Dec/27/13
Description: MKS/dIP/AMAT Control CDN396R Applied Materials 0190-27708 DeviceNet PCB Board
athomemarket NEW - $144.99 0 Nov/27/13 Dec/27/13
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
mghaines NEW - $50.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 3690-01953 SCR CAP SKT HD 5/16-18X2.5L HEX SKT SST SLVR-PLT
athomemarket Used - $5,499.99 0 Nov/27/13 Dec/27/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
mghaines NEW - $12.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 3880-01024 WSHR LKG SPLIT #8 .2930D X .174ID X .040THK SST
mghaines NEW - $10.00 0 Nov/27/13 Dec/07/13
Description: Applied Materials 3880-01025 WSHR LKG SPLIT #10 .3340D X .200ID X .047
mghaines NEW - $3.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 3880-01338
mghaines NEW - $10.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 3880-01780 WSHR FLAT #3/4 1.505OD x .890ID x .062T
mghaines NEW - $12.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 0910-01073 FUSE HLDR BODY HI CURRENT SHOCKPROOF PANEL MTG
mghaines NEW - $20.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 3880-01008 WSHR FLAT #10 .4380D x .219ID x .049THK SST
athomemarket Used - $180.99 0 Nov/27/13 Dec/27/13
Description: MKS/dIP/AMAT Control CDN391R Applied Materials 0190-24115 DeviceNet PCB Board
mghaines NEW - $50.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 0910-01115 FUSE FAST ACTING 300V 15A
mghaines NEW - $25.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE
mghaines NEW - $30.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 3700-01088 SEAL CTR RING ASSY NW50 W/VITON ORING SST304
mghaines NEW - $60.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 3700-01089 SEAL CTR RING ASSY NW25 W/VITON ORING SST
mghaines NEW - $20.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 3700-01087 SEAL CTR RING ASSY NW40 W/VITON ORING SST
mghaines NEW - $50.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE AL
mghaines NEW - $100.00 0 Nov/27/13 Dec/07/13
Description: APPLIED MATERIALS 3700-02744 ORING ID 12.984 CSD .139 VITON 75DURO BRN UHP
svcstore Used - $10.99 0 Nov/27/13 Nov/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Nov/27/13 Nov/30/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore NEW - $499.99 0 Nov/27/13 Nov/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $73.99 0 Nov/27/13 Nov/30/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
ggrassetrecovery Used - $51.00 0 Nov/28/13 Dec/08/13
Description: Applied Materials DIP294-2 AMAT DeviceNet I/O Block 9090-00273
yayais2012 Used - $45.00 0 Nov/28/13 Dec/28/13
Description: applied materials 0021-89703 rev 002 119423-237
yayais2012 Used - $1,600.00 0 Nov/28/13 Dec/28/13
Description: APPLIED MATERIALS 0041-06180 REV 004 300520 0311 MFC10D31967
yayais2012 NEW - $30.00 0 Nov/28/13 Dec/28/13
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $45.00 0 Nov/28/13 Dec/28/13
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
supertechshop Used - $199.00 0 Nov/28/13 Dec/28/13
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Nov/28/13 Dec/28/13
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
athomemarket Scrap, for parts - $3,799.99 0 Nov/28/13 Dec/28/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket Used - $399.99 0 Nov/28/13 Dec/28/13
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
athomemarket NEW - $5,899.99 0 Nov/28/13 Dec/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Nov/28/13 Dec/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $507.99 0 Nov/28/13 Dec/28/13
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket Used - $4,999.99 0 Nov/29/13 Dec/29/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,199.99 0 Nov/29/13 Dec/29/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,799.99 0 Nov/29/13 Dec/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,499.99 0 Nov/30/13 Dec/30/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $424.99 0 Nov/30/13 Dec/30/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $5,499.99 0 Nov/30/13 Dec/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $2,340.99 0 Nov/30/13 Dec/30/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $199.99 0 Nov/30/13 Dec/30/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $349.99 0 Nov/30/13 Dec/03/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $10.99 0 Nov/30/13 Dec/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Nov/30/13 Dec/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $73.99 0 Nov/30/13 Dec/03/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bobsgoodies Used - $950.00 0 Dec/01/13 Jan/16/14
Description: AMAT Applied Materials 0090-91917 Power Supply OL400/602/19 Advance HiVolt
hot!cardz NEW - $1,500.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0021-04318 Pump Port Liner, Throttle Valve 200MM Applied Materials
hot!cardz NEW - $9,500.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-02619 eMAX Top Ring RA63 Depo Mode 200MM Applied Materials
hot!cardz NEW - $6,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $22,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
hot!cardz NEW - $18,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $29,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
hot!cardz NEW - $99,500.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials
hot!cardz NEW - $14,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
athomemarket Refurbished - $153.99 0 Dec/01/13 Dec/31/13
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $755.99 0 Dec/01/13 Dec/31/13
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Used - $767.99 0 Dec/01/13 Dec/31/13
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Used - $299.99 0 Dec/01/13 Dec/31/13
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket NEW - $77.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Used - $99.99 0 Dec/01/13 Dec/31/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $99.99 0 Dec/01/13 Dec/31/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
mghaines NEW - $30.00 0 Dec/01/13 Dec/11/13
Description: APPLIED MATERIALS 3690-01914
mghaines NEW - $200.00 0 Dec/01/13 Dec/11/13
Description: APPLIED MATERIALS 3690-04668
mghaines NEW - $20.00 0 Dec/01/13 Dec/11/13
Description: APPLIED MATERIALS 3690-01862
athomemarket NEW - $75.99 0 Dec/01/13 Dec/31/13
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
mghaines NEW - $60.00 0 Dec/01/13 Dec/11/13
Description: APPLIED MATERIALS 3690-02507
mghaines NEW - $50.00 0 Dec/01/13 Dec/11/13
Description: APPLIED MATERIALS 3690-02123
mghaines NEW - $150.00 0 Dec/01/13 Dec/11/13
Description: APPLIED MATERIALS 3690-01923
mghaines NEW - $60.00 0 Dec/01/13 Dec/11/13
Description: APPLIED MATERIALS 3690-01885
mghaines NEW - $40.00 0 Dec/01/13 Dec/11/13
Description: APPLIED MATERIALS 3690-02949
mghaines NEW - $60.00 0 Dec/01/13 Dec/11/13
Description: APPLIED MATERIALS 3690-02157
zuse81 Used - $250.00 1 Dec/02/13 Dec/07/13
Description: Penny+Giles Applied Materials SLS130/0100/L/66/01/N 0090-01270 Linear Sensor
mghaines NEW - $150.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-03110
mghaines NEW - $100.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-02979
mghaines NEW - $45.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-03061
mghaines NEW - $100.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-01900
mghaines NEW - $60.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-01900
pete-sigep Used - $475.00 0 Dec/02/13 Jan/01/14
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
mghaines NEW - $75.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-02271
yayais2012 NEW - $78.00 0 Dec/02/13 Jan/01/14
Description: APPLIED MATERIALS CABLE ASSY 0150-03048
yayais2012 Used - $450.00 0 Dec/02/13 Jan/01/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 NEW - $125.00 0 Dec/02/13 Jan/01/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $180.00 0 Dec/02/13 Jan/01/14
Description: Applied Materials 3870-01331 Nor-Cal NW 50 In-Line Pneumatic Valve
yayais2012 Used - $220.00 0 Dec/02/13 Jan/01/14
Description: APPLIED MATERIALS 0040-62981 KSM 099-9242
mghaines NEW - $160.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 4690-00100
industrial_loot Used - $78.00 0 Dec/02/13 Jan/01/14
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
ptb-sales Used - $750.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,800.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $250.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $225.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $780.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $460.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $2,500.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies Scrap, for parts - $150.00 1 Dec/02/13 Dec/19/13
Description: Applied Materials AMAT 0021-77180 MIRRA N010-77345 Pressure Panel
bobsgoodies NEW - $500.00 0 Dec/02/13 Jun/17/14
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
bobsgoodies Used - $375.00 0 Dec/02/13 Jun/17/14
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
athomemarket NEW - $339.99 0 Dec/02/13 Jan/01/14
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $891.99 0 Dec/02/13 Jan/01/14
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
mghaines NEW - $60.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-01935
mghaines NEW - $60.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-02248
mghaines NEW - $60.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-01903
mghaines NEW - $80.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-01906
mghaines NEW - $60.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-02126
mghaines NEW - $30.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-02976
wideerp01 Used - $1,400.00 0 Dec/02/13 Dec/09/13
Description: Applied Materials Digital Hybrid RF Match,MXP+,ETCH 0010-12090
mghaines NEW - $400.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 0190-02061
mghaines NEW - $30.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-01869 QTY 4
supertechshop Used - $49.95 0 Dec/02/13 Jan/01/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
trees_for_a_better_tomorrow Used - $100.00 0 Dec/02/13 Dec/09/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow NEW - $250.00 0 Dec/02/13 Dec/09/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
trees_for_a_better_tomorrow NEW - $80.00 0 Dec/02/13 Dec/09/13
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $75.00 0 Dec/02/13 Dec/09/13
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/02/13 Dec/09/13
Description: *2* AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $125.00 0 Dec/02/13 Dec/09/13
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow Used - $225.00 0 Dec/02/13 Dec/09/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/02/13 Dec/09/13
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow Used - $95.00 0 Dec/02/13 Dec/09/13
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/02/13 Dec/09/13
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $125.00 0 Dec/02/13 Dec/09/13
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow Used - $100.00 0 Dec/02/13 Dec/09/13
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $295.00 0 Dec/02/13 Dec/09/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Dec/02/13 Dec/09/13
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $350.00 0 Dec/02/13 Dec/09/13
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $750.00 0 Dec/02/13 Dec/09/13
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $50.00 0 Dec/02/13 Dec/09/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow Used - $350.00 0 Dec/02/13 Dec/09/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
supertechshop NEW - $399.00 0 Dec/02/13 Jan/01/14
Description: NEW AMAT Nor-Cal 3870-06775 Pneumatic 3.5" Gate Valve Applied Materials
bobsgoodies Used - $175.00 1 Dec/03/13 Feb/26/14
Description: Applied Materials 0010-00071 2.25" Stepping Motor Assembly, Atmosphere
dr.fantom Used - $600.00 2 Dec/03/13 Dec/23/13
Description: AMAT / Applied Materials 0190-17499 ROTOR MAG LEV WRLD THIN POST 300MM VQ
dr.fantom Used - $1,250.00 0 Dec/03/13 Feb/12/15
Description: AMAT / Applied Materials 15-175035-00 REV A
mghaines NEW - $100.00 0 Dec/03/13 Dec/13/13
Description: APPLIED MATERIALS 3690-01969 AMAT QTY 50
mghaines NEW - $50.00 0 Dec/03/13 Dec/13/13
Description: APPLIED MATERIALS 3690-03007 AMAT QTY 25
mghaines NEW - $200.00 0 Dec/03/13 Dec/13/13
Description: APPLIED MATERIALS 3690-01899 AMAT QTY 72
mghaines NEW - $300.00 0 Dec/03/13 Dec/13/13
Description: APPLIED MATERIALS 3690-03579 AMAT QTY 50
mghaines NEW - $200.00 0 Dec/03/13 Dec/13/13
Description: APPLIED MATERIALS 3690-01892 AMAT QTY 53
mghaines NEW - $200.00 0 Dec/03/13 Dec/13/13
Description: APPLIED MATERIALS 3690-01983 AMAT
mghaines NEW - $40.00 0 Dec/03/13 Dec/13/13
Description: APPLIED MATERIALS 3690-02324 AMAT QTY 5
mghaines NEW - $40.00 0 Dec/03/13 Dec/13/13
Description: APPLIED MATERIALS 3690-01870 AMAT QTY 20
athomemarket Scrap, for parts - $2,700.99 0 Dec/03/13 Jan/02/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,250.99 0 Dec/03/13 Jan/02/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $1,440.99 0 Dec/03/13 Jan/02/14
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
athomemarket Used - $2,520.99 0 Dec/03/13 Jan/02/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
bjnaf4 NEW - $875.60 0 Dec/03/13 Jan/02/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket Used - $409.99 0 Dec/03/13 Jan/02/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $413.99 0 Dec/03/13 Jan/02/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
svcstore Used - $73.99 0 Dec/03/13 Dec/06/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
supertechshop NEW - $299.00 0 Dec/03/13 Jan/02/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcstore Used - $10.99 0 Dec/03/13 Dec/06/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Dec/03/13 Dec/06/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
yayais2012 Scrap, for parts - $250.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $250.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
yayais2012 Used - $350.00 0 Dec/04/13 Jan/03/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Used - $250.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $220.00 0 Dec/04/13 Jan/03/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $250.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $75.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 Used - $850.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $89.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $110.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $78.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 Used - $250.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $110.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $350.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $850.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $250.00 0 Dec/04/13 Jan/03/14
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 NEW - $15.00 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $320.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $4,000.00 0 Dec/04/13 Jan/03/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
usedeqsales Used - $1,508.09 4 Dec/04/13 Sep/07/21
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
athomemarket NEW - $126.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,199.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Refurbished - $462.99 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Scrap, for parts - $109.99 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,199.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,117.99 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $135.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
jackiclements2012 Used - $0.99 0 Dec/04/13 Dec/07/13
Description: AMAT Applied Materials 0040-09723 Chamber Used Excellent Condition, Waffer chips
svcstore NEW - $499.99 0 Dec/04/13 Dec/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
wideerp01 Used - $2,899.00 0 Dec/05/13 Sep/15/14
Description: Applied Materials System Electronic XT P5000 0010-10244
epicrew01 Used - $100.00 0 Dec/05/13 Dec/12/13
Description: Applied Materials 0020-10069 TUBE RECEPTACLE LIGHT PEN 2ea AMAT, Used(#34)
epicrew01 Used - $100.00 0 Dec/05/13 Dec/12/13
Description: Applied Materials 0060-09047 LABLE OVERLAY BASE 3ea AMAT, Used(#34-4)
kingprecision NEW - $525.00 1 Dec/05/13 Dec/14/13
Description: Applied Materials 0150-76028 O/D TC Degas Unified Wire AMAT
yayais2012 Used - $3,500.00 0 Dec/05/13 Jan/04/14
Description: AMAT APPLIED MATERIALS 0040-23341 REV 007 EEP-364
wideerp01 Used - $8,899.00 0 Dec/05/13 Jan/02/14
Description: Applied Materials 8" wafer ORIENTER P5000/CENTURA 0010-70131
athomemarket NEW - $958.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket Used - $406.99 0 Dec/05/13 Jan/04/14
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $89.99 0 Dec/05/13 Jan/04/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $229.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket Used - $3,299.99 0 Dec/05/13 Jan/04/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
capitolareatech NEW - $105.00 1 Dec/06/13 Jan/07/14
Description: Applied Materials (AMAT) 0730-01050 485TBLED CONVRS-232 to RS-485 Balanced Full/
capitolareatech NEW - $48.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials 0200-09614 Pin, Lift wafer 200mm BWCVD
capitolareatech NEW - $168.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-21756 Spacer Insular 6"
capitolareatech NEW - $33.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-35857 O-Ring, 400mm ID X 7mm Non-Stick Viton
capitolareatech Used - $15.00 0 Dec/06/13 Feb/20/14
Description: Applied Materials (AMAT) 0020-20753 Power Supply, Plate Cord Strain Releif
capitolareatech NEW - $15.00 1 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-10704 SEAL,Throttle Valve, Teos
capitolareatech NEW - $6.00 0 Dec/06/13 Dec/12/13
Description: Applied Materials (AMAT) 0690-01038 NW-25CP Clamp Hinged NW25 Wing-Nut & SCR-Clo
capitolareatech Used - $225.00 1 Dec/06/13 Aug/04/14
Description: Applied Materials (AMAT) 0100-09156 PCB, RF Match Cntl Assembly
capitolareatech NEW - $37.50 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0140-36148 Cable, Harness Assembly RS485 Converter Out
capitolareatech Used - $375.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0100-11000 PCB, Analog Input Board
capitolareatech NEW - $18.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0270-20032 Wafer, Test Orientor 8"
capitolareatech NEW - $10.00 1 Dec/06/13 Dec/15/13
Description: Applied Materials (AMAT) 0250-35965 Heat Exchanger Serial Communication Specifi
capitolareatech NEW - $7.50 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0250-37857 Instructions CHX Mounting and Installment
capitolareatech NEW - $225.00 0 Dec/06/13 Jan/08/14
Description: Applied Materials 0100-09146 PCB, wPCBA CENTERFINDER 5,6,8
capitolareatech Used - $750.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0010-09257 Precision 5000 CVD 8" WSI Gas Box
supertechshop NEW - $199.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
capitolareatech Scrap, for parts - $262.50 0 Dec/06/13 Oct/02/14
Description: Applied Materials 0010-09198 Semiconductor Part, TEOS Ampule Assembly, Incomple
capitolareatech NEW - $225.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-06083 Kit, Base Plate, Shield
capitolareatech NEW - $637.50 0 Dec/06/13 Jun/20/14
Description: Applied Materials (AMAT) 0021-09506 Mounting Ring Dome DPS
capitolareatech Used - $168.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials 0100-00156 PCB, w ISOLATION AMPLIFIER Board Assembly
capitolareatech Used - $168.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials 0100-09112 PCB, Thermal Electric Drive
capitolareatech Used - $225.00 4 Dec/06/13 Dec/08/13
Description: Applied Materials 0040-09037 Robot, BLADE LAMINATION 5/6" Assembly
capitolareatech Used - $93.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials 0100-09109 PCB, Assembly K-TYPE TC AMP
capitolareatech NEW - $200.00 1 Dec/06/13 Dec/09/13
Description: Applied Materials 0240-20021 Kit, DC Bias H/W Non Shutter Compatible
capitolareatech NEW - $7.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0620-02384 Cable, Assembly, 6ft., DB9, Female/DB25, Ma
capitolareatech Used - $225.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-21705 LIFTER 6" with 6" Plate
capitolareatech Used - $93.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials 0100-00033 PCB, wPWB, Motor Drive
capitolareatech NEW - $3.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 3060-01141 Bearing, Ball, 1.000OD X .750ID X .156W Ope
capitolareatech NEW - $33.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 3700-01156 O-Ring, ID 15.955 CSD .139 Viton 75DURO Bla
capitolareatech Used - $375.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0100-00044 PCB, Isolation Amplifier
ocean-tech Used - $249.99 0 Dec/06/13 Jan/05/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
athomemarket Refurbished - $3,870.99 0 Dec/06/13 Jan/05/14
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
supertechshop NEW - $24.99 0 Dec/06/13 Jan/05/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
svcstore Used - $10.99 0 Dec/06/13 Dec/09/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $349.99 0 Dec/06/13 Dec/09/13
Description: AMAT Applied Materials Treatment Controller 0010-20098
svcstore Used - $73.99 0 Dec/06/13 Dec/09/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
surplusexiting Used - $735.00 0 Dec/07/13 Jan/06/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surplusexiting Used - $698.00 0 Dec/07/13 Jan/06/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
bobsgoodies NEW - $375.00 0 Dec/07/13 Jun/17/14
Description: Applied Materials AMAT Chemraz O-Ring 3700-02105 9275-SC513 AS-568A-275 CPD 513
athomemarket Refurbished - $112.99 0 Dec/07/13 Jan/06/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $211.99 0 Dec/07/13 Jan/06/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $211.99 0 Dec/07/13 Jan/06/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
dvkelectronics Used - $6,500.00 0 Dec/07/13 Jan/06/14
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
svcstore NEW - $499.99 0 Dec/07/13 Dec/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $268.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $238.99 0 Dec/08/13 Jan/07/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $341.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket Used - $94.99 0 Dec/08/13 Jan/07/14
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
athomemarket Refurbished - $198.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $198.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $521.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $198.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $218.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $218.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $105.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $500.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Used - $1,097.99 0 Dec/08/13 Jan/07/14
Description: Synergy Microsystems V21 Board PCB AMAT Applied Materials 0090-76110
jackiclements2012 Refurbished - $999.99 0 Dec/08/13 Dec/18/13
Description: AMAT Applied Materials 0040-09723 Chamber - Excellent Condition, CHAMBER = CLEAN
usedeqsales Used - $4,012.13 0 Dec/09/13 Apr/30/15
Description: Applied Materials Thermo Electron 622018991806 DEI Thermo Chiller 0190-13674
forestnorth Used - $500.00 0 Dec/09/13 Dec/16/13
Description: Applied Materials ISOLATOR, CERAMIC, LOWK, 300MM PRODUCER 0200-04470 Lot of 12
athomemarket Refurbished - $209.99 0 Dec/09/13 Jan/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
wideerp01 Used - $1,400.00 0 Dec/09/13 Dec/16/13
Description: Applied Materials Digital Hybrid RF Match,MXP+,ETCH 0010-12090
metrology123 Used - $1,099.00 1 Dec/09/13 Dec/04/14
Description: Applied materials 0010-20300 assy std wafer lift
metrology123 NEW - $1,699.00 1 Dec/10/13 Nov/12/14
Description: Applied materials 0010-20300 rev 002 assy wafer lift std
bobsgoodies NEW - $445.00 0 Dec/10/13 Jun/17/14
Description: Applied Materials 0190-35511 Assy,Thermocouple,TxZ,MCVD AMAT
bobsgoodies NEW - $175.00 3 Dec/10/13 Dec/18/13
Description: Applied Materials 0200-09716 Pin, Lift, Heater WxZ.REV.001 (Lot of 4 pins)
athomemarket Used - $117.99 0 Dec/10/13 Jan/09/14
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $168.99 0 Dec/10/13 Jan/09/14
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $166.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Refurbished - $160.99 0 Dec/10/13 Jan/09/14
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket Used - $266.99 0 Dec/10/13 Jan/09/14
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $273.99 0 Dec/10/13 Jan/09/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $236.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Refurbished - $136.99 0 Dec/10/13 Jan/09/14
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $65.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $199.99 0 Dec/10/13 Jan/09/14
Description: NEW AMAT 0020-22494 8" Honeycomb Collimator 1/2" Hex 1:1 Applied Materials 200mm
svcstore Used - $9.99 0 Dec/10/13 Dec/13/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $67.99 0 Dec/10/13 Dec/13/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Dec/10/13 Dec/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 Used - $115.00 0 Dec/11/13 Jan/10/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
visionsemi Used - $3,595.02 1 Dec/11/13 Dec/30/13
Description: APPLIED MATERIALS AMAT ROBOT ALIGNER CONTROLLER 0190-14741 YASKAWA XU-BCD2081D
athomemarket NEW - $140.99 0 Dec/11/13 Jan/10/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $155.99 0 Dec/11/13 Jan/10/14
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
getsurplusherenow NEW - $1,950.00 0 Dec/11/13 Dec/14/13
Description: KIT, ELEC PVD CHAMBER Applied Materials; P/N 0240-20073
getsurplusherenow NEW - $1,950.00 0 Dec/11/13 Dec/14/13
Description: Assy PVD Chamber Driver, Applied Materials P/N 0010-20079
everything4copiers Used - $750.00 0 Dec/11/13 Jan/10/14
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
everything4copiers NEW - $250.00 0 Dec/11/13 Jan/10/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
epicrew01 Used - $50.00 0 Dec/12/13 Oct/06/21
Description: Applied Materials 0020-10069 TUBE RECEPTACLE LIGHT PEN 2ea AMAT, Used(#34)
epicrew01 Used - $50.00 0 Dec/12/13 Oct/06/21
Description: Applied Materials 0060-09047 LABLE OVERLAY BASE 3ea AMAT, Used(#34-4)
capitolareatech NEW - $13.47 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10919 Shaft, Wafer and Susceptor Lift
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06956 Blade Insul RF Match
capitolareatech NEW - $4.25 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06983 Housing Slider
capitolareatech NEW - $1.60 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30076 Sleeve Bellows Seal
capitolareatech NEW - $40.03 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-20411 SMC Electric Assembly, Pressure Sensor, Swit
capitolareatech NEW - $2.03 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0060-00215 Label, End Point Keyboard
capitolareatech NEW - $15.06 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0045-00029 Ring, Pedestal 125MM OXIDE
capitolareatech NEW - $1.08 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0060-00213 Label, End Point CRT
capitolareatech NEW - $3.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06984 Tip, Brush Rod
capitolareatech NEW - $3.61 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-29206 BUSHING COIL SUPPORT, VECTRA IMP
capitolareatech NEW - $5.74 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06985 Semiconductor Part, Push Rod
capitolareatech NEW - $14.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-03742 Front End Server Assembly Misc., Quickguide
capitolareatech NEW - $111.57 2 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-22570 TLG-RS232 Transponder Reader ASC-I1
capitolareatech NEW - $71.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00424 RETNG RING, 4" VIEWPORT
capitolareatech NEW - $3.19 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-18465 Centering Ring KF40
capitolareatech NEW - $8.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00709 Bushing STR Relief
capitolareatech NEW - $6.12 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-10691 Lift Pin Holder
capitolareatech NEW - $4.30 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-09612 20009612 CAP,1/4 TURN
capitolareatech NEW - $80.73 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-11998 THERMOCOUPLE ASSY, EBK CHAMBER
capitolareatech NEW - $10.75 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-10219 OMS SPROM PLCC, U15
capitolareatech NEW - $87.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-10813 HERMOS RFID 1.5M ANTENNA (MINI) TAG READ
capitolareatech NEW - $9.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-02036 PIN 1 inch
capitolareatech NEW - $6.89 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-02042 Roller, Latch
capitolareatech NEW - $4.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-02054 FLANGE, NUT HOUSING
capitolareatech NEW - $86.69 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-06305 200MM MDP LEFT Wafer Support Fin
capitolareatech NEW - $86.69 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-06306 200MM MDP Right Weather Support Fin
capitolareatech NEW - $134.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-08776 CENTRAL DISTRIBUTOR
capitolareatech NEW - $124.31 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-34926 CATHODE END POINT DETECTOR
capitolareatech NEW - $6.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-01346 Ring, Ground Plate, Anti Arc
capitolareatech NEW - $15.06 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0270-00050 Semiconductor Part, Carrier Align Tool
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-04586 Pin Shield Inner, TXZ CVD 300MM, 188x.500 w
capitolareatech NEW - $14.20 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00031 Pulley, Manifold Driver
capitolareatech NEW - $3.08 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0015-00056 Collar, Manifold
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00097 Pulley, Modification, Geneva Drice 10 SLOT
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0400-00316 software PC-DOS Boot Diskette with CDROM Su
capitolareatech NEW - $4.18 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00282 Screw, MACH SKT HD 8-32 X .22 PKG 4
capitolareatech NEW - $13.19 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-02705 Plug, Endpoint, Sapphire, 300MM IA
capitolareatech NEW - $15.74 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03057 Insulator Locking Pin
capitolareatech NEW - $11.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00209 Shaft/Trunnion Robot DRV
capitolareatech NEW - $47.81 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0226-30345 O-RING ID 8.984 X .139W KALREZ
capitolareatech NEW - $3.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-76023 Pin, HT-Cool Down, Pedestal, 200/300mm
capitolareatech NEW - $70.29 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
capitolareatech NEW - $6.33 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-35580 Brushing Pin TxZ
capitolareatech NEW - $45.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00239 Valve, Track, Interposer-Gate
capitolareatech NEW - $3.54 0 Dec/12/13 Apr/08/14
Description: Applied Materials (AMAT) 0200-20137 Pin, PC II Insulator
capitolareatech NEW - $6.77 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-10359 BIAS Plug, SIC, Lowred Cathode
capitolareatech NEW - $4.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-10263 Washer, Insulating, Ceramic
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-65084 Plate, Spacer 19cm x 10 cm
capitolareatech NEW - $6.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-61778 Shaft Plunger Preload Screw
capitolareatech NEW - $24.53 1 Dec/12/13 May/28/14
Description: Applied Materials (AMAT) 0040-21173 Bracket Differential Sensor DDR
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-52540 Pin anti rot 0.625 dia 300
capitolareatech NEW - $3.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-49517 Block, Strain Relief, DC Cable, 300MM IMP C
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-46318 Pin guide, 300mm ESC DT
capitolareatech NEW - $3.19 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-66634 Heater, Cap, 1 Wire
capitolareatech NEW - $32.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-08088 22S48205E14 DC MOTOR ASSEMBLY, BROOKS LOAD P
capitolareatech NEW - $13.95 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-04048 Plate, Gas Tubing Guide
capitolareatech NEW - $4.52 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42073 Pin Spring Guide
capitolareatech NEW - $38.86 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42032 HINGE,BOTTOM,PMS, WXZ
capitolareatech NEW - $14.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0140-01772 Harness, Assembly, Buffer CH L
capitolareatech Used - $87.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0100-11002 DIGITAL I/O PWB ASSY
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0110-09108 PCB
capitolareatech NEW - $74.55 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-24114 ADJUSTMENT CERAMIC SKIRT
capitolareatech NEW - $239.07 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0100-11000 ANALOG INPUT
capitolareatech NEW - $6.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-04011 Clamp, Tube Support
capitolareatech NEW - $10.48 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-04687 Nut, Lock, Heater Lift
capitolareatech NEW - $14.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-83309 CLAMP LOWER NON-GROUNDED PEDESTAL
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03154 Roller, End Idler
capitolareatech NEW - $42.17 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-04679 PLATE, LOCK, HEATER LIFTER
capitolareatech NEW - $4.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03359 Spacer Thermal Insulator
capitolareatech NEW - $12.01 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-01955 16885 ETO HIGH VOLTAGE CAPACITOR C1, ULTIMA
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-01810 SHIM SACVD
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-51305 TC Cable
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-36348 Cable, Assembly, SMB Interconnect Autobias
capitolareatech NEW - $4.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03469 BRACKET, PCB
capitolareatech NEW - $2.55 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03377 Pin Dowel 3/16 DIA X 5/8 long Aluminum
capitolareatech NEW - $66.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-01317 Outer Shield, TXZ 200MM
capitolareatech NEW - $71.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-65785 Bearing, Block, Elevator Gear
capitolareatech NEW - $8.29 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-83307 Inert Insulation
capitolareatech NEW - $2.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-65969 Valve, Plate, Mounting
capitolareatech NEW - $1.68 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-81226 SLEEVE, OVEN NOZZLE
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-77298 Retainer Drive Bely Pulley PULLEY
capitolareatech NEW - $7.71 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03511 Pulley, Post, Robot Drive
capitolareatech NEW - $111.45 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0660-01836 SNAP-AIV CARD I/O MOD 2-CH ANLG VOL INPUT -1
capitolareatech NEW - $75.63 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03507 Cover, Trunnion Screw
capitolareatech NEW - $215.16 0 Dec/12/13 Aug/14/14
Description: Applied Materials (AMAT) 0100-09130 PCB, Wassy DWG TEOS Interlock Board
capitolareatech NEW - $44.71 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-22918 Side Reciever 200MM Cassette, Bracket
capitolareatech NEW - $1.43 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-21031 Actuator, Lid-In-Place, Shield Treatment
capitolareatech NEW - $14.35 2 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-23352 Disk, Hub, Heater
capitolareatech NEW - $81.45 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-94808 Fixed Restraints, 200mm,
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-15047 Bracket
capitolareatech NEW - $5.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10153 Buffer, Cassette, Male, 200MM
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-15046 BRACKET
capitolareatech NEW - $11.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-14106 Lamp, Slide 1"DIA Module Runner
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-14118 Tongue VAC 100MM
capitolareatech NEW - $13.25 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10707 Clamp, Throttle Valve,TEO
capitolareatech NEW - $107.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-75247 Ring 2 Dual Robot
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0041-00202 bottom panel final line
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-31233 Window, Front Shell, H20, VDSII
capitolareatech NEW - $7.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-31154 SEAL FERULE SLEEVE SUS BELLOWS BWCVD
capitolareatech NEW - $5.68 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30724 Bracket Filter, N2, Purge
capitolareatech NEW - $6.33 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30486 Sensor Cap, Modified
capitolareatech NEW - $74.31 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30356 Elbow, Gas Supply EGEC
capitolareatech NEW - $7.28 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06968 Stud View Port Plate, Load Chamber
capitolareatech NEW - $240.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-00187 ISE5B-T2-26L electrical assembly, BLADDER pr
capitolareatech NEW - $35.07 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0050-06065 WELDMENT, CHAMBER SILANE TOP, ULTIMA HDP
capitolareatech NEW - $15.75 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30013 BRACKET, MOTOR
capitolareatech NEW - $65.48 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-26092 PLATE, RIGHT DC SOURCE CONNECTOR, 300MM
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-09210 Plunger, Soft Tip Hinge Head Lock, 300 M
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03044 Clamp, Ceramic Blade, 4-Bar Clamp
capitolareatech NEW - $6.79 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00273 Valve, Screw, Adjuster, Gate
capitolareatech NEW - $12.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-09222 Bracket Motor (Tune)
capitolareatech NEW - $13.31 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-43964 LAMP HEAD SHIM, 0.020" THICK, 300MM RADI
capitolareatech NEW - $5.43 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-90743 INSULATOR SHORT
capitolareatech NEW - $2.80 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03689 Short Insulating Strip
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-46073 Cover, End, Adapter, Water Cover
capitolareatech NEW - $5.42 4 Dec/12/13 Apr/11/14
Description: Applied Materials (AMAT) 0020-04023 U/V FILTER, 5000 SIDE WINDOW
capitolareatech NEW - $5.76 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42076 Bracket Heater Drive, WXZ
capitolareatech NEW - $65.00 8 Dec/12/13 Jan/09/14
Description: Applied Materials (AMAT) 3800-01116 SRH 3111-N02 Regulator, Air Press; 7-100PSIG
capitolareatech NEW - $20.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3710-00033 Shaft Lower Brush Core
capitolareatech NEW - $20.41 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3700-02064 O-Ring, ID 8.734 CSD .139 Chemraz SC513 80
capitolareatech NEW - $27.64 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3700-01843 O-Ring, 3.984 CSD, .139 CZ SC520 90 DURO WH
capitolareatech NEW - $20.89 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3700-01727 O-Ring, ID 4.859 CSD .139 Chemraz SC513 80
capitolareatech NEW - $22.79 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3700-01669 O-Ring, 4.100 CSD, .210 CZ SC513 80 DURO WH
capitolareatech NEW - $31.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3630-01124 5108-75-H retaining ring; EXT 3/4 SFT .042TH
capitolareatech NEW - $6.38 0 Dec/12/13 May/14/14
Description: APPLIED MATERIALS (AMAT) 3320-01024 50-4V-NI-R Gasket, Use 3320-01165 VCR 1/4 IN
capitolareatech NEW - $28.01 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0660-01841 SNAP-IDC5 CARD I/O MOD 4-CH DC INPUT 10-320V
capitolareatech NEW - $21.77 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-76024 Pin, Stand off, Quartz 200/300mm Cool Down
capitolareatech NEW - $20.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-18053 GUIDE, LIFT PIN
capitolareatech NEW - $22.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-00318 Guide Lift Pin SST Heater 300MM TXZ
capitolareatech NEW - $41.44 1 Dec/12/13 Mar/08/14
Description: Applied Materials (AMAT) 0200-04988 Nozzle Aluminum, Nitride 1.76L HDP-
capitolareatech NEW - $40.00 0 Dec/12/13 Jun/05/14
Description: Applied Materials (AMAT) 0200-01798 PIN, LIFT, TXZ HEATER, SINGLE TAPER, CER
capitolareatech NEW - $17.30 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-10789 BRACKET, TXZ SLIT WINDOW
capitolareatech NEW - $31.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-08774 Guide Cassette Universal MNL FEND 200MM
capitolareatech NEW - $28.07 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-08666 Body, Lightpipe, Pyrometer, Int. Bushing
capitolareatech NEW - $21.51 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0021-07715 Plug, Chamber Insert, 300 TXZ
capitolareatech NEW - $47.17 1 Dec/12/13 Feb/18/14
Description: Applied Materials (AMAT) 0021-07089 MANIFOLD, WATER, CHAMBER LINER
capitolareatech NEW - $19.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-07890 Switch, Emo Turn TO REL with Guard CE Mark
capitolareatech NEW - $25.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-98327 Nozzle, Oven
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-65375 Stud. Support Transfer Chamber
capitolareatech NEW - $20.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-75171 Block Cylinder Mount Loadlock Door
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-51718 Viewport Cover
capitolareatech NEW - $41.44 0 Dec/12/13 May/30/14
Description: Applied Materials (AMAT) 0020-46323 Membrane 200mm Titan Center BU
capitolareatech NEW - $27.09 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-02389 Cable Assembly, Postheat A TC, TST K Type,
capitolareatech NEW - $17.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42119 CLAMP, .3750 BORE,PULLEY, WxZ
capitolareatech NEW - $24.40 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-42011 Plate,Spring WXZ
capitolareatech NEW - $20.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-32389 Screw, Mach, PAN HD, 4-4-X3/16 PKG 119
capitolareatech NEW - $21.67 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-41159 Conductor, Pedestal, RF Feedthru
capitolareatech NEW - $27.09 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-36753 Shaft, Cylinder, Lift pin
capitolareatech NEW - $24.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-31377 Flange Blank Off, Unibody
capitolareatech NEW - $27.54 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-02128 HEATER ASSEMBLY, PRODUCER ETCH WALL #3
capitolareatech NEW - $17.55 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-26852 Cover Ring and Adapter
capitolareatech NEW - $22.96 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-01880 Heater Assembly, 42.0L, Axiom
capitolareatech NEW - $23.51 2 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-29333 Clamp, Feedthru Coil Support, Vectra IMP
capitolareatech NEW - $16.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-23156 Bushing Laser Delrin
capitolareatech NEW - $75.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-13047 Wafer calibration AL 200mm
capitolareatech NEW - $28.49 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-20328 Bearing, Spacer, Orienter Rotation
capitolareatech NEW - $20.39 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10973 Bracket, L Chamber Clamp SACVD
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-46441 o swill 5.3 fi endura
capitolareatech NEW - $21.09 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10515 Sensor, ADT
capitolareatech NEW - $17.77 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-09617 Bushing, Rear
capitolareatech NEW - $17.43 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10133 CVD, Block RF Ground
capitolareatech NEW - $17.43 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-09270 Front Cover
capitolareatech NEW - $16.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06831 Block, Spring Guide Geneva Drive
capitolareatech NEW - $25.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-04361 Cover, Hexode 150MM, Anti Arc
capitolareatech NEW - $27.41 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-06815 Semiconductor Part, Insulator, Ground Plate
capitolareatech NEW - $31.63 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-04432 Pipe, Support, Bottom
capitolareatech NEW - $31.63 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-21368 Bracket, Left Adapter, Water Cover
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-03651 Cover, Protective
capitolareatech NEW - $21.45 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03510 Robot, Shaft, Motor Drive
capitolareatech NEW - $18.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03551 Pipe, Nipple Feedthru Anodized
capitolareatech NEW - $21.13 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-02017 Cover, Vertical Raceway, Remote Module
capitolareatech NEW - $23.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00343 Bar, Wafer Support, Stretch
capitolareatech NEW - $18.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00099 Pulley, Modified
capitolareatech NEW - $19.95 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-09336 Pulley, MOD, .2500 Bore, WXZ
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-09058 Coupling, Modification
capitolareatech NEW - $30.99 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00018 Pulley, Timing Belt Modified
capitolareatech NEW - $17.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-78073 External Membraine, 8" Titan Head II
capitolareatech NEW - $15.99 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-00121 Fitting, Bulkhead Weldment, 1/2 Female
capitolareatech NEW - $23.22 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-70419 Hoop Pin, Swill
capitolareatech NEW - $53.68 0 Dec/12/13 Jan/19/14
Description: Applied Materials (AMAT) 0021-70254 Plate, Back, Throttle Drive
capitolareatech NEW - $22.98 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-35991 Semiconductor Part, Insulator, RF,TxZ VIEW
capitolareatech NEW - $20.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0021-36505 Retainer, Right
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0021-36504 Retainer, Left
capitolareatech NEW - $26.08 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-35877 HOUSING,ROD,RF ADAPTER,TxZ MCVD
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-26968 Insulator, Clamp Heater 300MM
capitolareatech NEW - $18.13 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-20854 Clamp, Support, Blade, Shutter, 300MM, P
capitolareatech NEW - $31.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-12611 KEEPER LIFT TUBE RING 200MM CWXZ
capitolareatech NEW - $1,139.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-12847 SCR Lamp Driver 15 Zone 300MM Radiance P 20
capitolareatech Used - $382.50 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-35116 P1116A POWER CONTROLLER 200/208V CENTURA OXI
capitolareatech NEW - $750.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-05528 Chamber Body
capitolareatech NEW - $389.54 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-22950 Adapter, Collimator Source 13"
capitolareatech NEW - $487.50 1 Dec/12/13 Feb/21/14
Description: Applied Materials (AMAT) 0190-22286 Centura AP Gas Panel Temperature Controller
capitolareatech NEW - $2,500.00 0 Dec/12/13 Jan/24/14
Description: Applied Materials (AMAT) 0020-35452 Cooldown/Centerfinder Chamber
capitolareatech NEW - $386.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-76180 Chamber Body Cool Down
capitolareatech NEW - $605.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-01047 Bell Jar Extension
capitolareatech NEW - $225.67 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-21234 Upper Shield, Hi-Cond Tin Long, 300MM PV
capitolareatech Used - $47.81 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-09264 RF Generator Cable 60FT; INTERCOMP 2-2172A
capitolareatech NEW - $239.07 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-12087 8113160G001 On-Board, 8F CRYO Control Module
capitolareatech NEW - $128.45 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-06596 PLATE, LID, TXZ
capitolareatech NEW - $74.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-09583 CABLE RF COAXIAL DELTA
capitolareatech NEW - $75.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-39698 Plate Adapter with XP Chamber
capitolareatech NEW - $353.33 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-31789 AL Chamber, no window
capitolareatech NEW - $63.75 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-35454 Cover, Cooldow, Centerfinder Chamber
capitolareatech NEW - $275.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-35819 Housing, Cooldown Pedestal
capitolareatech NEW - $184.19 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-04013 Pressure Cap, GAS Cooled
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0620-01745 TEC-W-VA-15-FL-240934 Cable Assembly
capitolareatech NEW - $79.69 2 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-75852 Plate Top Platform WBLL CMF CENTURA
capitolareatech NEW - $71.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-34381 Gas Box, EXZ
hot!cardz NEW - $14,000.00 0 Dec/12/13 Dec/22/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
capitolareatech Used - $650.00 1 Dec/12/13 Dec/18/13
Description: Applied Materials (AMAT) 0190-03543 B-13023 Assembly Dual Axis Driver, 300MM Dua
capitolareatech NEW - $223.13 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0040-09961 Pedestal, 200mm Notch, IS, NI Lift2, HVCEN,.
capitolareatech NEW - $72.28 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0270-09232 Tools, Chamber Leak Check, Joint, WXZ
capitolareatech NEW - $305.39 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-76182 Housing, Pedestal, Cooldown, Non-Enp
capitolareatech NEW - $24.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-02392 CABLE ASSY, FORELINE TC, TST K TYPE, 300
capitolareatech NEW - $17.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-23157 Laser, Plate, Mounting
capitolareatech NEW - $176.18 0 Dec/12/13 Feb/13/14
Description: Applied Materials (AMAT) 0040-21177 Shaft Center DDR
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0620-02680 TEC-W-EC-15-FL-241091 Cable Assembly
capitolareatech NEW - $101.39 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42026 BRACKET,HEATER DRIVE,WXZ
hot!cardz NEW - $99,500.00 0 Dec/12/13 Dec/22/13
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials
capitolareatech NEW - $142.79 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-21138 Spacer, LID Assembly, 8
capitolareatech NEW - $67.11 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-35735 Cover Process Chamber Protective
capitolareatech NEW - $47.81 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0620-02679 TEC-W-AC-15-FL-241093 CABLE 15M AC PWR EXH S
capitolareatech NEW - $127.50 1 Dec/12/13 Jul/07/14
Description: Applied Materials (AMAT) 3020-98023 DSM-25-270-P-FW-CC Actuator; air, rot. to a.
capitolareatech NEW - $186.33 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30313 Pedestal Aluminum 125MM Flat
capitolareatech NEW - $68.42 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42236 Heater, Bracket Drive,WXZ
capitolareatech NEW - $17.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0010-39665 Assembly, Lockout Valve with One Touch FTGS
capitolareatech NEW - $89.33 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-76179 Housing, Lifter, Non-Enp Cooldown Chamber
onlinesmt NEW - $199.00 0 Dec/12/13 Jan/11/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Dec/12/13 Jan/11/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Dec/12/13 Jan/11/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
onlinesmt NEW - $950.00 0 Dec/12/13 Jan/11/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
supertechshop NEW - $995.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Dec/12/13 Jan/11/14
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $149.00 0 Dec/12/13 Jan/11/14
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop NEW - $399.00 0 Dec/12/13 Jan/11/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $99.00 0 Dec/12/13 Jan/11/14
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
athomemarket Refurbished - $2,572.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $522.99 0 Dec/12/13 Jan/11/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
supertechshop Used - $99.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Dec/12/13 Jan/11/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $399.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Dec/12/13 Jan/11/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop Used - $499.00 0 Dec/12/13 Jan/11/14
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
athomemarket Refurbished - $111.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $3,331.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Dec/12/13 Jan/11/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $165.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $4,037.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
supertechshop Used - $499.00 0 Dec/12/13 Jan/11/14
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Dec/12/13 Jan/11/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Dec/12/13 Jan/11/14
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
used1eqsales Used - $1,011.13 0 Dec/12/13 May/26/17
Description: Applied Materials Interlock Select Circuit Board 0100-00629 AMAT Endura RF Rack
supertechshop NEW - $299.00 0 Dec/12/13 Jan/11/14
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop NEW - $199.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop NEW - $99.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop Used - $99.99 0 Dec/12/13 Jan/11/14
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 Dec/12/13 Jan/11/14
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop NEW - $299.00 0 Dec/12/13 Jan/11/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
metrology123 NEW - $399.00 1 Dec/12/13 Mar/13/15
Description: Applied materials 0020-21033
partskorea1 Used - $349.00 1 Dec/13/13 Apr/16/17
Description: 0190-22543 REV 001 FI Controller APPLIED MATERIALS Factory Interface Digital I/O
ptb-sales Used - $780.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $460.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $250.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $750.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $225.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials Chamber AMAT 0040-07498
athomemarket Used - $4,844.99 0 Dec/13/13 Jan/12/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket NEW - $305.99 0 Dec/13/13 Jan/12/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket Used - $187.99 0 Dec/13/13 Jan/12/14
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
athomemarket Used - $187.99 0 Dec/13/13 Jan/12/14
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
athomemarket Used - $65.99 0 Dec/13/13 Jan/12/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $91.99 0 Dec/13/13 Jan/12/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $188.99 0 Dec/13/13 Jan/12/14
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
athomemarket Used - $209.99 0 Dec/13/13 Jan/12/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket NEW - $278.99 0 Dec/13/13 Jan/12/14
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
semipart NEW - $250.00 0 Dec/13/13 Jan/12/14
Description: Applied Materials 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC AMAT Rev C
semipart NEW - $200.00 1 Dec/13/13 Dec/14/13
Description: APPLIED MATERIALS 0190-21067 Filter Diffuser, Assy. New, Sealed 3 available
svcstore Used - $9.99 0 Dec/13/13 Dec/16/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $67.99 0 Dec/13/13 Dec/16/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Dec/13/13 Dec/16/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $500.00 0 Dec/14/13 Jan/13/14
Description: AMAT APPLIED MATERIALS 0870-00036 DRIVE 5-PHASE STEPPER MOTOR
semipart NEW - $400.00 0 Dec/14/13 Jan/13/14
Description: Applied Materials, Half ATM Switch, 0190-21713
semipart NEW - $75.00 0 Dec/14/13 Jan/03/14
Description: New Applied Materials 0190-30355 LIGHT PEN, 10 AVAILABES
semipart NEW - $300.00 0 Dec/14/13 Jan/13/14
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Proteus 9100B24P16, 2 available
athomemarket NEW - $254.99 0 Dec/14/13 Jan/13/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $106.99 0 Dec/14/13 Jan/13/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Refurbished - $144.99 0 Dec/14/13 Jan/13/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket Used - $4,508.99 0 Dec/14/13 Jan/13/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $214.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,256.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $97.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $345.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
yayais2012 Used - $95.00 0 Dec/14/13 Jan/13/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
athomemarket Used - $332.99 0 Dec/15/13 Jan/14/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket NEW - $97.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Refurbished - $218.99 0 Dec/15/13 Jan/14/14
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
athomemarket NEW - $115.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket Used - $187.99 0 Dec/15/13 Jan/14/14
Description: MKS/dIP/AMAT Control CDN491R Applied Materials 0190-34282 DeviceNet PCB Board
athomemarket Used - $110.99 0 Dec/15/13 Jan/14/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket NEW - $380.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
ischoi3 Used - $420.00 1 Dec/16/13 Dec/23/13
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
used1eqsales Used - $705.15 0 Dec/16/13 May/26/17
Description: AMAT Applied Materials Beamline Vacuum Control panel 0100-90533 AMAT Quantum X
yayais2012 Used - $180.00 0 Dec/16/13 Jan/15/14
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $95.00 0 Dec/16/13 Jan/15/14
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 NEW - $70.00 0 Dec/16/13 Jan/15/14
Description: Applied Materials 0190-10270 Diamond Pad Cond
yayais2012 Used - $215.00 0 Dec/16/13 Jan/15/14
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
yayais2012 NEW - $170.00 0 Dec/16/13 Jan/15/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $380.00 0 Dec/16/13 Jan/15/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
athomemarket Used - $196.99 0 Dec/16/13 Jan/15/14
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $292.99 0 Dec/16/13 Jan/15/14
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
svcstore Used - $67.99 0 Dec/16/13 Dec/19/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
athomemarket NEW - $47.99 0 Dec/16/13 Jan/15/14
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
wideerp01 Used - $1,400.00 0 Dec/16/13 Dec/23/13
Description: Applied Materials Digital Hybrid RF Match,MXP+,ETCH 0010-12090
athomemarket NEW - $107.99 0 Dec/16/13 Jan/15/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $317.99 0 Dec/16/13 Jan/15/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $91.99 0 Dec/16/13 Jan/15/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $9.99 0 Dec/16/13 Dec/19/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Dec/16/13 Dec/19/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $1,600.00 0 Dec/21/13 Jan/20/14
Description: AMAT APPLIED MATERIALS 0010-20133 BLADE ASSY 8" Transfer, New
svcstore Used - $249.99 0 Dec/20/13 Dec/23/13
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
athomemarket NEW - $206.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $1,091.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $241.99 0 Dec/20/13 Jan/19/14
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket NEW - $300.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $300.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $1,091.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $191.99 0 Dec/20/13 Jan/19/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
athomemarket Used - $516.99 0 Dec/20/13 Jan/19/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $4,037.99 0 Dec/20/13 Jan/19/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $315.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $129.99 0 Dec/20/13 Jan/19/14
Description: AMAT/Applied Materials 0103-65042 Digital Inkjet 60K Board PCB Assembly AKT
athomemarket NEW - $114.99 0 Dec/20/13 Jan/19/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $75.99 0 Dec/20/13 Jan/19/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
yayais2012 Used - $400.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $110.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $180.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $400.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $110.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
sparepartssolution NEW - $5,000.00 0 Dec/20/13 Aug/12/14
Description: AMAT APPLIED MATERIALS 0010-21246 ASSEMBLY, NON-NTM ADVANCED 101 - 8" NEW
svcstore Used - $67.99 0 Dec/19/13 Dec/22/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Dec/19/13 Dec/22/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
supertechshop Used - $499.00 0 Dec/19/13 Jan/18/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $950.00 0 Dec/19/13 Jan/18/14
Description: Applied Materials 0130-11001 Analog Output Board P5000 PCB 0100-11001 / Warranty
supertechshop NEW - $299.00 0 Dec/19/13 Jan/18/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $99.99 0 Dec/19/13 Jan/18/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $399.00 0 Dec/19/13 Jan/18/14
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
svcstore Used - $9.99 0 Dec/19/13 Dec/22/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
jackiclements2012 Refurbished - $295.00 0 Dec/19/13 Dec/29/13
Description: AMAT Applied Materials 0040-09723 Chamber - Excellent Condition, CHAMBER = CLEAN
athomemarket NEW - $1,817.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,716.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket Used - $88.99 0 Dec/19/13 Jan/18/14
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
athomemarket NEW - $502.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
ntsurplus302 NEW - $150.00 0 Dec/19/13 May/18/15
Description: 3051 Applied Materials 0150-76646 002 Centura EMO Controller Interconnect Cable
actionsystems Used - $200.00 1 Dec/19/13 May/01/15
Description: APPLIED MATERIALS AMAT Part # 0100-20003 Digital I/O Board
actionsystems Used - $265.00 1 Dec/19/13 Jan/22/14
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT OUTPUT BOARD
semipart NEW - $40.00 0 Dec/19/13 Jan/06/14
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
yayais2012 NEW - $95.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-15386 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-A IH1000
yayais2012 NEW - $150.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-22912 CABLE ASSY SCRUBBER RUNNING SICONI 300M
yayais2012 NEW - $240.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
yayais2012 NEW - $110.00 0 Dec/18/13 Jan/17/14
Description: Applied Materials 0050-53004 WELDMENT, CONVECTRON ADAPTER, NW50 W/1/4'' VCR ADA
yayais2012 Used - $220.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 NEW - $250.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0190-23270 OHT HOKUYO DMS-HB1-Z28, E84 VARIABLE SENSOR
yayais2012 NEW - $150.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-15385 CABLE ASSY HELIOS-4 INLET1 TO FACILITY N2 PRESS S
yayais2012 Used - $780.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $220.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS ASSY RIM PRESSURE VALVE/S 0010-47135
yayais2012 NEW - $110.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
yayais2012 NEW - $170.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0240-52734 KIT, H2 ON INDICATOR PER PROCESS PLATTER, HELIOS
yayais2012 NEW - $220.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-27540 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH
yayais2012 NEW - $110.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-15387 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH-B IH1000
yayais2012 NEW - $75.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 Used - $200.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 FW: 1.201 / HW: 02
athomemarket NEW - $128.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $119.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $88.99 0 Dec/18/13 Jan/17/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket Used - $1,229.99 0 Dec/18/13 Jan/17/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $918.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $618.99 0 Dec/18/13 Jan/17/14
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket Refurbished - $569.99 0 Dec/18/13 Jan/17/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $301.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
tdindustrial Used - $129.50 1 Dec/18/13 Feb/24/17
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/TI 8" SNNP Reduced Edge
usedeqsales NEW - $5,512.13 1 Dec/18/13 Aug/30/14
Description: AMAT Applied Materials 0270-02115 Vac Ring Tool Assembly XP Robot New
capitolareatech NEW - $22.59 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0020-01002 Housing, Gas Feed Line
capitolareatech NEW - $21.63 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 3700-03731 K17455 - COMPOUND 4079 O-RING 12.841 ID X .1
capitolareatech NEW - $20.07 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 3700-01928 AS568A-227 4079 O-Ring ID 2.109 CSD .139 KAL
capitolareatech NEW - $20.57 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 3700-02478 9231SC513 O-ring; 2.609"(66.27mm) x 0.139"(3
capitolareatech NEW - $25.69 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 3700-01718 9243-SC513 ORING ID 4.109 CSD .139 CHEMRAZ S
capitolareatech NEW - $23.49 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0270-20083 Tool, 8" Lift Hoop, Wide Body
capitolareatech NEW - $17.55 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0270-20060 Jig Pre clean/Cool Lift to Robot Cal Plat
capitolareatech NEW - $47.81 0 Dec/18/13 May/22/14
Description: Applied Materials (AMAT) 0200-35362 INSERT,SILICON,CH REFLECTOR,RTP CHAMBER
capitolareatech NEW - $28.19 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0200-03006 Threaded Nozzle, All Ceramic 2.28L, 98%
neilan1987 NEW - $39.99 0 Dec/21/13 Apr/21/14
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
neilan1987 NEW - $399.99 0 Dec/21/13 Apr/21/14
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
neilan1987 Used - $59.99 0 Dec/21/13 Apr/21/14
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
athomemarket Used - $4,742.99 0 Dec/21/13 Jan/20/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $199.99 0 Dec/21/13 Jan/20/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $61.99 0 Dec/21/13 Jan/20/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
yayais2012 Used - $300.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $110.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 NEW - $120.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $250.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0190-10208 CARD, INTERFACE DNET, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 NEW - $48.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 NEW - $78.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
yayais2012 NEW - $110.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $120.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $35.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $350.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $55.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 Used - $300.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-1627-06
yayais2012 NEW - $85.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $450.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 NEW - $140.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $180.00 0 Dec/21/13 Jan/20/14
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 NEW - $45.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 NEW - $75.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-12497 REV 002
yayais2012 NEW - $95.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 NEW - $75.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $45.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 Used - $300.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3607-05
yayais2012 NEW - $38.00 1 Dec/21/13 Dec/24/13
Description: APPLIED MATERIALS 0242-46012 CD Epi 300 ACP Blk 2 (2CH) MANUALS
yayais2012 Used - $90.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0190-10208 DIP-CDN180-12, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 NEW - $38.00 1 Dec/21/13 Dec/24/13
Description: APPLIED MATERIALS 0242-04762 CD MANUALS SICONI ACP
yayais2012 NEW - $38.00 1 Dec/21/13 Dec/24/13
Description: APPLIED MATERIALS 0242-46009 CD CENTURA ACP 300 MANUALS
yayais2012 NEW - $160.00 1 Dec/21/13 Jan/07/14
Description: Applied Materials AMAT 0150-22371 Blue RF Cable TRU
yayais2012 Used - $300.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3657-11
yayais2012 NEW - $120.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 NEW - $45.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
athomemarket Used - $6,570.99 0 Dec/22/13 Jan/21/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,862.99 0 Dec/22/13 Jan/21/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $394.99 0 Dec/22/13 Jan/21/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
wideerp01 Used - $1,500.00 0 Dec/22/13 Jan/21/14
Description: APPLIED MATERIALS (AMAT ZERO) HEAT EXCHANGER 0010-70008
wideerp01 Used - $7,000.00 1 Dec/22/13 Dec/27/13
Description: Applied Materials 8" wafer ORIENTER P5000/CENTURA 0010-70131
svcstore Used - $9.99 0 Dec/22/13 Dec/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $67.99 0 Dec/22/13 Dec/25/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Dec/22/13 Dec/25/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $800.00 0 Dec/26/13 Jan/25/14
Description: New, Applied Materials (AMAT) 0020-70285 8" Robot Buffer Blade
athomemarket NEW - $1,211.99 0 Dec/26/13 Jan/25/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,624.99 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $61.99 0 Dec/26/13 Jan/25/14
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
athomemarket Used - $2,421.99 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
usedeqsales Used - $312.13 3 Dec/26/13 Apr/18/14
Description: AMAT Applied Materials 10762200 RF Cable 50 FT 0620-02885 Lot of 3 Used Working
ptb-sales Used - $225.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $360.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $1,800.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $750.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Scrap, for parts - $5,000.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $250.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $3,000.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
chriswebber16 NEW - $399.99 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
bruce135 Used - $239.00 0 Dec/26/13 Jan/25/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
pete-sigep Used - $225.00 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0100-09099 Chamber Interface PCB
pete-sigep Used - $475.00 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Used - $375.00 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
yayais2012 Used - $120.00 0 Dec/26/13 Jan/25/14
Description: APPLIED MATERIALS 0090-04247 FPS1 208 VAC
dr.fantom NEW - $100.00 0 Dec/26/13 Feb/12/15
Description: Applied Materials 0050-53004 WELDMENT CONVECTRON ADAPTER NW50 W/1/4'' VCR ADA
dr.fantom NEW - $100.00 0 Dec/26/13 Feb/12/15
Description: Applied Materials 3510-00017 FLOW RESTRICTOR 10SLM N2 30PSI 1/4VCR-M/M SST 10RA
dr.fantom NEW - $120.00 0 Dec/26/13 Feb/12/15
Description: Applied Materials 0240-52734 KIT H2 ON INDICATOR PER PEOCESS PLATTER HELIOS 4
svcstore Used - $67.99 0 Dec/25/13 Dec/28/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Dec/25/13 Dec/28/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $9.99 0 Dec/25/13 Dec/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
sparepartssolution NEW - $59.99 0 Dec/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-20521 SPACER, INSULATOR LIFTER NEW
ischoi3 Used - $420.00 0 Dec/25/13 Jan/24/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
yayais2012 NEW - $85.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $95.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $65.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $110.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $210.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
yayais2012 NEW - $27.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
liquidationbazar NEW - $999.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
trees_for_a_better_tomorrow NEW - $597.50 1 Dec/24/13 Feb/22/14
Description: ★ New AMAT Applied Materials 0100-35232 Match Detector 13.56 MHz RF ★
athomemarket NEW - $526.99 0 Dec/24/13 Jan/23/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
onlinesmt Used - $375.00 0 Dec/24/13 Jan/23/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
ultra_clean_warehouse NEW - $2,000.00 2 Dec/24/13 Dec/01/14
Description: APPLIED MATERIALS 0090-00324 12 MFC SERIPLEX W/MEI CONTROL - NEW
dr.fantom Used - $150.00 0 Dec/24/13 Feb/12/15
Description: Applied Materials 0190-22157 Rev 02
supertechshop Used - $499.00 0 Dec/24/13 Jan/23/14
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 Dec/24/13 Jan/23/14
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $199.00 0 Dec/24/13 Jan/23/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Dec/24/13 Jan/23/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Dec/24/13 Jan/23/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
mercury_man NEW - $89.99 0 Dec/23/13 Dec/30/13
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
supertechshop NEW - $299.00 0 Dec/23/13 Jan/22/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 Dec/23/13 Jan/22/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $169.00 0 Dec/23/13 Jan/22/14
Description: AMAT AKT High Voltage HV-Supply Interface 0101-57025 Board Applied Materials
svcstore Used - $249.99 0 Dec/23/13 Dec/26/13
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
esoteric_specialties Used - $950.00 0 Dec/23/13 Jan/22/14
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
wideerp01 Used - $1,400.00 0 Dec/23/13 Dec/30/13
Description: Applied Materials Digital Hybrid RF Match,MXP+,ETCH 0010-12090
athomemarket NEW - $114.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $71.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $206.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
bobsgoodies Used - $259.00 0 Dec/23/13 Jun/17/14
Description: AMAT D8TMI100 Emissivity Sensor and Ceramic Mount Applied Materials 0090-35778
svcstore Used - $249.99 0 Dec/26/13 Dec/29/13
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
visionsemi NEW - $850.00 0 Dec/27/13 Feb/25/14
Description: APPLIED MATERIALS AMAT 0040-94904 HT SWLL COOLDOWN PEDESTAL, BLANK
visionsemi Used - $25.00 0 Dec/27/13 Feb/25/14
Description: AMAT APPLIED MATERIALS 0150-00319 CABLE ASSY
semipart NEW - $75.00 7 Dec/27/13 Jan/03/14
Description: New Applied Materials 0190-30355 LIGHT PEN,
visionsemi NEW - $175.00 0 Dec/27/13 Feb/25/14
Description: Applied Materials 0200-10147 Quartz Insert 88 Hole GDP
visionsemi NEW - $75.00 0 Dec/27/13 Feb/25/14
Description: APPLIED MATERIALS AMAT GENERATOR CABLE 0150-09593
visionsemi NEW - $975.00 0 Dec/27/13 Feb/25/14
Description: AMAT APPLIED MATERIALS 0200-20055 INSULATOR QUARTZ 8" PRE-CLEAN W/ ANTENAE PCII
visionsemi NEW - $14.00 0 Dec/27/13 Feb/25/14
Description: AMAT APPLIED MATERIALS 0020-20117 CONDUCTOR FLEX DC BIAS
visionsemi NEW - $90.00 0 Dec/27/13 Feb/25/14
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
athomemarket Used - $5,551.99 0 Dec/27/13 Jan/26/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
visionsemi Used - $399.99 0 Dec/27/13 Feb/25/14
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi Used - $75.00 0 Dec/27/13 Feb/25/14
Description: VERIFLO 944AOPLPNCSFSFF DIAPHRAGM VALVE 42600719 APPLIED MATERIALS 3870-01306
athomemarket Used - $187.99 0 Dec/27/13 Jan/26/14
Description: MKS/dIP/AMAT Control CDN391R Applied Materials 0190-24115 DeviceNet PCB Board
visionsemi NEW - $90.00 0 Dec/27/13 Mar/18/16
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
athomemarket Used - $187.99 0 Dec/27/13 Jan/26/14
Description: MKS/dIP/AMAT Control CDN396R Applied Materials 0190-27708 DeviceNet PCB Board
athomemarket NEW - $146.99 0 Dec/27/13 Jan/26/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
visionsemi Used - $1,500.00 1 Dec/27/13 Jan/17/14
Description: AMAT APPLIED MATERIALS 0040-82421 CERAMIC HEATER
semipart NEW - $275.00 0 Dec/27/13 Jan/26/14
Description: Applied Materials 0200-20215 HOUSING, DOUBLE RF CONNECTOR, VECTRA IMP AMAT
visionsemi NEW - $20.00 0 Dec/27/13 Nov/17/15
Description: APPLIED MATERIALS AMAT 3480-00279 ALCATEL BRACKET
visionsemi NEW - $19.00 0 Dec/27/13 Mar/18/16
Description: APPLIED MATERIALS AMAT 0020-25917 ISOLATOR DC BIAS SHUTTER COMP REV A
visionsemi NEW - $600.00 1 Dec/27/13 Jun/23/15
Description: AMAT APPLIED MATERIALS 0200-02124 E-MAX QUARTZ COVER RING 200MM
visionsemi Used - $20.00 0 Dec/27/13 May/26/17
Description: APPLIED MATERIALS AMAT 3400-01141 HOSE FLEX PVC WIRE 4'
visionsemi NEW - $50.00 0 Dec/27/13 Mar/18/16
Description: APPLIED MATERIALS AMAT 0150-76190 CABLE VIDEO ASSY
semipart Used - $300.00 0 Dec/28/13 Jan/27/14
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. G
athomemarket NEW - $5,954.99 0 Dec/28/13 Jan/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,836.99 0 Dec/28/13 Jan/27/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Dec/28/13 Jan/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Dec/28/13 Jan/27/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket Used - $401.99 0 Dec/28/13 Jan/27/14
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
svcstore Used - $9.99 0 Dec/28/13 Dec/31/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 NEW - $45.00 0 Dec/28/13 Jan/27/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $30.00 0 Dec/28/13 Jan/27/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 Used - $45.00 0 Dec/28/13 Jan/27/14
Description: applied materials 0021-89703 rev 002 119423-237
yayais2012 Used - $1,100.00 0 Dec/28/13 Jan/27/14
Description: APPLIED MATERIALS 0041-06180 REV 004 300520 0311 MFC10D31967
visionsemi NEW - $300.00 0 Dec/28/13 Mar/18/16
Description: AMAT APPLIED MATERIALS 0200-20059 INSULATOR QZ 5" SMRMF PCII
svcstore Used - $67.99 0 Dec/28/13 Dec/31/13
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Dec/28/13 Dec/31/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
dr.fantom NEW - $100.00 0 Dec/29/13 Feb/12/15
Description: 2x Applied Materials 3300-02185 FTG TBG EL 90DEG NW25KF 1"0D X 1.97" RAD SST304
dr.fantom NEW - $100.00 0 Dec/29/13 Feb/12/15
Description: Applied Materials 0090-02392 Assy Interlock Key Switch
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 0910-01126 FUSE FAST ACTING 6.3A 250V 5x20mm GLASS
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 0910-01070 FUSE NORM-BLO 6A 250V 1/4x1-1/4 LONG GLS
mghaines NEW - $12.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 0910-01135 FUSE SLO BLO 1A 250V 1/4x1-1/4 LONG
mghaines NEW - $12.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 0910-01136 FUSE SLO BLO 5A 250V 1/4x1-1/4 LONG
mghaines NEW - $40.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 3700-01288
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 0910-01129 fuse fast ACTING 2A 250V 5x20mm GLS
mghaines NEW - $42.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 0910-01109
mghaines NEW - $40.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02324 AMAT QTY 5
mghaines NEW - $40.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01870 AMAT QTY 20
mghaines NEW - $100.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01969 AMAT QTY 50
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-03007 AMAT QTY 25
mghaines NEW - $200.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01899 AMAT QTY 72
mghaines NEW - $300.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-03579 AMAT QTY 50
mghaines NEW - $200.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01892 AMAT QTY 53
mghaines NEW - $200.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01983 AMAT
mghaines NEW - $30.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01869 QTY 4
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01935
mghaines NEW - $80.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01906
mghaines NEW - $30.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02976
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02126
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02248
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01903
mghaines NEW - $400.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 0190-02061
mghaines NEW - $160.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 4690-00100
mghaines NEW - $75.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02271
mghaines NEW - $150.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-03110
mghaines NEW - $100.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02979
mghaines NEW - $45.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-03061
mghaines NEW - $100.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01900
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01900
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02507
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02123
mghaines NEW - $150.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01923
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01885
mghaines NEW - $40.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02949
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-02157
mghaines NEW - $30.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01914
mghaines NEW - $200.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-04668
mghaines NEW - $20.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01862
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 0910-01115 FUSE FAST ACTING 300V 15A
mghaines NEW - $25.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE
mghaines NEW - $30.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3700-01088 SEAL CTR RING ASSY NW50 W/VITON ORING SST304
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3700-01089 SEAL CTR RING ASSY NW25 W/VITON ORING SST
mghaines NEW - $20.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3700-01087 SEAL CTR RING ASSY NW40 W/VITON ORING SST
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE AL
mghaines NEW - $100.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3700-02744 ORING ID 12.984 CSD .139 VITON 75DURO BRN UHP
mghaines NEW - $3.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3880-01338
mghaines NEW - $10.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3880-01780 WSHR FLAT #3/4 1.505OD x .890ID x .062T
mghaines NEW - $12.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 0910-01073 FUSE HLDR BODY HI CURRENT SHOCKPROOF PANEL MTG
mghaines NEW - $20.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3880-01008 WSHR FLAT #10 .4380D x .219ID x .049THK SST
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 3690-01953 SCR CAP SKT HD 5/16-18X2.5L HEX SKT SST SLVR-PLT
mghaines NEW - $12.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 3880-01024 WSHR LKG SPLIT #8 .2930D X .174ID X .040THK SST
mghaines NEW - $10.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 3880-01025 WSHR LKG SPLIT #10 .3340D X .200ID X .047
mghaines NEW - $30.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 3690-01952 SCR CAP SKT HD 6-32 X 3/8L HEX SKT SST SLVR-PLT
mghaines NEW - $50.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 3500-01014 NUT HEX FULL 5/16-18 SST PSVT
mghaines NEW - $30.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 3880-01027 WSHRLKG SPLIT #5/16 .125W X .078THK SST
mghaines NEW - $70.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 0910-01077 FUSE DUAL TIME DELAY 15A 250V CERAMIC
mghaines NEW - $60.00 0 Dec/29/13 Jan/08/14
Description: Applied Materials 0910-01107 FUSE FAST ACTING 1/4A GL BODY 250V
athomemarket NEW - $5,853.99 0 Dec/29/13 Jan/28/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Dec/29/13 Jan/28/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $5,046.99 0 Dec/29/13 Jan/28/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
jackiclements2012 Refurbished - $10.50 1 Dec/29/13 Jan/08/14
Description: AMAT Applied Materials 0040-09723 Chamber - Excellent Condition, CHAMBER = CLEAN
svcstore Used - $249.99 0 Dec/29/13 Jan/01/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
yayais2012 NEW - $95.00 0 Dec/30/13 Jan/29/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
athomemarket Used - $2,362.99 0 Dec/30/13 Jan/29/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
used1eqsales Used - $1,512.13 0 Dec/30/13 Aug/16/16
Description: AMAT Applied Materials Gripper Claw 300mm 0040-84444 0040-80144 0040-80146
athomemarket Scrap, for parts - $3,532.99 0 Dec/30/13 Jan/29/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $199.99 0 Dec/30/13 Jan/29/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $425.99 0 Dec/30/13 Jan/29/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $5,550.99 0 Dec/30/13 Jan/29/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
used1eqsales Used - $1,512.13 0 Dec/30/13 May/26/17
Description: AMAT Applied Materials Gripper Claw 300mm 0040-84443 0040-80142 0040-03857
visionsemi NEW - $200.00 2 Dec/30/13 Feb/29/16
Description: APPLIED MATERIALS AMAT 0040-77207 PAD CONDITIONER DISK CARRIER 2
visionsemi Used - $355.00 0 Dec/30/13 May/26/17
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
visionsemi NEW - $3,500.00 0 Dec/30/13 Jun/17/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
visionsemi NEW - $400.00 0 Dec/30/13 Jun/17/16
Description: AMAT APPLIED MATERIALS 0020-28160 CLAMP RING 8"
visionsemi NEW - $100.00 0 Dec/30/13 Jun/17/16
Description: APPLIED MATERIALS AMAT 1270-00485 WASCO PRESSURE SWITCH P400V-25W3B-X/9671
mercury_man NEW - $85.99 0 Dec/30/13 Jan/06/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
usedeqsales Used - $612.13 1 Dec/31/13 Dec/31/13
Description: AMAT Applied Materials 0100-20100 Analog I/O PCB Used Working
visionsemi Used - $1,500.00 0 Jan/02/14 Mar/04/14
Description: AMAT APPLIED MATERIALS 0040-82421 CERAMIC HEATER
used1eqsales Used - $812.13 1 Dec/31/13 Oct/29/15
Description: AMAT Applied Materials Endura RF Rack Power Supply Chassis 0100-20051 working
visionsemi Used - $55.00 1 Jan/02/14 Jan/03/14
Description: 0620-01044 APPLIED MATERIALS AMAT GRANVILLE PHILIPS CONVECTRON GAUGE CABLE
visionsemi NEW - $499.00 1 Jan/02/14 May/16/14
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi Used - $175.01 0 Jan/02/14 May/26/17
Description: Applied Materials 0020-23035 Cam Inboard Lot of 10 AMAT
visionsemi NEW - $30.00 0 Jan/02/14 Jun/25/15
Description: AMAT APPLIED MATERIALS 0020-20522 INSULATOR LIFTER WASHER
visionsemi NEW - $15.00 2 Jan/02/14 Apr/08/15
Description: APPLIED MATERIALS AMAT 0020-09617 BUSHING, REAR
visionsemi NEW - $45.00 0 Jan/02/14 Nov/23/15
Description: Applied Materials AMAT 3710-01095 Shaft HSG Roller Assy
visionsemi Refurbished - $150.00 0 Jan/02/14 Jun/20/16
Description: UE PRECISION Pressure Switch 0090-01357 EA100D-28 APPLIED MATERIALS AMAT
visionsemi NEW - $20.00 0 Jan/02/14 Jun/20/16
Description: APPLIED MATERIALS AMAT 0020-09446 GASKET MAG-AC
visionsemi NEW - $125.00 0 Jan/02/14 Jun/20/16
Description: APPLIED MATERIALS AMAT 0150-09880 CABLE ESC CONTROLLER CH. D
visionsemi NEW - $879.00 0 Jan/02/14 Jul/31/14
Description: AMAT APPLIED MATERIALS CALIBRATION TOOL KIT 0240-76382 CENTURA HP ROBOT
athomemarket Used - $412.99 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $415.99 0 Jan/02/14 Feb/01/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/02/14 Jan/09/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow Used - $225.00 0 Jan/02/14 Jan/09/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/02/14 Jan/09/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow Used - $95.00 0 Jan/02/14 Jan/09/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/02/14 Jan/09/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow Used - $750.00 0 Jan/02/14 Jan/09/14
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $100.00 0 Jan/02/14 Jan/09/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $350.00 0 Jan/02/14 Jan/09/14
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $50.00 0 Jan/02/14 Jan/09/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/14 Jan/09/14
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/02/14 Jan/09/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $350.00 0 Jan/02/14 Jan/09/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $75.00 0 Jan/02/14 Jan/09/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/02/14 Jan/09/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/02/14 Jan/09/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
athomemarket Used - $2,544.99 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $2,271.99 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $2,726.99 0 Jan/02/14 Feb/01/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Scrap, for parts - $1,455.99 0 Jan/02/14 Feb/01/14
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
trees_for_a_better_tomorrow Used - $100.00 0 Jan/02/14 Jan/09/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow NEW - $250.00 0 Jan/02/14 Jan/08/14
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
bjnaf4 NEW - $875.60 0 Jan/02/14 Feb/01/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
industrial_loot Used - $78.00 0 Jan/02/14 Feb/01/14
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
visionsemi NEW - $850.00 0 Jan/02/14 Jan/12/15
Description: AMAT APPLIED MATERIALS 300MM BLOCKER PLATE 0021-19312
visionsemi NEW - $64.99 1 Jan/02/14 Apr/09/15
Description: APPLIED MATERIALS AMAT 8" LIFT TO ROBOT CAL PLATE 0270-70048
visionsemi NEW - $20.00 0 Jan/02/14 Jun/20/16
Description: APPLIED MATERIALS AMAT 0021-35065 BRACKET FUJIKIN VALVE, SLD
visionsemi NEW - $249.99 1 Jan/02/14 Sep/23/14
Description: APPLIED MATERIALS AMAT TOOL ZERO POSITION 0270-20080
visionsemi NEW - $2,750.00 0 Jan/02/14 Apr/09/14
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
supertechshop Used - $49.95 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
supertechshop Used - $299.00 0 Jan/02/14 Feb/01/14
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
supertechshop Used - $199.00 0 Jan/02/14 Feb/01/14
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
visionsemi NEW - $308.00 0 Jan/02/14 Jun/20/16
Description: NEW! AMAT APPLIED MATERIALS SHIELD 8" TIW ARC SPRAY 0020-25893
visionsemi Used - $321.00 0 Jan/02/14 Aug/19/15
Description: AMAT 0020-05384 BESC Shutter Disk Applied Materials
supertechshop NEW - $399.00 0 Jan/02/14 Feb/01/14
Description: NEW AMAT Nor-Cal 3870-06775 Pneumatic 3.5" Gate Valve Applied Materials
visionsemi NEW - $19.00 0 Jan/02/14 Jun/20/16
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
svcstore NEW - $499.99 0 Jan/01/14 Jan/04/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $249.99 0 Jan/01/14 Jan/04/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
athomemarket NEW - $341.99 0 Jan/01/14 Jan/31/14
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $893.99 0 Jan/01/14 Jan/31/14
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
yayais2012 NEW - $125.00 0 Jan/01/14 Jan/31/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $180.00 0 Jan/01/14 Jan/31/14
Description: Applied Materials 3870-01331 Nor-Cal NW 50 In-Line Pneumatic Valve
yayais2012 NEW - $78.00 0 Jan/01/14 Jan/31/14
Description: APPLIED MATERIALS CABLE ASSY 0150-03048
yayais2012 Used - $450.00 0 Jan/01/14 Jan/31/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $220.00 0 Jan/01/14 Jan/31/14
Description: APPLIED MATERIALS 0040-62981 KSM 099-9242
svcstore Used - $67.99 0 Dec/31/13 Jan/03/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $9.99 0 Dec/31/13 Jan/03/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 NEW - $15.00 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $110.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $3,200.00 0 Jan/03/14 Feb/02/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $320.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Scrap, for parts - $250.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $850.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $350.00 0 Jan/03/14 Feb/02/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Used - $220.00 0 Jan/03/14 Feb/02/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $250.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $350.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $75.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 Used - $89.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $78.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 Used - $250.00 0 Jan/03/14 Feb/02/14
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Used - $850.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $250.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $250.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
yayais2012 Used - $110.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $250.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
excess-solutions NEW - $250.00 8 Jan/03/14 Jan/07/16
Description: Applied Materials DIP294 CDN294 DeviceNet I/O block 0190-36511 24digital DIP-294
usedeqsales Used - $601.14 1 Jan/03/14 Jun/22/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
usedeqsales Used - $601.14 1 Jan/03/14 Nov/14/14
Description: AMAT Applied Materials 0100-00396 Analog AI/O PCB Used Working
athomemarket NEW - $1,212.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,129.99 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,212.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Scrap, for parts - $111.99 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Refurbished - $464.99 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
semipart NEW - $30.00 0 Jan/03/14 Feb/02/14
Description: Applied Materials 0200-01798 PIN, LIFT, TXZ HEATER
athomemarket NEW - $137.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $128.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
visionsemi Used - $350.00 1 Jan/03/14 Apr/07/15
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT/OUTPUT ASSY PCB P5000
visionsemi NEW - $3,500.00 1 Jan/03/14 Apr/08/14
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
visionsemi NEW - $699.00 0 Jan/03/14 Jan/14/16
Description: AMAT APPLIED MATERIALS PRECLEAN BELL JAR 0040-13509 ZCOAT NEW
visionsemi Used - $175.00 1 Jan/03/14 May/26/17
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PRODUCER 200MM 0021-01813
visionsemi NEW - $650.00 1 Jan/03/14 Sep/02/15
Description: APPLIED MATERIALS AMAT ENDURA ORIENTER CHUCK 0020-21708 200MM
visionsemi Used - $1,000.02 1 Jan/03/14 Jan/19/15
Description: AMAT APPLIED MATERIALS 0190-35452 SCR POWER SUPPLY AMI P1161
svcstore Used - $67.99 0 Jan/03/14 Jan/06/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $9.99 0 Jan/03/14 Jan/06/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $3,331.99 0 Jan/04/14 Feb/03/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $960.99 0 Jan/04/14 Feb/03/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket Used - $408.99 0 Jan/04/14 Feb/03/14
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $91.99 0 Jan/04/14 Feb/03/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $232.99 0 Jan/04/14 Feb/03/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
svcstore NEW - $499.99 0 Jan/04/14 Jan/07/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $249.99 0 Jan/04/14 Jan/07/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
yayais2012 Used - $3,500.00 0 Jan/05/14 Feb/04/14
Description: AMAT APPLIED MATERIALS 0040-23341 REV 007 EEP-364
esprprts NEW - $50.00 1 Jan/05/14 Jan/08/14
Description: Applied Materials 3320-02241 GSKT 6" CFF OFHC COPPER - 5 new gaskets
athomemarket Refurbished - $3,906.99 0 Jan/05/14 Feb/04/14
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
surplusexiting Used - $735.00 0 Jan/06/14 Feb/05/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surplusexiting Used - $698.00 0 Jan/06/14 Feb/05/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
ptb-sales Used - $3,000.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $250.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $1,800.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $360.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $750.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $225.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
used1eqsales Used - $2,240.80 1 Jan/06/14 Jan/14/14
Description: AMAT Applied Materials Quantum Leap 2 Indexer Carousel (disassembled) 0010-98300
visionsemi Used - $30.00 0 Jan/06/14 Jan/20/17
Description: APPLIED MATERIALS 0020-34167 COVER SHIELD FRONT R2
used1eqsales Used - $900.57 1 Jan/06/14 Jul/25/14
Description: Applied Materials 0100-01109 Circuit Board Local Ground Rev B AMAT Quantum X
visionsemi NEW - $7.00 0 Jan/06/14 Jun/24/16
Description: APPLIED MATERIALS 3700-01086 SEAL NW-16-CR-SV KF 16
pete-sigep Used - $475.00 0 Jan/06/14 Feb/05/14
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
visionsemi NEW - $35.00 0 Jan/06/14 Jun/24/16
Description: AMAT APPLIED MATERIALS 0270-20037 TOOL CALIBRATION BAR
visionsemi NEW - $40.00 0 Jan/06/14 Jun/24/16
Description: APPLIED MATERIALS AMAT 0620-01144 CABLE ASSY KEY BOARD
used1eqsales Used - $801.14 0 Jan/06/14 May/26/17
Description: AMAT Applied Materials Electrode 0020-80664 0190-90758 0020-80667 working
visionsemi NEW - $35.00 0 Jan/06/14 Jun/24/16
Description: APPLIED MATERIALS AMAT SPACER THROTTLE VALVE 0020-31531
visionsemi NEW - $165.00 0 Jan/06/14 Jun/24/16
Description: APPLIED MATERIALS AMAT 0090-77082 CTE CHEM TEC EQUIPMENT 125-T-BP NC (32929-12)
athomemarket Used - $299.99 0 Jan/06/14 Jan/13/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
y.t.r2011 Used - $1,000.00 0 Jan/06/14 Dec/02/14
Description: AMAT APPLIED MATERIALS LIFT Assembly 0040-23341 0040-23375
athomemarket Refurbished - $114.99 0 Jan/06/14 Feb/05/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Jan/06/14 Feb/05/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $213.99 0 Jan/06/14 Feb/05/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
used1eqsales Used - $1,001.14 0 Jan/06/14 Jan/23/14
Description: AMAT Applied Materials Quantum Leap 2 Heat Sink Sensor 0020-87848 0020-16818
semipart NEW - $40.00 0 Jan/06/14 Feb/05/14
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
dvkelectronics Used - $6,500.00 0 Jan/06/14 Feb/05/14
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
getsurplusherenow NEW - $1,855.95 0 Jan/06/14 Feb/05/14
Description: Assy PVD Chamber Driver, Applied Materials P/N 0010-20079
dr.fantom Used - $600.00 0 Jan/07/14 Feb/12/15
Description: AMAT / Applied Materials 0190-17499 ROTOR MAG LEV WRLD THIN POST 300MM VQ
mercury_man NEW - $84.99 0 Jan/07/14 Jan/14/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
bobsgoodies NEW - $25.00 0 Jan/07/14 Jun/17/14
Description: AMAT 0021-77402 Rev P1 Pin UHV cleaned Applied Materials (Lot of 3 Pins)
visionsemi Used - $50.00 0 Jan/07/14 Jan/21/17
Description: Applied Materials AMAT 0020-97794 Spacer Blade 100-150
visionsemi Used - $484.99 1 Jan/07/14 Jul/23/14
Description: APPLIED MATERIALS 0500-01139 SCR POWER CONTROLLER CONTROL CONCEPTS 2028B-1007
athomemarket Used - $1,094.99 0 Jan/07/14 Feb/06/14
Description: Synergy Microsystems V21 Board PCB AMAT Applied Materials 0090-76110
athomemarket Used - $328.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket NEW - $265.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $236.99 0 Jan/07/14 Feb/06/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $92.99 0 Jan/07/14 Feb/06/14
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
bruce135 Used - $499.00 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket Refurbished - $196.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $216.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $518.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $216.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $196.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $196.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $103.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $497.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
hot!cardz NEW - $22,000.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0040-70407 Pedestal Assy, EQ POTEN... ESC / echuck Applied Materials
hot!cardz NEW - $18,000.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $29,000.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0010-06229 ESC / FR 200mm Notch 197.5mm OD echuck Applied Materials
hot!cardz NEW - $99,500.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials
hot!cardz NEW - $14,000.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
svcstore NEW - $499.99 0 Jan/07/14 Jan/10/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $249.99 0 Jan/07/14 Jan/10/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
getsurplusherenow NEW - $4,995.00 0 Jan/07/14 Feb/06/14
Description: NEW-ENDURA-PreClean II RF Match. 8inch/200mm Applied Materials Part# 0010-20524
ocean-tech Used - $229.99 0 Jan/08/14 Feb/07/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
neilan1987 Used - $999.99 7 Jan/13/14 May/13/14
Description: Applied Materials AMAT 0200-06355 300mm Ceramic Open Pocket Robot Blade
athomemarket NEW - $97.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $345.99 1 Jan/13/14 Feb/12/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $254.99 0 Jan/13/14 Feb/12/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket NEW - $106.99 0 Jan/13/14 Feb/12/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $4,508.99 0 Jan/13/14 Feb/12/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $214.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,256.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $144.99 0 Jan/13/14 Feb/12/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket Used - $187.99 0 Jan/13/14 Feb/12/14
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
athomemarket NEW - $305.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $278.99 0 Jan/13/14 Feb/12/14
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket Used - $187.99 0 Jan/13/14 Feb/12/14
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
athomemarket Used - $199.99 0 Jan/13/14 Jan/20/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
bobsgoodies NEW - $325.00 0 Jan/13/14 Jun/17/14
Description: Applied Materials 0010-77765 Assy, Load Cup, Pneumatic
bobsgoodies Scrap, for parts - $150.00 0 Jan/13/14 Mar/18/14
Description: Applied Materials AMAT 0021-77180 MIRRA N010-77345 Pressure Panel
bobsgoodies NEW - $899.00 0 Jan/13/14 Jun/17/14
Description: Applied Materials 0090-77096 Assy RTD Electronics AMAT
ultra_clean_warehouse NEW - $109.00 0 Jan/13/14 Feb/04/14
Description: SWING ARM LEFT BRUSH BOX CLEAN MODULE REV 05 - 0040-75779 APPLIED MATERIALS AMAT
onlinesmt NEW - $199.00 0 Jan/13/14 Feb/12/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
semipart NEW - $300.00 0 Jan/13/14 Feb/12/14
Description: Applied Materials, Half ATM Switch, 0190-21713
dr.fantom Used - $1,600.00 0 Jan/13/14 Feb/12/15
Description: Brooks Automation / Applied Materials Wet Robot PRI WTM-511-2-FWS02 0190-05055
visionsemi Used - $299.00 1 Jan/12/14 Jan/15/14
Description: APPLIED MATERIALS RF MATCH MXP+ 0010-36162 AMAT
semipart NEW - $150.00 0 Jan/12/14 Feb/11/14
Description: Applied Materials 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC AMAT Rev C
semipart NEW - $40.00 0 Jan/12/14 Jan/30/14
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
athomemarket Used - $65.99 0 Jan/12/14 Feb/11/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $188.99 0 Jan/12/14 Feb/11/14
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
athomemarket Used - $91.99 0 Jan/12/14 Feb/11/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $209.99 0 Jan/12/14 Feb/11/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $4,844.99 0 Jan/12/14 Feb/11/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
svcstore Used - $8.99 0 Jan/11/14 Jan/14/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $61.99 0 Jan/11/14 Jan/14/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
trees_for_a_better_tomorrow Used - $100.00 0 Jan/11/14 Jan/18/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow NEW - $75.00 0 Jan/11/14 Jan/18/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow Used - $750.00 0 Jan/11/14 Jan/18/14
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/11/14 Jan/18/14
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/11/14 Jan/18/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/11/14 Jan/18/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/11/14 Jan/18/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $50.00 0 Jan/11/14 Jan/18/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/11/14 Jan/18/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow Used - $225.00 0 Jan/11/14 Jan/18/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $95.00 0 Jan/11/14 Jan/18/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow Used - $350.00 0 Jan/11/14 Jan/18/14
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/11/14 Jan/18/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow Used - $350.00 0 Jan/11/14 Jan/18/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/11/14 Jan/18/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow Used - $100.00 0 Jan/11/14 Jan/18/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
athomemarket Refurbished - $2,572.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $522.99 0 Jan/11/14 Feb/10/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Used - $3,331.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Jan/11/14 Feb/10/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $165.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $111.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $4,037.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore NEW - $499.99 0 Jan/13/14 Jan/16/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $500.00 0 Jan/14/14 Feb/13/14
Description: AMAT APPLIED MATERIALS 0870-00036 DRIVE 5-PHASE STEPPER MOTOR
semipart NEW - $300.00 0 Jan/14/14 Feb/13/14
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Proteus 9100B24P16, 2 available
mercury_man NEW - $83.00 0 Jan/14/14 Jan/21/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
tripledirect Used - $7,100.00 0 Jan/14/14 Feb/13/14
Description: Applied Materials Magnet Model # 0010-21810
capitolareatech NEW - $8.29 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-17450 BLOCK, PRESSURE GAUGE, ANNL CHMBR 300MM AMA
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0190-04178 flanged bearing
capitolareatech NEW - $4.27 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0190-02043 bearing; specs: .1875 OD X .055 BORE X .0
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0150-20195 CABLE ASSY, SBC SERIAL PORTS:4-7 INTERCO
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0150-20194 CABLE ASSY,SBC SERIAL PORT 0-3 INTERCONN
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0150-10002 Cable, Jumper, J33
capitolareatech NEW - $4.27 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-21470 RESTRAINT PIN, CERAMIC RINGS, HESC
capitolareatech NEW - $39.85 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0140-09483 Harness Assembly, Ampule Heater Power
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0140-09331 Harness Heater Power, 7 Amp L.S. ENDCL
capitolareatech NEW - $3.87 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0190-13362 BRG,BALL,.2500D X. 0781IDX. 1250W W/BRAY
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-06325 actuator bracket, clamp ring switch, 300mm
capitolareatech NEW - $6.37 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-37623 Pipe Clamp
capitolareatech NEW - $1.29 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-33318 COLLAR,HINGE,R2
capitolareatech NEW - $4.78 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-37563 Clamp, Top, WF6/SIH4
onlinesmt NEW - $950.00 0 Jan/14/14 Feb/13/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
capitolareatech NEW - $4.52 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-04166 Screen Plate, Aluminum
capitolareatech NEW - $375.00 0 Jan/14/14 Aug/25/14
Description: Applied Materials 0100-09146 PCB, wPCBA CENTERFINDER 5,6,8
onlinesmt NEW - $249.00 0 Jan/14/14 Feb/13/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Jan/14/14 Feb/13/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
capitolareatech NEW - $103.59 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0270-20333 Tool, 300mm Shock, Comrpression
capitolareatech NEW - $47.81 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0270-09225 Tool, Scraper
capitolareatech NEW - $4.31 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-28744 Plate, Cover
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3870-03250 SPN400B Needle Valve, 1/4FNPT X 1, 2000PSI,
capitolareatech NEW - $39.85 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3870-00057 45700048 Valve, PNEU Diaphragm, 2-Way, 125PS
capitolareatech NEW - $95.63 2 Jan/14/14 Jul/15/14
Description: Applied Materials (AMAT) 3870-01294 SS-BN8FR8-0 Valve, SS High Purity Bellow Sea
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3780-01107 SPR EXT 1/2 FL .093OD PKG 14
capitolareatech NEW - $47.81 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3800-00068 12-1B11AAEE-011 Manual Valve, Pressure: 0-30
capitolareatech NEW - $17.53 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3690-03399 SCR CAP FLT HD M3X6MML SLOT SST SLVR-PLT PK
capitolareatech NEW - $23.91 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0140-09337 Harness Overfill Inter Locks
capitolareatech NEW - $175.31 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-03268 BLANKING PLATE
capitolareatech Used - $111.57 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0150-06583 RF Cable 50 feet, Alpha Wire-J P/N217/U Typ
capitolareatech NEW - $15.11 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-01040 hinge, body, left, 300mm, producer
capitolareatech NEW - $39.85 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0140-09305 Harness Assembly, Ampule, Thermo-Electric
capitolareatech NEW - $7.97 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-45314 Block, WID Reader Head
capitolareatech NEW - $1.28 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-03072 Cap, Mercury Switch
capitolareatech NEW - $4.78 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-04019 Ring,Top Pedestal 150mm OX Gas Cooled
capitolareatech NEW - $4.78 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-04190 Switch Clamp
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-47233 Standoff, PVD Ground
capitolareatech NEW - $39.85 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-62155 Bracket, Counterwieght Support Sealed Gearb
capitolareatech NEW - $87.65 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0270-35026 Fixture, Simple Cathode, Pedestal Base Asse
capitolareatech NEW - $11.15 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-09412 seal bellows sleeve
visionsemi Refurbished - $500.00 1 Jan/14/14 Jun/19/14
Description: AMAT APPLIED MATERIALS 0200-01041 COVER RING 8" AL ARC-SPRAY 200mm
visionsemi Used - $189.00 0 Jan/14/14 Jan/28/17
Description: AMAT APPLIED MATERIALS FACEPLATE TEOS PROD 200MM 0021-01813
visionsemi NEW - $345.00 0 Jan/14/14 Jun/02/16
Description: AMAT APPLIED MATERIALS FUJIKIN VALVE ASSEMBLY 0010-10891 ANXID001
athomemarket Refurbished - $218.99 0 Jan/14/14 Feb/13/14
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
athomemarket NEW - $115.99 0 Jan/14/14 Feb/13/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket Used - $270.99 0 Jan/14/14 Jan/21/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
svcstore Used - $61.99 0 Jan/14/14 Jan/17/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore Used - $8.99 0 Jan/15/14 Jan/18/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $249.99 0 Jan/14/14 Jan/17/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $249.99 0 Jan/17/14 Jan/20/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $61.99 0 Jan/17/14 Jan/20/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
yayais2012 NEW - $170.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0240-52734 KIT, H2 ON INDICATOR PER PROCESS PLATTER, HELIOS
yayais2012 NEW - $110.00 0 Jan/17/14 Feb/16/14
Description: Applied Materials 0050-53004 WELDMENT, CONVECTRON ADAPTER, NW50 W/1/4'' VCR ADA
yayais2012 NEW - $95.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-15386 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-A IH1000
yayais2012 Used - $220.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 NEW - $75.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 NEW - $220.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS ASSY RIM PRESSURE VALVE/S 0010-47135
yayais2012 Used - $780.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $240.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
yayais2012 NEW - $250.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0190-23270 OHT HOKUYO DMS-HB1-Z28, E84 VARIABLE SENSOR
yayais2012 NEW - $220.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-27540 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH
yayais2012 Used - $200.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 FW: 1.201 / HW: 02
yayais2012 NEW - $110.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
yayais2012 NEW - $150.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-22912 CABLE ASSY SCRUBBER RUNNING SICONI 300M
yayais2012 NEW - $110.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-15387 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH-B IH1000
yayais2012 NEW - $150.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-15385 CABLE ASSY HELIOS-4 INLET1 TO FACILITY N2 PRESS S
visionsemi Used - $1,500.00 1 Jan/17/14 May/01/14
Description: AMAT APPLIED MATERIALS 0040-49020 CERAMIC HEATER
semipart NEW - $350.00 0 Jan/17/14 Feb/16/14
Description: AMAT APPLIED MATERIALS 3870-01213 VALVE NEW
wideerp01 Used - $299.99 0 Jan/17/14 Apr/09/15
Description: Applied Materials D I/O Board 0100-20003 REV F
wideerp01 Used - $199.99 0 Jan/17/14 Apr/09/15
Description: Applied Materials D I/O Board 0100-20003 REVD
athomemarket NEW - $128.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $119.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
supertechshop NEW - $995.00 0 Jan/17/14 Feb/16/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Jan/17/14 Feb/16/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
athomemarket NEW - $918.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $88.99 0 Jan/17/14 Feb/16/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $618.99 0 Jan/17/14 Feb/16/14
Description: NEW AMAT 0040-07593 200mm Coated Heatsink Insert QUNTM/QUAD 8" Applied Materials
athomemarket Refurbished - $569.99 0 Jan/17/14 Feb/16/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket Used - $1,229.99 0 Jan/17/14 Feb/16/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $301.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
supertechshop NEW - $99.00 0 Jan/17/14 Feb/16/14
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop NEW - $399.00 0 Jan/17/14 Feb/16/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Jan/17/14 Feb/16/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
athomemarket NEW - $90.99 0 Jan/17/14 Jan/24/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
adam_tech3 Used - $825.00 1 Jan/17/14 Aug/14/14
Description: AMAT Applied Materials 0010-09750 RF Match
svcstore NEW - $499.99 0 Jan/16/14 Jan/19/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $113.99 0 Jan/16/14 Feb/15/14
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $316.99 0 Jan/16/14 Feb/15/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
wideerp01 Used - $2,500.00 1 Jan/16/14 Apr/24/14
Description: Applied Materials P5000 MC Robot 0010-30012
wideerp01 Used - $499.99 0 Jan/16/14 Feb/15/14
Description: Applied Materials Center Finder SBC Board 0090-35723
used1eqsales Used - $1,501.14 1 Jan/16/14 Mar/14/15
Description: AMAT Applied Materials 0110-00077 Circuit Board 0100-00003 working
used1eqsales Used - $705.15 0 Jan/16/14 May/26/17
Description: AMAT Applied Materials 0110-20458 Circuit Board 0100-20458 0130-20458 0100-00210
bobsgoodies Used - $950.00 0 Jan/16/14 Jun/17/14
Description: AMAT Applied Materials 0090-91917 Power Supply Advance HiVolt OL400/602/19
ptb-sales Used - $250.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $360.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $750.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $225.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $3,000.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
supertechshop Used - $149.00 0 Jan/16/14 Feb/15/14
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 Jan/16/14 Feb/15/14
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop NEW - $299.00 0 Jan/16/14 Feb/15/14
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $99.99 0 Jan/16/14 Feb/15/14
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Jan/16/14 Feb/15/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $99.00 0 Jan/16/14 Feb/15/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Jan/16/14 Feb/15/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop Used - $99.99 0 Jan/16/14 Feb/15/14
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $1,250.00 0 Jan/18/14 Feb/17/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
athomemarket NEW - $1,716.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,817.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $502.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $88.99 0 Jan/18/14 Feb/17/14
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
svcstore Used - $8.99 0 Jan/18/14 Jan/21/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
turkeymakesmetired-2008 NEW - $1,499.99 1 Jan/19/14 Mar/19/14
Description: AMAT Applied Materials 0010-09019 Non-Heated Throttle Valve Assembly New
turkeymakesmetired-2008 NEW - $1,124.99 1 Jan/19/14 Feb/19/14
Description: AMAT Applied Materials 0200-18073 Tube Saphire 831-043-00000 Sheath RPSC HDP-CVD
athomemarket NEW - $1,091.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $241.99 0 Jan/19/14 Feb/18/14
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket NEW - $300.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $300.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $1,091.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $75.99 0 Jan/19/14 Feb/18/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket Used - $129.99 0 Jan/19/14 Feb/18/14
Description: AMAT/Applied Materials 0103-65042 Digital Inkjet 60K Board PCB Assembly AKT
athomemarket NEW - $315.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $4,037.99 0 Jan/19/14 Feb/18/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $114.99 0 Jan/19/14 Feb/18/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $516.99 0 Jan/19/14 Feb/18/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket NEW - $191.99 0 Jan/19/14 Feb/18/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
athomemarket NEW - $206.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/19/14 Jan/26/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/19/14 Jan/26/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow Used - $95.00 0 Jan/19/14 Jan/26/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/19/14 Jan/26/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $75.00 0 Jan/19/14 Jan/26/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow Used - $225.00 0 Jan/19/14 Jan/26/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/19/14 Jan/26/14
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow Used - $750.00 0 Jan/19/14 Jan/26/14
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/19/14 Jan/26/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow Used - $50.00 0 Jan/19/14 Jan/26/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/19/14 Jan/26/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow Used - $100.00 0 Jan/19/14 Jan/26/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $350.00 0 Jan/19/14 Jan/26/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $125.00 0 Jan/19/14 Jan/26/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow Used - $350.00 0 Jan/19/14 Jan/26/14
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $100.00 0 Jan/19/14 Jan/26/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/19/14 Jan/26/14
Description: ★ New AMAT Applied Materials 0100-35232 Match Detector 13.56 MHz RF ★
svcstore NEW - $499.99 0 Jan/19/14 Jan/22/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $1,600.00 0 Jan/20/14 Feb/19/14
Description: AMAT APPLIED MATERIALS 0010-20133 BLADE ASSY 8" Transfer, New
athomemarket Used - $199.99 0 Jan/20/14 Feb/19/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,742.99 0 Jan/20/14 Feb/19/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
visionsemi Used - $575.00 0 Jan/20/14 Feb/19/14
Description: APPLIED MATERIALS AMAT ENDPOINT DETECTOR 0190-10025 VERITY INSTR. 483NM 390NM
visionsemi Used - $395.00 1 Jan/20/14 Jan/20/14
Description: APPLIED MATERIALS 0010-37466 AUTO STD DC BIAS MATCH AMAT
athomemarket Used - $61.99 0 Jan/20/14 Feb/19/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
supertechshop Used - $499.00 0 Jan/20/14 Feb/19/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $950.00 0 Jan/20/14 Feb/19/14
Description: Applied Materials 0130-11001 Analog Output Board P5000 PCB 0100-11001 / Warranty
supertechshop NEW - $299.00 0 Jan/20/14 Feb/19/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $99.99 0 Jan/20/14 Feb/19/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $399.00 0 Jan/20/14 Feb/19/14
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
jabedow NEW - $500.00 1 Jan/20/14 Jan/27/14
Description: AMAT APPLIED MATERIALS 0100-20100 ANALOG I/O PCB NEW OUT OF BOX
svcstore Used - $249.99 0 Jan/21/14 Jan/24/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $61.99 0 Jan/21/14 Jan/24/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
epicrew01 Used - $180.00 0 Jan/21/14 Oct/06/21
Description: Applied Materials 0140-76170 H/A CENTURA SYS ELEC CONTR DIST AMAT,#40-1
epicrew01 NEW - $1,999.00 1 Jan/21/14 Feb/17/14
Description: AMAT APPLIED MATERIALS 0010-13267 LINKAGE, RIGHT HAND, HP UPGRADE,#61-2
epicrew01 NEW - $1,999.00 1 Jan/21/14 Feb/17/14
Description: AMAT APPLIED MATERIALS 0010-13266 LINKAGE, LEFT HAND, HP UPGRADE,#61-1
epicrew01 Used - $2,999.00 1 Jan/21/14 Jun/22/14
Description: AMAT APPLIED MATERIALS 0010-13242 ASSEMBLY,EXTENDED REACH WRIST,#60-1
sparepartssolution NEW - $18,000.00 0 Jan/21/14 Apr/20/15
Description: (19) AMAT APPLIED MATERIALS 0010-05995 ASSY ESC RF 200MM FLAT EMAX BRAND NEW
mercury_man NEW - $82.99 0 Jan/21/14 Jan/28/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
visionsemi NEW - $39.99 0 Jan/21/14 Feb/20/14
Description: ROBERTSHAW BC10-L2S THERMOCOUPLE AMAT APPLIED MATERIALS 1350-50000
mayraytan NEW - $18.50 5 Jan/21/14 Jun/28/17
Description: Applied Materials AMAT NHBB Ball Bearing, SSRI-1812ZZR, 3060-01204
visionsemi NEW - $64.99 0 Jan/21/14 Feb/20/14
Description: APPLIED MATERIALS AMAT TOOL CAL 101 LIFT TO ROBOT 0270-20152
wideerp01 NEW - $299.99 0 Jan/21/14 Nov/11/15
Description: Applied Materials Mounting Plate,G4 Holder Electrode 0040-88469 Rev B
visionsemi Used - $450.00 0 Jan/21/14 Feb/20/14
Description: Applied Materials Stepper Driver PCB 0100-00003 AMAT
usedeqsales Used - $401.14 3 Jan/21/14 Mar/03/15
Description: AMAT Applied Materials 450219696 Deionizer DI Tank Container 3250-01007 Used
visionsemi NEW - $64.99 0 Jan/21/14 Feb/20/14
Description: APPLIED MATERIALS AMAT 8" PRCLN/CL LFT/RBT CAL PLATE 0270-76103
bobsgoodies NEW - $425.00 0 Jan/21/14 Jun/17/14
Description: Applied Materials AMAT 0190-21323 Thermocouple Assembly Marchi SDSM-1096
athomemarket Used - $180.99 0 Jan/21/14 Jan/28/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $1,199.99 0 Jan/21/14 Jan/28/14
Description: AMAT Applied Materials 0090-02799 Producer SE Chamber Controller+MKS/dIP Cards
athomemarket Used - $6,862.99 0 Jan/21/14 Feb/20/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $394.99 0 Jan/21/14 Feb/20/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $6,570.99 0 Jan/21/14 Feb/20/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
svcstore Used - $8.99 0 Jan/21/14 Jan/24/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
bobsgoodies NEW - $325.00 0 Jan/22/14 Jun/17/14
Description: Applied Materials 1310-00017 TC Assy Overtemp Dual Circuit For 300MM AMAT
dr.fantom Scrap, for parts - $400.00 0 Jan/22/14 Feb/12/15
Description: Applied Materials 0021-16781 Shield Upper 300MM
dr.fantom NEW - $80.00 0 Jan/22/14 Feb/12/15
Description: Applied Materials 0910-01346 Fusevery Fast Acting 600V 150A JJS 4Qty
yayais2012 NEW - $45.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 Used - $450.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 NEW - $48.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 NEW - $120.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 NEW - $55.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 NEW - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $95.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 Used - $150.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-1627-06
yayais2012 NEW - $140.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $180.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $300.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3657-11
yayais2012 NEW - $120.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $78.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
yayais2012 Used - $150.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3607-05
yayais2012 Used - $300.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $75.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $90.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0190-10208 DIP-CDN180-12, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 NEW - $85.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 Used - $45.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $120.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $200.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $250.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0190-10208 CARD, INTERFACE DNET, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 Used - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $350.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $35.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $75.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-12497 REV 002
yayais2012 Used - $140.00 0 Jan/22/14 Feb/21/14
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 NEW - $45.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
yayais2012 Used - $180.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
bobsgoodies NEW - $100.00 0 Jan/22/14 Jun/17/14
Description: Applied Materials 0150-01518 Cable Assembly AMAT Chamber D Interlocks
capitolareatech NEW - $5.63 0 Jan/22/14 Sep/19/14
Description: APPLIED MATERIALS (AMAT) 3320-02242 G-133 Gasket 1.33 CFF OFHC Copper, Pack of 4
capitolareatech NEW - $4.50 0 Jan/22/14 Sep/19/14
Description: APPLIED MATERIALS (AMAT) 3320-02242 G-133 Gasket 1.33 CFF OFHC Copper, Pack of 5
logansemi Used - $299.00 0 Jan/22/14 Jan/29/14
Description: AMAT Applied Materials, Heater, PIP111, Grounded, Roof Top, 0190-40182
athomemarket Used - $299.99 0 Jan/22/14 Jan/29/14
Description: Applied Materials 0100-01577 Chamber Distribution Control Board AMAT AS00363-03
athomemarket NEW - $71.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $114.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket Used - $270.99 0 Jan/22/14 Feb/21/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $206.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
esoteric_specialties Used - $950.00 0 Jan/22/14 Feb/21/14
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
svcstore NEW - $499.99 0 Jan/22/14 Jan/25/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
dr.fantom Scrap, for parts - $150.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0021-21065 Rev 005 Al Shield BB Screwless 300mm
epicrew01 Used - $35.00 0 Jan/23/14 Oct/06/21
Description: Applied Materials (AMAT) 0140-09110 C/A MONITOR STAND,(#34-2)
epicrew01 Used - $49.00 3 Jan/23/14 Jan/20/16
Description: Applied Materials (AMAT) 0140-09111 CABLE ASSY LIGHT PEN,(#34-1)
dr.fantom Scrap, for parts - $500.00 0 Jan/23/14 Feb/12/15
Description: lot of 3 Applied Materials 0021-21260 Rev 001
dr.fantom Scrap, for parts - $300.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0021-40241 Rev 001 Cover Ring
dr.fantom Used - $300.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0021-40240 12939900-31800099 Ring Clamp
dr.fantom Used - $300.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0021-02342 Rev 009 Ring Clamp Middle Shield
dr.fantom Used - $300.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0020-02348 Rev 009 Bottom Shield Clamp 300MM
dr.fantom Used - $300.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0020-26374 Rev 005 12939900-064 Bottom Shield Clamp 300MM
dr.fantom Used - $300.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0020-26374 Rev 006 12939900-368 Bottom Shield Clamp 300MM
wideerp01 NEW - $100.00 2 Jan/23/14 Jan/08/15
Description: Applied Materials Lamp ,Bake Out with Lugs 0190-13035 2pk
wideerp01 NEW - $169.99 0 Jan/23/14 Jul/06/17
Description: Applied Materials Clamp Shield,WB 0020-10730 (10pk)
wideerp01 NEW - $199.99 0 Jan/23/14 Nov/11/15
Description: Applied Materials PIN WAFER LIFTRE 0200-02377 V 002
kingprecision NEW - $525.00 1 Jan/23/14 Jul/04/14
Description: Applied Materials 0150-76028 O/D TC Degas Unified Wire AMAT
athomemarket NEW - $526.99 0 Jan/23/14 Feb/22/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
stifflersequipmentllc Used - $5,390.00 1 Jan/24/14 Dec/04/14
Description: AMAT APPLIED MATERIALS 5000 AMS-134 0290-09018 HEAT EXCHANGER FOR AMS-134
mayraytan NEW - $475.00 0 Jan/24/14 May/01/15
Description: Applied Materials AMAT Chemraz O-Ring, 3700-02105
onlinesmt Used - $375.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
yayais2012 Used - $90.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $95.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $27.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $65.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $210.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
yayais2012 NEW - $85.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
svcstore Used - $8.99 0 Jan/24/14 Jan/27/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $249.99 0 Jan/24/14 Jan/27/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $61.99 0 Jan/24/14 Jan/27/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
supertechshop NEW - $299.00 0 Jan/25/14 Feb/24/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 Jan/25/14 Feb/24/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $169.00 0 Jan/25/14 Feb/24/14
Description: AMAT AKT High Voltage HV-Supply Interface 0101-57025 Board Applied Materials
yayais2012 Used - $2,000.00 1 Jan/25/14 Jan/30/14
Description: Applied Materials AMAT RF MATCH SUB ZERO BESC P/N 0010-02977
athomemarket Used - $2,421.99 0 Jan/25/14 Feb/24/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $2,624.99 0 Jan/25/14 Feb/24/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,211.99 0 Jan/25/14 Feb/24/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $61.99 0 Jan/25/14 Feb/24/14
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
yayais2012 Used - $120.00 0 Jan/25/14 Feb/24/14
Description: APPLIED MATERIALS 0090-04247 FPS1 208 VAC
svcstore NEW - $499.99 0 Jan/25/14 Jan/28/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
chriswebber16 NEW - $399.99 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
yayais2012 NEW - $145.00 0 Jan/26/14 Feb/25/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $75.00 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $65.00 0 Jan/26/14 Feb/25/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $67.00 0 Jan/26/14 Feb/25/14
Description: APPLIED MATERIALS KIT, 1.33 OD BLANKOFF FLANGE 0242-20102
athomemarket Used - $5,551.99 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
athomemarket Used - $187.99 0 Jan/26/14 Feb/25/14
Description: MKS/dIP/AMAT Control CDN391R Applied Materials 0190-24115 DeviceNet PCB Board
athomemarket Used - $187.99 0 Jan/26/14 Feb/25/14
Description: MKS/dIP/AMAT Control CDN396R Applied Materials 0190-27708 DeviceNet PCB Board
athomemarket NEW - $146.99 0 Jan/26/14 Feb/25/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
semipart NEW - $275.00 0 Jan/27/14 Feb/26/14
Description: Applied Materials 0200-20215 HOUSING, DOUBLE RF CONNECTOR, VECTRA IMP AMAT
ischoi3 Used - $420.00 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
semipart Used - $300.00 0 Jan/27/14 Feb/26/14
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. G
usedeqsales NEW - $601.14 1 Jan/27/14 Nov/07/14
Description: AMAT Applied Materials 0240-30104 Delta TEOS Or Delta Nitride Gas Box Kit New
ptb-sales Used - $3,000.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $250.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $225.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $360.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Scrap, for parts - $5,000.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $2,500.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $1,800.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
pete-sigep Used - $475.00 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Used - $375.00 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
pete-sigep Used - $225.00 1 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0100-09099 Chamber Interface PCB
athomemarket Scrap, for parts - $3,836.99 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Jan/27/14 Feb/26/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Jan/27/14 Feb/26/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Used - $401.99 0 Jan/27/14 Feb/26/14
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
athomemarket NEW - $509.99 0 Jan/27/14 Feb/26/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
prism_electronics6 NEW - $5,499.95 0 Jan/27/14 Jan/28/15
Description: Applied Materials 0010-03345 Flatted Style WxZ Heater Pedestal, 8", S/N: 3965
prism_electronics6 NEW - $5,499.95 0 Jan/27/14 Jan/28/15
Description: Applied Materials 0010-03345 Flatted Style WxZ Heater Pedestal, 8", S/N: 2943
usedeqsales Scrap, for parts - $3,001.14 1 Jan/27/14 Jan/14/15
Description: AMAT Applied Materials 0010-76036 System Mini-Controller Precision 5000 As-Is
svcstore Used - $8.99 0 Jan/27/14 Jan/30/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $249.99 0 Jan/27/14 Jan/30/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $61.99 0 Jan/27/14 Jan/30/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
yayais2012 NEW - $45.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $30.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 Used - $650.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS 0041-06180 REV 004 300520 0311 MFC10D31967
yayais2012 Used - $45.00 0 Jan/28/14 Feb/27/14
Description: applied materials 0021-89703 rev 002 119423-237
yayais2012 NEW - $110.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS 0242-52948 KIT CERAMIC CONDUCTIVE BLADE 300MM
yayais2012 NEW - $32.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
athomemarket Used - $5,046.99 0 Jan/28/14 Feb/27/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Jan/28/14 Feb/27/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,853.99 0 Jan/28/14 Feb/27/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
bruce135 Used - $219.00 0 Jan/28/14 Feb/27/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
wideerp01 Used - $499.99 1 Jan/28/14 Apr/08/15
Description: Applied Materials MAG GEN Interface Board ASP-RE 0110-09223
supertechshop Used - $499.00 0 Jan/28/14 Feb/27/14
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 Jan/28/14 Feb/27/14
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
athomemarket NEW - $90.99 0 Jan/28/14 Feb/27/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
supertechshop Used - $199.00 0 Jan/28/14 Feb/27/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Jan/28/14 Feb/27/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Jan/28/14 Feb/27/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
mercury_man NEW - $79.99 0 Jan/29/14 Feb/05/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
yayais2012 NEW - $95.00 0 Jan/29/14 Feb/28/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
logansemi Used - $299.00 0 Jan/29/14 Feb/05/14
Description: AMAT Applied Materials, Heater, PIP111, Grounded, Roof Top, 0190-40182
bobsgoodies Used - $90.00 0 Jan/29/14 May/01/14
Description: Applied Materials 0150-76156 Cable Assy Insertion Flow Sensor (Lot of 2)
athomemarket Used - $180.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $1,080.99 0 Jan/29/14 Feb/05/14
Description: AMAT Applied Materials 0090-02799 Producer SE Chamber Controller+MKS/dIP Cards
athomemarket Used - $2,362.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $5,550.99 0 Jan/29/14 Feb/28/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $199.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $425.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Scrap, for parts - $3,532.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
wideerp01 NEW - $499.99 0 Jan/29/14 Nov/11/15
Description: Applied Materials Fiber Optic 20ft Cable 0040-00456
wideerp01 NEW - $225.99 0 Jan/29/14 Nov/11/15
Description: Applied Materials Monitor Light Pen Interconnect BD 0190-70103
wideerp01 NEW - $39.99 10 Jan/29/14 May/20/14
Description: Applied Materials Insulator ScrewMW/AMT 0020-30553
wideerp01 NEW - $299.99 0 Jan/30/14 Nov/11/15
Description: Applied Materials MIRRA Conditioner Head SST Seal Clamp 0020-79376 ( LOT 6 )
semipart Used - $400.00 0 Jan/30/14 Mar/01/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 005
athomemarket NEW - $77.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $757.99 0 Jan/30/14 Mar/01/14
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket NEW - $99.99 0 Jan/30/14 Mar/01/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $769.99 0 Jan/30/14 Mar/01/14
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Refurbished - $155.99 0 Jan/30/14 Mar/01/14
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $79.99 0 Jan/30/14 Mar/01/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Used - $301.99 0 Jan/30/14 Mar/01/14
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket Used - $101.99 0 Jan/30/14 Mar/01/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $270.99 0 Jan/30/14 Feb/06/14
Description: Applied Materials 0100-01577 Chamber Distribution Control Board AMAT AS00363-03
svcstore Used - $8.99 0 Jan/30/14 Feb/02/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $249.99 0 Jan/30/14 Feb/02/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $61.99 0 Jan/30/14 Feb/02/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Jan/30/14 Feb/02/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
usedeqsales Used - $612.13 1 Jan/31/14 Aug/11/14
Description: AMAT Applied Materials 0100-20100 Analog I/O PCB Used Working
logansemi Used - $149.00 0 Jan/31/14 Feb/07/14
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket NEW - $341.99 0 Jan/31/14 Mar/02/14
Description: NEW AMAT 0020-78895 P1 Wafer Ring 150mm/6" Titan Applied Materials
athomemarket Used - $893.99 0 Jan/31/14 Mar/02/14
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
wideerp01 NEW - $499.99 1 Jan/31/14 Mar/31/15
Description: Applied Materials MANOMETER SELECT BD 0100-09162 REV 001
wideerp01 NEW - $99.99 1 Jan/31/14 Feb/02/14
Description: Applied Materials DXZ Liner,Junction Ceramic AMP 0200-10157
wideerp01 NEW - $350.00 0 Jan/31/14 Nov/11/15
Description: Applied Materials PRODUCER 200MM SST LID KIT H2O RETRO FIT 0242-11877
wideerp01 NEW - $499.99 1 Jan/31/14 Mar/27/15
Description: Applied Materials DPS Cable Assy, HV ESC 200mm 0090-09157
wideerp01 NEW - $25.99 0 Jan/31/14 Apr/18/14
Description: Applied Materials FacePlate Screw 4-40x.54" 0021-01004
industrial_loot Used - $78.00 0 Feb/01/14 Mar/03/14
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
bjnaf4 NEW - $744.26 0 Feb/01/14 Mar/03/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket Used - $412.99 0 Feb/01/14 Mar/03/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $415.99 0 Feb/01/14 Mar/03/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $2,271.99 0 Feb/01/14 Mar/03/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $2,726.99 0 Feb/01/14 Mar/03/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,544.99 0 Feb/01/14 Mar/03/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $1,455.99 0 Feb/01/14 Mar/03/14
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
yayais2012 Used - $220.00 0 Feb/02/14 Mar/04/14
Description: APPLIED MATERIALS 0040-62981 KSM 099-9242
yayais2012 NEW - $125.00 0 Feb/02/14 Mar/04/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $78.00 0 Feb/02/14 Mar/04/14
Description: APPLIED MATERIALS CABLE ASSY 0150-03048
yayais2012 Used - $180.00 0 Feb/02/14 Mar/04/14
Description: Applied Materials 3870-01331 Nor-Cal NW 50 In-Line Pneumatic Valve
yayais2012 Used - $450.00 0 Feb/02/14 Mar/04/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
athomemarket NEW - $128.99 0 Feb/02/14 Mar/04/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,212.99 0 Feb/02/14 Mar/04/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Refurbished - $464.99 0 Feb/02/14 Mar/04/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,212.99 0 Feb/02/14 Mar/04/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,129.99 0 Feb/02/14 Mar/04/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Scrap, for parts - $111.99 0 Feb/02/14 Mar/04/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
svcstore Used - $8.99 0 Feb/02/14 Feb/05/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $249.99 0 Feb/02/14 Feb/05/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $61.99 0 Feb/02/14 Feb/05/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
svcstore NEW - $499.99 0 Feb/02/14 Feb/05/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
dr.fantom NEW - $80.00 0 Feb/03/14 Feb/12/15
Description: Applied Materials 0240-48504 Spare Power Transisto 0190-19765 1370-00066 5010
yayais2012 Used - $180.00 0 Feb/03/14 Mar/05/14
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Used - $350.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $250.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $250.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
yayais2012 Scrap, for parts - $250.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $110.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $250.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $220.00 0 Feb/03/14 Mar/05/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $150.00 0 Feb/03/14 Mar/05/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Used - $3,200.00 0 Feb/03/14 Mar/05/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 NEW - $15.00 0 Feb/03/14 Mar/05/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $78.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 Used - $89.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $75.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 Used - $850.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $320.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $110.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $250.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $850.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
arizindo Used - $125.00 2 Feb/03/14 May/01/18
Description: APPLIED MATERIALS 0190-36511 DIP294 Device Net I/O Block
athomemarket Used - $3,331.99 0 Feb/03/14 Mar/05/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $137.99 0 Feb/03/14 Mar/05/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $91.99 0 Feb/03/14 Mar/05/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $408.99 0 Feb/03/14 Feb/20/14
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT
athomemarket NEW - $232.99 0 Feb/03/14 Mar/05/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $960.99 0 Feb/03/14 Mar/05/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
trees_for_a_better_tomorrow NEW - $150.00 0 Feb/03/14 Feb/10/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow Used - $350.00 0 Feb/03/14 Feb/10/14
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $100.00 0 Feb/03/14 Feb/10/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $350.00 0 Feb/03/14 Feb/10/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $125.00 0 Feb/03/14 Feb/10/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow Used - $750.00 0 Feb/03/14 Feb/10/14
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow NEW - $295.00 0 Feb/03/14 Feb/10/14
Description: ★ New AMAT Applied Materials 0100-35232 Match Detector 13.56 MHz RF ★
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/03/14 Feb/10/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $125.00 0 Feb/03/14 Feb/10/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $95.00 0 Feb/03/14 Feb/10/14
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $195.00 0 Feb/03/14 Feb/10/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $225.00 0 Feb/03/14 Feb/10/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $95.00 0 Feb/03/14 Feb/10/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow Used - $50.00 0 Feb/03/14 Feb/10/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow NEW - $80.00 0 Feb/03/14 Feb/10/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $100.00 0 Feb/03/14 Feb/10/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow NEW - $295.00 0 Feb/03/14 Feb/10/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
liquiditech NEW - $190.00 0 Feb/04/14 Apr/22/15
Description: Applied Materials FACTORY INTERFACE DIGITAL I/O 0190-22543 Rev:003
usedeqsales NEW - $502.14 0 Feb/04/14 May/05/15
Description: AMAT Applied Materials 0242-00898 BE Aero Chiller Deionizer Kit New
wideerp01 NEW - $29.99 0 Feb/04/14 Jul/18/17
Description: Applied Materials CAP 1/4 TURN Ceramic ( qty3 ) 0200-09612
wideerp01 NEW - $99.99 1 Feb/04/14 Dec/18/14
Description: Applied Materials HOUSING ,QUARTZ TUBE 0020-31016 REV001
wideerp01 NEW - $29.99 0 Feb/04/14 Jul/18/17
Description: Applied Materials ASSY. RBT DOOR SW Wafer MA 0090-77098
wideerp01 NEW - $139.99 1 Feb/04/14 Jun/09/14
Description: Applied Materials RF MATCH ROD 0020-09067
wideerp01 Refurbished - $299.99 0 Feb/04/14 Nov/11/15
Description: Applied Materials TiN NICKLE LOCK RING 0021-08970
supertechshop NEW - $399.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT Nor-Cal 3870-06775 Pneumatic 3.5" Gate Valve Applied Materials
supertechshop Used - $199.00 0 Feb/04/14 Mar/06/14
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Feb/04/14 Mar/06/14
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
wideerp01 NEW - $89.99 0 Feb/04/14 Jul/18/17
Description: Applied Materials EMO SWITCH ,INTER-CIRCUIT, WITH GUARD 0090-00423
wideerp01 NEW - $99.99 1 Feb/04/14 Jul/13/15
Description: Applied Materials GUIDE HINGE 0020-31596
wideerp01 NEW - $199.99 0 Feb/04/14 Nov/26/15
Description: Applied Materials COLLIMATOR UPPER 8" 0020-22493
wideerp01 Refurbished - $399.00 1 Feb/04/14 Nov/10/14
Description: Applied Materials DPS II SCREEN FLAT 300MM 0021-26274 REV 003
wideerp01 Used - $499.99 1 Feb/04/14 Jul/24/14
Description: Applied Materials MONITOR /KB/SERIAL LIGHT PEN/ INTERFACE BOARD 0100-01383
wideerp01 NEW - $999.99 1 Feb/04/14 Mar/06/15
Description: Applied Materials MONITOR/KB/SERIAL/LIGHT PEN, CONTROLL 0090-02018 REV 002
athomemarket Refurbished - $3,906.99 0 Feb/04/14 Mar/06/14
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
supertechshop Used - $49.95 0 Feb/04/14 Mar/06/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
jojoequip NEW - $1,750.00 0 Feb/05/14 Feb/18/14
Description: APPLIED MATERIALS 0190-18430 CVD LIGHTPIPE SAPPHIRE PROBE - NEW
comwaysind Used - $4,987.00 0 Feb/05/14 Dec/27/15
Description: New 0190-32946 Rev 01 ISAC CP I/O Block 2 Top Applied Materials
yayais2012 Used - $65.00 0 Feb/05/14 Mar/07/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
yayais2012 Used - $3,500.00 0 Feb/05/14 Mar/07/14
Description: AMAT APPLIED MATERIALS 0040-23341 REV 007 EEP-364
usedeqsales Used - $282.14 2 Feb/05/14 Nov/16/15
Description: AMAT Applied Materials 1843623012 AC Servo Motor XBR-2310 AMAT 0010-03907 Used
usedeqsales Used - $196.39 0 Feb/05/14 Apr/06/15
Description: AMAT Applied Materials 0190-14178 MAG-LEV Machine Controller Cable Used Working
surplusexiting Used - $735.00 0 Feb/05/14 Mar/07/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surplusexiting Used - $698.00 0 Feb/05/14 Mar/07/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
usedeqsales Used - $35.75 4 Feb/05/14 Mar/18/14
Description: AMAT Applied Materials 3400-00434 40FT Flexline Cryo Hose Used Working
logansemi Used - $299.00 0 Feb/05/14 Feb/12/14
Description: AMAT Applied Materials, Heater, PIP111, Grounded, Roof Top, 0190-40182
bobsgoodies Used - $45.00 0 Feb/05/14 Jun/17/14
Description: Applied Materials 0020-70343 Bracket, Motor, Rotation, Oeienter, Wafer AMAT
usedeqsales Used - $802.14 0 Feb/05/14 Feb/06/14
Description: AMAT Applied Materials 0100-18043 Remote Scriplex I/O HDP-CVD Ultima Board Used
athomemarket Refurbished - $114.99 0 Feb/05/14 Mar/07/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Feb/05/14 Mar/07/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket NEW - $213.99 0 Feb/05/14 Mar/07/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore Used - $249.99 0 Feb/05/14 Feb/08/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
mercury_man NEW - $79.99 0 Feb/05/14 Feb/12/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
dvkelectronics Used - $6,500.00 0 Feb/05/14 Mar/07/14
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
svcstore NEW - $499.99 0 Feb/05/14 Feb/08/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
dr.fantom NEW - $30.00 0 Feb/06/14 Feb/12/15
Description: Applied Materials 3300-07079 FTG PIPE CONN 1" MNTP SEAL-LOK BRS
pete-sigep Used - $475.00 0 Feb/06/14 Mar/08/14
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
visionsemi Used - $299.00 1 Dec/22/11 Dec/27/11
Description: Applied Materials AMAT 0021-10223 Chamber Upper Liner
usedeqsales NEW - $502.14 0 Feb/06/14 May/05/15
Description: AMAT Applied Materials 0242-25144 7-Emitter Ionizer Bar Kit New
ptb-sales Used - $750.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $250.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $360.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $1,800.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $2,500.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $225.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $780.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Refurbished - $196.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $216.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $216.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $196.99 0 Feb/06/14 Feb/20/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $196.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $518.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $103.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $497.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Used - $1,094.99 1 Feb/06/14 Feb/19/14
Description: Synergy Microsystems V21 Board PCB AMAT Applied Materials 0090-76110
usedeqsales NEW - $602.14 0 Feb/06/14 Dec/04/14
Description: AMAT Applied Materials 3920-01631 Quarterly Brush Station Tool New
athomemarket Used - $328.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket Used - $1,080.99 3 Feb/06/14 Feb/26/14
Description: AMAT Applied Materials 0090-02799 Producer SE Chamber Controller+MKS/dIP Cards
athomemarket NEW - $236.99 0 Feb/06/14 Mar/08/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $265.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Used - $92.99 0 Feb/06/14 Mar/08/14
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
wideerp01 Used - $400.00 0 Feb/06/14 Nov/11/15
Description: Applied Materials P500 DXZ SLIT VALVE 0010-70321
svcstore Used - $56.99 0 Feb/06/14 Feb/09/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bruce135 Used - $449.00 0 Feb/07/14 Mar/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
conquer_2011 NEW - $275.00 0 Feb/07/14 Mar/09/14
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium USED
logansemi Used - $279.00 1 Feb/07/14 Feb/14/14
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Refurbished - $208.99 0 Feb/07/14 Mar/09/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket Used - $270.99 0 Feb/07/14 Mar/09/14
Description: Applied Materials 0100-01577 Chamber Distribution Control Board AMAT AS00363-03
athomemarket Refurbished - $158.99 0 Feb/08/14 Mar/10/14
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $164.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $264.99 0 Feb/08/14 Mar/10/14
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $271.99 0 Feb/08/14 Mar/10/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
athomemarket NEW - $63.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $234.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Refurbished - $133.99 0 Feb/08/14 Mar/10/14
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket Used - $165.99 0 Feb/08/14 Mar/10/14
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Used - $114.99 2 Feb/08/14 Feb/26/14
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
svcstore Used - $249.99 0 Feb/08/14 Feb/11/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore NEW - $499.99 0 Feb/08/14 Feb/11/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
turkeymakesmetired-2008 Used - $74.99 2 Feb/08/14 May/14/14
Description: AMAT Applied Materials 3250-01008 Heat Exchanger Adapter Lock Ring New
mghaines NEW - $400.00 0 Feb/08/14 Mar/11/14
Description: APPLIED MATERIALS 0190-02061 Manifold assy 4ZA slit valve w/regulator
mghaines NEW - $20.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01862
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 0910-01115 FUSE FAST ACTING 300V 15A
mghaines NEW - $25.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 0690-01037 CLAMP HINGED NW50 WING-NUT & SCR-CLOSURE
mghaines NEW - $30.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3700-01088 SEAL CTR RING ASSY NW50 W/VITON ORING SST304
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3700-01089 SEAL CTR RING ASSY NW25 W/VITON ORING SST
mghaines NEW - $20.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3700-01087 SEAL CTR RING ASSY NW40 W/VITON ORING SST
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE AL
mghaines NEW - $100.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3700-02744 ORING ID 12.984 CSD .139 VITON 75DURO BRN UHP
mghaines NEW - $3.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3880-01338
mghaines NEW - $10.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3880-01780 WSHR FLAT #3/4 1.505OD x .890ID x .062T
mghaines NEW - $12.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 0910-01073 FUSE HLDR BODY HI CURRENT SHOCKPROOF PANEL MTG
mghaines NEW - $20.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3880-01008 WSHR FLAT #10 .4380D x .219ID x .049THK SST
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 3690-01953 SCR CAP SKT HD 5/16-18X2.5L HEX SKT SST SLVR-PLT
mghaines NEW - $12.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 3880-01024 WSHR LKG SPLIT #8 .2930D X .174ID X .040THK SST
mghaines NEW - $10.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 3880-01025 WSHR LKG SPLIT #10 .3340D X .200ID X .047
mghaines NEW - $30.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 3690-01952 SCR CAP SKT HD 6-32 X 3/8L HEX SKT SST SLVR-PLT
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 3500-01014 NUT HEX FULL 5/16-18 SST PSVT
mghaines NEW - $30.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 3880-01027 WSHRLKG SPLIT #5/16 .125W X .078THK SST
mghaines NEW - $70.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 0910-01077 FUSE DUAL TIME DELAY 15A 250V CERAMIC
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 0910-01107 FUSE FAST ACTING 1/4A GL BODY 250V
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 0910-01126 FUSE FAST ACTING 6.3A 250V 5x20mm GLASS
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 0910-01070 FUSE NORM-BLO 6A 250V 1/4x1-1/4 LONG GLS
mghaines NEW - $12.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 0910-01135 FUSE SLO BLO 1A 250V 1/4x1-1/4 LONG
mghaines NEW - $12.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 0910-01136 FUSE SLO BLO 5A 250V 1/4x1-1/4 LONG
mghaines NEW - $40.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 3700-01288
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 0910-01129 fuse fast ACTING 2A 250V 5x20mm GLS
mghaines NEW - $42.00 0 Feb/09/14 Mar/11/14
Description: Applied Materials 0910-01109
mghaines NEW - $40.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02324 AMAT QTY 5
mghaines NEW - $40.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01870 AMAT QTY 20
mghaines NEW - $100.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01969 AMAT QTY 50
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-03007 AMAT QTY 25
mghaines NEW - $200.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01899 AMAT QTY 72
mghaines NEW - $300.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-03579 AMAT QTY 50
mghaines NEW - $200.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01892 AMAT QTY 53
mghaines NEW - $200.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01983 AMAT
mghaines NEW - $30.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01869 QTY 4
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01935
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02248
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01903
mghaines NEW - $80.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01906
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02126
mghaines NEW - $30.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02976
mghaines NEW - $160.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 4690-00100
mghaines NEW - $75.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02271
mghaines NEW - $150.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-03110
mghaines NEW - $100.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02979
mghaines NEW - $45.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-03061
mghaines NEW - $100.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01900
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01900
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02507
mghaines NEW - $50.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02123
mghaines NEW - $150.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01923
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01885
mghaines NEW - $40.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02949
mghaines NEW - $60.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-02157
mghaines NEW - $30.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01914
mghaines NEW - $200.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-04668
athomemarket Used - $149.99 0 Feb/09/14 Mar/11/14
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $139.99 0 Feb/09/14 Mar/11/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
svcstore Used - $56.99 0 Feb/09/14 Feb/12/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
yayais2012 NEW - $220.00 0 Feb/10/14 Mar/12/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
yayais2012 NEW - $220.00 0 Feb/10/14 Mar/12/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
yayais2012 Used - $115.00 0 Feb/10/14 Mar/12/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
athomemarket Refurbished - $2,572.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $522.99 0 Feb/10/14 Mar/12/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Used - $165.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $111.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $3,331.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Feb/10/14 Mar/12/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,037.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
ultra_clean_warehouse NEW - $47.91 0 Feb/10/14 May/07/14
Description: MANIFOLD WATER TOP MOUNT - APPLIED MATERIALS 0021-37168 - REV 001 - USA - NEW
ultra_clean_warehouse NEW - $52.43 0 Feb/10/14 May/07/14
Description: RING HOLDER - APPLIED MATERIALS 0020-78120 - REV B - USA - NEW
ultra_clean_warehouse NEW - $65.03 0 Feb/10/14 May/07/14
Description: SHAFT TRAVEL CIP PAD COND REFLEXN - APPLIED MATERIALS 0021-80632 REV 01, USA NEW
ultra_clean_warehouse NEW - $105.10 0 Feb/10/14 May/07/14
Description: SHAFT GUIDE CIP PAD COND REFLEXN - APPLIED MATERIALS 0041-32819 REV 01 USA, NEW
ultra_clean_warehouse NEW - $50.74 0 Feb/09/14 May/07/14
Description: PIVOT ARM CH-A UPPER LAMP MODU - APPLIED MATERIALS 0040-49847 REV 002 - USA, NEW
ultra_clean_warehouse NEW - $167.41 0 Feb/09/14 May/07/14
Description: SUPPORT LH COVER PLATE BELT - APPLIED MATERIALS 0040-77438 - REV P2 - USA - NEW
ultra_clean_warehouse NEW - $48.98 0 Feb/09/14 May/07/14
Description: UNISTRUT CHANNEL - APPLIED MATERIALS 0015-04202 - REV 01 - USA - NEW
ultra_clean_warehouse NEW - $142.34 0 Feb/09/14 May/07/14
Description: GUARD INNER 300MM LCWE - APPLIED MATERIALS 0021-27707 - REV 002 - USA - NEW
ultra_clean_warehouse NEW - $60.99 0 Feb/10/14 May/07/14
Description: MANIFOLD - APPLIED MATERIALS 0020-78720 - REV 01 - USA - NEW
ultra_clean_warehouse NEW - $142.34 0 Feb/10/14 May/07/14
Description: BRACKET PIVOT MOUNT - APPLIED MATERIALS 0040-43382 - REV 003 - USA - NEW
ultra_clean_warehouse NEW - $155.07 0 Feb/10/14 May/07/14
Description: DRIVE BELT BRACKET ROBOT TRACK ASSEMBLY APPLIED MATERIALS 0020-78867 REV 001 NEW
ultra_clean_warehouse NEW - $72.54 2 Feb/10/14 Feb/28/14
Description: SHAFT PLUG TXZ BKM3 - APPLIED MATERIALS 0021-03973 - REV 001 - USA - NEW
ultra_clean_warehouse NEW - $102.86 1 Feb/10/14 Mar/19/14
Description: ARM LEFT HP+ENP ROBOT - APPLIED MATERIALS 0021-37507 - REV 002 - USA - NEW
ultra_clean_warehouse NEW - $84.77 0 Feb/10/14 May/07/14
Description: GIMBAL ROD - APPLIED MATERIALS 0020-26496 - REV 006 - USA - NEW
ultra_clean_warehouse NEW - $110.24 0 Feb/10/14 May/07/14
Description: VECTRA-IMP TI DISK, 6-INCH SHUTTER - APPLIED MATERIALS 0021-20721 - REV A - NEW
ultra_clean_warehouse NEW - $153.83 0 Feb/10/14 May/07/14
Description: CLAMP BRUSH ASSEMBLY SCRUBBER 300 - APPLIED MATERIALS 0021-77547 REV P1 - NEW
ultra_clean_warehouse NEW - $328.04 0 Feb/10/14 Mar/19/14
Description: GEMINI II MANIFOLD BLOCK 5 300MM - APPLIED MATERIALS 0040-87928 REV 001 - NEW
ultra_clean_warehouse NEW - $57.31 0 Feb/10/14 May/07/14
Description: PIN CLAMP GRIPPER ASSEMBLY - APPLIED MATERIALS 0021-77545 REV P4 - USA - NEW
ultra_clean_warehouse NEW - $48.17 0 Feb/10/14 May/07/14
Description: COUPLER PUMP EXHAUST - APPLIED MATERIALS 0021-55271 REV 01 - USA - NEW
megawavz Used - $395.00 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT Temperature Controller w/ 0090-90145, 0090-90194 Plug-ins
wideerp01 Refurbished - $399.99 3 Feb/11/14 Jun/25/17
Description: Applied Materials PRODUCER Liner Ring Insert 0021-06569
ocean-tech Used - $229.99 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
shadow15b NEW - $65.00 1 Feb/11/14 Mar/25/15
Description: AMAT Applied Materials 2PH Step Driver Intf BD. Assy. 0100-09245 Rev. A
excess-solutions NEW - $100.00 0 Feb/11/14 Feb/18/14
Description: Applied Materials DIP294 CDN294 DeviceNet I/O block 0190-36511 24digital DIP-294
athomemarket NEW - $69.99 0 Feb/11/14 Feb/18/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $109.99 0 Feb/11/14 Feb/18/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
catalystparts Used - $1,500.00 1 Feb/11/14 Jun/15/18
Description: Applied Materials 0200-02421 Isolator Lid - TXZ 300MM
athomemarket NEW - $69.99 0 Feb/11/14 Feb/18/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket Used - $209.99 0 Feb/11/14 Mar/13/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $4,844.99 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
tdindustrial NEW - $695.00 2 Feb/11/14 Sep/07/17
Description: AMAT Applied Materials Robot Wrist Shaft Drive, 0020-78959 , New
athomemarket Used - $91.99 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $188.99 0 Feb/11/14 Mar/13/14
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
athomemarket Used - $65.99 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
semipart NEW - $150.00 0 Feb/11/14 Mar/13/14
Description: New Applied Materials 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC AMAT Rev C
svcstore NEW - $499.99 0 Feb/11/14 Feb/14/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $220.00 0 Feb/12/14 Mar/14/14
Description: Applied Materials, Half ATM Switch, 0190-21713
visionsemi Used - $155.00 0 Feb/12/14 Dec/04/15
Description: APPLIED MATERIALS VARIAN VACUUM GAUGE 0531 ADAPTER W/CABLE 0150-20641 RS-232
visionsemi Used - $150.00 1 Feb/12/14 Nov/28/14
Description: APPLIED MATERIALS AMAT 0100-09099 CHAMBER INTERCONNECT PCB
visionsemi Used - $135.00 1 Feb/12/14 Jul/14/14
Description: APPLIED MATERIALS AMAT 0100-09123 WAFER SENSOR PCB
onlinesmt NEW - $199.00 0 Feb/12/14 Mar/14/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
logansemi Used - $232.00 0 Feb/12/14 Dec/09/19
Description: AMAT Applied Materials, Heater, PIP111, Grounded, Roof Top, 0190-40182
semipart NEW - $30.00 0 Feb/12/14 Mar/14/14
Description: Applied Materials 0200-01798 PIN, LIFT, TXZ HEATER
semipart NEW - $40.00 0 Feb/12/14 Mar/14/14
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
visionsemi Used - $250.00 1 Feb/12/14 Jul/22/14
Description: APPLIED MATERIALS AMAT SLIT VALVE ACTUATOR 0010-70162 6" P5000
athomemarket Used - $4,508.99 0 Feb/12/14 Mar/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $106.99 0 Feb/12/14 Mar/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,256.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $214.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Refurbished - $144.99 0 Feb/12/14 Mar/14/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $278.99 0 Feb/12/14 Mar/14/14
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket Used - $187.99 0 Feb/12/14 Mar/14/14
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
athomemarket Used - $187.99 0 Feb/12/14 Mar/14/14
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
athomemarket NEW - $305.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $97.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $345.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $254.99 0 Feb/12/14 Mar/14/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
svcstore Used - $249.99 0 Feb/12/14 Feb/15/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $56.99 0 Feb/12/14 Feb/15/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
mercury_man NEW - $74.00 0 Feb/13/14 Feb/20/14
Description: New Applied Materials 1120-90000 LIGHT PEN FX-421
semipart NEW - $250.00 0 Feb/13/14 Mar/15/14
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Proteus 9100B24P16, 2 available
semipart NEW - $450.00 2 Feb/13/14 Mar/10/14
Description: AMAT APPLIED MATERIALS 0870-00036 DRIVE 5-PHASE STEPPER MOTOR
jojoequip Used - $2,000.00 0 Feb/13/14 Mar/18/14
Description: APPLIED MATERIALS 0010-16373 ASSY CHAMBER 300MM EMAX AP
tripledirect Used - $7,100.00 0 Feb/13/14 Mar/15/14
Description: Applied Materials Magnet Model # 0010-21810
onlinesmt NEW - $249.00 0 Feb/13/14 Mar/15/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Feb/13/14 Mar/15/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
bntyhunter07 Used - $407.13 0 Feb/13/14 Jun/01/23
Description: AMAT Applied Materials 0100-20454 Controller Backplane Board Rev. 005
catalystparts NEW - $358.00 1 Feb/13/14 Mar/24/15
Description: Applied Materials 0021-02469 Insert Liner Exhaust TXZ 200
imca00 NEW - $12,500.00 0 Feb/13/14 Feb/18/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
catalystparts NEW - $610.00 1 Feb/13/14 May/09/14
Description: Applied Materials 0021-35744 Blocker Plate TXZ 200MM
wideerp01 Refurbished - $500.00 2 Feb/13/14 Jun/27/17
Description: Applied Materials ALPS+ 8" Shield STD Ti Lower Shield 0021-04644
supertechshop NEW - $199.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 Feb/13/14 Mar/15/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
wideerp01 NEW - $89.99 0 Feb/13/14 Nov/11/15
Description: Applied Materials Adaptor OTB NW50 Forline 0050-76407
athomemarket Refurbished - $218.99 0 Feb/13/14 Mar/15/14
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
athomemarket NEW - $115.99 0 Feb/13/14 Mar/15/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
supertechshop NEW - $299.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
j316gallery Used - $328.36 2 Feb/13/14 Sep/29/21
Description: 1606 APPLIED MATERIALS MANIFOLD WATER SOURCE 13" SST 0050-25994
bntyhunter07 Used - $705.27 2 Feb/13/14 Feb/28/22
Description: AMAT Applied Materials 0100-20453 Rev. 004 DeviceNet Digital I/O Board 0190-0037
bntyhunter07 Used - $1,196.27 1 Feb/13/14 Jul/27/23
Description: AMAT Applied Materials 0100-20453 Rev. 005 DeviceNet Digital I/O Board 0190-0037
bntyhunter07 Used - $775.01 8 Feb/13/14 Feb/14/23
Description: AMAT Applied Materials 0190-25911 HDPVCD Interlock Board 0100-01396
bntyhunter07 Used - $575.03 0 Feb/13/14 Mar/06/19
Description: AMAT Applied Materials 0100-20458 HDPCVD Interlock 0090-02233
athomemarket Used - $6,862.99 0 Feb/20/14 Mar/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $394.99 0 Feb/20/14 Mar/22/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
bobsgoodies NEW - $159.00 0 Feb/20/14 Jun/17/14
Description: AMAT 0040-07606 VIEW PORT DOME 2-3/4 CFF 250W SZ BESC Applied Materials
usedeqsales Used - $7,005.12 0 Feb/20/14 May/29/14
Description: AMAT Applied Materials 200mm Centura 5200 RTP Chamber 0040-35703 as-is
dr.fantom NEW - $50.00 0 Feb/20/14 Feb/12/15
Description: lot of 7 Applied Materials 0020-86778 PIN Alignment 1.94" LG 30 DEG
dr.fantom Used - $59.00 0 Feb/20/14 Feb/12/15
Description: Applied Materials 0010-21740 Assy TC Amplifier 300MM 0100-20339
dr.fantom Used - $150.00 0 Feb/20/14 Feb/12/15
Description: Applied Materials 0100-77050 B/L PAD COND PCB ASSEM. C/M MODULE
athomemarket Used - $61.99 0 Feb/19/14 Mar/21/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $199.99 0 Feb/19/14 Mar/21/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,742.99 0 Feb/19/14 Mar/21/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket NEW - $63.99 0 Feb/19/14 Feb/26/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
promtinc1 NEW - $1,500.00 0 Feb/19/14 Feb/24/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
athomemarket NEW - $99.99 0 Feb/19/14 Feb/26/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Feb/19/14 Feb/26/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
imca00 Scrap, for parts - $499.00 0 Feb/19/14 Feb/24/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $4,500.00 0 Feb/19/14 Feb/24/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
artsemi Used - $700.00 1 Feb/19/14 Apr/15/14
Description: System Expansion Board Assy AMAT 0100-20152 Rev.PB Applied Materials Endura 5500
semipart NEW - $1,800.00 0 Feb/19/14 Mar/21/14
Description: AMAT APPLIED MATERIALS 0010-20133 BLADE ASSY 8" Transfer, New
svcstore Used - $56.99 0 Feb/18/14 Feb/21/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
yayais2012 NEW - $170.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0240-52734 KIT, H2 ON INDICATOR PER PROCESS PLATTER, HELIOS
yayais2012 Used - $780.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $150.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-15385 CABLE ASSY HELIOS-4 INLET1 TO FACILITY N2 PRESS S
yayais2012 NEW - $110.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
yayais2012 NEW - $240.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
yayais2012 NEW - $110.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-15387 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH-B IH1000
yayais2012 Used - $220.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 NEW - $250.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0190-23270 OHT HOKUYO DMS-HB1-Z28, E84 VARIABLE SENSOR
yayais2012 NEW - $220.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-27540 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH
yayais2012 NEW - $220.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS ASSY RIM PRESSURE VALVE/S 0010-47135
yayais2012 NEW - $110.00 0 Feb/18/14 Mar/20/14
Description: Applied Materials 0050-53004 WELDMENT, CONVECTRON ADAPTER, NW50 W/1/4'' VCR ADA
yayais2012 NEW - $75.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 NEW - $95.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-15386 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-A IH1000
yayais2012 Used - $200.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 FW: 1.201 / HW: 02
yayais2012 NEW - $150.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-22912 CABLE ASSY SCRUBBER RUNNING SICONI 300M
svcstore Used - $249.99 0 Feb/18/14 Feb/21/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
athomemarket NEW - $206.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket Used - $241.99 0 Feb/18/14 Mar/20/14
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket NEW - $1,091.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $300.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $300.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $1,091.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $129.99 0 Feb/18/14 Mar/20/14
Description: AMAT/Applied Materials 0103-65042 Digital Inkjet 60K Board PCB Assembly AKT
athomemarket Used - $4,037.99 0 Feb/18/14 Mar/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $315.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $516.99 0 Feb/18/14 Mar/20/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket NEW - $114.99 0 Feb/18/14 Mar/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $75.99 0 Feb/18/14 Mar/20/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $191.99 0 Feb/18/14 Mar/20/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
athomemarket Used - $49.99 0 Feb/18/14 Feb/25/14
Description: AMAT/Applied Materials 3870-03334 Pneumatic 1/4" VCR Air Diaphragm Valve NC
imca00 NEW - $12,500.00 0 Feb/18/14 Feb/23/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
dr.fantom Used - $150.00 0 Feb/18/14 Feb/12/15
Description: Applied Materials 0100-77042 Pentium Interface Board
semipart NEW - $350.00 1 Feb/18/14 Feb/27/14
Description: AMAT APPLIED MATERIALS 3870-01213 VALVE NEW
pneumatplus10 NEW - $2,299.00 0 Feb/17/14 Jun/07/16
Description: Applied Materials 0010-43203 Assy Clear Lid HT SWLL LLB W/O Dega,4112,Unused
supertechshop Used - $499.00 0 Feb/20/14 Mar/22/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop Used - $950.00 0 Feb/20/14 Mar/22/14
Description: Applied Materials 0130-11001 Analog Output Board P5000 PCB 0100-11001 / Warranty
supertechshop NEW - $299.00 0 Feb/20/14 Mar/22/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop Used - $149.00 0 Feb/20/14 Mar/22/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $399.00 0 Feb/20/14 Mar/22/14
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
svcstore NEW - $499.99 0 Feb/20/14 Feb/23/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
jojoequip Used - $250.00 1 Feb/21/14 Feb/21/14
Description: APPLIED MATERIALS 0010-20138 TC AMP HOUSING
wideerp01 Used - $800.00 0 Feb/21/14 Feb/28/14
Description: Applied Materials Digital Hybrid RF Match,MXP+,ETCH 0010-12090
wideerp01 NEW - $250.00 0 Feb/21/14 Jul/05/17
Description: APPLIED MATERIALS CVD TRHOTTLE VALVE 0010-76175 REV G
liquidationbazar NEW - $999.00 0 Feb/21/14 Mar/23/14
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
kingprecision NEW - $675.00 1 Feb/21/14 Apr/19/15
Description: Applied Materials 0190-35413 ASSY,THERMOCOUPLE, PRODUCER AMAT
athomemarket Used - $270.99 0 Feb/21/14 Mar/23/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $71.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $114.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $6,570.99 0 Feb/21/14 Mar/23/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
wideerp01 Used - $5,999.00 0 Feb/21/14 Mar/23/14
Description: Applied Materials CVD 8" Tungston Chamber WXL P500 0040-09136
jojoequip Used - $400.00 2 Feb/21/14 Feb/23/14
Description: APPLIED MATERIALS AMAT 0190-76005 STEPPER DRIVER
jojoequip Used - $150.00 1 Feb/21/14 Apr/15/14
Description: APPLIED MATERIALS AMAT 0100-20003 DIGITAL I/O BOARD
esoteric_specialties Used - $950.00 0 Feb/21/14 Mar/23/14
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
svcstore Used - $249.99 0 Feb/21/14 Feb/24/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
supertechshop NEW - $299.00 0 Feb/21/14 Mar/23/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Feb/21/14 Mar/23/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Feb/21/14 Mar/23/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Feb/21/14 Mar/23/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
svcstore Used - $56.99 0 Feb/21/14 Feb/24/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
excess-solutions NEW - $100.00 1 Feb/22/14 Mar/01/14
Description: Applied Materials DIP294 CDN294 DeviceNet I/O block 0190-36511 24digital DIP-294
athomemarket NEW - $526.99 0 Feb/22/14 Mar/24/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
yayais2012 Used - $300.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3657-11
yayais2012 NEW - $140.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $200.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $120.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 Used - $250.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0190-10208 CARD, INTERFACE DNET, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 Used - $90.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0190-10208 DIP-CDN180-12, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 Used - $180.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $350.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $150.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3607-05
yayais2012 Used - $300.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $75.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-12497 REV 002
yayais2012 Used - $150.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-1627-06
yayais2012 NEW - $120.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $75.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 Used - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $45.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $45.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 NEW - $85.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $35.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $95.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 NEW - $48.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 NEW - $78.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
yayais2012 Used - $140.00 0 Feb/22/14 Mar/24/14
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 NEW - $120.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $180.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $55.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 Used - $450.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 NEW - $45.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
dr.fantom Used - $1,200.00 0 Feb/23/14 Feb/12/15
Description: Brooks Robot Applied Materials 0190-08245 WTM511-2-FWS02-V1 6-0002-0705-SP
dr.fantom Used - $1,200.00 0 Feb/23/14 Feb/12/15
Description: Brooks Robot Applied Materials 0190-08245 WTM-511-2-FWS02-V1 0195-02882
imca00 NEW - $12,500.00 0 Feb/23/14 Feb/28/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
yayais2012 NEW - $27.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $95.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $85.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 Used - $90.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $210.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
yayais2012 NEW - $65.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
svcstore NEW - $499.99 0 Feb/23/14 Feb/26/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
dr.fantom Used - $320.00 0 Feb/24/14 Feb/12/15
Description: Applied Materials Digital I/O Board 0100-00397 0100-01321
usedeqsales Used - $2,007.12 0 Feb/24/14 May/01/15
Description: STL Transformer 1360-90103 AMAT Applied Materials XR80 Transformer Working
onlinesmt Used - $375.00 0 Feb/24/14 Mar/26/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
logansemi Used - $179.99 0 Feb/24/14 Mar/03/14
Description: AMAT 0010-10188 Precision P5000 Susceptor Wafer Lift Module Applied Materials
usedeqsales Used - $1,007.12 0 Feb/24/14 Jan/10/19
Description: AMAT Applied Materials 9090-00785 High Voltage Module 3X2H XR80 Used Working
bbs_express Used - $450.00 1 Feb/24/14 Jun/05/14
Description: APPLIED MATERIALS - 9090-00093 - Power Supply - daq Mk II type H (Used)
sduker Used - $50.00 1 Feb/24/14 Mar/07/14
Description: Applied Materials VME Card Extender test adaptor 0660-01016 Schroff 20800188
sduker Used - $50.00 1 Feb/24/14 Feb/26/14
Description: 2 Applied Materials VME Card Extender test adaptor 0660-01039 Schroff 23021653
imca00 NEW - $4,500.00 0 Feb/24/14 Mar/01/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
usedeqsales NEW - $2,002.14 0 Feb/24/14 Dec/04/14
Description: AMAT Applied Materials 0195-09094 SYCAMORE Polisher I/O Assembly New
sduker NEW - $50.00 1 Feb/24/14 May/13/14
Description: APPLIED MATERIALS 3400-01134 HOSE ASSY FLEX BRAID 1/4IDX23.5L 1/4VCR
imca00 Scrap, for parts - $499.00 0 Feb/24/14 Mar/01/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
athomemarket NEW - $61.99 0 Feb/24/14 Mar/26/14
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
athomemarket Used - $2,421.99 0 Feb/24/14 Mar/26/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
promtinc1 NEW - $1,200.00 0 Feb/24/14 Mar/01/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
athomemarket NEW - $1,211.99 0 Feb/24/14 Mar/26/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,624.99 0 Feb/24/14 Mar/26/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
svcstore Used - $249.99 0 Feb/24/14 Feb/27/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $56.99 0 Feb/24/14 Feb/27/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
chriswebber16 NEW - $399.99 0 Feb/25/14 Mar/27/14
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
neilan1987 NEW - $1,499.99 0 Feb/25/14 Apr/21/14
Description: Applied Materials AMAT 0200-05638 300mm Ceramic Open Robot Blade NEW
usedeqsales NEW - $1,502.14 1 Feb/25/14 Apr/06/14
Description: AMAT Applied Materials MFD-0508 RF Cable 20M 0190-28182 New
athomemarket Used - $5,551.99 0 Feb/25/14 Mar/27/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
visionsemi NEW - $39.99 0 Feb/25/14 Jun/14/16
Description: ROBERTSHAW BC10-L2S THERMOCOUPLE AMAT APPLIED MATERIALS 1350-50000
visionsemi Used - $25.00 0 Feb/25/14 May/26/17
Description: AMAT APPLIED MATERIALS 0150-00319 CABLE ASSY
visionsemi Used - $450.00 3 Feb/25/14 Dec/03/16
Description: Applied Materials Stepper Driver PCB 0100-00003 AMAT
visionsemi NEW - $850.00 0 Feb/25/14 Apr/09/14
Description: APPLIED MATERIALS AMAT 0040-94904 HT SWLL COOLDOWN PEDESTAL, BLANK
visionsemi NEW - $18.00 0 Feb/25/14 Mar/18/16
Description: APPLIED MATERIALS AMAT TOOL CAL 101 LIFT TO ROBOT 0270-20152
visionsemi NEW - $14.00 20 Feb/25/14 Mar/18/16
Description: AMAT APPLIED MATERIALS 0020-20117 CONDUCTOR FLEX DC BIAS
visionsemi NEW - $64.99 1 Feb/25/14 Feb/25/16
Description: APPLIED MATERIALS AMAT 8" PRCLN/CL LFT/RBT CAL PLATE 0270-76103
visionsemi Used - $75.00 1 Feb/25/14 Apr/07/16
Description: VERIFLO 944AOPLPNCSFSFF DIAPHRAGM VALVE 42600719 APPLIED MATERIALS 3870-01306
visionsemi Used - $399.99 0 Feb/25/14 May/26/17
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi NEW - $820.00 0 Feb/25/14 Mar/18/16
Description: AMAT APPLIED MATERIALS 0200-20055 INSULATOR QUARTZ 8" PRE-CLEAN W/ ANTENAE PCII
visionsemi NEW - $75.00 0 Feb/25/14 Mar/18/16
Description: APPLIED MATERIALS AMAT GENERATOR CABLE 0150-09593
visionsemi NEW - $175.00 0 Feb/25/14 Mar/18/16
Description: Applied Materials 0200-10147 Quartz Insert 88 Hole GDP
visionsemi NEW - $75.00 0 Feb/25/14 Mar/18/16
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
visionsemi Used - $575.00 0 Feb/25/14 May/26/17
Description: APPLIED MATERIALS AMAT ENDPOINT DETECTOR 0190-10025 VERITY INSTR. 483NM 390NM
athomemarket NEW - $146.99 0 Feb/25/14 Mar/27/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
visionsemi Used - $4,300.00 1 Feb/25/14 Mar/06/14
Description: CTI CRYOGENICS PUMP ON- BOARD IS-8F APPLIED MATERIALS 0190-25016 WITH 0190-25018
dr.fantom Used - $1,200.00 1 Feb/26/14 Jul/11/14
Description: AMAT Applied Materials 0010-77682 ASSY ELECTRONIC Box Pneumatic
bobsgoodies Scrap, for parts - $2,200.00 0 Feb/26/14 Jun/17/14
Description: Applied Materials 0010-76800 Basic Indexer Assembly & Spare Motor Assembly
athomemarket NEW - $89.99 0 Feb/26/14 Mar/05/14
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
semipart NEW - $175.00 1 Feb/26/14 Apr/22/14
Description: Applied Materials 0200-20215 HOUSING, DOUBLE RF CONNECTOR, VECTRA IMP AMAT
semipart Used - $300.00 0 Feb/26/14 Mar/04/14
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. G
athomemarket Used - $187.99 0 Feb/26/14 Mar/28/14
Description: MKS/dIP/AMAT Control CDN396R Applied Materials 0190-27708 DeviceNet PCB Board
athomemarket Used - $187.99 0 Feb/26/14 Mar/28/14
Description: MKS/dIP/AMAT Control CDN391R Applied Materials 0190-24115 DeviceNet PCB Board
athomemarket Used - $45.99 0 Feb/26/14 Mar/05/14
Description: AMAT/Applied Materials 3870-03334 Pneumatic 1/4" VCR Air Diaphragm Valve NC
usedeqsales NEW - $602.14 1 Feb/26/14 Apr/06/14
Description: AMAT Applied Materials MFD-0310 Generator Cable 20M 0620-03165 New
ischoi3 Used - $420.00 1 Feb/26/14 Mar/25/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
athomemarket NEW - $5,954.99 0 Feb/26/14 Mar/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,836.99 0 Feb/26/14 Mar/28/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Feb/26/14 Mar/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Used - $401.99 1 Feb/26/14 Mar/13/14
Description: AMAT 0090-02625 300mm-RPG Interlock PCB Board PED-NonBias PVD Applied Materials
athomemarket NEW - $509.99 0 Feb/26/14 Mar/28/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
leapingserver NEW - $125.99 5 Feb/27/14 Oct/10/14
Description: New Sanyo Denki PM Driver D5-1-40174-1 PMDPC1C3PA1 Applied Materials 1080-00153
dr.fantom Used - $300.00 1 Feb/27/14 Jul/30/14
Description: Applied Materials 0010-02905 Blade Assy P5000 Robot 200MM w/Mixed Parts
yayais2012 NEW - $45.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $30.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 Used - $45.00 0 Feb/27/14 Mar/29/14
Description: applied materials 0021-89703 rev 002 119423-237
yayais2012 Used - $650.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0041-06180 REV 004 300520 0311 MFC10D31967
yayais2012 Used - $120.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS POWER SUPPLY ATX 5V, 3.3V, 12V - 12V 0090-04247 FPS1 208 VAC
yayais2012 NEW - $145.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $75.00 0 Feb/27/14 Mar/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $67.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS KIT, 1.33 OD BLANKOFF FLANGE 0242-20102
yayais2012 NEW - $65.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
alvin1462 NEW - $488.00 1 Feb/27/14 Nov/19/15
Description: AMAT APPLIED MATERIALS 0190-38517 HALOGEN LAMPS 10 BULBS OPTIC NEW
alvin1462 NEW - $488.00 4 Feb/27/14 Mar/31/15
Description: Applied Materials AMAT 0190-38516 Lamp Assembly Pack of 10 Bulb NEW
alvin1462 Used - $5,555.00 1 Feb/27/14 Sep/29/14
Description: Applied Materials 0090-36276 P5000 & 5200 CVD , DPA Reactor Assembly
alvin1462 Used - $1,118.00 4 Feb/27/14 Mar/04/15
Description: Applied Materials AMAT 0010-09750 CVD RF Match DC BIAS P5000
alvin1462 NEW - $888.00 2 Feb/27/14 Apr/08/14
Description: AMAT Applied Materials Test Check Table for 0100-01973 PCB 300mm RADIANCE NEW
alvin1462 NEW - $888.00 2 Feb/27/14 Oct/13/15
Description: AMAT APPLIED MATERIALS 0246-01928 MKS Throttle valve 683B-29016 NEW
alvin1462 NEW - $3,888.00 1 Feb/27/14 Nov/12/16
Description: AMAT Applied Materials 0190-14175 STATOR, MAG LEV, WRLD 300MM RADIANCE NEW
bobsgoodies Used - $175.00 1 Feb/27/14 Mar/01/14
Description: Applied Materials 0010-00183 Motor Knee Stepper AMAT
virtu13 NEW - $100.00 0 Feb/27/14 Mar/05/14
Description: AMAT Applied Materials 0200-00436
usedeqsales Used - $3,002.14 0 Feb/27/14 May/01/15
Description: AMAT Applied Materials 0195-08438 Disk Brush Driver Enclosure Used Working
farmoninc Used - $750.00 1 Feb/27/14 Oct/03/14
Description: AMAT APPLIED MATERIALS 3870-02708 VALVE IDA KF-25 1/2VCR-M/F 316L, SST
virtu13 NEW - $202.50 1 Feb/27/14 Mar/06/14
Description: AMAT Applied Materials 0021-78073
athomemarket NEW - $63.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $99.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $90.99 0 Feb/27/14 Mar/29/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $63.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket Used - $5,046.99 0 Feb/27/14 Mar/29/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,853.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
supertechshop Used - $499.00 0 Feb/27/14 Mar/29/14
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 Feb/27/14 Mar/29/14
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $299.00 0 Feb/27/14 Mar/29/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $199.00 0 Feb/27/14 Mar/29/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Feb/27/14 Mar/29/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop NEW - $299.00 0 Feb/27/14 Mar/29/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $299.00 0 Feb/27/14 Mar/29/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $169.00 0 Feb/27/14 Mar/29/14
Description: AMAT AKT High Voltage HV-Supply Interface 0101-57025 Board Applied Materials
svcstore Used - $249.99 0 Feb/27/14 Mar/02/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $56.99 0 Feb/27/14 Mar/02/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bruce135 Used - $219.00 0 Feb/28/14 Mar/30/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
wideerp01 Used - $800.00 0 Feb/28/14 Mar/07/14
Description: Applied Materials Digital Hybrid RF Match,MXP+,ETCH 0010-12090
usedeqsales Used - $602.14 1 Feb/28/14 Dec/07/17
Description: AMAT Applied Materials 0100-00581 300mm Gas Interlock Card Used Working
usedeqsales NEW - $302.14 0 Feb/28/14 May/04/15
Description: AMAT Applied Materials 425556P-XA Chiller Cold Loop Coolant Hose AMAT 3400-02133
imca00 NEW - $12,500.00 0 Feb/28/14 Mar/05/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Used - $2,362.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $180.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket NEW - $5,550.99 0 Feb/28/14 Mar/30/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $425.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Scrap, for parts - $3,532.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $199.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
hi-techstuff Used - $4,999.99 1 Sep/25/12 Mar/01/19
Description: Applied Materials AMAT 0090-91590ITL 0100-90451 Control
wpvbekins NEW - $75.00 0 Jul/14/11 Aug/20/16
Description: AMAT Applied Materials Gas Line P/N 0050-14943 Rev A
acesemi2010 Used - $126.00 0 Feb/09/12 Jun/26/14
Description: Applied Materials 0150-03341 CABLE ASSY, SWLL IPUP POWER, 300MM CENTU AMAT
sparepartssolution NEW - $499.99 0 Sep/06/12 Sep/02/15
Description: (127-0401) AMAT APPLIED MATERIALS 0660-01669 CARD CONVECTRON MODULE 5-CH R NEW
svcstore NEW - $399.99 0 Mar/12/14 Mar/15/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
excessequip-com Used - $85.00 1 Oct/25/12 Mar/11/14
Description: Applied Materials 0190-16928 Devicenet Scanner Board, SN 2A269995
athomemarket Used - $259.99 0 Mar/12/14 Apr/11/14
Description: AMAT Applied Materials 0010-09316 Watlow TEOS Temp Controller Rev. A
visionsemi Used - $308.02 0 Mar/12/14 Aug/19/15
Description: IBM x306 RACK MOUNT SERVER APPLIED MATERIALS AMAT 0090-04295
athomemarket Used - $149.99 0 Mar/12/14 Apr/11/14
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $139.99 0 Mar/12/14 Apr/11/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
bobsgoodies Used - $125.00 0 Mar/12/14 Jun/17/14
Description: AMAT 0090-70000 MTR-BRK-ENCDR ASSY CASSETTE HANDLER Applied Materials
bobsgoodies Scrap, for parts - $125.00 0 Mar/12/14 Jun/17/14
Description: AMAT 0090-70000 MTR-BRK-ENCDR ASSY CASSETTE HANDLER Applied Materials
bobsgoodies Scrap, for parts - $125.00 0 Mar/12/14 Jun/17/14
Description: AMAT 0090-70001 MTR-BRK-ENCDR ASSY STOR ELEVATOR Applied Materials
esolutions1 NEW - $179.10 0 Mar/12/14 Dec/21/14
Description: NEW Applied Materials 0021-42735 VIEWPORT POLYCARBONATE
yayais2012 NEW - $220.00 0 Mar/12/14 Apr/11/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
yayais2012 NEW - $220.00 0 Mar/12/14 Apr/11/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
yayais2012 Used - $115.00 0 Mar/12/14 Apr/11/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/12/14 Mar/19/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
ntsurplus302 NEW - $90.00 0 Mar/11/14 Sep/13/15
Description: 3160 Applied Materials 0021-13323 O-Ring Transfer Chamber Lid. Viton 75 DURO, Bl
ntsurplus302 NEW - $90.00 0 Mar/11/14 Mar/23/15
Description: 3159 Applied Materials 3700-01379 O- Ring ID9.975 CSD .210 Viton 75 Blk
athomemarket Used - $264.99 0 Mar/11/14 Apr/10/14
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $164.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $165.99 0 Mar/11/14 Apr/10/14
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $234.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Refurbished - $133.99 0 Mar/11/14 Apr/10/14
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $63.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $158.99 0 Mar/11/14 Apr/10/14
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $271.99 0 Mar/11/14 Apr/10/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
ptb-sales Used - $1,800.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $2,500.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $225.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $780.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Scrap, for parts - $5,000.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $250.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $750.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $360.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $3,000.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies Used - $175.00 1 Mar/11/14 Apr/29/14
Description: APPLIED MATERIALS 0090-75010 MOTOR ENCODER ASSY ROBOT EXTENSION AMAT
bobsgoodies Used - $175.00 0 Mar/11/14 Jun/17/14
Description: APPLIED MATERIALS 0090-75009 MOTOR ENCODER ASSY ROBOT EXTENSION AMAT
surplusssam NEW - $586.49 0 Mar/11/14 Jul/24/19
Description: NEW AMAT APPLIED MATERIALS 3700-04313 GATE SEAL 25X560 VITON
tcchie Used - $1,999.00 0 Mar/11/14 Mar/16/14
Description: Applied Materials magnet 0010-01198
tcchie Used - $1,999.00 0 Mar/11/14 Mar/16/14
Description: Applied Materials magnet 0010-21668
tcchie Used - $1,999.00 0 Mar/11/14 Mar/16/14
Description: Applied Materials magnet 0010-21810
tcchie Used - $1,999.00 0 Mar/11/14 Mar/16/14
Description: Applied Materials 0010-14612 DPS cathode 200mm
tcchie NEW - $1,999.00 0 Mar/11/14 Mar/16/14
Description: Applied Materials 0240-03673 RTP lamps 212 pieces lamp is 0190-36349
tcchie NEW - $2,888.00 0 Mar/11/14 Mar/16/14
Description: Applied Materials Refector lamp Modd II 0021-35055 187 pieces
tcchie NEW - $199.00 0 Mar/11/14 Mar/16/14
Description: applied materials 0100-20173 stepper control board
tcchie NEW - $199.00 0 Mar/11/14 Mar/16/14
Description: applied materials 0100-01321 Digital I/O board
tcchie NEW - $199.00 0 Mar/11/14 Mar/16/14
Description: applied materials 0100-01321 Digital I/O board
tcchie NEW - $599.99 0 Mar/11/14 Mar/16/14
Description: applied materials 0020-34482 insulator feed
tcchie NEW - $199.00 0 Mar/11/14 Mar/16/14
Description: Applied materials 0100-00269
mghaines NEW - $10.00 0 Mar/11/14 Apr/10/14
Description: Applied Materials 3880-01025 WSHR LKG SPLIT #10 .3340D X .200ID X .047
mghaines NEW - $42.00 0 Mar/11/14 Apr/10/14
Description: Applied Materials 0910-01109
yayais2012 Used - $65.00 0 Mar/11/14 Apr/10/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
yayais2012 Used - $3,500.00 0 Mar/11/14 Apr/10/14
Description: AMAT APPLIED MATERIALS 0040-23341 REV 007 EEP-364
bigg.logistics101 NEW - $999.99 1 Mar/11/14 Jul/07/14
Description: APPLIED MATERIALS HDP-CVD APPLICATOR KIT 0200-18073, 0200-18074
svcstore Used - $51.99 0 Mar/10/14 Mar/13/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
bruce135 Used - $449.00 0 Mar/10/14 Apr/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
promtinc1 NEW - $1,200.00 0 Mar/10/14 Mar/15/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
athomemarket Used - $270.99 0 Mar/10/14 Apr/09/14
Description: Applied Materials 0100-01577 Chamber Distribution Control Board AMAT AS00363-03
athomemarket Refurbished - $208.99 0 Mar/10/14 Apr/09/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
pete-sigep Used - $475.00 0 Mar/10/14 Apr/09/14
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
pete-sigep Used - $475.00 0 Mar/10/14 Apr/09/14
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
svcstore Used - $249.99 0 Mar/12/14 Mar/15/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
lot2sell07 Used - $2,800.00 0 Mar/12/14 Mar/22/14
Description: APPLIED MATERIALS 0010-23715 PMAX EMISSOMETER RTP PROBE ASSY.
tcchie Used - $1,399.00 0 Mar/13/14 Mar/18/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
athomemarket Used - $4,037.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Mar/13/14 Apr/12/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $522.99 0 Mar/13/14 Apr/12/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
athomemarket Refurbished - $111.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $165.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $3,331.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,572.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
excess-solutions NEW - $100.00 0 Mar/13/14 Mar/20/14
Description: Applied Materials DIP294 CDN294 DeviceNet I/O block 0190-36511 24digital DIP-294
svcstore Used - $51.99 0 Mar/13/14 Mar/16/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
semipart NEW - $220.00 0 Mar/14/14 Apr/13/14
Description: Applied Materials, Half ATM Switch, 0190-21713
bobsgoodies Used - $150.00 0 Mar/14/14 Jun/17/14
Description: MIRRA AMAT 0100-77026 Wrist Home & Axis Limit Sensor EESV3 Applied Materials
semipart NEW - $150.00 5 Mar/13/14 Mar/19/14
Description: New Applied Materials 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC AMAT Rev C
wideerp01 Used - $800.00 0 Mar/14/14 Mar/21/14
Description: Applied Materials Digital Hybrid RF Match,MXP+,ETCH 0010-12090
semipart NEW - $25.00 5 Mar/14/14 Apr/26/15
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
semipart NEW - $12.00 49 Mar/14/14 Sep/23/14
Description: Applied Materials 0200-01798 PIN, LIFT, TXZ HEATER
usedeqsales Used - $303.14 0 Mar/14/14 May/04/15
Description: AMAT Applied Materials 3310-00139 Capacitance Pirani Gauge Used Working
athomemarket Used - $209.99 0 Mar/14/14 Apr/13/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $4,844.99 0 Mar/14/14 Apr/13/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $91.99 0 Mar/14/14 Apr/13/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $188.99 0 Mar/14/14 Apr/13/14
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
athomemarket Used - $65.99 0 Mar/14/14 Apr/13/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket NEW - $81.99 0 Mar/14/14 Apr/13/14
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
athomemarket NEW - $278.99 0 Mar/14/14 Apr/13/14
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $305.99 0 Mar/14/14 Apr/13/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket Used - $187.99 0 Mar/14/14 Apr/13/14
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
athomemarket Used - $187.99 0 Mar/14/14 Apr/13/14
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
tripledirect Used - $7,100.00 0 Mar/15/14 Apr/14/14
Description: Applied Materials Magnet Model # 0010-21810
athomemarket Refurbished - $144.99 0 Mar/15/14 Apr/14/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $97.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $214.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $345.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $106.99 0 Mar/15/14 Apr/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,256.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,508.99 0 Mar/15/14 Apr/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $254.99 0 Mar/15/14 Apr/14/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
semipart NEW - $70.00 0 Mar/15/14 Apr/02/18
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Proteus 9100B24P16, 2 available
bigg.logistics101 NEW - $49.99 0 Mar/15/14 Apr/25/17
Description: APPLIED MATERIALS KIT PM VALVE SLIT DEL ACT 3870-01891
svcstore NEW - $399.99 0 Mar/15/14 Mar/18/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $249.99 0 Mar/15/14 Mar/18/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
tcchie NEW - $599.99 0 Mar/16/14 Mar/21/14
Description: applied materials 0020-34482 insulator feed
tcchie NEW - $199.00 0 Mar/16/14 Mar/21/14
Description: applied materials 0100-20173 stepper control board
tcchie NEW - $199.00 0 Mar/16/14 Mar/21/14
Description: applied materials 0100-01321 Digital I/O board
tcchie Used - $1,999.00 0 Mar/16/14 Mar/21/14
Description: Applied Materials magnet 0010-01198
trees_for_a_better_tomorrow Used - $750.00 0 Mar/16/14 Mar/23/14
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
stdc2012 Used - $800.00 0 Mar/16/14 Apr/15/14
Description: APPLIED MATERIALS 0020-32909 REV.001 / 0041-11052 REV.02
trees_for_a_better_tomorrow Used - $350.00 0 Mar/16/14 Mar/23/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $225.00 0 Mar/16/14 Mar/23/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/16/14 Mar/23/14
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/16/14 Mar/23/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/16/14 Mar/23/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $95.00 0 Mar/16/14 Mar/23/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $295.00 0 Mar/16/14 Mar/23/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/16/14 Mar/23/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow Used - $100.00 0 Mar/16/14 Mar/23/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $80.00 0 Mar/16/14 Mar/23/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $350.00 0 Mar/16/14 Mar/23/14
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow NEW - $150.00 0 Mar/16/14 Mar/23/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/16/14 Mar/23/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
svcstore Used - $51.99 0 Mar/16/14 Mar/19/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
getsurplusherenow NEW - $1,750.00 0 Mar/16/14 Apr/15/14
Description: Assy PVD Chamber Driver, Applied Materials P/N 0010-20079
athomemarket NEW - $97.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Used - $110.99 0 Mar/16/14 Apr/15/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $187.99 0 Mar/16/14 Apr/15/14
Description: MKS/dIP/AMAT Control CDN491R Applied Materials 0190-34282 DeviceNet PCB Board
athomemarket NEW - $380.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $332.99 0 Mar/16/14 Apr/15/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
getsurplusherenow NEW - $4,595.00 0 Mar/16/14 Apr/15/14
Description: NEW-ENDURA-PreClean II RF Match. 8inch/200mm Applied Materials Part# 0010-20524
athomemarket NEW - $115.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket Refurbished - $218.99 0 Mar/16/14 Apr/15/14
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
ocean-tech Used - $229.99 0 Mar/17/14 Apr/16/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
yayais2012 Used - $180.00 0 Mar/17/14 Apr/16/14
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $215.00 0 Mar/17/14 Apr/16/14
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
yayais2012 Used - $95.00 0 Mar/17/14 Apr/16/14
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $380.00 0 Mar/17/14 Apr/16/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 NEW - $70.00 0 Mar/17/14 Apr/16/14
Description: Applied Materials 0190-10270 Diamond Pad Cond
yayais2012 NEW - $170.00 0 Mar/17/14 Apr/16/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $95.00 0 Mar/17/14 Apr/16/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
oka1298 NEW - $42.49 0 Mar/17/14 Jun/04/16
Description: Applied Materials 0021-08324 ADAPTER, BLOCK WATER 3/8NPT SIP 300MM AMAT
logansemi Used - $275.00 1 Mar/17/14 Aug/02/15
Description: AMAT 0010-10188 Precision P5000 Susceptor Wafer Lift Module Applied Materials
onlinesmt NEW - $249.00 0 Mar/17/14 Apr/16/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $199.00 0 Mar/17/14 Apr/16/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Mar/17/14 Apr/16/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
athomemarket Used - $129.99 0 Mar/21/14 Apr/20/14
Description: AMAT/Applied Materials 0103-65042 Digital Inkjet 60K Board PCB Assembly AKT
athomemarket NEW - $206.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $300.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $300.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $1,091.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Mar/21/14 Apr/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,091.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $4,037.99 0 Mar/21/14 Apr/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $241.99 0 Mar/21/14 Apr/20/14
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket NEW - $315.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $516.99 0 Mar/21/14 Apr/20/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $75.99 0 Mar/21/14 Apr/20/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $191.99 0 Mar/21/14 Apr/20/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
onlinesmt NEW - $249.00 0 Mar/21/14 Apr/20/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
ptb-sales Used - $360.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $780.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $225.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $5,000.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $250.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
used1eqsales Used - $1,306.41 1 Mar/21/14 Dec/07/14
Description: AMAT Applied Materials 0110-02977 RF Match Sub Zero BESC AMAT Endura 300mm
prism_electronics6 Used - $89.97 0 Mar/21/14 Jun/20/22
Description: APPLIED MATERIALS Retaining Ring Composite 8" Titian Head, 0040-80465 Rev. 002
prism_electronics6 Used - $59.98 1 Mar/21/14 Nov/30/21
Description: APPLIED MATERIALS Retaining Ring Composite 8" Titian Head, 0040-86009 Rev. 002
bobsgoodies NEW - $617.00 0 Mar/21/14 Jun/17/14
Description: Applied Materials 0190-21323 T/C HTR RF Short Thread Marchi SDSM-1096 14931300
fablogic NEW - $1,500.00 1 Mar/20/14 Aug/17/14
Description: AFX Ozone Analyzer Applied Materials part # 0190-09367 (NEW)
fablogic NEW - $900.00 0 Mar/20/14 Aug/17/14
Description: 0040-03083 Applied Materials WXZ Heater, 200 mm ( New)
fablogic Used - $1,000.00 0 Mar/20/14 Aug/17/14
Description: Daihen SMA 15B 2450 MHZ 1500W Applied Materials part # 3750-01096
fablogic Used - $1,500.00 0 Mar/20/14 Aug/17/14
Description: Daihen SGM-15B Microwave Magnetron Applied Materials part # 0920-01044 (used)
yayais2012 NEW - $170.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0240-52734 KIT, H2 ON INDICATOR PER PROCESS PLATTER, HELIOS
yayais2012 Used - $780.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $75.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 Used - $220.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 NEW - $110.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
yayais2012 NEW - $110.00 0 Mar/20/14 Apr/19/14
Description: Applied Materials 0050-53004 WELDMENT, CONVECTRON ADAPTER, NW50 W/1/4'' VCR ADA
yayais2012 NEW - $240.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
yayais2012 NEW - $220.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS ASSY RIM PRESSURE VALVE/S 0010-47135
yayais2012 NEW - $150.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-15385 CABLE ASSY HELIOS-4 INLET1 TO FACILITY N2 PRESS S
yayais2012 Used - $200.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 FW: 1.201 / HW: 02
yayais2012 NEW - $95.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-15386 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-A IH1000
yayais2012 NEW - $150.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-22912 CABLE ASSY SCRUBBER RUNNING SICONI 300M
yayais2012 NEW - $220.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-27540 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH
yayais2012 NEW - $250.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0190-23270 OHT HOKUYO DMS-HB1-Z28, E84 VARIABLE SENSOR
yayais2012 NEW - $110.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-15387 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH-B IH1000
athomemarket NEW - $1,716.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $502.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $88.99 0 Mar/20/14 Apr/19/14
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
athomemarket NEW - $1,817.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
semipart NEW - $650.00 1 Mar/20/14 Mar/26/14
Description: AMAT APPLIED MATERIALS 0870-00036 DRIVE 5-PHASE STEPPER MOTOR
iyarmi9 NEW - $299.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 - NEW(!)
svcstore NEW - $399.99 0 Mar/21/14 Mar/24/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $249.99 0 Mar/21/14 Mar/24/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
supertechshop NEW - $299.00 0 Mar/22/14 Apr/21/14
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $99.99 0 Mar/22/14 Apr/21/14
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Mar/22/14 Apr/21/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $399.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Mar/22/14 Apr/21/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $99.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
fablogic NEW - $550.00 0 Mar/21/14 Aug/18/14
Description: 0010-10038 N2 Doping Kit Applied Materials (New)
supertechshop Used - $99.99 0 Mar/22/14 Apr/21/14
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 Mar/22/14 Apr/21/14
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop Used - $499.00 0 Mar/22/14 Apr/21/14
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop NEW - $399.00 0 Mar/22/14 Apr/21/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $99.00 0 Mar/22/14 Apr/21/14
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop NEW - $1,250.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop Used - $199.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
fablogic Used - $1,150.00 1 Mar/21/14 Mar/25/14
Description: Applied Materials DXZ Match Adapter 1110-01015 (Used; Lot of 2)
supertechshop NEW - $299.00 0 Mar/22/14 Apr/21/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop NEW - $995.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $149.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
yayais2012 Used - $400.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
yayais2012 NEW - $400.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
fablogic NEW - $3,000.00 0 Mar/21/14 Aug/18/14
Description: 0010-21403 Applied Materials PVD Magnet ( New)
fablogic Used - $850.00 0 Mar/21/14 Aug/18/14
Description: Applied Materials RPS Chamber liners 0020-34315 ( Lot of 2; Used)
fablogic Used - $755.00 0 Mar/21/14 Aug/18/14
Description: Applied Materials 8300 Robot 0010-00212 (used)
semipart NEW - $1,800.00 2 Mar/22/14 May/22/14
Description: AMAT APPLIED MATERIALS 0010-20133 BLADE ASSY 8" Transfer, New 2 available
athomemarket Used - $61.99 0 Mar/22/14 Apr/21/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $199.99 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,742.99 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
svcstore Used - $51.99 0 Mar/22/14 Mar/25/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
lot2sell07 Used - $800.00 0 Mar/22/14 Mar/27/14
Description: APPLIED MATERIALS 0010-23715 PMAX EMISSOMETER RTP PROBE ASSY.
fablogic Used - $750.00 1 Mar/22/14 May/14/14
Description: Applied Materials 0010-01412 Actuator, Assy. Liner Door MXP+
fablogic NEW - $650.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials 0660-01583 Power Supply, ETO (NEW)
fablogic Used - $550.00 0 Mar/22/14 Aug/19/14
Description: Applied Materials 0240-31632 Kit, Wafer Position Sensor 29 Slot P-5000 (used)
fablogic Used - $600.00 1 Mar/22/14 Mar/23/14
Description: Applied Materials 3750-01112 Tube, Plasma Source Astex Model No. AX7610-5 (used)
tcchie Used - $1,399.00 0 Mar/23/14 Mar/28/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
pnpsemi Used - $300.00 0 Mar/23/14 Jun/10/16
Description: Applied Materials, Digital I/0 BOARD 0100-76124
liquidationbazar NEW - $999.00 0 Mar/23/14 Apr/22/14
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
athomemarket Used - $6,862.99 0 Mar/23/14 Apr/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $394.99 0 Mar/23/14 Apr/22/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $6,570.99 0 Mar/23/14 Apr/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
fablogic NEW - $1,100.00 0 Mar/23/14 Apr/21/14
Description: Applied Materials 0150-76206 Cable, assy Chamber Umbilical
wideerp01 Used - $5,999.00 0 Mar/23/14 Apr/22/14
Description: Applied Materials CVD 8" Tungston Chamber WXL P500 0040-09136
fablogic NEW - $9,995.00 0 Mar/23/14 Aug/20/14
Description: 0010-20768 Applied Materials PVD Magnet , G 12 8" ( New)
trees_for_a_better_tomorrow Used - $100.00 0 Mar/23/14 Mar/30/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $80.00 0 Mar/23/14 Mar/30/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $350.00 0 Mar/23/14 Mar/30/14
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/23/14 Mar/30/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $150.00 0 Mar/23/14 Mar/30/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow Used - $225.00 0 Mar/23/14 Mar/30/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/23/14 Mar/30/14
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow Used - $350.00 0 Mar/23/14 Mar/30/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/23/14 Mar/30/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/23/14 Mar/30/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/23/14 Mar/30/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $295.00 0 Mar/23/14 Mar/30/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow Used - $750.00 0 Mar/23/14 Mar/30/14
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $95.00 0 Mar/23/14 Mar/30/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
dr.fantom Used - $50.00 0 Mar/24/14 Feb/12/15
Description: lot of 2 BANNER MD14BB6 Photoelectric Sensor Applied Materials 0090-02138
dr.fantom Used - $200.00 1 Mar/24/14 Apr/12/14
Description: Applied Materials 0020-31281 HINGE CVD 8" CHAMBER W/ Nor-Cal
dr.fantom Used - $120.00 0 Mar/24/14 Feb/12/15
Description: AMAT / Applied Materials 0021-78097 W/ Burkert US06046 Solenoid Valve
excess-solutions NEW - $100.00 0 Mar/24/14 Mar/27/14
Description: Applied Materials DIP294 CDN294 DeviceNet I/O block 0190-36511 24digital DIP-294
dr.fantom Used - $180.00 0 Mar/24/14 Feb/12/15
Description: AMAT / Applied Materials 0020-09604 Voltage Gradient Feedthrough Spacer
dr.fantom Used - $120.00 0 Mar/24/14 Feb/12/15
Description: Applied Materials Brooks 0190-24484 TLG-I2-AMAT-R1 Transponder Reader ASC-I1
esoteric_specialties Used - $950.00 0 Mar/24/14 Apr/23/14
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
athomemarket NEW - $114.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $270.99 0 Mar/24/14 Apr/23/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $206.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $71.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
prism_electronics6 Used - $2,999.99 0 Mar/24/14 Jan/28/15
Description: Applied Materials 0010-03332 Rev. 001, Notch Style WxZ Purge Ring
promtinc1 NEW - $1,200.00 0 Mar/24/14 Mar/29/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
wpvbekins Used - $575.00 0 Mar/24/14 Apr/23/14
Description: Applied Materials AMAT 0010-00685 Pneumatic Assy
wpvbekins Used - $250.00 1 Mar/24/14 Apr/15/14
Description: AMAT Applied Materials 0190-20124 HDPVCD Interlock Board 0100-18034
svcstore NEW - $399.99 0 Mar/24/14 Mar/27/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
wpvbekins Used - $400.00 1 Mar/24/14 Apr/24/14
Description: Applied Materials 5000 BW/CVD 100,125,150MM THROTLE VALVE 0010-09035
svcstore Used - $249.99 0 Mar/24/14 Mar/27/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
yayais2012 NEW - $48.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 Used - $150.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-1627-06
yayais2012 NEW - $120.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $45.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
yayais2012 Used - $850.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $250.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0190-10208 CARD, INTERFACE DNET, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 NEW - $75.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $200.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $35.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $150.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3607-05
yayais2012 NEW - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 Used - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $120.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $75.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-12497 REV 002
yayais2012 NEW - $78.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
yayais2012 NEW - $85.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $180.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $55.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 Used - $45.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $45.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 NEW - $140.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $300.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 Used - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $180.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $350.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $120.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 Used - $450.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 Used - $90.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0190-10208 DIP-CDN180-12, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 Used - $140.00 0 Mar/25/14 Apr/24/14
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 NEW - $95.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 Used - $300.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3657-11
powersell007 NEW - $489.00 0 Mar/25/14 Dec/22/14
Description: *UNUSED* APPLIED MATERIALS AMAT 0200-10073 QUARTZ INSULATOR 871//196-0428 200mm
powersell007 Used - $59.00 10 Mar/25/14 Mar/25/23
Description: *NEW* APPLIED MATERIALS AMAT 0021-81369 MEMBRANE, 300mm TITAN PROFILER 5 - ZONE
usedeqsales NEW - $303.14 0 Mar/24/14 May/04/15
Description: Perlast 3700-00017 O-Ring Seal AMAT Applied Materials Lot of 10 New
visionsemi Used - $125.00 0 Mar/25/14 May/26/17
Description: APPLIED MATERIALS AMAT 0150-09708 REMOTE SYSTEM CRT
visionsemi NEW - $125.00 0 Mar/25/14 May/26/17
Description: APPLIED MATERIALS AMAT 0190-28262 CMP DIAMOND DISK A1921X
athomemarket NEW - $526.99 0 Mar/25/14 Apr/24/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
prism_electronics6 Used - $2,999.99 0 Mar/25/14 Jan/28/15
Description: Applied Materials Flatted Style WxY Purge Ring, AMJ Seasoning, 0010-03345
svcstore Used - $51.99 0 Mar/25/14 Mar/28/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
desertmcgee NEW - $105.00 0 Mar/25/14 Jun/26/14
Description: Applied Materials 0020-79322 MEMBRANE SUPPORT
supertechshop NEW - $99.99 0 Mar/26/14 Apr/25/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
supertechshop NEW - $399.00 0 Mar/26/14 Apr/25/14
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
supertechshop Used - $499.00 0 Mar/26/14 Apr/25/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop NEW - $299.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $950.00 0 Mar/26/14 Apr/25/14
Description: Applied Materials 0130-11001 Analog Output Board P5000 PCB 0100-11001 / Warranty
supertechshop NEW - $299.00 0 Mar/26/14 Apr/25/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop NEW - $99.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop Used - $149.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
tcchie NEW - $599.99 0 Mar/26/14 Mar/31/14
Description: applied materials 0020-34482 insulator feed
tcchie NEW - $199.00 0 Mar/26/14 Mar/31/14
Description: applied materials 0100-20173 stepper control board
tcchie NEW - $199.00 0 Mar/26/14 Mar/31/14
Description: applied materials 0100-01321 Digital I/O board
yayais2012 NEW - $27.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $85.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $65.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 Used - $90.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $210.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
yayais2012 NEW - $95.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
visionsemi Used - $50.00 0 Mar/26/14 May/26/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
athomemarket NEW - $1,211.99 0 Mar/27/14 Apr/26/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,624.99 0 Mar/27/14 Apr/26/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket Used - $2,421.99 0 Mar/27/14 Apr/26/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket NEW - $61.99 0 Mar/27/14 Apr/26/14
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
onlinesmt Used - $375.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
elcom-components NEW - $130.00 0 Mar/27/14 Jun/14/16
Description: APPLIED MATERIALS 0190-37941 TRIP MEDIUM-TIME-DLY D
chriswebber16 NEW - $399.99 0 Mar/27/14 Apr/26/14
Description: Applied Materials AMAT 0190-10004 BLF Bellow - SEALED!!
svcstore NEW - $399.99 0 Mar/27/14 Mar/30/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
lot2sell07 Used - $800.00 0 Mar/27/14 Mar/31/14
Description: APPLIED MATERIALS 0010-23715 PMAX EMISSOMETER RTP PROBE ASSY.
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/27/14 Mar/30/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
tcchie Used - $1,399.00 0 Mar/28/14 Apr/02/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
athomemarket NEW - $146.99 0 Mar/28/14 Apr/27/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket Used - $5,551.99 0 Mar/28/14 Apr/27/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
svcstore Used - $249.99 0 Mar/28/14 Mar/31/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
athomemarket Used - $187.99 0 Mar/28/14 Apr/27/14
Description: MKS/dIP/AMAT Control CDN396R Applied Materials 0190-27708 DeviceNet PCB Board
athomemarket Used - $187.99 0 Mar/28/14 Apr/27/14
Description: MKS/dIP/AMAT Control CDN391R Applied Materials 0190-24115 DeviceNet PCB Board
svcstore Used - $51.99 0 Mar/28/14 Mar/31/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
excess-solutions NEW - $100.00 0 Mar/29/14 Apr/03/14
Description: Applied Materials DIP294 CDN294 DeviceNet I/O block 0190-36511 24digital DIP-294
athomemarket Scrap, for parts - $3,836.99 0 Mar/29/14 Apr/28/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Mar/29/14 Apr/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Mar/29/14 Apr/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Mar/29/14 Apr/28/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
allpart2014 Used - $300.00 0 Mar/30/14 Jul/21/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321
leapingserver NEW - $42.99 0 Mar/30/14 May/24/14
Description: NEW Ushio 2200w 120v 1KZ Lamp Bulb Applied Materials AMAT 0190-39974 for EPI RTP
athomemarket NEW - $90.99 0 Mar/30/14 Apr/29/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket Used - $5,046.99 0 Mar/30/14 Apr/29/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $99.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $63.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $5,853.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
dvkelectronics Used - $6,500.00 0 Mar/30/14 Apr/29/14
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/30/14 Apr/02/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
svcstore NEW - $399.99 0 Mar/30/14 Apr/02/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/30/14 Apr/06/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/30/14 Apr/06/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow NEW - $295.00 0 Mar/30/14 Apr/06/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow Used - $750.00 0 Mar/30/14 Apr/06/14
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $95.00 0 Mar/30/14 Apr/06/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/30/14 Apr/06/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $150.00 0 Mar/30/14 Apr/06/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $95.00 0 Mar/30/14 Apr/06/14
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $125.00 0 Mar/30/14 Apr/06/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow Used - $225.00 0 Mar/30/14 Apr/06/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $350.00 0 Mar/30/14 Apr/06/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $350.00 0 Mar/30/14 Apr/06/14
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $100.00 0 Mar/30/14 Apr/06/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $80.00 0 Mar/30/14 Apr/06/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
bobsgoodies NEW - $50.00 0 Mar/31/14 Jun/17/14
Description: AMAT 0140-00192 Power Receptacle K-Tec Applied Materials (Lot of 5)
tcchie NEW - $199.00 0 Mar/31/14 Apr/05/14
Description: applied materials 0100-01321 Digital I/O board
yayais2012 NEW - $67.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS KIT, 1.33 OD BLANKOFF FLANGE 0242-20102
yayais2012 Used - $45.00 0 Mar/31/14 Apr/30/14
Description: applied materials 0021-89703 rev 002 119423-237
yayais2012 NEW - $45.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $65.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $30.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $75.00 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $145.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 Used - $650.00 5 Mar/31/14 Apr/22/14
Description: APPLIED MATERIALS 0041-06180 REV 004 300520 0311 MFC10D31967
yayais2012 Used - $120.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS POWER SUPPLY ATX 5V, 3.3V, 12V - 12V 0090-04247 FPS1 208 VAC
athomemarket NEW - $69.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
supertechshop Used - $299.00 0 Mar/31/14 Apr/30/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
athomemarket Used - $214.99 0 Mar/31/14 Apr/07/14
Description: Applied Materials 0100-20003 Digital I/O Board AMAT P5000 Input Output PCB
athomemarket NEW - $69.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket Used - $180.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $3,532.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $59.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Mar/31/14 Apr/07/14
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
ptb-sales Used - $360.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $780.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $750.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Scrap, for parts - $5,000.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $3,000.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $225.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $250.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
supertechshop NEW - $299.00 0 Mar/31/14 Apr/30/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 Mar/31/14 Apr/30/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $169.00 0 Mar/31/14 Apr/30/14
Description: AMAT AKT High Voltage HV-Supply Interface 0101-57025 Board Applied Materials
supertechshop Used - $499.00 0 Mar/31/14 Apr/30/14
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 Mar/31/14 Apr/30/14
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $199.00 0 Mar/31/14 Apr/30/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Mar/31/14 Apr/30/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
athomemarket Used - $425.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $5,550.99 0 Mar/31/14 Apr/30/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $2,362.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $199.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $249.99 0 Mar/31/14 Apr/03/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $51.99 0 Mar/31/14 Apr/03/14
Description: Applied Materials SAN-P2 0140-02 IPS Signal Source 0100-35243 0119-4 PCB Board
semipart Used - $300.00 1 Apr/01/14 Dec/04/16
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 REV 005 Removed from working tool
bruce135 Used - $197.10 0 Apr/01/14 May/01/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
ntsurplus302 NEW - $220.00 0 Apr/01/14 Sep/13/15
Description: 3198 Lot of 5 Applied Materials P/N: 0020-29310 Pin 1/8” Coil Support
athomemarket NEW - $99.99 0 Apr/01/14 May/01/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $101.99 0 Apr/01/14 May/01/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $79.99 0 Apr/01/14 May/01/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Refurbished - $155.99 0 Apr/01/14 May/01/14
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $769.99 0 Apr/01/14 May/01/14
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Used - $301.99 0 Apr/01/14 May/01/14
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket NEW - $77.99 0 Apr/01/14 May/01/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $757.99 0 Apr/01/14 May/01/14
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
promtinc1 NEW - $1,200.00 0 Apr/01/14 Apr/06/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
wideerp01 Used - $450.00 0 Apr/01/14 Nov/10/15
Description: Applied Materials 5200 CVD MW HDP / HDO Aplicator 0010-18132
prism_electronics6 Used - $479.99 0 Apr/01/14 Aug/02/22
Description: Applied Materials I/O Backplane Cleaner, Sycamore, 0100-02300 Rev. 003
bigg.logistics101 Used - $99.99 1 Apr/01/14 Sep/14/15
Description: APPLIED MATERIALS P5000 PNEUMATIC CONTROL BOARD 0100-09008
ntsurplus302 NEW - $35.00 0 Apr/02/14 Sep/13/15
Description: 3220 6 Applied Materials 3090-01110 1/4-28X3/8-304/316 SST-SLVPT Bolt Screws
ntsurplus302 NEW - $15.00 0 Apr/02/14 Sep/13/15
Description: 3219 2Applied Materials 3690-02281 1/4-20X1.5L-SST/SLVR Hexagon Socket Cap Scrw
imca00 NEW - $12,500.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
athomemarket Used - $893.99 0 Apr/02/14 May/02/14
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
bjnaf4 NEW - $770.53 0 Apr/02/14 May/02/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket NEW - $69.99 0 Apr/02/14 Apr/09/14
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket Used - $214.99 0 Apr/02/14 Apr/09/14
Description: Applied Materials Digital I/O Board AMAT 0100-76124 Input Output PCB
athomemarket NEW - $69.99 0 Apr/02/14 Apr/09/14
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket Scrap, for parts - $1,455.99 0 Apr/03/14 May/03/14
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
athomemarket Used - $2,271.99 0 Apr/03/14 May/03/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $2,726.99 0 Apr/03/14 May/03/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,544.99 0 Apr/03/14 May/03/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket NEW - $415.99 0 Apr/03/14 May/03/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
used1eqsales Used - $2,004.14 0 Apr/03/14 May/26/17
Description: AMAT Applied Materials 0010-22911 PVD Chamber Power Controller AMAT Endura
yayais2012 NEW - $32.00 0 Apr/03/14 May/03/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 NEW - $110.00 0 Apr/03/14 May/03/14
Description: APPLIED MATERIALS 0242-52948 KIT CERAMIC CONDUCTIVE BLADE 300MM
yayais2012 NEW - $95.00 0 Apr/03/14 May/03/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
athomemarket Used - $412.99 0 Apr/03/14 May/03/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
svcstore Used - $249.99 0 Apr/03/14 Apr/06/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
systasemi NEW - $633.00 1 Apr/03/14 Jun/20/14
Description: APPLIED MATERIALS, MKS, 0190-36774 / AS05111-06, SWITCH-KVM, KVM SWITCH, 4PC IN
svcstore NEW - $399.99 0 Apr/03/14 Apr/06/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
surpluskorea NEW - $39,999.00 2 Apr/04/14 May/11/17
Description: AMAT APPLIED MATERIALS DPS POLY ES DTCU 0010-06222
used1eqsales Used - $3,304.14 0 Apr/04/14 May/26/17
Description: AMAT Applied Materials 0010-25996 I/O Drawer ASSY PVD Controller AMAT Endura
used1eqsales Used - $2,004.14 0 Apr/04/14 May/26/17
Description: AMAT Applied Materials 0010-25151 ESIP Encore II TAN Controller AMAT Endura
usedeqsales Used - $284.14 0 Apr/04/14 May/04/15
Description: AMAT Applied Materials 1843623012 AC Servo Motor XBR-2310 AMAT 0010-70836 Used
industrial_loot Used - $78.00 0 Apr/04/14 May/04/14
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
usedeqsales Used - $1,004.14 0 Apr/04/14 Apr/10/14
Description: AMAT Applied Materials 0010-18129 Sidematch Centura Rev. D Used Working
usedeqsales Used - $404.14 0 Apr/04/14 May/05/15
Description: AMAT Applied Materials 7-213729 AC Servo Motor XBR-2310 AMAT 0010-70836 Working
athomemarket NEW - $128.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,212.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Scrap, for parts - $111.99 0 Apr/04/14 May/04/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Refurbished - $464.99 0 Apr/04/14 May/04/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,212.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,129.99 0 Apr/04/14 May/04/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
adam_tech3 Used - $492.04 1 Apr/04/14 Nov/21/19
Description: Applied Materials P5000 AMAT RF Match MN 0010-09750 13.56Mhz 3 kV
used1eqsales Used - $3,004.14 0 Apr/04/14 May/26/17
Description: AMAT Applied Materials 0021-41520 Source Chamber Top AMAT Endura 300mm
athomemarket NEW - $137.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
used1eqsales Used - $3,004.14 0 Apr/04/14 May/26/17
Description: AMAT Applied Materials 0010-22568 Source Chamber Top CPI-VMO AMAT Endura 300mm
bigg.logistics101 Used - $199.99 1 Apr/05/14 Aug/20/14
Description: APPLIED MATERIALS ENCODER INTERFACE BD 0100-09137
bigg.logistics101 Used - $99.99 0 Apr/04/14 Mar/24/15
Description: APPLIED MATERIALS FUSE BOARD 0100-09117 REV B
fablogic NEW - $299.00 0 Apr/04/14 Sep/01/14
Description: SensArray Process Probe P/N 1530A-8-0095 Applied Materials part # 0190-22008
tcchie NEW - $199.00 0 Apr/05/14 Apr/10/14
Description: applied materials 0100-01321 Digital I/O board
delta708 NEW - $435.00 0 Apr/05/14 Aug/11/14
Description: Applied Materials Inficon Universal Pirani Gauge 0190-26769 3.8E-10 1125 Torr
athomemarket NEW - $232.99 1 Apr/05/14 May/05/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket Used - $3,331.99 0 Apr/05/14 May/05/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $960.99 0 Apr/05/14 May/05/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $91.99 0 Apr/05/14 May/05/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $45.99 0 Apr/05/14 May/05/14
Description: AMAT/Applied Materials 3870-03334 Pneumatic 1/4" VCR Air Diaphragm Valve NC
fablogic Used - $450.00 0 Apr/05/14 Sep/02/14
Description: Applied Materials 3750-01112 Tube, Plasma Source Astex Model No. AX7610-5 (used)
tech11 NEW - $60.00 1 Apr/05/14 Aug/01/14
Description: New Applied Materials (AMAT) 3800-01116 SRH 3111-N02 Regulator
yayais2012 Used - $220.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0040-62981 KSM 099-9242
yayais2012 Used - $450.00 0 Apr/06/14 May/06/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $250.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
yayais2012 Used - $110.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $250.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $180.00 0 Apr/06/14 May/06/14
Description: Applied Materials 3870-01331 Nor-Cal NW 50 In-Line Pneumatic Valve
yayais2012 Used - $110.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $78.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS CABLE ASSY 0150-03048
yayais2012 Used - $89.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 NEW - $15.00 0 Apr/06/14 May/06/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $75.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 NEW - $125.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $350.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $78.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 Used - $150.00 0 Apr/06/14 May/06/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Used - $320.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $220.00 0 Apr/06/14 May/06/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Scrap, for parts - $250.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $3,200.00 0 Apr/06/14 May/06/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $250.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $180.00 0 Apr/06/14 May/06/14
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Used - $250.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $850.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
allpart2014 Used - $350.00 0 Apr/06/14 Jul/21/14
Description: Applied Materials AMAT 0100-00336 Spin Scan Detector
athomemarket Refurbished - $3,906.99 0 Apr/06/14 May/06/14
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
surplusexiting Used - $698.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
surplusexiting Used - $735.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
svcstore Used - $249.99 0 Apr/06/14 Apr/09/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
turkeymakesmetired-2008 NEW - $249.99 1 Apr/06/14 Nov/06/14
Description: AMAT Applied Materials 0200-00230 8" inch Dep Ring Clean
svcstore NEW - $399.99 0 Apr/06/14 Apr/09/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
imca00 NEW - $4,500.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
bigg.logistics101 Used - $169.99 1 Apr/07/14 May/24/17
Description: APPLIED MATERIALS MXP PNEUMATIC DISTRIBUTION BD ASSY 0100-09145 REV C
bigg.logistics101 Used - $49.99 1 Apr/07/14 Feb/26/16
Description: APPLIED MATERIALS SIGNAL LAMP PCB ASSY 0226-31128 REV C
imca00 NEW - $12,500.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
used1eqsales Used - $3,004.14 0 Apr/07/14 May/26/17
Description: AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm
athomemarket Refurbished - $114.99 0 Apr/07/14 May/07/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Apr/07/14 May/07/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Apr/07/14 May/07/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
promtinc1 NEW - $1,200.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
used1eqsales Used - $2,504.14 0 Apr/07/14 May/26/17
Description: AMAT Applied Materials 0010-22567 Source Chamber Top CPI-VMO AMAT Endura 300mm
used1eqsales Used - $2,504.14 0 Apr/07/14 May/26/17
Description: AMAT Applied Materials 0010-25068 Source Chamber Top CPI-VMO AMAT Endura 300mm
used1eqsales Used - $1,504.14 0 Apr/08/14 May/26/17
Description: AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Control Rack
athomemarket NEW - $69.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
supertechshop Used - $49.95 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket Used - $214.99 0 Apr/08/14 May/08/14
Description: Applied Materials 0100-20003 Digital I/O Board AMAT P5000 Input Output PCB
athomemarket Refurbished - $196.99 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $328.99 0 Apr/08/14 May/08/14
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket NEW - $265.99 0 Apr/08/14 May/08/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $196.99 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $216.99 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $216.99 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket NEW - $236.99 0 Apr/08/14 May/08/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket NEW - $497.99 1 Apr/08/14 May/02/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Used - $92.99 0 Apr/08/14 May/08/14
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
sagatron-inc Used - $499.99 1 Apr/08/14 Apr/08/14
Description: AMAT 0100-01985 / ASSY, PCB EWOB AND OTF/CENTERFINDER Applied Materials
supertechshop NEW - $399.00 2 Apr/08/14 Apr/09/14
Description: NEW AMAT Nor-Cal 3870-06775 Pneumatic 3.5" Gate Valve Applied Materials
supertechshop Used - $299.00 0 Apr/08/14 May/08/14
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
sagatron-inc Used - $500.00 0 Apr/08/14 Aug/31/15
Description: 354 AMAT 0100-20063 REV H TC GAUGE BD ASSY Applied Materials
used1eqsales Used - $1,004.14 2 Apr/08/14 Dec/03/14
Description: AMAT Applied Materials Brooks 0190-08245 Wet Robot Non Copper WTM511-2-FWS02-V1
supertechshop Used - $199.00 0 Apr/08/14 May/08/14
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
athomemarket NEW - $69.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
visionsemi NEW - $70.00 0 Apr/08/14 Jun/26/16
Description: APPLIED MATERIALS AMAT RTP LAMP BULB 0190-14083
athomemarket NEW - $69.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $59.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Apr/08/14 May/08/14
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
systechaz6024370100 Used - $529.99 1 Apr/08/14 Apr/17/14
Description: Applied Materials Model: 0190-76050 VGA Video Controller. Rev. S < J
surpluskorea Used - $759.00 0 Apr/09/14 Apr/21/14
Description: AMAT Applied Materials 0100-00396 Analog I/O Board
catalystparts NEW - $65.00 2 Apr/09/14 Feb/19/15
Description: Applied Materials Hub End Lamp Cover 0020-20484
catalystparts NEW - $90.00 1 Apr/09/14 Jan/18/15
Description: Applied Materials Hub Spacer 0040-22802 NEW
catalystparts Used - $30.00 0 Apr/09/14 May/26/17
Description: Applied Materials Plate Adapter Clamp 0020-22805
catalystparts Used - $20.00 0 Apr/09/14 May/26/17
Description: Applied Materials Plate Adapter Clamp 0020-23836
catalystparts Used - $600.00 2 Apr/09/14 May/09/14
Description: Applied Materials Pumping Plate Cover TXZ MCVD 0040-02850
conquer_2011 NEW - $275.00 0 Apr/09/14 May/09/14
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
catalystparts Used - $600.00 3 Apr/09/14 Nov/10/14
Description: Applied Materials OBS Pumping Plate Cover TXZ 0021-35872
excess-solutions NEW - $100.00 0 Apr/09/14 Apr/14/14
Description: Applied Materials DIP294 CDN294 DeviceNet I/O block 0190-36511 24digital DIP-294
athomemarket Refurbished - $208.99 0 Apr/09/14 May/09/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket Used - $270.99 0 Apr/09/14 May/09/14
Description: Applied Materials 0100-01577 Chamber Distribution Control Board AMAT AS00363-03
svcstore NEW - $399.99 0 Apr/09/14 Apr/12/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $299.99 0 Apr/09/14 Apr/12/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
svcstore Used - $299.99 0 Apr/12/14 Apr/15/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
svcstore NEW - $399.99 0 Apr/12/14 Apr/15/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ciarasheltie NEW - $3.00 0 Apr/12/14 Apr/19/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
athomemarket Used - $3,331.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $111.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $4,037.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Apr/12/14 May/12/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $165.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $2,572.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $522.99 0 Apr/12/14 May/12/14
Description: NEW Applied Materials 0010-13445 VGA Monitor Stand-Alone P5000 w/Light Pen
imca00 NEW - $12,500.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 Scrap, for parts - $499.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $4,500.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
athomemarket Used - $259.99 0 Apr/11/14 May/11/14
Description: AMAT Applied Materials 0010-09316 Watlow TEOS Temp Controller Rev. A
athomemarket Used - $149.99 0 Apr/11/14 May/11/14
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $139.99 0 Apr/11/14 May/11/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
ptb-sales Used - $2,500.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $750.00 1 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $225.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $250.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $1,800.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $3,000.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $360.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
capitolareatech NEW - $27.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0620-01708 013680 CABLE ASSY CONVECTRON GAUGE 6FT DNET
capitolareatech NEW - $26.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0040-77243 Ring Composite Assembly
capitolareatech NEW - $32.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0040-05245 ADAPTOR PLATE, THROTTLE VALVE DIRECT DRI
capitolareatech NEW - $3,500.00 2 Apr/11/14 Apr/24/14
Description: Applied Materials (AMAT) 0190-36238 IV-2410AV-03 Injection Valve; 208V TEPO/TEO
capitolareatech NEW - $1,400.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-12556 957-630 FLOWTHRU FEEDTHRU 300MM SOURCE ASSY
capitolareatech NEW - $1,250.00 0 Apr/11/14 Oct/08/14
Description: APPLIED MATERIALS (AMAT) 0010-13140 ASSY, 15-SLOT TRAY, 200MM, .450SPG
capitolareatech NEW - $816.75 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0040-41189 LP-Liner, Cathode, Mag Ring, Waffle-Less
capitolareatech NEW - $750.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-33338 355084 MV Multi-Trap (Iso-80)
capitolareatech NEW - $1,200.00 0 Apr/11/14 Apr/18/14
Description: APPLIED MATERIALS (AMAT) 0020-70424 Center Ring Loadlock Cover Loadlock Orie
capitolareatech NEW - $1,200.00 1 Apr/11/14 Apr/18/14
Description: Applied Materials (AMAT) 0090-04772 cPCI-3840/PM16/M512 MKS AS03841-01; 3U Comp
capitolareatech NEW - $600.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-28651 cPCI-3840/PM16/M512 3U CompactPCI Intel Pen
capitolareatech NEW - $637.50 0 Apr/11/14 Jul/22/14
Description: Applied Materials (AMAT) 0990-01012 5685 AeroBar Ionizer with Isostat Technolog
capitolareatech NEW - $638.88 2 Apr/11/14 Jul/03/14
Description: Applied Materials (AMAT) 0041-04323 Gas Box Silane, Bypassing 200MM
capitolareatech NEW - $303.75 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-02276 93-2499 Heated Valve, LPV40, 208V, 6.55H X
capitolareatech NEW - $250.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0100-20416 System Distribution Backplane PCB
capitolareatech Used - $175.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0020-98911 MF. Aux. Power Assembly
capitolareatech NEW - $182.55 0 Apr/11/14 Oct/08/14
Description: APPLIED MATERIALS (AMAT) 0100-90755 PWBA SERIAL INTERFACE M'BD
capitolareatech NEW - $175.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 1270-01392 JE-1501-1437-7 100 meters of SW DET CA .01
capitolareatech NEW - $250.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-32917 SGDS-01A31AY566 SGDS-01A31A Servopack Driver
capitolareatech NEW - $139.27 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0200-09067 Support, 100MM Susceptor SUI-OX/NIT
capitolareatech Used - $150.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0660-00027 BGICP-UPS-C CPCI UPS, 1 MIN, 50W, CARD
capitolareatech NEW - $137.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-02078 103H5505-80EG2 Stepper Motor, G10-404
capitolareatech NEW - $125.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0150-35542 9100SS24P6 Flow Switch Assembly; DCVD SI/SA
capitolareatech NEW - $125.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05451 Manual Ball Valve; NW-50, KALREZ 4079 STEM
capitolareatech Used - $125.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-06279 CDN391 CARD, DIGITAL DNET DIO 391-4
capitolareatech NEW - $125.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-02019 ESVP-1002-NWB-316L Pneumatic Valve, Bellows
capitolareatech NEW - $112.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05315 FBNDV-6.35-2B3-316LP-EPF 3-Port Monoblock V
capitolareatech NEW - $112.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-06636 6LVV-P1D212P-AB Manifold Valve, SC-01
capitolareatech NEW - $87.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05272 6LV-F18534P-AB Valve, Switching, 4-Port, 1/
capitolareatech NEW - $75.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3700-01753 9270-SC513 O-Ring, 8.984"ID X 0.139"CX, 228
capitolareatech NEW - $75.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 1350-00306 PZN-SS-003-4 3LP Gas Concentration Sensor
capitolareatech NEW - $75.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3700-04722 O-Ring, 37.00" X .139", V75BR
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 1270-01783 E17W-H52 Pressure Switch, 1 Amp Resistive,
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-04305 FPR-NSDBT-21-6.35-APY 3-Port Pneumatic Valv
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-04573 AQG66000 404968; Valve, 2-port, N.C. 1/4" F
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3700-04788 O-ring, 17.955"(456.06mm) x 0.139"(3.53mm)
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05322 FBNDV-9.52-2B3-NAH-UP Valve, Monoblock, Typ
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05324 FPR-NDTR-71-6.35-7-316LP-NAH 3-Port Valve;
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05339 FUNDL-71GL-6.35-2-316LP-ALC#A Manual Diaphr
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05476 FP-UDDF-71-9.52-2-NL-PA Air Operated Diaphr
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 4020-51285 WG3NS6RR2 1/4" Wafergard NF-30 Gas Filter
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3400-00558 SS-FJ4RF4RF4-120 flexible, braided Hose Ass
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0050-99175 3-Way Valve, Weldment, MOCVD, 0.4-0.6MPa,
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-01602 45700051 High Purity Valve Diaphragm 1/4 fv
athomemarket Used - $188.99 0 Apr/13/14 May/13/14
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
athomemarket Used - $4,844.99 0 Apr/13/14 May/13/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $209.99 0 Apr/13/14 May/13/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $65.99 0 Apr/13/14 May/13/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $91.99 0 Apr/13/14 May/13/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
svcstore Used - $249.99 0 Apr/13/14 Apr/16/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
yayais2012 Used - $115.00 0 Apr/14/14 May/14/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
yayais2012 NEW - $220.00 0 Apr/14/14 May/14/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
yayais2012 NEW - $220.00 0 Apr/14/14 May/14/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
tripledirect Used - $6,500.00 0 Apr/14/14 May/14/14
Description: Applied Materials Magnet Model # 0010-21810
semipart NEW - $125.00 1 Apr/14/14 Nov/02/14
Description: Applied Materials, Half ATM Switch, 0190-21713
catalystparts NEW - $75.00 0 Apr/14/14 May/26/17
Description: Applied Materials 0040-40360 Rev 001 **NEW**
athomemarket NEW - $214.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $345.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $97.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket NEW - $345.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $106.99 0 Apr/14/14 May/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,256.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,508.99 0 Apr/14/14 May/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Refurbished - $144.99 0 Apr/14/14 May/14/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $254.99 0 Apr/14/14 May/14/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket Used - $187.99 0 Apr/14/14 May/14/14
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
athomemarket NEW - $81.99 0 Apr/14/14 May/14/14
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
athomemarket Used - $187.99 0 Apr/14/14 May/14/14
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
athomemarket NEW - $278.99 0 Apr/14/14 May/14/14
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $305.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
visionsemi NEW - $80.00 0 Apr/14/14 Jun/02/16
Description: APPLIED MATERIALS AMAT G-12 CHAMBER LID TOGGLE CLAMP KIT 0242-75716
metrology123 Used - $229.00 1 Apr/14/14 Sep/24/20
Description: Applied materials 0090-04210 rev. 001 PED-BIAS PVD CHBR I/L
visionsemi NEW - $70.00 1 Apr/15/14 Apr/15/14
Description: APPLIED MATERIALS AMAT CTI CRYOGENICS NETWORK TERMINAL I/F ON-BOARD 0620-01254
used1eqsales Used - $252.07 2 Apr/15/14 Feb/02/15
Description: Applied Materials 9010-00701 Transformer X24C Quantum X Beamline Electromagnet
used1eqsales Used - $2,004.14 5 Apr/15/14 Jun/06/17
Description: AMAT Applied Materials 9090-00945 Power Supply Quantum X Beamline Electromagnet
used1eqsales Used - $152.07 1 Apr/15/14 Feb/02/15
Description: AMAT Applied Materials 9010-00701 Transformer X24C (chipped plastic connector)
athomemarket NEW - $115.99 0 Apr/15/14 May/15/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket Refurbished - $218.99 0 Apr/15/14 May/15/14
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
visionsemi NEW - $90.00 1 Apr/15/14 Apr/16/14
Description: APPLIED MATERIALS AMAT TEFLON PFA TUBING 3860-00346 1/4" O.D. 3/64" I.D. 46 FEET
visionsemi NEW - $120.00 0 Apr/15/14 Jun/03/16
Description: APPLIED MATERIALS AMAT POLY TUBING 3860-00697 TUBING 6MM O.D.X4MM I.D. 66FT ROLL
visionsemi Used - $390.00 0 Apr/15/14 Apr/22/14
Description: APPLIED MATERIALS AMAT ENDURA PRECLEAN BELL JAR 0040-21178
svcstore Used - $299.99 0 Apr/15/14 Apr/18/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
svcstore NEW - $399.99 0 Apr/15/14 Apr/18/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
uhvconnect NEW - $150.00 0 Apr/16/14 Apr/26/14
Description: APPLIED MATERIALS 0020-28754 COVER, IMP, PEDESTAL
ocean-tech Used - $199.99 5 Apr/16/14 Apr/21/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
visionsemi Used - $225.00 1 Apr/16/14 May/12/14
Description: APPLIED MATERIALS AMAT P5000 FRONT BEZEL PANEL 0010-09066
visionsemi NEW - $595.00 0 Apr/16/14 Dec/07/15
Description: APPLIED MATERIALS AMAT 0150-09797 CABLE CHAMBER HEATER ASSY 28-LINE GAS PANEL
catalystparts NEW - $2,500.00 0 Apr/16/14 Sep/02/16
Description: Applied Materials Equipment Panel 0040-77542 with Pad Puller Option
used1eqsales Used - $1,254.14 2 Apr/16/14 May/26/17
Description: AMAT Applied Materials 9090-00115 Chassis Monitor PCB 0100-01-252 AMAT Quantum X
visionsemi Used - $225.00 0 Apr/16/14 Jan/30/17
Description: APPLIED MATERIALS AMAT 0100-09177 ASSY PCB EXT VME AI/O DI/O G.P.
athomemarket NEW - $214.99 0 Apr/16/14 Apr/23/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
wideerp01 Used - $499.99 0 Apr/16/14 May/16/14
Description: Applied Materials Center Finder SBC Board 0090-35723
visionsemi Used - $60.00 1 Apr/16/14 Jun/07/14
Description: APPLIED MATERIALS AMAT 0140-36042 HARNESS CHAMBER TRAY INTERCONNECT
athomemarket NEW - $107.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $292.99 0 Apr/16/14 May/16/14
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $196.99 0 Apr/16/14 May/16/14
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $91.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $317.99 0 Apr/16/14 May/16/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket Used - $110.99 0 Apr/16/14 May/16/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $187.99 0 Apr/16/14 May/16/14
Description: MKS/dIP/AMAT Control CDN491R Applied Materials 0190-34282 DeviceNet PCB Board
athomemarket NEW - $97.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket NEW - $380.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $332.99 0 Apr/16/14 May/16/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket NEW - $47.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
svcstore Used - $249.99 0 Apr/16/14 Apr/19/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
yayais2012 Used - $215.00 0 Apr/16/14 May/16/14
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
yayais2012 NEW - $170.00 0 Apr/16/14 May/16/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $180.00 0 Apr/16/14 May/16/14
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 Used - $380.00 0 Apr/16/14 May/16/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $95.00 0 Apr/16/14 May/16/14
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 NEW - $70.00 0 Apr/16/14 May/16/14
Description: Applied Materials 0190-10270 Diamond Pad Cond
yayais2012 Used - $95.00 0 Apr/16/14 May/16/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
dr.fantom Used - $199.00 0 Apr/17/14 Feb/12/15
Description: Applied Materials MKS DIP CDN496R AS01496-0-3 0190-34283 MODULE
trees_for_a_better_tomorrow NEW - $150.00 0 Apr/17/14 Apr/24/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow Used - $350.00 0 Apr/17/14 Apr/24/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $125.00 0 Apr/17/14 Apr/24/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $295.00 0 Apr/17/14 Apr/24/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/17/14 Apr/24/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow Used - $95.00 0 Apr/17/14 Apr/24/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $125.00 0 Apr/17/14 Apr/24/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow Used - $750.00 0 Apr/17/14 Apr/24/14
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/17/14 Apr/24/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
imca00 NEW - $12,500.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 Scrap, for parts - $499.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $4,500.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/17/14 Apr/20/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow Used - $100.00 0 Apr/17/14 Apr/24/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $80.00 0 Apr/17/14 Apr/24/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $350.00 0 Apr/17/14 Apr/24/14
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow NEW - $95.00 0 Apr/17/14 Apr/24/14
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow Used - $225.00 0 Apr/17/14 Apr/24/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
used1eqsales Used - $454.14 0 Apr/17/14 May/26/17
Description: AMAT Applied Materials 9090-00641 Battery Pack AMAT Quantum X Process Module
used1eqsales Used - $227.07 0 Apr/17/14 Dec/08/15
Description: AMAT Applied Materials 9090-01166 Battery Pack PX32K AMAT Quantum X
athomemarket NEW - $113.99 0 Apr/17/14 May/17/14
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $199.99 0 Apr/17/14 May/17/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
supertechshop NEW - $199.00 0 Apr/17/14 May/17/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 Apr/17/14 May/17/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
supertechshop NEW - $299.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
excess-solutions NEW - $100.00 0 Apr/18/14 Apr/23/14
Description: Applied Materials DIP294 CDN294 DeviceNet I/O block 0190-36511 24digital DIP-294
usedeqsales NEW - $81.66 0 Apr/18/14 Apr/25/14
Description: AMAT Applied Materials 0690-03261 8" V Band Clamp Lot of 2 New
onlinesmt NEW - $249.00 0 Apr/18/14 May/18/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
athomemarket Used - $1,229.99 0 Apr/18/14 May/18/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $119.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket NEW - $88.99 0 Apr/18/14 May/18/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $128.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket Refurbished - $569.99 0 Apr/18/14 May/18/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $301.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $918.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
svcstore Used - $299.99 0 Apr/18/14 Apr/21/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
svcstore NEW - $399.99 0 Apr/18/14 Apr/21/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
onlinesmt NEW - $199.00 0 Apr/19/14 May/19/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Apr/19/14 May/19/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
athomemarket NEW - $502.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,817.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,716.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket Used - $88.99 0 Apr/19/14 May/19/14
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
svcstore Used - $249.99 0 Apr/19/14 Apr/22/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
athomemarket NEW - $1,091.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Apr/20/14 May/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $129.99 0 Apr/20/14 May/20/14
Description: AMAT/Applied Materials 0103-65042 Digital Inkjet 60K Board PCB Assembly AKT
athomemarket NEW - $300.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $1,091.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $300.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $206.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $315.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $4,037.99 0 Apr/20/14 May/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $516.99 0 Apr/20/14 May/20/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $241.99 0 Apr/20/14 May/20/14
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket Used - $75.99 0 Apr/20/14 May/20/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $191.99 0 Apr/20/14 May/20/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
iyarmi9 NEW - $299.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 - NEW(!)
yayais2012 NEW - $95.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-15386 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-A IH1000
yayais2012 NEW - $220.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS ASSY RIM PRESSURE VALVE/S 0010-47135
yayais2012 NEW - $220.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-27540 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH
yayais2012 NEW - $150.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-22912 CABLE ASSY SCRUBBER RUNNING SICONI 300M
yayais2012 NEW - $110.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
yayais2012 NEW - $250.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0190-23270 OHT HOKUYO DMS-HB1-Z28, E84 VARIABLE SENSOR
yayais2012 NEW - $240.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
yayais2012 NEW - $110.00 0 Apr/21/14 May/21/14
Description: Applied Materials 0050-53004 WELDMENT, CONVECTRON ADAPTER, NW50 W/1/4'' VCR ADA
yayais2012 Used - $220.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 NEW - $110.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-15387 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH-B IH1000
yayais2012 Used - $780.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $75.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 Used - $400.00 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
yayais2012 NEW - $400.00 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
yayais2012 Used - $200.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 FW: 1.201 / HW: 02
yayais2012 NEW - $170.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0240-52734 KIT, H2 ON INDICATOR PER PROCESS PLATTER, HELIOS
yayais2012 NEW - $150.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-15385 CABLE ASSY HELIOS-4 INLET1 TO FACILITY N2 PRESS S
onlinesmt NEW - $249.00 0 Apr/21/14 May/21/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
used1eqsales Used - $1,504.14 0 Apr/21/14 May/26/17
Description: AMAT Applied Materials 9090-00442 Fuse Chassis 9010-01409 AMAT Quantum X
visionsemi Used - $375.00 1 Apr/21/14 Apr/21/14
Description: APPLIED MATERIALS AMAT FIBER OPTIC CABLE 20 FT 0190-09459 WAVE OPTICS
ciarasheltie NEW - $3.00 0 Apr/21/14 Apr/28/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
athomemarket Used - $4,742.99 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $61.99 0 Apr/21/14 May/21/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $199.99 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
visionsemi Used - $120.00 1 Apr/21/14 Sep/24/14
Description: APPLIED MATERIALS AMAT SIMPLE CATHODE SHEET INSERT SHIM 0020-34316 lot of 8ea
ptb-sales Used - $780.00 0 Apr/21/14 May/01/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $250.00 0 Apr/21/14 May/01/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $360.00 0 Apr/21/14 May/01/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $1,800.00 0 Apr/21/14 May/01/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $3,000.00 0 Apr/21/14 May/01/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Apr/21/14 May/01/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Scrap, for parts - $5,000.00 0 Apr/21/14 May/01/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $225.00 0 Apr/21/14 May/01/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
svcstore NEW - $399.99 0 Apr/21/14 Apr/24/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $299.99 0 Apr/21/14 Apr/24/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
bigg.logistics101 Used - $1,299.99 0 Apr/22/14 Apr/30/14
Description: APPLIED MATERIALS P5000 WIRING DISTRIBUTION BOARD 0100-76181 REV A
liquidationbazar NEW - $999.00 0 Apr/22/14 May/22/14
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
esolutions1 NEW - $67.50 0 Apr/22/14 Dec/21/14
Description: Applied Materials 0021-27011 ROLLER, BRUSH MODULE, WAFER ROTATION MOP AMAT
usedeqsales Refurbished - $5,504.14 0 Apr/22/14 Apr/30/15
Description: AMAT Applied Materials AMAT-1 Heat Exchanger 0010-70067 Rev. A Rebuilt
athomemarket Used - $6,862.99 0 Apr/22/14 May/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $394.99 0 Apr/22/14 May/22/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
usedeqsales Refurbished - $5,504.14 0 Apr/22/14 Apr/30/15
Description: AMAT Applied Materials AMAT-1 Heat Exchanger 0010-70067 Rev. 003 Rebuilt
wideerp01 Used - $5,999.00 0 Apr/22/14 May/22/14
Description: Applied Materials CVD 8" Tungston Chamber WXL P500 0040-09136
supertechshop NEW - $99.00 0 Apr/22/14 May/22/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop Used - $499.00 0 Apr/22/14 May/22/14
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Apr/22/14 May/22/14
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop NEW - $299.00 0 Apr/22/14 May/22/14
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $274.99 0 Apr/22/14 May/22/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $299.00 0 Apr/22/14 May/22/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop Used - $99.99 0 Apr/22/14 May/22/14
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 Apr/22/14 May/22/14
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop Used - $199.00 0 Apr/22/14 May/22/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop NEW - $399.00 0 Apr/22/14 May/22/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $99.00 0 Apr/22/14 May/22/14
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop NEW - $1,250.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop NEW - $995.00 0 Apr/22/14 May/22/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop Used - $199.00 0 Apr/22/14 May/22/14
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
supertechshop Used - $149.00 0 Apr/22/14 May/22/14
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 Apr/22/14 May/22/14
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $99.99 0 Apr/22/14 May/22/14
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop NEW - $399.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $699.00 0 Apr/22/14 May/22/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $399.00 0 Apr/22/14 May/22/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
stifflersequipmentllc NEW - $165.00 0 Apr/22/14 Mar/18/15
Description: AMI AMAT APPLIED MATERIALS 0820-01040 CALIBRATION KIT w/REGULATOR, 0.8% H2 GAS
bigg.logistics101 Used - $799.99 1 Apr/22/14 Apr/23/14
Description: APPLIED MATERIALS ELECTRONICS INTERFACE BOARD 0100-20001 REV F
bigg.logistics101 Used - $599.99 1 Apr/22/14 Feb/17/15
Description: APPLIED MATERIALS OMS VME 44 SPC0028 0190-09687
svcstore Used - $249.99 0 Apr/22/14 Apr/25/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
supertechshop NEW - $149.95 0 Apr/22/14 May/22/14
Description: AMAT AKT Chamber Control CVD 25K Board Applied Materials 0100-71121 / Warranty
dr.fantom Used - $320.00 2 Apr/23/14 Jan/22/15
Description: MKS 253B-21977 AMAT Applied Materials 0190-25581 Exhaust Throttle Valve
usedeqsales Scrap, for parts - $3,004.14 0 Apr/23/14 May/01/15
Description: AMAT Applied Materials AMAT-1 Heat Exchanger 0010-70067 Rev. F As-Is
usedeqsales Scrap, for parts - $3,004.14 0 Apr/23/14 May/01/15
Description: AMAT Applied Materials AMAT-0 Heat Exchanger 0010-75480 Rev. C As-Is
usedeqsales Scrap, for parts - $2,504.14 1 Apr/23/14 Aug/12/14
Description: AMAT Applied Materials AMAT-1 Heat Exchanger 0010-70067 Rev. H As-Is
usedeqsales Scrap, for parts - $1,504.14 1 Apr/23/14 Aug/12/14
Description: AMAT Applied Materials AMAT-1 Heat Exchanger 0010-70067 As-Is
esoteric_specialties Used - $950.00 0 Apr/23/14 May/23/14
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
athomemarket Used - $6,570.99 0 Apr/23/14 May/23/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $206.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $270.99 0 Apr/23/14 May/23/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $114.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $71.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $206.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
wpvbekins Used - $575.00 0 Apr/23/14 May/23/14
Description: Applied Materials AMAT 0010-00685 Pneumatic Assy
yayais2012 Used - $350.00 0 Apr/24/14 May/24/14
Description: Applied Materials 15-357732-00 REV.D 101137-2212-008
visionsemi NEW - $285.00 1 Apr/24/14 Jun/19/14
Description: APPLIED MATERIALS ENDURA 0020-08677 SHIELD UPPER GROUNDED AL ARC SPRAY AMAT
athomemarket NEW - $526.99 0 Apr/24/14 May/24/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore NEW - $399.99 0 Apr/24/14 Apr/27/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $299.99 0 Apr/24/14 Apr/27/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
athomemarket NEW - $146.99 0 Apr/27/14 May/27/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket Used - $5,551.99 0 Apr/27/14 May/27/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/26/14 Apr/29/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $125.00 0 Apr/26/14 May/03/14
Description: Lot of 60 New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
trees_for_a_better_tomorrow Used - $95.00 0 Apr/26/14 May/03/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/26/14 May/03/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $295.00 0 Apr/26/14 May/03/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/26/14 May/03/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $350.00 0 Apr/26/14 May/03/14
Description: *4*Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $350.00 0 Apr/26/14 May/03/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $150.00 0 Apr/26/14 May/03/14
Description: Lot of 5 New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow Used - $750.00 0 Apr/26/14 May/03/14
Description: Lot of 14 AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $225.00 0 Apr/26/14 May/03/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $100.00 0 Apr/26/14 May/03/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $95.00 0 Apr/26/14 May/03/14
Description: *4*New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $125.00 0 Apr/26/14 May/03/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $80.00 0 Apr/26/14 May/03/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
uhvconnect NEW - $150.00 0 Apr/26/14 May/04/14
Description: APPLIED MATERIALS 0020-28754 COVER, IMP, PEDESTAL
athomemarket Used - $2,624.99 0 Apr/26/14 May/26/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket Used - $2,421.99 0 Apr/26/14 May/26/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket NEW - $1,211.99 0 Apr/26/14 May/26/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $61.99 0 Apr/26/14 May/26/14
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
neilan1987 NEW - $399.99 0 Apr/25/14 Jun/27/14
Description: Applied Materials AMAT 0040-23525 Slit Valve Door Faceplate NEW
neilan1987 NEW - $399.99 0 Apr/25/14 Jun/27/14
Description: Applied Materials AMAT 0040-23526 Slit Valve Door Faceplate NEW
svcstore Used - $249.99 0 Apr/25/14 Apr/28/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
velocity-semiconductor-equipment NEW - $18.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS (AMAT) , PLUG, NF3 CHANNEL, 300SE , 0021-18829
velocity-semiconductor-equipment NEW - $20.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS (AMAT) , GUIDE, LIFT PIN, ESC, 300MM DPS2 , 0200-06622
usedeqsales NEW - $704.14 0 Apr/25/14 May/04/15
Description: AMAT Applied Materials 0041-27044 Lid Loadlock Condor New
usedeqsales NEW - $404.14 0 Apr/25/14 Dec/04/14
Description: AMAT Applied Materials 0010-51957 Water Manifold Assembly New Surplus
surplusssam NEW - $99.99 0 Apr/25/14 May/02/14
Description: NEW AMAT APPLIED MATERIALS 0040-05069 SWLL BRACKET ASSY INDEXER
usedeqsales NEW - $404.14 0 Apr/25/14 Dec/04/14
Description: AMAT Applied Materials 0010-51957 Water Manifold Assembly New
jens.pens Used - $950.00 0 Apr/25/14 May/29/15
Description: Applied Materials 0190-08860 REV 003 DIP-026-045 with 30 day warranty
yayais2012 NEW - $85.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $45.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 NEW - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $120.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $250.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0190-10208 CARD, INTERFACE DNET, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 Used - $90.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $180.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $300.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3657-11
yayais2012 NEW - $65.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $45.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
yayais2012 Used - $90.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0190-10208 DIP-CDN180-12, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 NEW - $85.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $150.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3607-05
yayais2012 Used - $200.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $95.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 Used - $450.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 NEW - $55.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 NEW - $140.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $150.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-1627-06
yayais2012 NEW - $350.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $75.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-12497 REV 002
yayais2012 Used - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 Used - $210.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
yayais2012 Used - $850.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $95.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 NEW - $48.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 NEW - $78.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
yayais2012 Used - $140.00 0 Apr/25/14 May/25/14
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 Used - $300.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $120.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 Used - $45.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $35.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 NEW - $75.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
svcstore NEW - $399.99 0 Apr/27/14 Apr/30/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $299.99 0 Apr/27/14 Apr/30/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
stdc2012 Used - $800.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS 0020-32909 REV.001 / 0041-11052 REV.02
velocity-semiconductor-equipment NEW - $108.34 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) RING LIFT 6 0200-09999
usedeqsales NEW - $1,304.14 0 Apr/28/14 May/01/15
Description: AMAT Applied Materials 0140-19341 Harness Assembly Lamp Outer Zone Neon New
onlinesmt Used - $375.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
arizindo Used - $475.00 2 Apr/28/14 Jun/12/14
Description: APPLIED MATERIALS 9090-00093 Rev A - Power Supply - daq Mk II
velocity-semiconductor-equipment NEW - $3,837.66 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) RF MATCH 2MHZ/5000W 60 MHZ/5000W HART3 0190-25190
velocity-semiconductor-equipment NEW - $1,800.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) PRESSURE DISPLAY 300MM FI120V 1PH 50/60HZ 0190-14415
velocity-semiconductor-equipment NEW - $135.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) GUIDE LIFT PIN ESC 300MM DPS2 0021-13790
velocity-semiconductor-equipment NEW - $500.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) ENCLOSURE FACILITIES MAIN FRAME 300MM 0040-48648
velocity-semiconductor-equipment NEW - $170.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) CLAMP PEEK 300MM WALKING BEAM CLEANE 0021-15538
velocity-semiconductor-equipment NEW - $970.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) CARRIER CLAMP COMPLIANT EXT CATHODE 0020-31463
velocity-semiconductor-equipment NEW - $139.37 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) CABLE ASSY FORCE CLOSED ADPATOR STEC 0620-02869
velocity-semiconductor-equipment NEW - $750.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) BELLOWS SV DOOR W/BALL BEARING 0040-87358
velocity-semiconductor-equipment NEW - $1,200.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) BELLOWS 0040-39066
velocity-semiconductor-equipment NEW - $750.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) 85LB MAX HOIST ASSY COMES WITH AMAT 0242-33587 0021-1
velocity-semiconductor-equipment NEW - $350.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) SLIDE LINEAR LM GUIDE BALL-SCR 150MM W/B 3760-01102
athomemarket Scrap, for parts - $3,836.99 0 Apr/28/14 May/28/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Apr/28/14 May/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Apr/28/14 May/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Apr/28/14 May/28/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket Used - $187.99 0 Apr/28/14 May/28/14
Description: MKS/dIP/AMAT Control CDN396R Applied Materials 0190-27708 DeviceNet PCB Board
athomemarket Used - $187.99 0 Apr/28/14 May/28/14
Description: MKS/dIP/AMAT Control CDN391R Applied Materials 0190-24115 DeviceNet PCB Board
supertechshop Used - $499.00 0 Apr/28/14 May/28/14
Description: Set 2 AMAT Chamber Producer Reflector 0021-32254 0041-87558 Applied Materials
supertechshop Used - $950.00 0 Apr/28/14 May/28/14
Description: Applied Materials 0130-11001 Analog Output Board P5000 PCB 0100-11001 / Warranty
supertechshop NEW - $299.00 0 Apr/28/14 May/28/14
Description: Set 2 AMAT Primary Reflector 0200-05358 M14-0-2 0200-06143 Applied Materials
supertechshop Used - $149.00 0 Apr/28/14 May/28/14
Description: NEW AMAT DYN Radius Differential Seal 15K 0190-71876 Applied Materials Sealed
supertechshop NEW - $399.00 0 Apr/28/14 May/28/14
Description: AMAT Titan Head 300mm / 12" Retaining Ring Assembly 0041-44907 Applied Materials
supertechshop NEW - $299.00 0 Apr/28/14 May/28/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $99.00 0 Apr/28/14 May/28/14
Description: NEW AMAT UV Lift Pin Floating Nanocure Producer GT 0200-06506 Applied Materials
supertechshop NEW - $99.99 0 Apr/28/14 May/28/14
Description: NEW AMAT Applied Materials Cryo H20 Compressor Hose Fittings Kit 0240-26714
supertechshop NEW - $199.00 0 Apr/28/14 May/28/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
svcstore Used - $249.99 0 Apr/28/14 May/01/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
bigg.logistics101 Refurbished - $2,199.99 1 Apr/29/14 Oct/02/14
Description: Applied Materials DXZ Plate Cover 8" Heater 0200-36630
sparepartssolution Used - $500.00 0 Apr/29/14 Sep/02/15
Description: (101-0201) AMAT APPLIED MATERIALS 3870-01212 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $360.00 0 Apr/29/14 Apr/20/15
Description: (101-0201) AMAT APPLIED MATERIALS 3870-01455 NOR-CAL VALVE USED WORKING
wpvbekins Used - $400.00 1 Apr/29/14 Sep/17/14
Description: Applied Materials 5000 BW/CVD 100,125,150MM THROTLE VALVE 0010-09035
usedeqsales NEW - $404.14 0 Apr/29/14 Dec/04/14
Description: AMAT Applied Materials 0041-33571 MFLD DIW Distribution ECSA LDM New
chriswebber16 NEW - $399.99 0 Apr/29/14 May/29/14
Description: Applied Materials AMAT 0190-10004 BLF Bellow - SEALED!!
imca00 NEW - $12,500.00 0 Apr/29/14 May/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
bobsgoodies Used - $105.00 0 Apr/29/14 Jun/17/14
Description: APPLIED MATERIALS 0090-75009 Motor. , Encoder damaged from handling AMAT
usedeqsales NEW - $204.14 0 Apr/29/14 May/01/15
Description: AMAT Applied Materials 162972-5113 8-Port AI/AO Block AMAT 0090-02288 New
visionsemi NEW - $3,500.00 0 Apr/29/14 Mar/06/15
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
usedeqsales NEW - $1,004.14 0 Apr/29/14 May/07/14
Description: AMAT Applied Materials 0010-35126 Neon 300mm Boom Assembly New
athomemarket NEW - $99.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $90.99 0 Apr/29/14 May/29/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $63.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $4,239.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,853.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $5,046.99 0 Apr/29/14 May/29/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
dvkelectronics Used - $6,500.00 0 Apr/29/14 May/29/14
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
j316gallery Used - $138.39 0 Apr/29/14 Feb/05/23
Description: 2105 APPLIED MATERIALS CABLE ASSY 0010-26541 REV 002
bobsgoodies NEW - $105.00 0 Apr/30/14 Jun/17/14
Description: AMAT 0090-09050 PRESSURE SENSOR H2O VDSII Applied Materials
usedeqsales Used - $2,004.14 0 Apr/30/14 May/01/15
Description: AMAT Applied Materials 0010-44281 Gas Delivery System Used
excess-solutions NEW - $100.00 0 Apr/30/14 May/05/14
Description: Applied Materials DIP294 CDN294 DeviceNet I/O block 0190-36511 24digital DIP-294
usedeqsales NEW - $604.14 0 Apr/30/14 May/07/14
Description: AMAT Applied Materials 0200-07196 Neon 350mm Exhaust Ring Short New
usedeqsales NEW - $404.14 0 Apr/30/14 Dec/04/14
Description: AMAT Applied Materials 0041-34170 DIW Distribution Manifold ECSA LDM New
bobsgoodies NEW - $155.00 0 Apr/30/14 Jun/17/14
Description: AMAT 0015-77269 PULLEY BASE ASSEMBLY PAD CONDITIONER Applied Materials
usedeqsales NEW - $4,004.14 0 Apr/30/14 Apr/30/15
Description: AMAT Applied Materials 0010-33724 RF Match High EFF RF-BIAS New
tdindustrial NEW - $195.00 2 Apr/30/14 May/27/15
Description: Applied Materials AMAT Bearing, 0190-77184 New, Sealed
yayais2012 NEW - $45.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 Used - $45.00 1 Apr/30/14 May/26/14
Description: applied materials 0021-89703 rev 002 119423-237
yayais2012 NEW - $65.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $75.00 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $145.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $30.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 Used - $120.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS POWER SUPPLY ATX 5V, 3.3V, 12V - 12V 0090-04247 FPS1 208 VAC
yayais2012 NEW - $67.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS KIT, 1.33 OD BLANKOFF FLANGE 0242-20102
usedeqsales NEW - $504.14 0 Apr/30/14 May/05/15
Description: AMAT Applied Materials 0041-48869 Orienter Mount Plate New
athomemarket NEW - $214.99 0 Apr/30/14 May/30/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket Used - $180.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $2,362.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $5,550.99 1 Apr/30/14 May/27/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Scrap, for parts - $3,532.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $425.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $199.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
usedeqsales Used - $904.14 0 Apr/30/14 May/04/15
Description: AMAT Applied Materials 0150-17460 Regal Vapor Generator With Jacket Used
pnpsemi Used - $800.00 0 Apr/30/14 Aug/25/20
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458
svcstore Used - $299.99 0 Apr/30/14 May/03/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
sparepartssolution Used - $600.00 1 May/01/14 May/12/14
Description: (103-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A-15359 GAUGE USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A1TAB S BARATRO USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A.1TBD BARATRON USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01054 MKS 624A13TBC BARATRON USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01026 MKS 627A01TBC GAUGE USED WORKING
sparepartssolution NEW - $600.00 0 May/01/14 Sep/02/15
Description: (101-0301) AMAT APPLIED MATERIALS 0225-33295 122BA-00010BB GAUGE USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Oct/13/14
Description: (101-0202) AMAT APPLIED MATERIALS 0190-01186 SENSOR,HX/FCW FLOWSWI USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Sep/02/15
Description: (101-0202) AMAT APPLIED MATERIALS 0190-00544 SENSOR,HX/FCW FLOWSWI USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Sep/02/15
Description: (101-0202) AMAT APPLIED MATERIALS 0010-02509 ASSY, FCW VALVE W/FLO USED WORKING
ciarasheltie NEW - $3.00 0 May/01/14 May/08/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
usedeqsales Used - $605.14 0 May/01/14 May/04/15
Description: AMAT Applied Materials 0010-30076 Generator Assembly IPA Vapor GEN III Used
usedeqsales NEW - $705.14 0 May/01/14 May/07/14
Description: AMAT Applied Materials 0010-44004 Leveling Susceptor Vacuum Lid New
usedeqsales NEW - $205.14 0 May/01/14 May/06/14
Description: AMAT Applied Materials 4020-00462 Filter 111396 Reseller Lot of 20 New
usedeqsales Used - $1,005.14 0 May/01/14 May/01/15
Description: AMAT Applied Materials 0150-17462 Watlow Regal N2 Heater Used Working
usedeqsales Used - $1,005.14 0 May/01/14 May/01/15
Description: AMAT Applied Materials 0150-17461 Watlow Regal N2 Heater Used Working
visionsemi NEW - $695.00 1 May/01/14 Oct/31/14
Description: APPLIED MATERIALS AMAT 0200-10194 SHIELD TAPERED 200MM
ptb-sales Used - $3,000.00 0 May/01/14 May/11/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Scrap, for parts - $5,000.00 0 May/01/14 May/11/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $2,500.00 0 May/01/14 May/11/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $225.00 0 May/01/14 May/11/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $1,800.00 0 May/01/14 May/11/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $360.00 0 May/01/14 May/11/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $250.00 0 May/01/14 May/11/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $780.00 0 May/01/14 May/11/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket NEW - $99.99 0 May/01/14 May/31/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $101.99 0 May/01/14 May/31/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Refurbished - $155.99 0 May/01/14 May/31/14
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $77.99 0 May/01/14 May/31/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $757.99 0 May/01/14 May/31/14
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket NEW - $79.99 0 May/01/14 May/31/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Used - $769.99 0 May/01/14 May/31/14
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket Used - $301.99 0 May/01/14 May/31/14
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
supertechshop Used - $499.00 0 May/01/14 May/31/14
Description: AMAT 0150-20011 ZIF Cable Loader Pneumatic Interconnect 25-FT Applied Materials
supertechshop NEW - $399.00 0 May/01/14 May/31/14
Description: AMAT Secondary End Reflector DSS+ F10ME HF Producer 0041-08734 Applied Materials
supertechshop Used - $299.00 0 May/01/14 May/31/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $199.00 0 May/01/14 May/31/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 May/01/14 May/31/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop NEW - $299.00 0 May/01/14 May/31/14
Description: NEW AMAT AKT Measurement Control Board 0101-57015 Applied Materials MEA-C 50-03
supertechshop NEW - $299.00 0 May/01/14 May/31/14
Description: NEW AMAT AKT Column Control Board COL-C Applied Materials 0101-57012 / Warranty
supertechshop NEW - $169.00 0 May/01/14 May/31/14
Description: AMAT AKT High Voltage HV-Supply Interface 0101-57025 Board Applied Materials
svcstore Used - $249.99 0 May/01/14 May/04/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore NEW - $399.99 0 May/01/14 May/04/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: AMAT Applied Materials 0190-33038 Heater Jacket UAPM13565RSN-274 New
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: AMAT Applied Materials 0190-33043 Heater Jacket UAPM13565RSN-269 New
usedeqsales NEW - $305.14 3 May/02/14 May/03/14
Description: AMAT Applied Materials 0051-00743 IPA Exhaust Gas Box Reflexion GT New
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: AMAT Applied Materials 0190-33427 Heater Jacket UAPM13565RSN-277 New
usedeqsales NEW - $305.14 0 May/02/14 May/04/15
Description: AMAT Applied Materials 0190-33040 Heater Jacket UAPM13565RSN-273 New
surplusssam NEW - $99.99 0 May/02/14 May/09/14
Description: NEW AMAT APPLIED MATERIALS 0040-05069 SWLL INDEXER CRYO CRYOGENIC COVER CHUCK ?
athomemarket Used - $893.99 0 May/02/14 Jun/01/14
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
bjnaf4 NEW - $875.60 0 May/02/14 Jun/01/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
yayais2012 NEW - $110.00 0 May/03/14 Jun/02/14
Description: APPLIED MATERIALS 0242-52948 KIT CERAMIC CONDUCTIVE BLADE 300MM
yayais2012 NEW - $95.00 0 May/03/14 Jun/02/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 NEW - $32.00 0 May/03/14 Jun/02/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
athomemarket Used - $2,544.99 0 May/03/14 Jun/02/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,726.99 0 May/03/14 Jun/02/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,271.99 0 May/03/14 Jun/02/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $1,455.99 0 May/03/14 Jun/02/14
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
athomemarket NEW - $415.99 0 May/03/14 Jun/02/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $412.99 0 May/03/14 Jun/02/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
svcstore Used - $299.99 0 May/03/14 May/06/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
imca00 NEW - $12,500.00 0 May/04/14 May/09/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket NEW - $128.99 0 May/04/14 Jun/03/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Scrap, for parts - $111.99 0 May/04/14 Jun/03/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,129.99 0 May/04/14 Jun/03/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,212.99 0 May/04/14 Jun/03/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,212.99 0 May/04/14 Jun/03/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $464.99 0 May/04/14 Jun/03/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
xsysengineering Used - $1,200.00 0 May/04/14 May/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $999.00 0 May/04/14 May/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering NEW - $2,500.00 0 May/04/14 May/11/14
Description: AMAT APPLIED MATERIALS 0020-29214 COIL RING
svcstore Used - $299.99 0 May/17/14 May/20/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
athomemarket NEW - $199.99 0 May/17/14 Jun/16/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $113.99 1 May/17/14 Jun/03/14
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
yayais2012 NEW - $170.00 0 May/17/14 Jun/16/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $215.00 0 May/17/14 Jun/16/14
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
yayais2012 Used - $380.00 0 May/17/14 Jun/16/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $95.00 0 May/17/14 Jun/16/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
yayais2012 NEW - $70.00 0 May/17/14 Jun/16/14
Description: Applied Materials 0190-10270 Diamond Pad Cond
yayais2012 Used - $95.00 0 May/17/14 Jun/16/14
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $180.00 0 May/17/14 Jun/16/14
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
pnpsemi Used - $1,000.00 0 May/16/14 Feb/27/16
Description: Applied materials HV MODULE 0010-14630
athomemarket NEW - $47.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket Used - $292.99 0 May/16/14 Jun/15/14
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket Used - $196.99 0 May/16/14 Jun/15/14
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket NEW - $91.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $317.99 0 May/16/14 Jun/15/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $380.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket Used - $110.99 0 May/16/14 Jun/15/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $187.99 0 May/16/14 Jun/15/14
Description: MKS/dIP/AMAT Control CDN491R Applied Materials 0190-34282 DeviceNet PCB Board
athomemarket NEW - $97.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Used - $332.99 0 May/16/14 Jun/15/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
catalystparts NEW - $350.00 1 May/16/14 May/26/17
Description: Applied Materials AMAT Valve Gate Kalrez 3870-04392 **NEW**
pnpsemi NEW - $500.00 0 May/15/14 Aug/24/17
Description: Applied materials 0680-00372
used1eqsales NEW - $505.14 0 May/15/14 May/26/17
Description: AMAT Applied Materials 0240-03251 Mounting Chiller Lines Kit AMAT Endura 300mm
used1eqsales NEW - $2,005.14 0 May/15/14 Oct/10/14
Description: AMAT Applied Materials 0040-41054 Adapter Upper SIP TA 8in W/O Gas Inlets
usedeqsales NEW - $205.14 0 May/15/14 May/01/15
Description: AMAT Applied Materials 0010-34966 Operator Interface New
used1eqsales NEW - $252.57 1 May/15/14 Jul/26/14
Description: AMAT Applied Materials 0240-03303 Subzero Chiller ESC Water Box Endura 300mm
used1eqsales NEW - $252.57 1 May/15/14 Jul/26/14
Description: AMAT Applied Materials 0240-04813 AMAT Endura 300mm
athomemarket NEW - $115.99 0 May/15/14 Jun/14/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket Refurbished - $218.99 0 May/15/14 Jun/14/14
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
athomemarket NEW - $107.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $1,229.99 0 May/18/14 Jun/17/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $918.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
tcchie NEW - $599.99 0 May/18/14 May/23/14
Description: applied materials 0020-34482 insulator feed
athomemarket NEW - $128.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket NEW - $119.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket Refurbished - $569.99 0 May/18/14 Jun/17/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $88.99 0 May/18/14 Jun/17/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
tcchie Used - $888.00 0 May/18/14 May/23/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
athomemarket NEW - $301.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
tcchie NEW - $199.00 0 May/18/14 May/23/14
Description: applied materials 0100-01321 Digital I/O board
adam_tech3 Used - $493.55 1 May/19/14 May/12/19
Description: Applied Materials P5000 AMAT RF Match MN 0010-09750 13.56Mhz 3 kV
jygdiy1976 Used - $728.00 2 May/19/14 Apr/11/16
Description: APPLIED MATERIALS AMAT P/N 0190-14926 SCR POWER CONTROL CONCEPTS MODEL 3096-1000
onlinesmt NEW - $249.00 0 May/19/14 Jun/18/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 May/19/14 Jun/18/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $199.00 0 May/19/14 Jun/18/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
used1eqsales NEW - $252.57 0 May/19/14 Dec/10/15
Description: AMAT Applied Materials 0020-04400 Disk Shutter TA/BESC AL AMAT Endura 300mm
surplusssam NEW - $99.99 0 May/19/14 May/26/14
Description: NEW AMAT APPLIED MATERIALS 0040-05069 SWLL INDEXER CRYO CRYOGENIC COVER CHUCK ?
high-techparts NEW - $199.00 0 May/19/14 May/26/14
Description: New OEM APPLIED MATERIALS P/N 0020-70271 PIVOT ONE PIECE LEFT(BLADE SHAFT ASSY)
high-techparts NEW - $199.00 0 May/19/14 May/26/14
Description: New OEM APPLIED MATERIALS P/N 0020-70272 BLADE SHAFT ASSY. RIGHT
used1eqsales NEW - $227.31 1 May/19/14 Dec/19/14
Description: AMAT Applied Materials 0240-03004 Kit Water SIP-CU Upper Adapter Endura 300mm
usedeqsales Used - $511.09 9 May/19/14 Feb/21/19
Description: AMAT Applied Materials 9090-00093 daq MkII type H Power Supply XR80 Used Working
athomemarket NEW - $502.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,716.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket Used - $88.99 0 May/19/14 Jun/18/14
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
athomemarket NEW - $1,817.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
fa-parts Used - $185.00 0 May/19/14 May/27/15
Description: AMAT APPLIED MATERIALS SIGNAL CONDITIONING BOARD PRODUCER SE 0100-01363
usedeqsales Used - $705.14 0 May/20/14 May/04/15
Description: AMAT Applied Materials 0090-90967 daq MKII type H Power Supply XR80 Used Working
usedeqsales Used - $305.14 0 May/20/14 May/05/15
Description: AMAT Applied Materials 0120-00415 G2/G3 Protection And Delatch PCB Used Working
bobsgoodies NEW - $175.00 0 May/20/14 Jun/17/14
Description: Applied Materials 0150-01867 Cable Assembly AMAT 5 conductor
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $199.00 0 May/20/14 Jun/19/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 May/20/14 Jun/19/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
athomemarket NEW - $300.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $1,091.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 May/20/14 Jun/19/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $516.99 0 May/20/14 Jun/19/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket NEW - $300.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $1,091.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $206.99 0 May/20/14 Jun/04/14
Description: NEW Applied Materials 0100-01344 Loadlock Interlocks PCB Board/Card Assembly
athomemarket NEW - $315.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $241.99 0 May/20/14 Jun/19/14
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket Used - $4,037.99 0 May/20/14 Jun/19/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $129.99 0 May/20/14 Jun/19/14
Description: AMAT/Applied Materials 0103-65042 Digital Inkjet 60K Board PCB Assembly AKT
athomemarket Used - $75.99 0 May/20/14 Jun/19/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $191.99 0 May/20/14 Jun/19/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
svcstore Used - $299.99 0 May/20/14 May/23/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
metrology123 Used - $1,199.00 1 May/20/14 May/27/14
Description: Applied materials 0100-00313 & 0100-01136
fablogic NEW - $325.00 3 May/21/14 May/22/14
Description: Applied Materials PVD 0200-00221 Insulator, 200MM PCII (New)
ntsurplus302 Used - $1,600.00 0 May/21/14 Nov/09/15
Description: 2732 Applied Materials Pre-Reactor Chamber P/N: 0010-00172
usedeqsales Scrap, for parts - $3,005.14 0 May/21/14 May/01/15
Description: AMAT Applied Materials AMAT-1 Heat Exchanger 0010-37054 Rev. A As-Is
usedeqsales Scrap, for parts - $3,005.14 0 May/21/14 May/01/15
Description: AMAT Applied Materials AMAT-1 Heat Exchanger 0010-70067 Rev. B As-Is
onlinesmt NEW - $249.00 0 May/21/14 Jun/20/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
resalesavings NEW - $350.00 0 May/21/14 May/28/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
kingprecision NEW - $500.00 1 May/21/14 Dec/23/14
Description: Applied Materials 0190-35712 Thermocouple
iyarmi9 NEW - $215.20 0 May/21/14 Jul/09/16
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 - NEW(!)
athomemarket Used - $199.99 1 May/21/14 Jun/11/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,742.99 0 May/21/14 Jun/20/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $61.99 0 May/21/14 Jun/20/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
dr.fantom Used - $200.00 2 May/22/14 May/22/14
Description: Applied Materials 0100-20313 Chamber Interconnect Board 0130-20313 0110-20313
dr.fantom Used - $450.00 0 May/22/14 Feb/12/15
Description: Applied Materials Analig I/O Board 0100-20100 0110-20100
dr.fantom Used - $200.00 1 May/22/14 Nov/05/14
Description: Applied Materials 0100-20011 Chamber A/B Interconnect Board
dr.fantom Used - $600.00 1 May/22/14 May/27/14
Description: Applied Materials Video Controller Board 0190-76050
dr.fantom Scrap, for parts - $400.00 2 May/22/14 Sep/28/14
Description: AMAT Applied Materials 0190-00318 Single Board Computer PFS-003-02
dr.fantom Scrap, for parts - $400.00 0 May/22/14 Feb/12/15
Description: Applied Materials 0100-20015 Convectron Gauge Interconnect Board
dr.fantom Used - $200.00 3 May/22/14 May/22/14
Description: Applied Materials 0100-20004 Chamber Interconnect Board
yayais2012 NEW - $110.00 0 May/22/14 Jun/21/14
Description: Applied Materials 0050-53004 WELDMENT, CONVECTRON ADAPTER, NW50 W/1/4'' VCR ADA
yayais2012 NEW - $250.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0190-23270 OHT HOKUYO DMS-HB1-Z28, E84 VARIABLE SENSOR
yayais2012 NEW - $110.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-15387 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH-B IH1000
yayais2012 NEW - $240.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
yayais2012 NEW - $150.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-22912 CABLE ASSY SCRUBBER RUNNING SICONI 300M
yayais2012 NEW - $220.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-27540 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH
yayais2012 Used - $200.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 FW: 1.201 / HW: 02
yayais2012 NEW - $170.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0240-52734 KIT, H2 ON INDICATOR PER PROCESS PLATTER, HELIOS
yayais2012 Used - $780.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $110.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
yayais2012 NEW - $220.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS ASSY RIM PRESSURE VALVE/S 0010-47135
yayais2012 NEW - $400.00 0 May/22/14 Jun/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
yayais2012 Used - $400.00 0 May/22/14 Jun/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
yayais2012 NEW - $150.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-15385 CABLE ASSY HELIOS-4 INLET1 TO FACILITY N2 PRESS S
yayais2012 NEW - $95.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-15386 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-A IH1000
yayais2012 Used - $220.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 NEW - $75.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
ptb-sales Used - $2,500.00 0 May/22/14 Jun/01/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $225.00 0 May/22/14 Jun/01/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 May/22/14 Jun/01/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $3,000.00 0 May/22/14 Jun/01/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $360.00 0 May/22/14 Jun/01/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $780.00 0 May/22/14 Jun/01/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $1,800.00 0 May/22/14 Jun/01/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $250.00 0 May/22/14 Jun/01/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
liquidationbazar NEW - $999.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
athomemarket Used - $6,862.99 0 May/22/14 Jun/21/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $394.99 0 May/22/14 Jun/21/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
wideerp01 Used - $5,999.00 0 May/22/14 Jun/21/14
Description: Applied Materials CVD 8" Tungston Chamber WXL P500 0040-09136
fablogic NEW - $275.00 3 May/22/14 Aug/20/14
Description: Applied Materials PVD 0200-00221 Insulator, 200MM PCII (New)
usedeqsales Used - $2,805.14 0 May/23/14 Jul/08/22
Description: AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel Used
athomemarket Used - $270.99 0 May/23/14 Jun/22/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $206.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $114.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $71.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket Used - $6,570.99 0 May/23/14 Jun/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
esoteric_specialties Used - $950.00 0 May/23/14 Jun/22/14
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
svcstore Used - $299.99 0 May/23/14 May/26/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
xsysengineering Used - $1,200.00 0 May/23/14 May/30/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering NEW - $2,500.00 0 May/23/14 May/30/14
Description: AMAT APPLIED MATERIALS 0020-29214 COIL RING
xsysengineering Used - $999.00 0 May/23/14 May/30/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
fablogic NEW - $1,300.00 0 May/23/14 Aug/21/14
Description: Schumacher BK1200SSA Ampule Bubbler Applied Materials part # 3250-01029
athomemarket NEW - $526.99 0 May/24/14 Jun/23/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
wpvbekins Used - $575.00 0 May/24/14 Jun/23/14
Description: Applied Materials AMAT 0010-00685 Pneumatic Assy
svcstore Used - $149.99 0 May/24/14 May/27/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
velocity-semiconductor-equipment NEW - $20.00 0 May/25/14 Jun/24/14
Description: APPLIED MATERIALS (AMAT) , GUIDE, LIFT PIN, ESC, 300MM DPS2 , 0200-06622
velocity-semiconductor-equipment NEW - $18.00 0 May/25/14 Jun/24/14
Description: APPLIED MATERIALS (AMAT) , PLUG, NF3 CHANNEL, 300SE , 0021-18829
dr.fantom Used - $199.00 4 May/26/14 Feb/05/15
Description: Applied Materials 0010-13445 REV A Monitor VGA Base P5000
dr.fantom Used - $330.00 1 May/26/14 Dec/01/14
Description: Applied Materials AMAT Nor-Cal 3870-01213 Valve
dr.fantom Used - $199.00 1 May/26/14 Aug/12/14
Description: Applied Materials 0190-20015 Veriflo 42600728 944AOPLPNCSTS
dr.fantom NEW - $100.00 1 May/26/14 Sep/05/14
Description: 3 Applied Materials 0990-01004 Kit HTR TAPE END SEAL FOR SLF-REG Raychem PMKG-LE
dr.fantom NEW - $180.00 0 May/26/14 Feb/12/15
Description: Applied Materials 0020-54859
dr.fantom NEW - $250.00 7 May/26/14 Oct/07/14
Description: Applied Materials 0020-28773 REV A Ring Clamp Shield Internal
shadow15b Used - $175.00 0 May/26/14 Nov/26/16
Description: Applied Materials Heatsink 0040-01455
bassmstr21 NEW - $1,499.99 0 May/26/14 Jan/11/20
Description: AMAT Applied Materials Lot of NEW UHV Clean Parts 0021-18623 x4 0021-18626 x1
athomemarket Used - $2,421.99 0 May/26/14 Jun/25/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $2,624.99 0 May/26/14 Jun/25/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $61.99 0 May/26/14 Jun/25/14
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
athomemarket NEW - $1,211.99 0 May/26/14 Jun/25/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore Used - $299.99 0 May/26/14 May/29/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
xsysengineering Used - $120.00 0 May/26/14 May/30/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
dr.fantom Used - $850.00 1 May/27/14 Dec/15/14
Description: Applied Materials AMAT 0010-02146 HDP-CVD RPSC Applicator
dr.fantom Used - $850.00 0 May/27/14 Feb/12/15
Description: Applied Materials AMAT 3870-04338 VAT 0340X-CA24-BFP1/1225 A-672086 Slit Valve
dr.fantom NEW - $50.00 0 May/27/14 Feb/12/15
Description: Applied Materials 3070-00005 FTG BLWS Section Flex NW50XNW50 2"ID X 4"L SST304
yayais2012 NEW - $45.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 Used - $300.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $210.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
yayais2012 NEW - $48.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 NEW - $65.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $85.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 NEW - $78.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
yayais2012 Used - $45.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $35.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $150.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-1627-06
yayais2012 NEW - $95.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 Used - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $300.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3657-11
yayais2012 NEW - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 Used - $90.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $27.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 NEW - $120.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $90.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0190-10208 DIP-CDN180-12, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 NEW - $85.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $200.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $150.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3607-05
yayais2012 NEW - $140.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $120.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 NEW - $45.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
yayais2012 NEW - $75.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $850.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $350.00 0 May/27/14 Jun/26/14
Description: Applied Materials 15-357732-00 REV.D 101137-2212-008
yayais2012 NEW - $95.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 Used - $250.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0190-10208 CARD, INTERFACE DNET, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 Used - $450.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 NEW - $55.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 Used - $140.00 0 May/27/14 Jun/26/14
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 Used - $180.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $120.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $350.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $75.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-12497 REV 002
usedeqsales Used - $305.14 3 May/27/14 Jul/13/14
Description: TRU 0620-02935 24m RF Coax Cable AMAT Applied Materials Used Working
bobsgoodies NEW - $125.00 0 May/27/14 Jun/17/14
Description: Applied Materials 0227-43108 Flow Switch, 1/4" NPT, Proteus 203SS24F3 SS Back
bobsgoodies Used - $525.00 0 May/27/14 Jun/17/14
Description: Applied Materials 0010-98306 AIT Appllied Implant Technology Tilt Actuator Hood
athomemarket NEW - $146.99 0 May/27/14 Jun/26/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket Used - $5,551.99 0 May/27/14 Jun/26/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
svcstore Used - $149.99 0 May/27/14 May/30/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
fablogic NEW - $450.00 0 May/27/14 Jun/25/14
Description: Applied Materials 0660-01583 Power Supply, ETO (NEW)
dr.fantom NEW - $85.00 0 May/28/14 Feb/12/15
Description: Applied Materials 0050-86961 Rev 003 9158-34 Rev C 300582-2/3706
stdc2012 Used - $800.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS 0020-32909 REV.001 / 0041-11052 REV.02
dr.fantom Used - $450.00 2 May/28/14 May/31/14
Description: Applied Materials AMAT 0090-02346 HEATER RF FILTER 200MM
supertechshop NEW - $699.00 0 May/28/14 Jun/27/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $99.00 0 May/28/14 Jun/27/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
supertechshop Used - $149.00 0 May/28/14 Jun/27/14
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 May/28/14 Jun/27/14
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop Used - $99.99 0 May/28/14 Jun/27/14
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $499.00 0 May/28/14 Jun/27/14
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 May/28/14 Jun/27/14
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop NEW - $149.95 0 May/28/14 Jun/27/14
Description: AMAT AKT Chamber Control CVD 25K Board Applied Materials 0100-71121 / Warranty
supertechshop NEW - $299.00 0 May/28/14 Jun/27/14
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $274.99 0 May/28/14 Jun/27/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop NEW - $399.00 0 May/28/14 Jun/27/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $99.00 0 May/28/14 Jun/27/14
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop Used - $99.99 0 May/28/14 Jun/27/14
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 May/28/14 Jun/27/14
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop NEW - $299.00 0 May/28/14 Jun/27/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop NEW - $1,250.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop Used - $199.00 0 May/28/14 Jun/27/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 May/28/14 Jun/27/14
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
supertechshop NEW - $995.00 0 May/28/14 Jun/27/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $399.00 0 May/28/14 Jun/27/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
onlinesmt Used - $375.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
usedeqsales Used - $605.14 1 May/28/14 May/28/14
Description: AMAT Applied Materials 322095 Pedestal Lift 0010-13927 Endura Used Working
velocity-semiconductor-equipment NEW - $108.34 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) RING LIFT 6 0200-09999
velocity-semiconductor-equipment NEW - $500.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) ENCLOSURE FACILITIES MAIN FRAME 300MM 0040-48648
velocity-semiconductor-equipment NEW - $1,800.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) PRESSURE DISPLAY 300MM FI120V 1PH 50/60HZ 0190-14415
velocity-semiconductor-equipment NEW - $970.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) CARRIER CLAMP COMPLIANT EXT CATHODE 0020-31463
velocity-semiconductor-equipment NEW - $135.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) GUIDE LIFT PIN ESC 300MM DPS2 0021-13790
velocity-semiconductor-equipment NEW - $170.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) CLAMP PEEK 300MM WALKING BEAM CLEANE 0021-15538
bobsgoodies NEW - $75.00 0 May/28/14 Jun/17/14
Description: AMAT 0150-10649 C/A SHIMADZU TURBO CONTROLLER 5200 cable Assy Applied Materials
athomemarket Used - $187.99 0 May/28/14 Jun/27/14
Description: MKS/dIP/AMAT Control CDN396R Applied Materials 0190-27708 DeviceNet PCB Board
athomemarket Used - $187.99 0 May/28/14 Jun/27/14
Description: MKS/dIP/AMAT Control CDN391R Applied Materials 0190-24115 DeviceNet PCB Board
velocity-semiconductor-equipment NEW - $750.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) BELLOWS SV DOOR W/BALL BEARING 0040-87358
velocity-semiconductor-equipment NEW - $1,200.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) BELLOWS 0040-39066
velocity-semiconductor-equipment NEW - $750.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) 85LB MAX HOIST ASSY COMES WITH AMAT 0242-33587 0021-1
velocity-semiconductor-equipment NEW - $350.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) SLIDE LINEAR LM GUIDE BALL-SCR 150MM W/B 3760-01102
bobsgoodies Scrap, for parts - $100.00 0 May/28/14 Jun/17/14
Description: Luxtron 100C M-100 Temperature Control AMAT 0190-35236 Applied Materials
velocity-semiconductor-equipment NEW - $139.37 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) CABLE ASSY FORCE CLOSED ADPATOR STEC 0620-02869
velocity-semiconductor-equipment NEW - $3,837.66 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) RF MATCH 2MHZ/5000W 60 MHZ/5000W HART3 0190-25190
athomemarket NEW - $509.99 0 May/28/14 Jun/27/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
athomemarket Scrap, for parts - $3,836.99 0 May/28/14 Jun/27/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 May/28/14 Jun/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 May/28/14 Jun/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
dr.fantom NEW - $100.00 0 May/29/14 Feb/12/15
Description: Applied Materials 0050-87343 HOSE ASSEMBLY HX TEE TO FACEPLATE HARP semicond DSM
dr.fantom NEW - $160.00 0 May/29/14 Feb/12/15
Description: 2x Applied Materials AMAT 0050-87341 Hose Assy HTR Lifts to Flow SW 3.4 HARP
dr.fantom NEW - $85.00 0 May/29/14 Feb/12/15
Description: Applied Materials AMAT 0242-87049 Kit EMO CBL Edwards IQDP80 Pumps
dr.fantom NEW - $60.00 0 May/29/14 Feb/12/15
Description: 4X Applied Materials AMAT 1270-01662 GUARD PUSH PULL LOCKABLE 40MMD MUSHROOM RED
dr.fantom NEW - $95.00 0 May/29/14 Feb/12/15
Description: Applied Materials AMAT 0090-04706 EMO SWITCH & INTER-CIRCUIT ASSY W/GUARD
dr.fantom NEW - $160.00 0 May/29/14 Feb/12/15
Description: 2x Applied Materials AMAT 0270-35193 Gauge CAL TOOL ROBOT ARM 200MM/300M
megawavz Used - $395.00 0 May/29/14 Jun/28/14
Description: AMAT Applied Materials 0120-90418 Temperature Servo Board
pnpsemi Scrap, for parts - $15,000.00 0 May/29/14 Aug/25/20
Description: Applied materials 0010-23684 TOP MATCH ASSY FAN W/INTLK 300MM ULTIMA
excess-solutions NEW - $100.00 0 May/29/14 Jun/03/14
Description: Granville Phillips Applied Materials mini convectron 275 275838 NEW 3310-01088
athomemarket NEW - $90.99 0 May/29/14 Jun/28/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $63.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $63.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $4,239.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $99.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $5,853.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $5,046.99 0 May/29/14 Jun/28/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
svcstore Used - $299.99 0 May/29/14 Jun/01/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
dvkelectronics Used - $6,500.00 0 May/29/14 Jun/28/14
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
tcchie NEW - $199.00 0 May/29/14 Jun/03/14
Description: applied materials 0100-01321 Digital I/O board
tcchie NEW - $599.99 0 May/29/14 Jun/03/14
Description: applied materials 0020-34482 insulator feed
chriswebber16 NEW - $399.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0190-10004 BLF Bellow - SEALED!!
athomemarket NEW - $214.99 0 May/30/14 Jun/29/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket Used - $180.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $2,362.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $199.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Scrap, for parts - $3,532.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $425.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
used1eqsales Used - $7,502.57 0 May/30/14 Aug/14/14
Description: AMAT Applied Materials 0010-24076 Electro Static Chuck E-Chuck TA IESIP DLC ESC
used1eqsales Used - $15,005.10 2 May/30/14 Apr/25/16
Description: AMAT Applied Materials 0010-51269 Electro Static Chuck E-Chuck TA IESIP DLC ESC
imca00 NEW - $4,500.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $12,500.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
xsysengineering Used - $999.00 0 May/30/14 Jun/06/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 May/30/14 Jun/06/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $120.00 0 May/30/14 Jun/06/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
xsysengineering NEW - $2,500.00 0 May/30/14 Jun/06/14
Description: AMAT APPLIED MATERIALS 0020-29214 COIL RING
yayais2012 Used - $120.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS POWER SUPPLY ATX 5V, 3.3V, 12V - 12V 0090-04247 FPS1 208 VAC
yayais2012 NEW - $75.00 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $30.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $145.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $45.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $67.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS KIT, 1.33 OD BLANKOFF FLANGE 0242-20102
yayais2012 NEW - $65.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
svcstore Used - $149.99 0 May/30/14 Jun/02/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
fablogic NEW - $6,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-39852 Cathode, Assy. Super EMXP+ Oxide (NEW) AMAT
fablogic NEW - $3,750.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-36617 RF Feedthru, Assy. DTCU DPS Poly (NEW) AMAT
fablogic NEW - $8,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-36388 Lamp Module, Assy. DTCU DPS Poly (NEW) AMAT
fablogic NEW - $8,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials CVD 0010-30246 Susceptor, Assy. 150 MM SW cheese DCS (NEW)
fablogic Used - $2,800.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-30687 RF Match, Assy. H.E. (USED) AMAT
fablogic Used - $3,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials Metal Etch 0010-70078 Tray, Assy. 200 MM 29 slot (USED) AMAT
usedeqsales Used - $3,007.14 0 Jul/30/14 May/01/15
Description: AMAT Applied Materials 0010-13321 8" Robot Drive No Arms P5000 0242-70220 Used
fablogic NEW - $3,750.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials Etch 0010-10973 H.O.T. Detector, Assy. (NEW) AMAT
waste-not-recycling NEW - $19.99 0 Jul/30/14 Aug/06/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
fablogic NEW - $4,900.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials MXP Etch 0010-10759 Top Lid, Assy. SSGD (NEW) AMAT
fablogic NEW - $7,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials CVD 0010-09940 Gas Box, WSI (NEW) AMAT
fablogic NEW - $3,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials P-5000 0010-09843 Manifold, H2O VDS (NEW) AMAT
fablogic Used - $6,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-09806 Gas Box, WSI 150 MM (USED) AMAT
athomemarket Used - $99.99 0 Jul/30/14 Aug/29/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $99.99 0 Jul/30/14 Aug/29/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $77.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $757.99 0 Jul/30/14 Aug/29/14
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket Used - $769.99 0 Jul/30/14 Aug/29/14
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
athomemarket NEW - $79.99 0 Jul/30/14 Aug/29/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket Used - $301.99 0 Jul/30/14 Aug/29/14
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket Refurbished - $155.99 0 Jul/30/14 Aug/29/14
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
tcchie NEW - $1,999.00 0 Jul/30/14 Aug/04/14
Description: applied materials 0010-03363 MCA ESC Detect Assy
harrington2howry NEW - $25.00 0 Jul/30/14 Aug/29/14
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
labstd Used - $199.00 1 Jul/30/14 Feb/18/16
Description: Applied materials 0100-00313
svcstore Used - $799.99 0 Jul/29/14 Aug/01/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
roundtable1 NEW - $299.00 0 Jul/29/14 Mar/06/16
Description: APPLIED MATERIALS 0021-11186 REV 003 119423 LINER EXHAUST, NI PLATED TICL4
svcstore Used - $899.99 0 Jul/29/14 Aug/01/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
fablogic NEW - $2,750.00 0 Jul/29/14 Aug/28/14
Description: Applied Materials 0010-02991 Susceptor, 150 MM WCVD (New) AMAT
fablogic NEW - $1,250.00 0 Jul/29/14 Aug/28/14
Description: Applied Materials 0010-76409 Slit Door, Assy. RTP (New)
fablogic NEW - $4,500.00 0 Jul/29/14 Aug/28/14
Description: Applied Materials 0010-02419 Clamped Lid, Assy. (NEW) AMAT
dvkelectronics Used - $6,500.00 0 Jul/29/14 Aug/28/14
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
geb9 NEW - $5.00 0 Jul/29/14 Apr/10/18
Description: AMAT APPLIED MATERIALS 3700-01658 9013-SC513 AS-568A-013 CPD
svcstore Used - $126.99 0 Jul/29/14 Aug/01/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
tcchie Used - $899.00 0 Jul/29/14 Aug/05/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
tcchie Used - $899.00 0 Jul/29/14 Aug/03/14
Description: applied materials HP + upgrade 0010-13263
athomemarket NEW - $214.99 0 Jul/29/14 Aug/28/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket Used - $180.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $3,532.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $2,362.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $425.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $199.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
mtnsemi Scrap, for parts - $995.00 0 Jul/29/14 Apr/28/20
Description: AMAT 8330 Micro-Match RF Matching Network 0010-00799 00215 298 Applied Materials
tcchie Refurbished - $6,999.00 0 Jul/29/14 Aug/03/14
Description: Applied Materials ALP 0040-23840
liquisinc Used - $49.99 0 Jul/29/14 Aug/05/14
Description: APPLIED MATERIALS 60 EV PNUEMATIC PCB/W MANIFOLD 0190-09085 60 EV
nps NEW - $49.99 1 Jul/29/14 Aug/05/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore Used - $299.99 0 Jul/28/14 Jul/31/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
athomemarket NEW - $63.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $99.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $63.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $5,853.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $90.99 0 Jul/28/14 Aug/27/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $4,239.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $5,046.99 0 Jul/28/14 Aug/27/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
surplusssam Used - $259.99 0 Jul/28/14 Aug/07/14
Description: APPLIED MATERIALS 0100-76130 SENSOR MUX BOARD AMAT
bobsgoodies Used - $125.00 0 Jul/28/14 Aug/04/14
Description: Applied Materials 0010-00071 2.25" Stepping Motor Motor Atmosphere AMAT
imca00 NEW - $4,500.00 0 Jul/28/14 Aug/02/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 Jul/28/14 Aug/02/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
jclwriter NEW - $5.00 0 Jul/31/14 Jun/03/15
Description: Applied Materials AMAT PIN, PRECLEAN PEDESTAL 0020-22906 -- New Sealed
athomemarket Used - $893.99 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
athomemarket Used - $349.99 0 Jul/31/14 Aug/30/14
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
visionsemi NEW - $879.00 0 Jul/31/14 Sep/23/14
Description: AMAT APPLIED MATERIALS CALIBRATION TOOL KIT 0240-76382 CENTURA HP ROBOT
athomemarket Used - $349.99 0 Jul/31/14 Aug/30/14
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
fablogic NEW - $2,200.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-30059 Pedestal, 200 MM, Flat, ESC (NEW) AMAT Etch
fablogic NEW - $500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-10045 Pump Stack Htr. AC Box, Assy. (NEW) AMAT Etch
fablogic NEW - $5,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-10636 P-Chuck, Assy. 200 MM (NEW) CVD AMAT
fablogic NEW - $1,950.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-09092 Ozonator, Assy. CVD (New) AMAT
fablogic NEW - $2,000.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-00724 Heat Exchanger, Assy. ITC (NEW) AMAT
fablogic NEW - $3,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0200-10173 Plate, Cover 200 MM DXZ Heater (NEW) AMAT
fablogic NEW - $500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0200-09567 Shield, CVD (NEW) AMAT
fablogic Used - $2,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0190-70060 Magnet Driver (USED) AMAT Etch
fablogic NEW - $600.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0200-09266 Shadow Ring, Inner CVD (NEW) AMAT
fablogic NEW - $1,600.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0020-30602 Pumping Plate, 200 MM (NEW) CVD AMAT
fablogic NEW - $3,800.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-35961 Sensor, Optical (NEW) AMAT
fablogic NEW - $2,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0020-20586 Insert, Preclean Mod. (NEW) PVD AMAT
fablogic NEW - $1,900.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-60013 Susceptor, 125 MM BWCVD (NEW) CVD
svcstore Used - $299.99 0 Jul/31/14 Aug/03/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
supertechshop NEW - $299.00 0 Aug/01/14 Aug/31/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop Used - $149.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop NEW - $299.00 0 Aug/01/14 Aug/31/14
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $99.99 0 Aug/01/14 Aug/31/14
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Aug/01/14 Aug/31/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop Used - $99.99 0 Aug/01/14 Aug/31/14
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 Aug/01/14 Aug/31/14
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop Used - $499.00 0 Aug/01/14 Aug/31/14
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
bjnaf4 NEW - $779.28 0 Aug/01/14 Aug/31/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
yayais2012 NEW - $30.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $67.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS KIT, 1.33 OD BLANKOFF FLANGE 0242-20102
yayais2012 Used - $120.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS POWER SUPPLY ATX 5V, 3.3V, 12V - 12V 0090-04247 FPS1 208 VAC
yayais2012 NEW - $145.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $65.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $45.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $75.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
chriswebber16 NEW - $399.99 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT 0190-10004 BLF Bellow - SEALED!!
jclwriter NEW - $40.00 0 Aug/01/14 Jul/31/17
Description: Applied Materials AMAT CLAMP, LOWER MEMBRANE 5 ZONE PROFILER 0040-80162 - Sealed
fablogic NEW - $1,000.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-10348 Window, Heater Sapphire Coated (NEW) AMAT CVD
athomemarket Scrap, for parts - $2,726.99 0 Aug/01/14 Aug/31/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Scrap, for parts - $1,455.99 0 Aug/01/14 Aug/31/14
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
athomemarket Used - $2,544.99 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $2,271.99 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket NEW - $415.99 0 Aug/01/14 Aug/31/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
fablogic NEW - $650.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0660-01583 Power Supply, ETO (NEW)
athomemarket Used - $349.99 0 Aug/01/14 Aug/31/14
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
fablogic NEW - $3,000.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-36679 Blade, Ceramic E HP-CR Robot (NEW) AMAT Centura
fablogic NEW - $5,500.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-36630 Plate Cover, Heater 8" DXZ (NEW) AMAT CVD
fablogic NEW - $2,800.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials Centura 0200-35693 Blade, Ceramic (NEW) AMAT
fablogic NEW - $2,500.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-35325 Susceptor, Solid Pin 200 MM Poly (NEW) AMAT
fablogic NEW - $2,250.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-35325 Focus Ring, ESC DPS (NEW) AMAT
fablogic NEW - $3,250.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-35208 SHAFT SUSCEPTOR SUPPORT POLY/BCCD R3 ROT, (NEW)
fablogic NEW - $1,850.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-32320 Gas Distribution Plate (NEW) AMAT Etch
fablogic NEW - $1,900.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-22476 Susceptor, 125 MM MAC (NEW) AMAT PVD
fablogic NEW - $900.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-20986 Shield, Pedestal (NEW) AMAT PVD
fablogic NEW - $2,000.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-04189 Adaptor, Top Mark II Etch Cheamber (NEW) AMAT
fablogic NEW - $3,500.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0242-86045 Kit, H.O.T. Pack 365 NM (NEW) AMAT Etch
svcstore Used - $126.99 0 Aug/01/14 Aug/04/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
fablogic NEW - $1,850.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-10380 RING,SINGLE,195MM SEMI NOTCH,LOW CERAMIC (NEW) AMAT
dellabitta7 NEW - $450.00 0 Jul/31/14 Aug/10/14
Description: Applied Materials AMAT Kalrez Sahara O-RING 3700-03760
dellabitta7 NEW - $450.00 0 Jul/31/14 Aug/10/14
Description: Applied Materials AMAT Kalrez Sahara O-RING 3700-03760
fablogic NEW - $725.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-30342 Pedestal, 8", Metal, Notch (NEW) AMAT Etch
fablogic NEW - $600.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-30073 Clamp Cylinder, 200 mm (NEW) AMAT Etch
fablogic NEW - $850.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-27805 Shutter Disk (NEW) AMAT PVD
fablogic NEW - $995.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-26578 Clamp, 4 Point (NEW) AMAT PVD
fablogic NEW - $695.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-24386 Cover Ring , 150 MM (NEW) AMAT PVD
fablogic NEW - $1,995.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-23354 Insulator, Quartz PVD (NEW) AMAT
fablogic NEW - $400.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-23065 Pedestal, 150 MM TIN 101% (NEW) AMAT PVD
fablogic NEW - $2,895.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0040-21897 Bell Jar, W/Handles Preclean II (NEW) AMAT PVD
fablogic NEW - $2,900.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0021-03488 Face Plate, Delta MF Nitride, 200 MM (NEW) AMAT CVD
svcstore Used - $899.99 0 Aug/01/14 Aug/04/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
svcstore Used - $799.99 0 Aug/01/14 Aug/04/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
stifflersequipmentllc NEW - $82.50 0 Aug/02/14 Dec/01/15
Description: APPLIED MATERIALS 0020-18497 002018497 BAND TOP COIL/ IV SENSOR 81335WFORD NEW
stifflersequipmentllc NEW - $132.00 0 Aug/02/14 Nov/25/15
Description: APPLIED MATERIALS 0050-06115 005006115 WLDMT, FORELINE, POSITION B&D - NEW
stifflersequipmentllc NEW - $715.00 0 Aug/02/14 Dec/01/15
Description: APPLIED MATERIALS 0190-18333 019018333 MAINFRAME TO AUX EDWARDS CABLES - NEW
stifflersequipmentllc NEW - $715.00 0 Aug/02/14 Dec/01/15
Description: APPLIED MATERIALS 0150-16577 015016577 MAINFRAME TO AUX EDWARDS CABLES - NEW
stifflersequipmentllc NEW - $143.00 0 Aug/02/14 Dec/01/15
Description: APPLIED MATERIALS 0050-06116 BOC EDWARDS WLDMT FORELINE POSITION A ULTIM - NEW
imca00 Scrap, for parts - $499.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $12,500.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
athomemarket NEW - $1,129.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $128.99 0 Aug/02/14 Sep/01/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Used - $1,212.99 0 Aug/02/14 Sep/01/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Scrap, for parts - $111.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,212.99 0 Aug/02/14 Sep/01/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $464.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Used - $412.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
fablogic NEW - $1,175.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09920 Ring, Clamp (NEW) AMAT Etch
fablogic NEW - $375.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09468 Ring, Cover SXTAL (NEW) AMAT Etch
fablogic NEW - $800.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09318 Ring, Inner 200 MM (NEW) AMAT Etch
fablogic NEW - $450.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09082 Shield, 200 MM Sputter Etch (NEW) AMAT
fablogic NEW - $950.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-00262 Dome, Center Gas Feed (NEW) AMAT
fablogic Used - $2,700.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0040-33998 Liner, Wall MXP+ (USED) AMAT Etch
fablogic NEW - $765.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09818 Ring, Pedestal (NEW) AMAT Etch
fablogic NEW - $4,250.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-10054 Ring, Inner WXZ (NEW) AMAT CVD
fablogic NEW - $495.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-10039 Outer Ring (NEW) AMAT Etch
fablogic NEW - $1,795.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09996 Ring, Outer WXZ (NEW) AMAT CVD
fablogic NEW - $475.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09426 Focus Ring, Quartz 200 MM (NEW) AMAT Etch
fablogic NEW - $1,125.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-35113 Susceptor, Rotation 200 MM EPI (NEW) AMAT
fablogic NEW - $1,000.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-35086 Ring, Preheat (NEW) AMAT
fablogic NEW - $995.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-35083 Ring, Preheat (NEW) AMAT
fablogic NEW - $1,850.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-20064 Insulator, Quartz (NEW) AMAT PVD
fablogic NEW - $1,500.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-20056 Insulator, TI Pedestal (NEW) AMAT PVD
fablogic NEW - $450.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-10555 Cover Ring, Quartz (NEW) AMAT Etch
fablogic Used - $1,500.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0010-35492 Lamp Module, Delta DCS AU (USED) AMAT Centura
fablogic Used - $325.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0970-01001 Isolator, Water-Cooled Microwave RPS Chamber (USED)
fablogic NEW - $995.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 3620-01011 Pump, Heat Exchanger AMAT-0 (NEW)
athomemarket NEW - $91.99 0 Aug/03/14 Sep/02/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $960.99 0 Aug/03/14 Sep/02/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket Used - $3,331.99 0 Aug/03/14 Sep/02/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $232.99 0 Aug/03/14 Sep/02/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket NEW - $137.99 0 Aug/03/14 Sep/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
tcchie Refurbished - $6,999.00 0 Aug/03/14 Aug/08/14
Description: Applied Materials ALP 0040-23840
yayais2012 NEW - $95.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 NEW - $32.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
ptb-sales Used - $780.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $250.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $225.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
fablogic NEW - $1,625.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-36524 Liner, Lid TXZ (NEW) AMAT Centura
ptb-sales Used - $1,800.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $2,500.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Scrap, for parts - $5,000.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $3,000.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $360.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
fablogic NEW - $1,200.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-35460 Adapter, Ring 200 MM (NEW) AMAT Centura
fablogic NEW - $1,100.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-35256 Ring, Preheat (NEW) AMAT Centura
fablogic NEW - $1,100.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-20055 Insulator, Quartz (NEW) AMAT Endura PVD
fablogic Used - $4,500.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0040-09001 Chamber Body, Standard 4" to 6" (USED) AMAT CVD
fablogic NEW - $2,995.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0242-13897 Kit, WB W/Shutter Ceramic Insulator (NEW) Endura
fablogic NEW - $850.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 3420-01080 Insulation Blanket, Heat Shield (NEW) AMAT Centura
fablogic Used - $3,000.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-10556 Monochromator Module, Assy. (USED) AMAT Centura
fablogic NEW - $1,495.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-09678 Focus Ring, 150 MM AL (NEW) AMAT Etch
fablogic NEW - $320.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-09135 Adapter, Pumping Plate 150 MM (NEW) AMAT CVD
fablogic Used - $950.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-10139 Hinge, Adjustable Uni-lid A&D (USED) AMAT MXP Etch
velocity-semiconductor-equipment NEW - $375.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) CARD BRAIN BOARD 16-CHANNEL ANALOG OPTOM 0660-00302
athomemarket Used - $45.99 0 Aug/04/14 Sep/03/14
Description: AMAT/Applied Materials 3870-03334 Pneumatic 1/4" VCR Air Diaphragm Valve NC
velocity-semiconductor-equipment NEW - $155.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) OPTO 22 I/O RACK DGTL 16-CHANNEL W/HDR CONN 0660-00301
velocity-semiconductor-equipment NEW - $200.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) PORTWATERRPSCHDP-CVD 0020-18461
tcchie NEW - $1,999.00 0 Aug/04/14 Aug/09/14
Description: applied materials 0010-03363 MCA ESC Detect Assy
athomemarket Refurbished - $3,906.99 0 Aug/04/14 Sep/03/14
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
fablogic NEW - $1,950.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-75226 Throttle Valve, CVD 200 MM (NEW) AMAT
fablogic Used - $450.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-00561 Emission Detector (USED) AMAT 8300 Etch
velocity-semiconductor-equipment NEW - $700.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) MFM96606SLMHE1/4VCRMTL 3030-09099
visionsemi Used - $325.00 1 Aug/04/14 Nov/05/16
Description: APPLIED MATERIALS 0190-19522 MKS PRESSURE CONTROLLER 640A13TQ2M52T 640A-27866
velocity-semiconductor-equipment NEW - $20.25 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) THERMOCOUPLER 1310-90015
velocity-semiconductor-equipment NEW - $115.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) PLATEIPS MODTTF LEFT REARTTF FACILITI 0020-04566
velocity-semiconductor-equipment NEW - $1,600.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) ARM SLURRY/HPR ARM 0040-77267
fablogic Used - $2,250.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0021-09835 Liner, Wall MXP+ Poly (Used; good condition) AMAT
velocity-semiconductor-equipment NEW - $125.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) INSULATOR H.V. BELLOWS 0020-84329
fablogic Used - $1,795.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0190-35452 Lamp Driver, RTP (USED) AMAT Centura 5200
velocity-semiconductor-equipment NEW - $800.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) CARD CONTROLLER FORTH LC4 64K W/BASIC EP 0660-00277
velocity-semiconductor-equipment NEW - $250.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) CARD DAUGHTER SERIAL/PARALLEL LC4 0660-00314
velocity-semiconductor-equipment NEW - $6.62 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) SPACER MODIF SHIELD 8" G-12 0020-23587
velocity-semiconductor-equipment NEW - $26.34 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) SHAFT THROTTLE VALVE 0020-09102
fablogic Used - $750.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-20251 Laser Optics. Assy. (USED) Wafer Orienter PVD
velocity-semiconductor-equipment NEW - $550.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) BELLOWS FINGER LIFT HASTALLOY PRODUCER 0040-39946
velocity-semiconductor-equipment Refurbished - $143.44 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) PEDESTAL SST 8" 101 COVERAGE 0020-24913
xsysengineering NEW - $2,500.00 0 Aug/04/14 Aug/11/14
Description: AMAT APPLIED MATERIALS 0020-29214 COIL RING
xsysengineering Used - $120.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
xsysengineering Used - $999.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
velocity-semiconductor-equipment NEW - $700.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) KIT CHAMBER LID H20 RETROFIT 0242-11877
svcstore Used - $899.99 0 Aug/04/14 Aug/07/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
svcstore Used - $126.99 0 Aug/04/14 Aug/07/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
nps NEW - $49.99 1 Aug/05/14 Aug/12/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
katiil3 Used - $550.00 1 Aug/05/14 Sep/23/14
Description: AMAT Applied Materials 0100-00396 Analog AI/O PCB Lot of 2
tcchie Used - $899.00 0 Aug/05/14 Aug/12/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
athomemarket Refurbished - $114.99 1 Aug/05/14 Sep/04/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Aug/05/14 Sep/04/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Aug/05/14 Sep/04/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
svcstore Used - $799.99 0 Aug/05/14 Aug/08/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
surplusexiting Used - $735.00 0 Aug/05/14 Sep/04/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surplusexiting Used - $698.00 0 Aug/05/14 Sep/04/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
liquisinc Used - $24.99 0 Aug/06/14 Aug/13/14
Description: APPLIED MATERIALS 60 EV PNUEMATIC PCB/W MANIFOLD 0190-09085 60 EV
visionsemi Used - $250.00 0 Aug/06/14 Jan/22/17
Description: APPLIED MATERIALS AMAT SLIT VALVE ACTUATOR 0010-70162 6" P5000
resalesavings NEW - $200.00 0 Aug/06/14 Aug/13/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
athomemarket NEW - $59.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket Used - $214.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials 0100-20003 Digital I/O Board AMAT P5000 Input Output PCB
athomemarket NEW - $69.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $265.99 0 Aug/06/14 Sep/05/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Used - $328.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket Refurbished - $216.99 1 Aug/06/14 Sep/02/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $196.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket NEW - $236.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Refurbished - $216.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Used - $92.99 0 Aug/06/14 Sep/05/14
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
waste-not-recycling NEW - $29.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
athomemarket Refurbished - $196.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
svcstore Used - $299.99 0 Aug/06/14 Aug/09/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
athomemarket NEW - $69.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Aug/06/14 Sep/05/14
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
fablogic NEW - $1,950.00 0 Aug/06/14 Aug/06/14
Description: Applied Materials 0200-09996 Ring, Outer WXZ CVD (NEW) AMAT
sell_anything NEW - $528.67 0 Aug/07/14 Aug/17/14
Description: Applied Materials 0100-20318 0130-20318 REV A QDRS245
usedeqsales NEW - $1,008.14 0 Aug/07/14 May/04/15
Description: Cortec Precision 0270-00795 300mm Hoop Alignment Tool AMAT Applied Materials New
supertechshop NEW - $995.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
supertechshop NEW - $399.00 0 Aug/07/14 Sep/06/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $99.00 0 Aug/07/14 Sep/06/14
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
supertechshop NEW - $399.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $1,250.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop NEW - $149.95 0 Aug/07/14 Sep/06/14
Description: AMAT AKT Chamber Control CVD 25K Board Applied Materials 0100-71121 / Warranty
supertechshop NEW - $399.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $699.00 0 Aug/07/14 Sep/06/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $99.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
conquer_2011 NEW - $206.25 0 Aug/07/14 Sep/06/14
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
fablogic Used - $395.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 1110-01015 DXZ Match Adapter (USED) AMAT CVD
imca00 NEW - $4,500.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $12,500.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
fablogic NEW - $2,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0200-10540 Source, SI (NEW) AMAT
fablogic NEW - $7,500.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 3750-01112 TUBE PLASMA SOURCE SAPPHIRE 1/4VCR (NEW) Astex AMAT
athomemarket Used - $270.99 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0100-01577 Chamber Distribution Control Board AMAT AS00363-03
athomemarket Refurbished - $208.99 0 Aug/07/14 Sep/06/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
fablogic NEW - $1,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0190-02264 Module, HD Analog (NEW) AMAT
fablogic NEW - $350.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0200-09424 Focus Ring, Quartz Alum 200 MM (NEW) AMAT Etch
fablogic NEW - $1,150.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-25071 Clamp Ring, 200 MM Chamber E Degas (NEW) AMAT PVD
fablogic NEW - $1,795.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0040-09958 Shell, Assy. 200 MM Notch (NEW) AMAT
fablogic NEW - $895.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0021-76026 Deposition Ring (NEW) AMAT
fablogic NEW - $995.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0021-35095 Oxide Clamp Carrier, 5200 (NEW) AMAT Centura
fablogic NEW - $1,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-60019 Susceptor, Shadow Ring 100 MM (NEW) AMAT CVD
fablogic NEW - $1,295.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-00730 Geneva Wheel (NEW) Precision 8300 Etch
fablogic NEW - $465.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-31020 Blocker Plate, 200 MM BSE BWCVD (NEW) AMAT
fablogic NEW - $375.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-31797 Gas Distribution Plate (NEW) AMAT Etch
fablogic NEW - $1,650.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0190-01870 ASP Interlock (NEW) AMAT Centura
fablogic NEW - $995.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-01322 Slit Door, Assy. SHO (NEW) AMAT Centura
fablogic Used - $2,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-37167 HV Filter, Assy. Centura 5200 DPS (USED)
fablogic NEW - $1,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-09732 Pedestal, Assy. 200 MM (NEW) AMAT Etch
svcstore Used - $126.99 0 Aug/07/14 Aug/10/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $899.99 0 Aug/07/14 Aug/10/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
yayais2012 Used - $190.00 0 Aug/08/14 Sep/07/14
Description: Applied Materials 0150-17081 MKS TeNTA cPCI PWRS-0721 PCI Power Board
surplusssam Used - $259.99 0 Aug/08/14 Aug/18/14
Description: APPLIED MATERIALS 0100-76130 SENSOR MUX BOARD AMAT
usedeqsales Used - $3,506.11 0 Aug/15/14 May/01/15
Description: AMAT Applied Materials 0010-28724 RF Match Vectra IMP Source 300mm Used Working
altechco NEW - $24,000.00 0 Aug/15/14 Sep/08/14
Description: APPLIED MATERIALS ASSY STD 119338A 1-11938000-468 CRNTURA 300MM MF 0010-26656
usedeqsales Used - $3,808.14 0 Aug/15/14 Dec/23/20
Description: AMAT Applied Materials 6-0000-4663-PC Transfer Robot 0195-02883 Used Working
pete-sigep Used - $375.00 0 Aug/15/14 Sep/14/14
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
pete-sigep Used - $475.00 0 Aug/15/14 Sep/14/14
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Used - $475.00 0 Aug/15/14 Sep/14/14
Description: AMAT Applied Materials Digital I/O 0100-20003 Rev. F
logansemi Used - $238.77 0 Aug/15/14 Sep/14/14
Description: AMAT Applied Materials Valve 0015-09077 HLZ 076
yayais2012 Used - $1,700.00 0 Aug/15/14 Sep/14/14
Description: APPLIED MATERIALS CENTURA 4.0 FI CONTROLLER REV 001 CP3308-S000557 0010-27131
usedeqsales NEW - $1,008.14 0 Aug/15/14 May/04/15
Description: AMAT Applied Materials 300750-4512-660017 Pulsed Integration Box 0010-49945 New
megawavz Used - $495.00 0 Aug/15/14 Sep/14/14
Description: Applied Materials AMAT 0120-90067 Contractor Drive Board
yayais2012 Used - $115.00 0 Aug/15/14 Sep/14/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
yayais2012 NEW - $220.00 0 Aug/15/14 Sep/14/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL LLC SLIT VAL 0041-46728 NEW
yayais2012 NEW - $220.00 0 Aug/15/14 Sep/14/14
Description: APPLIED MATERIALS PLANE VITON FACE SEAL AL PROC SLIT VALVE 0041-46730 NEW
svcstore Used - $799.99 0 Aug/14/14 Aug/17/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
athomemarket NEW - $47.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0010-23723 Clippard Valve Assy. w/ SMC One-Touch Fittings
athomemarket NEW - $107.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $196.99 0 Aug/14/14 Sep/13/14
Description: AMAT 0020-22292 Lower TiN Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $289.99 0 Aug/14/14 Sep/13/14
Description: AMAT 0100-00012 VME Counter Board/Card Applied Materials
athomemarket NEW - $91.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $317.99 0 Aug/14/14 Sep/13/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket Used - $110.99 0 Aug/14/14 Sep/13/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $187.99 0 Aug/14/14 Sep/13/14
Description: MKS/dIP/AMAT Control CDN491R Applied Materials 0190-34282 DeviceNet PCB Board
athomemarket NEW - $380.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0190-14224 Flex Distribution Panel Assembly
athomemarket NEW - $97.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Used - $332.99 0 Aug/14/14 Sep/13/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
visionsemi NEW - $75.00 0 Aug/14/14 Dec/07/15
Description: APPLIED MATERIALS AMAT 0150-04852 NMB-MAT 4710KL-05W-B50 MINEBEA 24VDC .38 2EA
visionsemi NEW - $85.00 0 Aug/14/14 Jul/10/15
Description: APPLIED MATERIALS AMAT 0090-02868 ASSY RESISTOR ELECTRICAL TRAY NEW
ptb-sales Scrap, for parts - $5,000.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $3,000.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,800.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $2,500.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $360.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $780.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $225.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $250.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ntsurplus302 Used - $900.00 0 Aug/14/14 Feb/26/16
Description: 1944 Applied Materials Pneumatic Hoist Mod: 8100Q; P/N: 0010-01060
usedeqsales NEW - $208.14 0 Aug/14/14 May/01/15
Description: AMAT Applied Materials 11938000 C/A Communication Cable 0150-03027 New
usedeqsales NEW - $208.14 0 Aug/14/14 May/01/15
Description: AMAT Applied Materials 300750-3513-640001 Motor Cable Assembly 0150-24422 New
resalesavings NEW - $200.00 0 Aug/14/14 Aug/21/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
hitech.man Used - $41.00 0 Aug/14/14 Aug/11/23
Description: Cable assembly, Applied Materials Dryvac pump interface 0140-70093
hitech.man Used - $51.00 0 Aug/14/14 Aug/11/23
Description: Cable assembly, Applied Materials 0150-00091
svcstore Used - $899.99 0 Aug/13/14 Aug/16/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
svcstore Used - $126.99 0 Aug/13/14 Aug/16/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
xsysengineering NEW - $2,500.00 0 Aug/13/14 Aug/20/14
Description: AMAT APPLIED MATERIALS 0020-29214 COIL RING
xsysengineering Used - $120.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
xsysengineering Used - $999.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
athomemarket NEW - $115.99 0 Aug/13/14 Sep/12/14
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
athomemarket Refurbished - $218.99 0 Aug/13/14 Sep/12/14
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
rfsurplus123 Used - $500.00 1 Aug/13/14 Sep/13/14
Description: Applied Materials AMAT ESC Remote Box Assembly 0010-14507 Used Working
katiil3 Scrap, for parts - $990.00 0 Aug/13/14 Aug/16/14
Description: AMAT Applied Materials 0010-04450 Heat Plate Element
visionsemi NEW - $600.00 0 Aug/13/14 Jun/03/16
Description: APPLIED MATERIALS AMAT ENDURA CRYO PUMP LIFT ALUM-A-LIFT 300MM 0190-14995
katiil3 Used - $399.00 1 Aug/13/14 Aug/18/14
Description: Applied Materials Stepper Controller 0100-20173
liquisinc Used - $9.99 0 Aug/13/14 Aug/20/14
Description: APPLIED MATERIALS 60 EV PNUEMATIC PCB/W MANIFOLD 0190-09085 60 EV
visionsemi NEW - $40.00 1 Aug/13/14 May/24/15
Description: 0190-75039 APPLIED MATERIALS VHP ROBOT BEARING NEW LOT OF 4EA 7/8OD x 3/8ID 7/3
yayais2012 Used - $65.00 0 Aug/13/14 Sep/12/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
yayais2012 Used - $3,500.00 0 Aug/13/14 Sep/12/14
Description: AMAT APPLIED MATERIALS 0040-23341 REV 007 EEP-364
svcstore Used - $299.99 0 Aug/15/14 Aug/18/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
yayais2012 NEW - $42.00 0 Aug/16/14 Sep/15/14
Description: EBM-PAPST 4314 AMAT APPLIED MATERIALS 0020-52888 REV 003
yayais2012 Used - $120.00 0 Aug/15/14 Sep/14/14
Description: APPLIED MATERIALS 0010-29780 00400-02396 VEXTA ASM46AK-T20
yayais2012 Used - $95.00 0 Aug/16/14 Sep/15/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
yayais2012 Used - $180.00 0 Aug/16/14 Sep/15/14
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 001
yayais2012 NEW - $170.00 0 Aug/16/14 Sep/15/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 Used - $215.00 0 Aug/16/14 Sep/15/14
Description: UP JID 120V 2000W LAMP 0190-39351 E9 EPI APPLIED MATERIALS LOT OF 6
yayais2012 Used - $95.00 0 Aug/16/14 Sep/15/14
Description: AMAT Device Net I/O Block DIP294 0190-36511 APPLIED MATERIALS
yayais2012 Used - $380.00 0 Aug/16/14 Sep/15/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 NEW - $70.00 0 Aug/16/14 Sep/15/14
Description: Applied Materials 0190-10270 Diamond Pad Cond
yayais2012 NEW - $78.00 0 Aug/16/14 Sep/15/14
Description: APPLIED MATERIALS 0150-10409 CABLE ASSY EMO PUMP INTFC-TO-PUMP ITFC
katiil3 Scrap, for parts - $1,500.00 0 Aug/16/14 Sep/10/14
Description: AMAT Applied Materials 0010-04450 Heat Plate Element
svcstore Used - $126.99 0 Aug/16/14 Aug/19/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $899.99 0 Aug/16/14 Aug/19/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
trees_for_a_better_tomorrow NEW - $125.00 0 Aug/17/14 Aug/27/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow Used - $400.00 0 Aug/17/14 Aug/27/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow Used - $250.00 0 Aug/17/14 Aug/27/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
yayais2012 NEW - $120.00 0 Aug/17/14 Sep/16/14
Description: APPLIED MATERIALS WAFER LIFT PIN AMAT 300mm 0200-03201 301482-491 REV 003
trees_for_a_better_tomorrow NEW - $100.00 0 Aug/17/14 Aug/27/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
svcstore Used - $799.99 0 Aug/17/14 Aug/20/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $80.00 0 Aug/17/14 Aug/27/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
tcchie Used - $800.00 0 Aug/18/14 Aug/23/14
Description: applied materials seriplex board 0190-09469
tcchie Used - $999.00 0 Aug/18/14 Aug/23/14
Description: applied materials PVD magnet 0010-01198
tcchie Used - $799.00 0 Aug/18/14 Aug/25/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
tcchie Refurbished - $2,999.00 0 Aug/18/14 Aug/23/14
Description: Applied Materials ALP 0040-23840
tcchie NEW - $1,699.00 0 Aug/18/14 Aug/23/14
Description: applied materials 0010-03363 MCA ESC Detect Assy
visionsemi Used - $1,675.00 0 Aug/18/14 Jan/28/16
Description: APPLIED MATERIALS AMAT P5000 0010-09297 TUNGSTEN POWER SUPPLY 6"
athomemarket NEW - $502.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
waste-not-recycling Scrap, for parts - $99.99 0 Aug/18/14 Aug/25/14
Description: Applied Materials 0010-02862 Spare 6", 150mm TEOS Susceptor, Used Surplus
athomemarket Used - $214.99 0 Aug/18/14 Sep/17/14
Description: MKS/dIP/AMAT Applied Materials 0100-01944 Tower Interface (CCT) 300mm, E Card
athomemarket Used - $88.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials 0150-76186 Controller Pump Interconnect RP Cable Assembly
athomemarket NEW - $1,817.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,716.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $918.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $119.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials 0190-70103 Monitor Lightpen Interconnect PCB Board Assy.
athomemarket Used - $649.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials 5-Channel Thermocouple Gauge Board TC Gauge Bd.AMAT 0100-20063
athomemarket NEW - $128.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials 0100-01704 Isolated Monitor Lightpen Interconnect Board
athomemarket Used - $1,229.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $88.99 0 Aug/18/14 Sep/17/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket Refurbished - $569.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $301.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $199.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $199.99 0 Aug/18/14 Sep/17/14
Description: AMAT/Applied Materials 3310-00139 Capacitance Pirani Gauge C-Seal Mount 15-Pin
athomemarket Used - $199.99 1 Aug/18/14 Sep/09/14
Description: NEW Applied Materials 0100-38018 Rev. 003 RF Match Control PCB Board/Card
athomemarket NEW - $300.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket NEW - $114.99 0 Aug/18/14 Sep/17/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,091.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
surplusssam Used - $259.99 0 Aug/18/14 Aug/28/14
Description: APPLIED MATERIALS 0100-76130 SENSOR MUX BOARD AMAT
athomemarket NEW - $315.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $4,037.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $300.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket Used - $129.99 0 Aug/18/14 Sep/17/14
Description: AMAT/Applied Materials 0103-65042 Digital Inkjet 60K Board PCB Assembly AKT
athomemarket NEW - $1,091.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $516.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $75.99 0 Aug/18/14 Sep/17/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket Used - $241.99 0 Aug/18/14 Sep/17/14
Description: AMAT/Applied Materials 0040-54098 Input Manifold Lid Assembly PMD Producer 6061
athomemarket NEW - $191.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
svcstore Used - $299.99 0 Aug/18/14 Aug/21/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
sell_anything NEW - $528.67 0 Aug/18/14 Aug/28/14
Description: Applied Materials 0100-20318 0130-20318 REV A QDRS245
mayraytan NEW - $325.00 3 Aug/19/14 Nov/09/17
Description: Applied Materials Griswold Flow Restirctor, 3510-01093
skyhorse9002 Used - $399.00 0 Aug/19/14 Sep/18/14
Description: APPLIED MATERIALS 1350-00681 Capacitance Manometer
nps NEW - $49.99 0 Aug/19/14 Aug/26/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
peggiegrady Used - $39.99 0 Aug/19/14 Aug/26/14
Description: AMAT Applied Materials 3870-01767 FUJIKIN PENU VALVE
supertechshop Used - $199.00 0 Aug/19/14 Sep/18/14
Description: Applied Materials XR80 Implanter Tilt Interlock PCB Board AMAT 0100-90895
supertechshop Used - $299.00 0 Aug/19/14 Sep/18/14
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863
supertechshop Used - $49.95 0 Aug/19/14 Sep/18/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket NEW - $179.99 0 Aug/19/14 Sep/18/14
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
athomemarket Used - $61.99 0 Aug/19/14 Sep/18/14
Description: AMAT/Applied Materials 0090-03242 Rev.003 Brush Torque & Wafer Rot. Mon Adapter
athomemarket Used - $4,742.99 0 Aug/19/14 Sep/18/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
exper-tech NEW - $135.00 0 Aug/20/14 Jun/25/15
Description: AMAT Applied Materials 0150-00096 System I/O Interconnect Cable Assy 21'FT, New
liquidationbazar NEW - $999.00 0 Aug/20/14 Sep/19/14
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
athomemarket NEW - $394.99 0 Aug/20/14 Sep/19/14
Description: NEW AMAT 0200-00859 Quartz ShadowTop Ring 8"/200mm Applied Materials Hart 8.00"
athomemarket Used - $6,862.99 0 Aug/20/14 Sep/19/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
svcstore Used - $116.99 0 Aug/20/14 Aug/23/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $899.99 0 Aug/20/14 Aug/23/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
dr.fantom Refurbished - $500.00 0 Aug/21/14 Feb/12/15
Description: Applied Materials 0020-29711 Rev 007 633002459 Lower Shield
dr.fantom Refurbished - $500.00 0 Aug/21/14 Feb/12/15
Description: Applied Materials 0020-41709 500065085 XDK Dark Space Shield 300mm
dr.fantom Refurbished - $500.00 0 Aug/21/14 Feb/12/15
Description: Applied Materials 0021-40241 633005717 Ring Clamp
dr.fantom Refurbished - $500.00 0 Aug/21/14 Feb/12/15
Description: Applied Materials 0021-40241 633005716 Cover Ring 300mm CL
liquisinc Used - $21.60 1 Aug/21/14 Aug/28/14
Description: APPLIED MATERIALS 60 EV PNUEMATIC PCB/W MANIFOLD 0190-09085 60 EV
xsysengineering Used - $999.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $120.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
xsysengineering NEW - $2,500.00 0 Aug/21/14 Aug/28/14
Description: AMAT APPLIED MATERIALS 0020-29214 COIL RING
xsysengineering Used - $1,200.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
usedeqsales Used - $608.14 0 Aug/21/14 May/04/15
Description: AMAT Applied Materials 1350-00284 Baratron Pressure Transducer Used Tested
usedeqsales Used - $608.14 0 Aug/21/14 May/04/15
Description: AMAT Applied Materials 1350-00289 Baratron Pressure Transducer Used Tested
athomemarket NEW - $206.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $270.99 0 Aug/21/14 Sep/20/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $114.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $71.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $206.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $6,570.99 0 Aug/21/14 Sep/20/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
exper-tech NEW - $80.00 1 Aug/21/14 Jan/16/18
Description: AMAT Applied Materials 0050-36923 Exhaust Line, DLL NW40 ISO-KF, New
svcstore Used - $799.99 0 Aug/21/14 Aug/24/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
svcstore Used - $299.99 0 Aug/21/14 Aug/24/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
dellabitta7 NEW - $450.00 0 Aug/21/14 Aug/31/14
Description: Applied Materials AMAT Kalrez Sahara O-RING 3700-03760
xeseyer NEW - $105.00 0 Aug/22/14 Sep/02/14
Description: AMAT Applied Materials 0020-34732 RevA RF Input Strap DXZ, New
usedeqsales Used - $2,508.14 3 Aug/22/14 Feb/23/16
Description: AMAT Applied Materials 300750 RF Match Vectra IMP Source 0010-34895 Used Working
usedeqsales Used - $608.14 0 Aug/22/14 May/04/15
Description: AMAT Applied Materials 1350-00255 Baratron Pressure Transducer Used Tested
athomemarket NEW - $526.99 0 Aug/22/14 Sep/21/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
usedeqsales Used - $608.14 0 Aug/22/14 May/04/15
Description: AMAT Applied Materials 1350-00683 Baratron Pressure Transducer Used Tested
usedeqsales Used - $608.14 0 Aug/22/14 May/04/15
Description: AMAT Applied Materials 1350-00682 Baratron Pressure Transducer Used Tested
exper-tech NEW - $275.00 0 Aug/22/14 Oct/30/18
Description: AMAT Applied Materials 3870-02340 ALVE BLOCK DIAPH 3WAY 1/4VCR-F/F/M, NEW
exper-tech Used - $135.00 0 Aug/22/14 Mar/01/19
Description: AMAT Applied Materials 0020-70299 FLANGE BLANKOFF ORIENTER PORT W/RGA POS. Used
exper-tech Used - $135.00 1 Aug/22/14 May/26/17
Description: AMAT Applied Materials 0020-21073 Blank Flange Trsnsferwith RGA Port, Used
fast_sell_4u Refurbished - $4,000.00 0 Aug/22/14 Sep/21/14
Description: Applied Materials AMAT 0010-09961 Rev. C 5000 DELTA/SACVD Nitride 4-6" Gas Box
esoteric_specialties Used - $950.00 0 Aug/23/14 Sep/22/14
Description: Applied Materials HDPCVD Chamber Interface Board 5200 HDP-CVD Ultima 0100-00493
tcchie Used - $800.00 0 Aug/23/14 Aug/28/14
Description: applied materials seriplex board 0190-09469
tcchie Used - $999.00 0 Aug/23/14 Aug/28/14
Description: applied materials PVD magnet 0010-01198
tcchie Refurbished - $2,999.00 0 Aug/23/14 Aug/28/14
Description: Applied Materials ALP 0040-23840
tcchie NEW - $1,699.00 0 Aug/23/14 Aug/28/14
Description: applied materials 0010-03363 MCA ESC Detect Assy
velocity-semiconductor-equipment NEW - $18.00 0 Aug/23/14 Sep/22/14
Description: APPLIED MATERIALS (AMAT) , PLUG, NF3 CHANNEL, 300SE , 0021-18829
velocity-semiconductor-equipment NEW - $20.00 0 Aug/23/14 Sep/22/14
Description: APPLIED MATERIALS (AMAT) , GUIDE, LIFT PIN, ESC, 300MM DPS2 , 0200-06622
svcstore Used - $116.99 0 Aug/23/14 Aug/26/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $899.99 0 Aug/23/14 Aug/26/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
vizko2017 NEW - $30.00 0 Aug/24/14 Mar/12/23
Description: APPLIED MATERIALS 0240-52734 KIT, H2 ON Indicator Per Process Platter, Helios
yayais2012 NEW - $110.00 0 Aug/24/14 Jul/20/15
Description: APPLIED MATERIALS 0150-15387 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH-B IH1000
yayais2012 NEW - $220.00 0 Aug/24/14 Jul/20/15
Description: APPLIED MATERIALS 0150-27540 CABLE ASSY HELIOS-4 INLET4 PUMP RUN CH
yayais2012 NEW - $110.00 0 Aug/24/14 Jul/20/15
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
vizko2017 Used - $380.00 1 Aug/24/14 Jun/24/22
Description: APPLIED MATERIALS MIRRA 300MM HVM Controller I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $240.00 0 Aug/24/14 Nov/17/15
Description: APPLIED MATERIALS 0240-50486 KIT FACILITY N2 PURGE PRESSURE SW & REGULATOR
vizko2017 Used - $400.00 1 Aug/24/14 Apr/01/17
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
yayais2012 NEW - $75.00 0 Aug/24/14 Nov/17/15
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 NEW - $150.00 0 Aug/24/14 Nov/13/19
Description: APPLIED MATERIALS 0150-22912 CABLE ASSY SCRUBBER RUNNING SICONI 300M
yayais2012 NEW - $250.00 0 Aug/24/14 Jun/14/16
Description: APPLIED MATERIALS 0190-23270 OHT HOKUYO DMS-HB1-Z28, E84 VARIABLE SENSOR
yayais2012 NEW - $150.00 0 Aug/24/14 Nov/17/15
Description: APPLIED MATERIALS 0150-15385 CABLE ASSY HELIOS-4 INLET1 TO FACILITY N2 PRESS S
yayais2012 Used - $220.00 0 Aug/24/14 Nov/03/19
Description: APPLIED MATERIALS CABLES 0150-78379 REV P4 HS2 DRV HS2 J4 0150-03352 MOLEX
yayais2012 NEW - $95.00 0 Aug/24/14 Nov/13/19
Description: APPLIED MATERIALS 0150-15386 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-A IH1000
yayais2012 Used - $200.00 0 Aug/24/14 Nov/17/15
Description: APPLIED MATERIALS FACTORY INTERFACE DIGITAL I/O 0190-22543 FW: 1.201 / HW: 02
yayais2012 Used - $200.00 3 Aug/24/14 Sep/13/16
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
yayais2012 NEW - $110.00 0 Aug/24/14 Nov/17/15
Description: Applied Materials 0050-53004 WELDMENT, CONVECTRON ADAPTER, NW50 W/1/4'' VCR ADA
dr.fantom Refurbished - $600.00 0 Aug/24/14 Feb/12/15
Description: AMAT / Applied Materials 0020-48304 REV 003 SST Clamp Ring
dr.fantom Refurbished - $750.00 0 Aug/24/14 Feb/12/15
Description: AMAT / Applied Materials 0020-92461 REV 001 Inner Shield 300mm PC XT/XTE
athomemarket Used - $2,421.99 0 Aug/24/14 Aug/28/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket NEW - $61.99 0 Aug/24/14 Sep/23/14
Description: Applied Materials 0150-38374 AMAT 419274-SG Chamber Set Pump Cable Assy 75 ft.
athomemarket Used - $2,624.99 0 Aug/24/14 Sep/23/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,211.99 0 Aug/24/14 Sep/23/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
geb9 NEW - $45.00 0 Aug/24/14 Sep/23/14
Description: Applied Materials 0020-31631
microwavetechnology NEW - $50.00 0 Aug/24/14 Nov/06/17
Description: Applied Materials 0051-25161 WELDMENT DUAL PORT
microwavetechnology NEW - $179.99 0 Aug/24/14 Aug/08/16
Description: Applied Materials 0051-25162 WELDMENT, 3 PORT
ptb-sales Used - $750.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $225.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $780.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $250.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Scrap, for parts - $5,000.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $360.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $2,500.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,800.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
svcstore Used - $799.99 0 Aug/24/14 Aug/27/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
labstd Used - $229.00 1 Aug/24/14 Mar/25/22
Description: Applied materials intelligent interface 0100-09006
svcstore Used - $299.99 0 Aug/24/14 Aug/27/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
dr.fantom Refurbished - $300.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0021-22177 Rev 007 Cover Ring 300mm
dr.fantom Refurbished - $300.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0021-22177 Rev 009 XDK Cover Ring 300mm
dr.fantom Refurbished - $500.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0200-20437 DEPOSITION RING 300MM ESC
dr.fantom Refurbished - $400.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0040-07291 REV 008 Pedestal Dep Ring
dr.fantom Refurbished - $400.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0020-99175 Rev 002 Cover Ring HP PVD 300MM
dr.fantom Refurbished - $300.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0021-17770 Rev 008 Cover Ring
resalesavings NEW - $200.00 0 Aug/25/14 Sep/01/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
exper-tech NEW - $125.00 1 Aug/25/14 Aug/26/14
Description: AMAT Applied Materials 0190-02841 CDO Ceramic Packing, 1 Bag of 250+ pcs, New
megawavz Used - $295.00 0 Aug/25/14 Sep/24/14
Description: AMAT Applied Materials 0120-90418 Temperature Servo Board
tcchie Used - $799.00 0 Aug/25/14 Sep/01/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
athomemarket NEW - $146.99 0 Aug/25/14 Sep/24/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
athomemarket Used - $5,551.99 0 Aug/25/14 Sep/24/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
supertechshop NEW - $299.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $199.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 Aug/25/14 Sep/24/14
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
port33serg Used - $45.00 0 Aug/26/14 Sep/25/14
Description: Applied materials 5 phase stepper motor driver interface 0100-35353
smartelektronikgmbh NEW - $650.00 1 Aug/26/14 Jan/30/15
Description: Applied Materials 0040-09697 Weloment, Bellows Assy, Upper, WXZ
dr.fantom Used - $600.00 0 Aug/26/14 Feb/12/15
Description: Applied Materials Used SST Shield lower 0021-40242
waste-not-recycling Scrap, for parts - $99.99 1 Aug/26/14 Sep/02/14
Description: Applied Materials 0010-02862 Spare 6", 150mm TEOS Susceptor, Used Surplus
catalystparts NEW - $1,200.00 1 Aug/26/14 Nov/25/16
Description: Applied Materials Back Plane Cable, 0140-77124 thru 8.
velocity-semiconductor-equipment NEW - $108.34 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) RING LIFT 6 0200-09999
nps NEW - $49.99 0 Aug/26/14 Sep/02/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
catalystparts NEW - $850.00 0 Aug/26/14 May/26/17
Description: Applied Materials, Load lock umbilical cable, 0150-76182 Rev A .
velocity-semiconductor-equipment NEW - $970.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) CARRIER CLAMP COMPLIANT EXT CATHODE 0020-31463
velocity-semiconductor-equipment NEW - $135.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) GUIDE LIFT PIN ESC 300MM DPS2 0021-13790
velocity-semiconductor-equipment NEW - $170.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) CLAMP PEEK 300MM WALKING BEAM CLEANE 0021-15538
velocity-semiconductor-equipment NEW - $500.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) ENCLOSURE FACILITIES MAIN FRAME 300MM 0040-48648
catalystparts Used - $750.00 0 Aug/26/14 May/26/17
Description: Applied Materials, Harness assy main frame. CMP3 Backplane cable. 0150-76181
velocity-semiconductor-equipment NEW - $350.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) SLIDE LINEAR LM GUIDE BALL-SCR 150MM W/B 3760-01102
athomemarket NEW - $5,954.99 0 Aug/26/14 Sep/25/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,836.99 0 Aug/26/14 Sep/25/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Aug/26/14 Sep/25/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $509.99 0 Aug/26/14 Sep/25/14
Description: NEW 200mm Wafer Quartz Insulator Ring AMAT# 0200-10073 Applied Materials
velocity-semiconductor-equipment NEW - $3,837.66 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) RF MATCH 2MHZ/5000W 60 MHZ/5000W HART3 0190-25190
velocity-semiconductor-equipment NEW - $139.37 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) CABLE ASSY FORCE CLOSED ADPATOR STEC 0620-02869
velocity-semiconductor-equipment NEW - $1,200.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) BELLOWS 0040-39066
velocity-semiconductor-equipment NEW - $750.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) BELLOWS SV DOOR W/BALL BEARING 0040-87358
athomemarket Used - $187.99 0 Aug/26/14 Sep/25/14
Description: MKS/dIP/AMAT Control CDN391R Applied Materials 0190-24115 DeviceNet PCB Board
athomemarket Used - $187.99 0 Aug/26/14 Sep/25/14
Description: MKS/dIP/AMAT Control CDN396R Applied Materials 0190-27708 DeviceNet PCB Board
velocity-semiconductor-equipment NEW - $1,800.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) PRESSURE DISPLAY 300MM FI120V 1PH 50/60HZ 0190-14415
velocity-semiconductor-equipment NEW - $750.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) 85LB MAX HOIST ASSY COMES WITH AMAT 0242-33587 0021-1
exper-tech NEW - $125.00 0 Aug/26/14 Jan/08/19
Description: AMAT Applied Materials 0050-39078 Foreline Mainframe DPS Chamber POS A/B, New
exper-tech NEW - $75.00 0 Aug/26/14 Oct/29/18
Description: AMAT Applied Materials 0050-36171 Line Process Chamber EXH Baratron Mount, New
exper-tech NEW - $155.00 0 Aug/26/14 Jan/07/15
Description: AMAT Applied Materials 0050-30383 Weldment Foreline Upper,PMS,WXZ, New
svcstore Used - $116.99 0 Aug/26/14 Aug/29/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $899.99 0 Aug/26/14 Aug/29/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
svcstore Used - $799.99 0 Aug/27/14 Aug/30/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
gesemiconductor Used - $9,500.00 0 Aug/27/14 Sep/12/17
Description: Applied Materials 0010-20285 Centura Left Indexer Assembly
athomemarket NEW - $99.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials 0050-88314 Rev. 002 Weldment Tube/Pipe Fitting Assembly
athomemarket NEW - $90.99 0 Aug/27/14 Sep/26/14
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT
athomemarket NEW - $63.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials 0050-02435 Rev. A Swagelok Weldment Line Fitting Assembly
athomemarket NEW - $63.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials 0050-96174 Rev. 04 Weldment (Adapter, Liner Purge, Neon)
athomemarket NEW - $5,853.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $5,046.99 0 Aug/27/14 Sep/26/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
catalystparts NEW - $1,250.00 1 Aug/27/14 Mar/02/17
Description: Applied Materials, Stand Alone 0010-13912 with original monitor ViewSonic E655
peggiegrady Used - $39.99 1 Aug/27/14 Feb/19/17
Description: AMAT Applied Materials 3870-01767 FUJIKIN PENU VALVE
catalystparts NEW - $600.00 1 Aug/27/14 Dec/17/14
Description: Granville Phillips, 010863-45, Transfer Ion Gauge, Applied Materials 0190-70059
bobsgoodies Used - $1,200.00 0 Aug/27/14 Dec/16/14
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear & Supports
bobsgoodies Used - $150.00 2 Aug/27/14 Dec/23/14
Description: MIRRA AMAT 0100-77026 Wrist Home & Axis Limit Sensor EESV3 Applied Materials
bobsgoodies Used - $950.00 0 Aug/27/14 Nov/05/15
Description: MIRRA AMAT 0090-77347 Applied Materials Servo Motor & Harmonic Gear Spindle
bobsgoodies2 Used - $100.00 1 Aug/27/14 Jul/27/16
Description: Luxtron 100C M-100 Temperature Control AMAT 0190-35236 Applied Materials
bobsgoodies NEW - $147.00 0 Aug/27/14 Dec/05/19
Description: GEMS, 183454 Flow Switch .8 GPM Applied Materials 0190-00653
bobsgoodies2 Used - $106.69 1 Aug/27/14 Feb/03/22
Description: Cable Assembly Fiber Optic AMAT 0040-00457 Applied Materials
bobsgoodies NEW - $52.25 0 Aug/27/14 Jan/26/15
Description: Banner fiber optic cable assembly BT13S Applied Materials 0620-01194 Cable Assy
bobsgoodies2 Used - $325.00 1 Aug/27/14 Jan/03/17
Description: Applied Materials Throttle Valve with Drive 0020-09999 CDSL-D-186
bobsgoodies Used - $900.00 1 Aug/27/14 Jan/25/21
Description: Applied Materials PVD Dual Mode Driver 0190-22014 P1198-208/208 AMAT Sn 140446
bobsgoodies2 Used - $97.00 0 Aug/27/14 Mar/31/22
Description: Appllied Materials 0100-00001 DC Power Supply Monitor + and - 15VDC
bobsgoodies NEW - $45.50 3 Aug/27/14 Oct/24/17
Description: Applied Materials AMAT 3870-02296 VALVE 2WAY TEFLON; PARKER PV-3-1232
bobsgoodies2 Used - $99.00 0 Aug/27/14 Mar/31/22
Description: Appllied Materials 0226-30085 SS 90 deg elbow -6 SAE X -10 ORFS + 1/4 VCR custom
bobsgoodies NEW - $99.00 1 Aug/27/14 Jan/20/19
Description: Applied Materials 4020-01318 Sporlan Refrigeration Filter Housing Type C-484-P
bobsgoodies2 Used - $75.00 0 Aug/27/14 Mar/31/22
Description: Applied Materials RF Match 0021-07582, 0021-07580, 0140-01175 Assembly
bobsgoodies NEW - $87.50 1 Aug/27/14 Dec/28/18
Description: Applied Materials AMAT 0100-00366 Feed Through Board
bobsgoodies2 Used - $265.00 1 Aug/27/14 Aug/10/21
Description: Applied Materials 3870-02286 Manual Isolation Valve, Nor-Cal Vacuum Valve
svcstore Used - $299.99 0 Aug/27/14 Aug/30/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
coinbit NEW - $99.99 0 Aug/27/14 Sep/26/14
Description: Applied Materials AKT Slit Vavle Control Dual Up Sense Circuit Board 0100-71155
yayais2012 Used - $45.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $75.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $90.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $65.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0050-93556 2 ELBOW ADAPTER FACILITIES WATER CVD REPACK ON P4
yayais2012 NEW - $48.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-12790 CABLE ASSEMBLY EMO INTERCONNECT 6 FT
yayais2012 Used - $210.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS PRESSURE TRANSDUCER 1350-00250
yayais2012 Used - $180.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $45.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-15266 CABLE ASSY DNET RTS TO FD
yayais2012 NEW - $85.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 Used - $150.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3607-05
yayais2012 NEW - $78.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0140-23913 HARNESS ASSY W401 LOWER LAMP SENSORS E
yayais2012 NEW - $55.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-03934 HEX / CHILLER / HOSE CABLE ASSY PUMP EMO 6 FT
yayais2012 NEW - $85.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $450.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 NEW - $350.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $350.00 0 Aug/27/14 Sep/26/14
Description: Applied Materials 15-357732-00 REV.D 101137-2212-008
yayais2012 NEW - $27.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 3700-03273 SEAL C-SEAL K1S 2 PORT SST W/RETAINER Qty 5
yayais2012 Used - $250.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0190-10208 CARD, INTERFACE DNET, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 NEW - $120.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-76646 HARN ASSY
yayais2012 Used - $140.00 0 Aug/27/14 Sep/26/14
Description: Applied Materials 0110-01995 Analog I/O Board
yayais2012 Used - $300.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-3657-11
yayais2012 Used - $200.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $95.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 Used - $150.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0190-02200 TYCO 47-406596-02 TTM-3-1627-06
yayais2012 Used - $90.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0190-10208 DIP-CDN180-12, 8 BIT DIR DI/O, 8AI, 5V
yayais2012 NEW - $120.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $850.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0227-36769 KIT C/A CERT.OF. COMP H20 LEAK INTERCONNECT EPI
yayais2012 Used - $180.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $120.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $95.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-18979 CABLE ASSY HELIOS-4 INLET3 PUMP RUN CH-C IH1000 EPI
yayais2012 NEW - $75.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-12497 REV 002
yayais2012 NEW - $140.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $45.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-23135 CABLE ASSEMBLY SUBFAB DNET BLOCK TO HEX/CHILLER
yayais2012 NEW - $35.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0620-01694 CABLE ASSY NETWORK 0.7 FT W/MODULAR PLUG LOT OF 2
yayais2012 Used - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $300.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yericomfg NEW - $8,000.00 1 Aug/28/14 Mar/10/16
Description: AMAT Applied Materials; AE Heat Exchanger, 3380-00035 with Drain,Tem (New)
trees_for_a_better_tomorrow Used - $400.00 0 Aug/28/14 Sep/07/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow NEW - $125.00 0 Aug/28/14 Sep/07/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow Used - $250.00 0 Aug/28/14 Sep/07/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $100.00 0 Aug/28/14 Sep/07/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
exper-tech NEW - $250.00 0 Aug/28/14 Jun/25/15
Description: AMAT Applied Materials 0020-20962 Clamp Ring 8" TIN/TIW, New
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $80.00 0 Aug/28/14 Sep/07/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
tcchie Used - $800.00 0 Aug/28/14 Sep/02/14
Description: applied materials seriplex board 0190-09469
tcchie Used - $999.00 0 Aug/28/14 Sep/02/14
Description: applied materials PVD magnet 0010-01198
tcchie Refurbished - $2,999.00 0 Aug/28/14 Sep/02/14
Description: Applied Materials ALP 0040-23840
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
tcchie NEW - $1,699.00 0 Aug/28/14 Sep/02/14
Description: applied materials 0010-03363 MCA ESC Detect Assy
stdc2012 Used - $800.00 0 Aug/28/14 Sep/27/14
Description: APPLIED MATERIALS 0020-32909 REV.001 / 0041-11052 REV.02 (25AT)
ntsurplus302 NEW - $320.00 0 Aug/28/14 Feb/26/16
Description: 3483 Applied Materials 3870-01811 3-way Diaphragm Valve
athomemarket NEW - $214.99 0 Aug/28/14 Sep/27/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket Used - $180.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $3,532.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $425.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $199.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $2,362.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
dvkelectronics Used - $6,500.00 0 Aug/28/14 Sep/27/14
Description: AMAT APPLIED MATERIALS 0010-76036 MINI-CONTROLLER
trees_for_a_better_tomorrow NEW - $40.00 0 Aug/28/14 Sep/07/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
alvin1462 Used - $5,555.00 0 Aug/29/14 Dec/14/20
Description: Applied Materials 0010-24774 ESC Assy 300MM SMALL OD
surplusssam Used - $259.99 0 Aug/29/14 Sep/08/14
Description: APPLIED MATERIALS 0100-76130 SENSOR MUX BOARD AMAT
springfieldsurplus2014 NEW - $25.00 0 Aug/29/14 Sep/28/14
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
athomemarket NEW - $99.99 0 Aug/29/14 Sep/28/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $99.99 0 Aug/29/14 Sep/28/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $757.99 0 Aug/29/14 Sep/28/14
Description: AMAT 0010-09340 Precision P5000 Susceptor Wafer Lift Module Applied Materials
athomemarket NEW - $79.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT Applied Materials 0270-70048 Cal Plate, 8" Lift to Robot
athomemarket NEW - $77.99 0 Aug/29/14 Sep/28/14
Description: NEW AMAT 0240-76735 PVD Floor Mount Generator Rack Kit Applied Materials
athomemarket Used - $301.99 0 Aug/29/14 Sep/28/14
Description: AMAT 0090-00458 Pre-Clean Chamber Interlock PCB Board Applied Materials
athomemarket Refurbished - $155.99 0 Aug/29/14 Sep/28/14
Description: AMAT 0020-09022 Ceramic Shield 6"/150mm Wafer Applied Materials
athomemarket Used - $769.99 0 Aug/29/14 Sep/28/14
Description: AMAT Applied Materials 0015-09091 MCVD Lamp Driver
svcstore Used - $116.99 0 Aug/29/14 Sep/01/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $899.99 0 Aug/29/14 Sep/01/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
trees_for_a_better_tomorrow Used - $150.00 0 Aug/30/14 Sep/09/14
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $125.00 0 Aug/30/14 Sep/09/14
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $150.00 0 Aug/30/14 Sep/09/14
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $150.00 0 Aug/30/14 Sep/09/14
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
athomemarket Used - $349.99 0 Aug/30/14 Sep/29/14
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
athomemarket Used - $349.99 0 Aug/30/14 Sep/29/14
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
athomemarket Used - $893.99 0 Aug/30/14 Sep/29/14
Description: Applied Materials 0010-20422 Endura 5500 PVD 8"Shield Treatment + Cover AMAT
svcstore Used - $799.99 0 Aug/30/14 Sep/02/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
svcstore Used - $299.99 0 Aug/30/14 Sep/02/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
bjnaf4 NEW - $875.60 0 Aug/31/14 Sep/30/14
Description: Applied Materials SLIT VALVE DOOR p/n 3870-03884 35 X 336MM New
athomemarket Used - $349.99 0 Aug/31/14 Sep/30/14
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket NEW - $415.99 0 Aug/31/14 Sep/30/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $2,271.99 0 Aug/31/14 Sep/30/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Used - $2,544.99 0 Aug/31/14 Sep/30/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $1,455.99 0 Aug/31/14 Sep/30/14
Description: AMAT Applied Materials 0010-76000 Robot 4" 5" 6" Assembly Drive P5000 (AS/IS)
athomemarket Scrap, for parts - $2,726.99 0 Aug/31/14 Sep/30/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
dellabitta7 NEW - $450.00 0 Aug/31/14 Sep/10/14
Description: Applied Materials AMAT Kalrez Sahara O-RING 3700-03760
tcchie Used - $799.00 0 Sep/01/14 Sep/08/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
xsysengineering Used - $1,200.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $120.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
exper-tech NEW - $375.00 1 Sep/01/14 Sep/02/14
Description: AMAT Applied Materials 0500-01047 End Point Detector Unit Assy DXZ, New
xsysengineering NEW - $2,500.00 0 Sep/01/14 Sep/08/14
Description: AMAT APPLIED MATERIALS 0020-29214 COIL RING
xsysengineering Used - $999.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
athomemarket Refurbished - $464.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,129.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $128.99 0 Sep/01/14 Oct/01/14
Description: NEW Applied Materials 0190-28739 Multi-Port MPIS Interconnect 8-Port 30A System
athomemarket Scrap, for parts - $111.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,212.99 0 Sep/01/14 Oct/01/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,212.99 0 Sep/01/14 Oct/01/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $412.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
svcstore Used - $116.99 0 Sep/01/14 Sep/04/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
ntsurplus302 NEW - $70.00 0 Sep/01/14 Feb/26/16
Description: 2345 Applied Materials P/N: 0020-38890 Cover
ntsurplus302 NEW - $80.00 0 Sep/01/14 Feb/26/16
Description: 2344 Applied Materials View Port, Cover Transfer Chamber, P/N: 0020-20462
xsysengineering NEW - $50.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT), P/N 3400-01073 Gray Hose, GAS/LIQ .500ID X .780OD 50'
ntsurplus302 NEW - $450.00 0 Sep/01/14 Feb/26/16
Description: 1996 Applied Materials Ceramic Shield 0200-09072
xsysengineering Used - $750.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) 0020-32909 ESC. 200mm
svcstore Used - $899.99 0 Sep/01/14 Sep/04/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
xsysengineering NEW - $375.00 0 Sep/02/14 Sep/09/14
Description: Applied Materials (AMAT) P/N: 0200-09074 Quartz heater window 200mm
xsysengineering Used - $300.00 0 Sep/02/14 Sep/09/14
Description: Applied Materials (AMAT) P/N: 0010-09933 HV MODULE ASSY, ESC
yayais2012 NEW - $67.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS KIT, 1.33 OD BLANKOFF FLANGE 0242-20102
yayais2012 NEW - $30.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS 0150-20578 CABLE EBARA EMO JUMPER PLUG A-SERIES LOT OF 2
yayais2012 NEW - $65.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 Used - $120.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS POWER SUPPLY ATX 5V, 3.3V, 12V - 12V 0090-04247 FPS1 208 VAC
yayais2012 NEW - $145.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $45.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $75.00 0 Sep/02/14 Oct/02/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
tcchie Used - $800.00 0 Sep/02/14 Sep/07/14
Description: applied materials seriplex board 0190-09469
tcchie Used - $999.00 0 Sep/02/14 Sep/07/14
Description: applied materials PVD magnet 0010-01198
tcchie Refurbished - $2,999.00 0 Sep/02/14 Sep/07/14
Description: Applied Materials ALP 0040-23840
tcchie NEW - $1,699.00 0 Sep/02/14 Sep/07/14
Description: applied materials 0010-03363 MCA ESC Detect Assy
exper-tech NEW - $115.00 0 Sep/02/14 Jun/23/16
Description: AMAT Applied Materials 3060-01157 Ball Bearing 3/16"IDx1/2"ODx5/32"WSST Lot of 6
exper-tech NEW - $65.00 0 Sep/02/14 Jun/23/16
Description: AMAT Applied Materials 0050-00023 Gasline SLD Transition, Lot of 2, New
athomemarket NEW - $232.99 0 Sep/02/14 Oct/02/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket Used - $3,331.99 0 Sep/02/14 Oct/02/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $91.99 0 Sep/02/14 Oct/02/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $960.99 0 Sep/02/14 Oct/02/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $137.99 0 Sep/02/14 Oct/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
visionsemi Used - $2,950.00 2 Sep/02/14 Nov/13/14
Description: AMAT Applied Materials Endura RF Match Vectra IMP Source 0010-34895 Used Working
visionsemi Used - $2,900.00 0 Sep/02/14 May/15/15
Description: APPLIED MATERIALS AMAT ENDURA PEDESTAL INTEGRATION BOX 0010-28071
svcstore Used - $799.99 0 Sep/02/14 Sep/05/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
nolbertov NEW - $199.99 0 Sep/02/14 Sep/18/14
Description: Applied Materials AKT Slit Valve Control Dual Up Sense Circuit Board 0100-71155
jesumike NEW - $8,000.00 1 Sep/02/14 Sep/03/14
Description: APPLIED MATERIALS AMAT 0010-77775 TITAN 2 PROFILER HEADS - NIB
pneumatplus10 Used - $899.00 0 Sep/03/14 Jun/30/15
Description: Applied Materials Rotation Assembly w 0020-15935 Rev 002,300563.084,AMAT,Used
velocity-semiconductor-equipment NEW - $125.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) INSULATOR H.V. BELLOWS 0020-84329
velocity-semiconductor-equipment NEW - $20.25 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) THERMOCOUPLER 1310-90015
velocity-semiconductor-equipment NEW - $800.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) CARD CONTROLLER FORTH LC4 64K W/BASIC EP 0660-00277
velocity-semiconductor-equipment NEW - $550.00 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) BELLOWS FINGER LIFT HASTALLOY PRODUCER 0040-39946
velocity-semiconductor-equipment NEW - $700.00 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) KIT CHAMBER LID H20 RETROFIT 0242-11877
velocity-semiconductor-equipment NEW - $26.34 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) SHAFT THROTTLE VALVE 0020-09102
exper-tech Used - $325.00 1 Sep/03/14 Nov/13/14
Description: AMAT Applied Materials 0100-35067 Mainframe Pneumatic Interconnect Assy, Used
exper-tech NEW - $125.00 0 Sep/03/14 Jun/26/15
Description: AMAT Applied Materials 0040-32677 and 0040-32679 Roller Catch Clamp Assy, New
velocity-semiconductor-equipment NEW - $375.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) CARD BRAIN BOARD 16-CHANNEL ANALOG OPTOM 0660-00302
velocity-semiconductor-equipment NEW - $155.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) OPTO 22 I/O RACK DGTL 16-CHANNEL W/HDR CONN 0660-00301
velocity-semiconductor-equipment NEW - $700.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) MFM96606SLMHE1/4VCRMTL 3030-09099
velocity-semiconductor-equipment NEW - $6.62 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) SPACER MODIF SHIELD 8" G-12 0020-23587
velocity-semiconductor-equipment NEW - $1,600.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) ARM SLURRY/HPR ARM 0040-77267
velocity-semiconductor-equipment NEW - $200.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) PORTWATERRPSCHDP-CVD 0020-18461
velocity-semiconductor-equipment NEW - $115.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) PLATEIPS MODTTF LEFT REARTTF FACILITI 0020-04566
svcstore Used - $299.99 0 Sep/03/14 Sep/06/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
velocity-semiconductor-equipment Refurbished - $143.44 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) PEDESTAL SST 8" 101 COVERAGE 0020-24913
athomemarket Refurbished - $3,906.99 0 Sep/04/14 Oct/04/14
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
athomemarket Used - $45.99 0 Sep/04/14 Oct/04/14
Description: AMAT/Applied Materials 3870-03334 Pneumatic 1/4" VCR Air Diaphragm Valve NC
ptb-sales Used - $2,500.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Scrap, for parts - $5,000.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $360.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $780.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $225.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $3,000.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,800.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Used - $250.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $750.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
exper-tech NEW - $75.00 1 Sep/04/14 Nov/05/14
Description: AMAT Applied Materials 0090-20043 SMC NVJ3123Y 4-Way Solenoid Valve,Lot of 2,New
exper-tech Refurbished - $150.00 1 Sep/04/14 Mar/11/15
Description: AMAT Applied Materials 0200-20426 Pinless Insulator Quartz 8" SNNF,Manuf-Refurb
electronicswest Used - $250.00 0 Sep/04/14 Sep/11/14
Description: Applied Materials AMAT 0040-81156 Tetra 300mm DPS II Chamber Upper Liner
electronicswest NEW - $250.00 0 Sep/04/14 Sep/11/14
Description: Applied materials AMAT 0010-07893 ASSY TEF HOSE W/INSULATION, AMAT-1/STEEL
nps NEW - $49.99 0 Sep/04/14 Sep/11/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
expertsurplus NEW - $125.00 1 Sep/04/14 Mar/04/16
Description: AMAT Applied Materials 0150-10121 MXP Centura Cable Delta Lamp Socket, Lot of 2
exper-tech NEW - $205.00 0 Sep/04/14 Mar/01/19
Description: AMAT Applied Materials 0050-00023 Solid Transition Gasline X-P Chamber, Lot of 9
athomemarket NEW - $213.99 0 Sep/04/14 Oct/04/14
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
athomemarket Refurbished - $114.99 0 Sep/04/14 Oct/04/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Sep/04/14 Oct/04/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore Used - $116.99 0 Sep/04/14 Sep/07/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
surplusexiting Used - $735.00 0 Sep/04/14 Oct/04/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
surplusexiting Used - $698.00 0 Sep/04/14 Oct/04/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
resalesavings NEW - $125.00 0 Sep/04/14 Sep/11/14
Description: Applied Materials 0021-11186 LINER, EXHAUST, NI PLATED, 200MM
svcstore Used - $899.99 0 Sep/04/14 Sep/07/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
yayais2012 NEW - $95.00 0 Sep/05/14 Oct/05/14
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
yayais2012 NEW - $32.00 0 Sep/05/14 Oct/05/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-01929 RF Match HE BESC Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 Nov/13/14
Description: AMAT Applied Materials 0010-19086 RF Match Vectra IMP Source Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-36162 Simple Cathode RF Match Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-09416 Etch RF Match Precision 5000 Rev. H Used
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-09416 Etch RF Match Precision 5000 Rev. N Used
usedeqsales Refurbished - $3,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-37220 CVD RF Match P5000 Rev. P2 Refurbished
usedeqsales Used - $1,009.14 0 Sep/05/14 Dec/04/14
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
supertechshop Used - $149.00 0 Sep/05/14 Oct/05/14
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 Sep/05/14 Oct/05/14
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
usedeqsales Used - $1,009.14 0 Sep/05/14 Dec/04/14
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 002 Used Working
supertechshop NEW - $299.00 0 Sep/05/14 Oct/05/14
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $99.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
supertechshop Used - $274.99 0 Sep/05/14 Oct/05/14
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop Used - $99.99 0 Sep/05/14 Oct/05/14
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
supertechshop NEW - $299.00 0 Sep/05/14 Oct/05/14
Description: QTY 16 AMAT 3" Rib Insert 0200-00552 SIC Coated MS Cooldown Applied Materials
supertechshop Used - $499.00 0 Sep/05/14 Oct/05/14
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Sep/05/14 Oct/05/14
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Sep/05/14 Oct/05/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Sep/05/14 Oct/05/14
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
bobsgoodies Used - $2,950.00 1 Sep/05/14 Sep/07/14
Description: AMAT Applied Materials 0010-75533 Assy HP Upgrade Wafer Robot Motor Assy Endura
usedeqsales Used - $709.14 0 Sep/05/14 May/04/15
Description: AMAT Applied Materials 0100-00985 HP Gas Interlock PCB Card Quantum X Used
athomemarket NEW - $69.99 0 Sep/05/14 Oct/05/14
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket Used - $214.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials 0100-20003 Digital I/O Board AMAT P5000 Input Output PCB
athomemarket NEW - $265.99 0 Sep/05/14 Oct/05/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $196.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Used - $328.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket Refurbished - $196.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $216.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket NEW - $236.99 0 Sep/05/14 Oct/05/14
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
athomemarket Used - $92.99 0 Sep/05/14 Oct/05/14
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
supertechshop NEW - $299.00 0 Sep/05/14 Oct/05/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $799.99 0 Sep/05/14 Sep/08/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
waste-not-recycling NEW - $29.99 0 Sep/06/14 Oct/06/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
athomemarket NEW - $59.99 0 Sep/06/14 Oct/06/14
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Sep/06/14 Oct/06/14
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Sep/06/14 Oct/06/14
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Sep/06/14 Oct/06/14
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
conquer_2011 NEW - $275.00 0 Sep/06/14 Oct/06/14
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
athomemarket Used - $270.99 0 Sep/06/14 Oct/06/14
Description: Applied Materials 0100-01577 Chamber Distribution Control Board AMAT AS00363-03
athomemarket Refurbished - $208.99 0 Sep/06/14 Oct/06/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
svcstore Used - $299.99 0 Sep/06/14 Sep/09/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
svcstore Used - $116.99 0 Sep/07/14 Sep/10/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $899.99 0 Sep/07/14 Sep/10/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
smartelektronikgmbh NEW - $19.00 0 Sep/08/14 Sep/05/17
Description: Applied Materials (AMAT) 3700-01659 O-Ring, 1.299 CSD, .103 CZ SC513 80 DURO WHI
smartelektronikgmbh NEW - $22.00 0 Sep/08/14 Jun/29/16
Description: Applied Materials (AMAT) 3700-01669 O-Ring, 4.100 CSD, .210 CZ SC513 80 DURO WHI
equipplus Used - $1,199.00 1 Sep/08/14 Dec/20/14
Description: AApplied Materials 0020-79594 Laser Module,0100-77064 CMP,4.5mw diode laser,Used
smartelektronikgmbh NEW - $1.50 0 Sep/08/14 Sep/08/14
Description: Applied Materials AMAT 3700-01262 ORING ID 1.299 CSD .103 VITON 75DURO BLK
smartelektronikgmbh NEW - $6.00 0 Sep/08/14 Sep/08/14
Description: Applied Materials AMAT 3700-01712 ORING ID 0.101 CSD 0.070 CZ SC513 80DURO WHITE
smartelektronikgmbh NEW - $520.00 0 Sep/08/14 Jun/29/16
Description: Applied Materials (AMAT) 3700-01707 O-Ring,ID 12.623 CSD:.139 CZ SC513 80 DURO W
cappy95 NEW - $89.99 4 Sep/08/14 Oct/08/14
Description: NEW AMAT Applied Materials Supply Manifold Valve, Hoke 7387001S 0190-13448
usedeqsales Scrap, for parts - $3,009.14 0 Sep/08/14 May/01/15
Description: AMAT Applied Materials AMAT-0 Heat Exchanger 0920-09018 Rev. F As-Is
usedeqsales Used - $409.14 0 Sep/08/14 May/05/15
Description: AMAT Applied Materials 0150-76869 Centura Mainframe Cable Harness Used Working
tcchie Used - $799.00 0 Sep/08/14 Sep/15/14
Description: applied materials Lamp Module P5000 Gold 0010-09978
exper-tech NEW - $65.00 1 Sep/08/14 Sep/30/14
Description: AMAT Applied Materials 0040-09069 Cable Assembly, Lot of 5, New
exper-tech NEW - $65.00 0 Sep/08/14 Mar/01/19
Description: AMAT Applied Materials 0150-10542 TEOS Centura DCVD Heater Cable 129", New
usedeqsales Scrap, for parts - $3,009.14 0 Sep/08/14 May/01/15
Description: AMAT Applied Materials AMAT-0 Heat Exchanger 0242-13107 As-Is
usedeqsales Used - $1,009.14 0 Sep/08/14 May/04/15
Description: AMAT Applied Materials 0040-80142 300mm Gripper Claw 0040-84443 Quantum X Used
exper-tech NEW - $65.00 1 Sep/08/14 Apr/03/15
Description: AMAT Applied Materials 3700-01756 Chemraz Greene Tweed O-ring, Lot of 8, New
usedeqsales Scrap, for parts - $3,009.14 0 Sep/08/14 May/01/15
Description: AMAT Applied Materials AMAT-0 Heat Exchanger 0220-75027 Rev. A As-Is
usedeqsales Used - $1,009.14 0 Sep/08/14 May/04/15
Description: AMAT Applied Materials 0040-80144 300mm Gripper Claw 0040-84444 Quantum X Used
bruce135 Used - $429.00 0 Sep/08/14 Oct/08/14
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket Refurbished - $133.99 0 Sep/08/14 Oct/08/14
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $164.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $214.99 0 Sep/08/14 Oct/08/14
Description: Applied Materials Digital I/O Board AMAT 0100-76124 Input Output PCB
athomemarket Used - $264.99 0 Sep/08/14 Oct/08/14
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket Used - $165.99 0 Sep/08/14 Oct/08/14
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $234.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $63.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $158.99 0 Sep/08/14 Oct/08/14
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $271.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
usedeqsales Scrap, for parts - $3,009.14 0 Sep/08/14 May/01/15
Description: AMAT Applied Materials AMAT-0 Heat Exchanger 0010-76467 Rev. C As-Is
usedeqsales Used - $509.14 0 Sep/08/14 May/04/15
Description: AMAT Applied Materials 0190-10926 Pneumatic Manifold Assembly Quantum X Used
athomemarket NEW - $69.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $69.99 0 Sep/08/14 Oct/08/14
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket Used - $149.99 0 Sep/08/14 Oct/08/14
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $139.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
exper-tech NEW - $140.00 1 Sep/08/14 Feb/04/15
Description: AMAT Applied Materials 0270-20018 Fixture Gas Spring Assembly 13", New
bhjang1 NEW - $100.00 0 Sep/08/14 Oct/08/14
Description: Applied Materials (AMAT) 0020-31633 LIFT PIN, CONDUCTIVE,3PC
svcstore Used - $799.99 0 Sep/08/14 Sep/11/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
jesumike NEW - $1,500.00 3 Sep/09/14 Sep/11/14
Description: APPLIED MATERIALS AMAT 0020-20125 HUB HEATER BEARING - NEW
jesumike Used - $175.00 6 Sep/09/14 Sep/18/14
Description: APPLIED MATERIALS AMAT 0100-09156 RF MATCH CONTROLLER PCB
bhjang1 NEW - $150.00 0 Sep/09/14 Oct/09/14
Description: APPLIED MATERIALS AMAT SIMPLE CATHODE SHEET INSERT SHIM 0020-34316
jesumike NEW - $100.00 0 Sep/09/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0020-27365 FIXTURE BARATRON SHIPPING - NEW
jesumike NEW - $650.00 0 Sep/09/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0010-10315 ASSY BLADE ROBOT 8 WXZ - NEW
jesumike NEW - $1,350.00 0 Sep/09/14 Sep/19/14
Description: APPLIED MATERIALS AMAT 0010-20130 REV B BLADE ASSY 8 INCH BUFFER - NEW
jesumike NEW - $100.00 0 Sep/09/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0090-20303 SW ASSY WTR FLOW 1/4 NPT BRS 0.5 GPM - NEW
bobsgoodies Used - $175.00 0 Sep/09/14 Sep/19/14
Description: Applied Materials 0090-75009 Motor Encoder Assy Robot Extension AMAT (Lot of 2
visionsemi Used - $175.00 0 Sep/09/14 Jul/06/15
Description: APPLIED MATERIALS SLIT VALVE DOOR LINER 0020-20340
usedeqsales Used - $309.14 0 Sep/09/14 May/05/15
Description: AMAT Applied Materials 0090-50741 Rear Interlock Control Box Centura-HDP Used
supertechshop NEW - $995.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT Stepper Driver Board 0100-00003 VME Step Controller Applied Materials
athomemarket Used - $349.99 0 Sep/09/14 Oct/09/14
Description: AMAT/Applied Materials 0190-26328 BPG Hot Ion Pirani DeviceNet Gauge
athomemarket NEW - $415.99 0 Sep/09/14 Oct/09/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,037.99 0 Sep/09/14 Oct/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
supertechshop NEW - $99.00 0 Sep/09/14 Oct/09/14
Description: Lot 7 AMAT 3700-05688 ORing 87.77" IDX 0.21" CSD Applied Materials O-Ring Gasket
athomemarket Refurbished - $2,572.99 0 Sep/09/14 Oct/09/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
supertechshop NEW - $399.00 0 Sep/09/14 Oct/09/14
Description: NEW Sealed AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Applied Materials
supertechshop NEW - $399.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0200-04073 Quartz Ring ID 14-3/8" OD 17-1/8" Applied Materials Chamber
supertechshop NEW - $149.95 0 Sep/09/14 Oct/09/14
Description: AMAT AKT Chamber Control CVD 25K Board Applied Materials 0100-71121 / Warranty
supertechshop NEW - $399.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT ASP-300mm Heater Ring 0021-11938 Astex ASP300MM Applied Materials
supertechshop NEW - $699.00 0 Sep/09/14 Oct/09/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $1,250.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 0190-35452 Power Supply P-1161 Soft Start Controller Applied Materials
supertechshop NEW - $99.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT Chamber Insert Ring 420mm 350mm 220mm 0021-61553 Applied Materials
supertechshop NEW - $199.00 1 Sep/09/14 Sep/23/14
Description: NEW AMAT 0020-22242 Wafer Lift Hoop 101 8" SB Assy Applied Materials
athomemarket Used - $259.99 0 Sep/09/14 Oct/09/14
Description: AMAT Applied Materials 0010-09316 Watlow TEOS Temp Controller Rev. A
exper-tech NEW - $45.00 1 Sep/09/14 Nov/04/14
Description: AMAT Applied Materials 3700-02153 Chemraz Greene Tweed O-ring, Lot of 4, New
exper-tech NEW - $35.00 0 Sep/09/14 Mar/01/19
Description: AMAT Applied Materials 3700-02353 Chemraz Greene Tweed O-ring, Lot of 3, New
exper-tech NEW - $85.00 0 Sep/09/14 Aug/12/15
Description: AMAT Applied Materials 0050-41902 Tube Weldment Exhaust Top, New
svcstore Used - $299.99 0 Sep/09/14 Sep/12/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
yayais2012 Used - $80.00 0 Sep/09/14 Oct/09/14
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 002
yayais2012 Used - $190.00 0 Sep/09/14 Oct/09/14
Description: Applied Materials 0150-17081 MKS TeNTA cPCI PWRS-0721 PCI Power Board
usedeqsales Used - $609.14 0 Sep/10/14 May/04/15
Description: AMAT Applied Materials 9090-01331 QX+ PFS & ARC PSU Chassis Centura-HDP Used
surplusssam Used - $274.99 0 Sep/10/14 Oct/25/19
Description: APPLIED MATERIALS 0100-76130 SENSOR MUX BOARD AMAT
usedeqsales Used - $309.14 0 Sep/10/14 May/05/15
Description: AMAT Applied Materials PXP99B High Voltage Fuse 9090-01324 Centura-HDP Used
yayais2012 Used - $75.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 Used - $78.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 Scrap, for parts - $250.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $220.00 0 Sep/10/14 Oct/10/14
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $110.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $850.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 NEW - $15.00 0 Sep/10/14 Oct/10/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $150.00 0 Sep/10/14 Oct/10/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Used - $110.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $320.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $250.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
yayais2012 Used - $89.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 NEW - $125.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $220.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 0040-62981 KSM 099-9242
yayais2012 Used - $250.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $180.00 0 Sep/10/14 Oct/10/14
Description: Applied Materials 3870-01331 Nor-Cal NW 50 In-Line Pneumatic Valve
yayais2012 Used - $180.00 0 Sep/10/14 Oct/10/14
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Used - $350.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $2,800.00 0 Sep/10/14 Oct/10/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $450.00 0 Sep/10/14 Oct/10/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 NEW - $78.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS CABLE ASSY 0150-03048
usedeqsales Used - $1,609.14 0 Sep/10/14 May/01/15
Description: AMAT Applied Materials 0190-38275 Lamp Driver Box Assembly Used Working
athomemarket Used - $3,331.99 0 Sep/10/14 Oct/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
tcchie Refurbished - $2,999.00 0 Sep/10/14 Sep/15/14
Description: Applied Materials ALP 0040-23840
tcchie Used - $800.00 0 Sep/10/14 Sep/15/14
Description: applied materials seriplex board 0190-09469
surplusexiting Used - $698.00 0 Oct/05/14 Nov/04/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
surplusexiting Used - $735.00 0 Oct/05/14 Nov/04/14
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/04/14 Oct/11/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow Used - $80.00 0 Oct/04/14 Oct/11/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/04/14 Oct/11/14
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $100.00 0 Oct/04/14 Oct/11/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $40.00 0 Oct/04/14 Oct/11/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
trees_for_a_better_tomorrow Used - $150.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $150.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $150.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $125.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow NEW - $125.00 0 Oct/04/14 Oct/11/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow Used - $400.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
athomemarket Used - $45.99 0 Oct/04/14 Nov/03/14
Description: AMAT/Applied Materials 3870-03334 Pneumatic 1/4" VCR Air Diaphragm Valve NC
athomemarket Refurbished - $3,906.99 0 Oct/04/14 Nov/03/14
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
sfwish NEW - $79.97 0 Oct/04/14 Oct/18/17
Description: NEW Applied Materials AMAT/AKT 0200-55626 CAP INSULATOR
velocity-semiconductor-equipment NEW - $115.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) PLATEIPS MODTTF LEFT REARTTF FACILITI 0020-04566
velocity-semiconductor-equipment Refurbished - $143.44 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) PEDESTAL SST 8" 101 COVERAGE 0020-24913
esprprts Used - $125.00 1 Oct/03/14 Apr/07/15
Description: AMAT APPLIED MATERIALS 0100-09172 EIGHT CHANNEL EMMISSION/LASER ENDPOINT
velocity-semiconductor-equipment NEW - $155.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) OPTO 22 I/O RACK DGTL 16-CHANNEL W/HDR CONN 0660-00301
velocity-semiconductor-equipment NEW - $6.62 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) SPACER MODIF SHIELD 8" G-12 0020-23587
velocity-semiconductor-equipment NEW - $700.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) MFM96606SLMHE1/4VCRMTL 3030-09099
velocity-semiconductor-equipment NEW - $1,600.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) ARM SLURRY/HPR ARM 0040-77267
velocity-semiconductor-equipment NEW - $200.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) PORTWATERRPSCHDP-CVD 0020-18461
velocity-semiconductor-equipment NEW - $20.25 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) THERMOCOUPLER 1310-90015
velocity-semiconductor-equipment NEW - $125.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) INSULATOR H.V. BELLOWS 0020-84329
velocity-semiconductor-equipment NEW - $800.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) CARD CONTROLLER FORTH LC4 64K W/BASIC EP 0660-00277
velocity-semiconductor-equipment NEW - $26.34 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) SHAFT THROTTLE VALVE 0020-09102
velocity-semiconductor-equipment NEW - $550.00 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) BELLOWS FINGER LIFT HASTALLOY PRODUCER 0040-39946
velocity-semiconductor-equipment NEW - $700.00 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) KIT CHAMBER LID H20 RETROFIT 0242-11877
velocity-semiconductor-equipment NEW - $375.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) CARD BRAIN BOARD 16-CHANNEL ANALOG OPTOM 0660-00302
exper-tech NEW - $45.00 0 Oct/03/14 Nov/27/15
Description: AMAT Applied Materials 3700-01597 O-Ring ID2.112 CSD.103 Silic 70Duro, Lot of 4
expertsurplus NEW - $45.00 0 Oct/03/14 Mar/01/19
Description: AMAT Applied Materials 3700-01033 O-Ring ID.299 CSD.103 Viton 75Duro, Bag of 6
bobsgoodies Used - $156.00 2 Oct/03/14 May/25/16
Description: Applied Materials PCB Contactor Interlock Board 0100-20027 TPS-C-0471-063
bobsgoodies2 Used - $195.00 0 Oct/03/14 Mar/10/22
Description: APPLIED MATERIALS 0100-00470 Schematic 0130-00470 PCB MOTION CONTROL ECP
imca00 NEW - $7,450.00 0 Oct/03/14 Oct/10/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
exper-tech NEW - $125.00 0 Oct/03/14 Jan/08/19
Description: AMAT Applied Materials 0020-18497 Band Top Coil/IV Sensor HDPCVD,Low K, New
nps NEW - $49.99 0 Oct/03/14 Oct/10/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
mercury_man Used - $1,150.00 0 Oct/03/14 Oct/13/14
Description: Applied Materials 0100-00003, AMAT 0110-20173 ASSY PCB STEPPER CONTROLLER REV. A
svcstore Used - $899.99 0 Oct/02/14 Oct/05/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
svcstore Used - $107.99 0 Oct/02/14 Oct/05/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $799.99 0 Oct/02/14 Oct/05/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
exper-tech NEW - $45.00 1 Oct/02/14 Oct/14/15
Description: AMAT Applied Materials 3700-01527 O-Ring ID5.734 CSD.139 Chemraz SC513 80Duro
exper-tech NEW - $45.00 0 Oct/02/14 Feb/17/15
Description: AMAT Applied Materials 1390-02308 Thermocouple Cable Type K 24AWG 2 Cond Spcl L
exper-tech NEW - $30.00 0 Oct/02/14 Nov/26/15
Description: AMAT Applied Materials 3300-01930 Union Tee Fitting 1/8x1/8x1/8 Prestolok, New
athomemarket NEW - $232.99 1 Oct/02/14 Nov/01/14
Description: NEW AMAT/Applied Materials 0100-13025 Serial/Video Distribution PCB Board Assy.
athomemarket Used - $3,331.99 0 Oct/02/14 Nov/01/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $960.99 0 Oct/02/14 Nov/01/14
Description: NEW AMAT 0040-47105 CMP Wafer Ring Head 200mm/8" Applied Materials
athomemarket NEW - $91.99 0 Oct/02/14 Nov/01/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $137.99 0 Oct/02/14 Nov/01/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/05/14 Oct/12/14
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
athomemarket NEW - $214.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $345.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
jesumike NEW - $500.00 1 Oct/12/14 Oct/12/14
Description: APPLIED MATERIALS AMAT 0242-76884 KIT 8" STD HOOP PVD - NEW
athomemarket Refurbished - $144.99 0 Oct/12/14 Nov/11/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $254.99 0 Oct/12/14 Nov/11/14
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
athomemarket Used - $187.99 0 Oct/12/14 Nov/11/14
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
athomemarket NEW - $6,256.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $345.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $97.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
skyhorse9002 Used - $199.00 0 Oct/12/14 Jul/27/17
Description: APPLIED MATERIALS Hot Ion/Pirani Gauge 0190-22145
skyhorse9002 Used - $165.75 1 Oct/11/14 Feb/15/17
Description: 0190-22543 REV 003 FI Controller APPLIED MATERIALS Factory Interface Digital I/O
skyhorse9002 Used - $63.00 6 Oct/11/14 Jun/28/17
Description: 0190-36511 APPLIED MATERIALS DIP294 Device Net I/O Block
svcstore Used - $899.99 0 Oct/11/14 Oct/14/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
yayais2012 NEW - $125.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $2,800.00 0 Oct/10/14 Nov/09/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $250.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
athomemarket Used - $187.99 0 Oct/12/14 Nov/11/14
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
athomemarket NEW - $305.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $81.99 0 Oct/12/14 Nov/11/14
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
athomemarket NEW - $278.99 0 Oct/12/14 Nov/11/14
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $106.99 0 Oct/12/14 Nov/11/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
yayais2012 Used - $450.00 0 Oct/10/14 Nov/09/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $850.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $89.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $150.00 0 Oct/10/14 Nov/09/14
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Used - $75.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 Used - $110.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 Used - $180.00 0 Oct/10/14 Nov/09/14
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Scrap, for parts - $250.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
athomemarket NEW - $415.99 0 Oct/10/14 Nov/09/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,037.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,572.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
yayais2012 Used - $220.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS 0040-62981 KSM 099-9242
yayais2012 Used - $180.00 0 Oct/10/14 Nov/09/14
Description: Applied Materials 3870-01331 Nor-Cal NW 50 In-Line Pneumatic Valve
athomemarket Used - $3,331.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $188.99 0 Oct/11/14 Nov/10/14
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
bobsgoodies NEW - $48.00 9 Oct/11/14 Dec/01/14
Description: AMAT 0190-70075 HP Robot Wrist Bearing Applied Materials OS3Y4 Kaydon 52968001
nihilneo NEW - $76.50 2 Oct/11/14 Feb/27/15
Description: Mott GasShield POU-05-NSV1 NEW Applied Materials 4020-90076
svcstore Used - $107.99 0 Oct/11/14 Oct/14/14
Description: AMAT Applied Materials Endura E-shield Treatment control/Controller 0010-20098
svcstore Used - $799.99 0 Oct/11/14 Oct/14/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
athomemarket Refurbished - $111.99 0 Oct/11/14 Nov/10/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $4,844.99 0 Oct/11/14 Nov/10/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $209.99 0 Oct/11/14 Nov/10/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $91.99 0 Oct/11/14 Nov/10/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
yayais2012 NEW - $15.00 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $350.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
athomemarket Used - $349.99 0 Oct/10/14 Nov/09/14
Description: AMAT/Applied Materials 0190-26328 BPG Hot Ion Pirani DeviceNet Gauge
jesumike NEW - $300.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0242-20551 LIST 8" SHIELD - NEW
jesumike NEW - $500.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0021-11075 8" TTN PEDESTAL - NEW
athomemarket Used - $4,508.99 0 Oct/12/14 Nov/11/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
jesumike NEW - $300.00 1 Oct/12/14 Oct/12/14
Description: APPLIED MATERIALS AMAT 0020-26601 SHUTTER DISK 8 SS NEW
athomemarket Used - $65.99 0 Oct/11/14 Nov/10/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
jesumike NEW - $400.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0020-22907 PEDESTAL JMF 6" PRECLEAN
jesumike NEW - $50.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0620-02271 CABLE ASSY CONTROLLER ONBOARD 15' 9P
jesumike NEW - $150.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0150-20160 CABLE ASSY 50 FT PUMP EMO - NEW
jesumike NEW - $150.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0620-01254 CABLE NETWORK TERM I/F FOR ON-BOARD CRYO 30 FT
jesumike NEW - $35.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0150-20345 CABLE ASSY DUAL EMO INTERCONNECT - NEW
bobsgoodies NEW - $45.00 1 Oct/13/14 Dec/01/15
Description: Applied Materials 0224-41209 Sensor Assembly with Mounting bracket Sunx CY-27
supertechshop NEW - $299.00 0 Dec/03/14 Jan/02/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
athomemarket NEW - $215.99 0 Dec/04/14 Jan/03/15
Description: NEW Applied Materials 0020-16193 Top Plate, Cass in Place
svcstore NEW - $139.99 0 Dec/02/14 Dec/05/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
athomemarket Used - $45.99 0 Dec/03/14 Jan/02/15
Description: AMAT/Applied Materials 3870-03334 Pneumatic 1/4" VCR Air Diaphragm Valve NC
onlinesmt Refurbished - $425.00 0 Dec/03/14 Jan/02/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
onlinesmt Refurbished - $999.00 0 Dec/03/14 Jan/02/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD, 8" BESC, TAPERED (ELECTRA IMP)
stdc2012 Used - $800.00 0 Dec/03/14 Jan/02/15
Description: APPLIED MATERIALS 0020-32909 REV.001 / 0041-11052 REV.02 (25AT)
dr.fantom Used - $1,500.00 0 Dec/03/14 Feb/12/15
Description: AMAT Applied Materials 0010-39800 Rev B Power Supply
svcstore NEW - $199.99 0 Dec/03/14 Dec/06/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $149.99 0 Dec/03/14 Dec/06/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
supertechshop NEW - $199.00 0 Dec/03/14 Jan/02/15
Description: NEW AMAT 0200-11771 Chamber Ring 528mm OD 420mm ID 16mm Thick Applied Materials
supertechshop NEW - $24.99 0 Dec/03/14 Jan/02/15
Description: NEW AMAT ORing 55mm X 5mm CSD G70H 3700-00173 Applied Materials O-Ring/Avail Qty
svcstore Used - $499.99 0 Dec/03/14 Dec/06/14
Description: AMAT Applied Materials 0035-00320 Industrial 14.75" 5000W Casting Heater Plate
svcstore Used - $183.99 0 Dec/03/14 Dec/06/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
usedeqsales Used - $2,512.14 1 Dec/04/14 Dec/15/14
Description: AMAT Applied Materials 0100-00793 VGA Video Controller PCB Card Used Working
usedeqsales Used - $612.14 0 Dec/04/14 Mar/03/15
Description: AMAT Applied Materials 0100-35054 DCVD Chamber Interface PCB Card Used Working
surplusexiting Used - $698.00 0 Dec/04/14 Jan/03/15
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365 -3XX05 -001646977)
mercury_man Used - $1,150.00 0 Dec/04/14 Dec/14/14
Description: Applied Materials 0100-00003, AMAT 0110-20173 ASSY PCB STEPPER CONTROLLER REV. A
usedeqsales Used - $512.14 0 Dec/04/14 Mar/06/15
Description: AMAT Applied Materials 0100-00979 Stepper Controller PCB Card Used Working
athomemarket Refurbished - $117.99 0 Dec/04/14 Jan/03/15
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $217.99 0 Dec/04/14 Jan/03/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
tcchie NEW - $899.00 0 Dec/04/14 Dec/09/14
Description: Applied Materials 0021-20788
tcchie NEW - $499.00 0 Dec/04/14 Dec/09/14
Description: Applied Materials 0020-23041
tcchie NEW - $499.00 0 Dec/04/14 Dec/09/14
Description: Applied Materials 0020-24804
usedeqsales Used - $512.14 0 Dec/04/14 May/01/15
Description: AMAT Applied Materials 0100-01717 Gas Exhaust Safety Relay PCB Used Working
svcstore Used - $149.99 0 Dec/04/14 Dec/07/14
Description: AMAT Applied Materials 0200-05785 14" Pumping High Purity Ceramic Cover Ring
athomemarket Refurbished - $3,908.99 0 Dec/03/14 Jan/02/15
Description: AMAT Applied Materials 0010-76739 Ergo 8" Tilt Cassette Handler P5000 PVD Top
usedeqsales Used - $512.14 0 Dec/04/14 Apr/25/17
Description: AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working
surplusexiting Used - $735.00 0 Dec/04/14 Jan/03/15
Description: APPLIED MATERIALS 0190-15840 REV 002 (148365-10XX04-001521001)
usedeqsales Used - $212.14 0 Dec/05/14 May/01/15
Description: AMAT Applied Materials 0100-13025 Serial Video Distribution PCB Board Used
usedeqsales Used - $212.14 0 Dec/05/14 May/01/15
Description: AMAT Applied Materials 0100-20191 486PC P2 Distribution PCB Board Used Working
usedeqsales Used - $2,512.14 2 Dec/05/14 Mar/12/15
Description: AMAT Applied Materials 0010-22225 RF Match Reactive Preclean Used Working
conquer_2011 NEW - $275.00 0 Dec/05/14 Jan/04/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium NEW
athomemarket Scrap, for parts - $167.99 0 Dec/05/14 Jan/04/15
Description: Lot: 2 Applied Materials 0150-21692 Endura Wafer Bias Match Cables DAMAGED Parts
athomemarket NEW - $62.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket Refurbished - $219.99 0 Dec/05/14 Jan/04/15
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket NEW - $71.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket Used - $331.99 0 Dec/05/14 Jan/04/15
Description: Applied Materials Endura Shield Treatment Controller Module AMAT 0010-20098
athomemarket NEW - $71.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $71.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket Used - $218.99 0 Dec/05/14 Jan/04/15
Description: Applied Materials 0100-20003 Digital I/O Board AMAT P5000 Input Output PCB
athomemarket NEW - $268.99 0 Dec/05/14 Jan/04/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $199.99 0 Dec/05/14 Jan/04/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Used - $94.99 0 Dec/05/14 Jan/04/15
Description: AMAT 0190-76252 DIP294 DeviceNET Digital I/O Block Applied Materials
athomemarket Refurbished - $199.99 0 Dec/05/14 Jan/04/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket NEW - $71.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $239.99 0 Dec/05/14 Jan/04/15
Description: NEW AMAT 0020-22695 8"/200mm Hex Foil Collimator Plate 1.5:1 Applied Materials
ab-international NEW - $90.57 9 Dec/05/14 Jul/15/22
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
csi.usa Used - $800.00 0 Dec/05/14 Mar/03/15
Description: APPLIED MATERIALS P/N 0050-05057 pneumatic valves
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $209.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $899.99 0 Dec/05/14 Dec/08/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $199.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
svcstore NEW - $209.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $179.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $799.99 0 Dec/05/14 Dec/08/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
svcstore NEW - $49.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $189.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $189.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $149.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
gti-semi NEW - $10,400.00 0 Dec/06/14 Nov/26/19
Description: Applied Materials, AMAT, TARGET - CO 5N 200MM ALPS, p/n 0190-00221
athomemarket Refurbished - $211.99 0 Dec/06/14 Jan/05/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket Used - $273.99 0 Dec/06/14 Jan/05/15
Description: Applied Materials 0100-01577 Chamber Distribution Control Board AMAT AS00363-03
svcstore NEW - $149.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $199.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
trees_for_a_better_tomorrow NEW - $17.50 0 Dec/06/14 Dec/09/14
Description: New AMAT Applied Materials 0020-21697 Lens Support Clean Room SEALED
svcstore Used - $183.99 0 Dec/06/14 Dec/09/14
Description: Applied Materials HF10-783 600W 24V DC Power Supply Unit PSU 1140-00480
svcstore Used - $499.99 0 Dec/06/14 Dec/09/14
Description: AMAT Applied Materials 0035-00320 Industrial 14.75" 5000W Casting Heater Plate
athomemarket NEW - $71.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $71.99 0 Dec/07/14 Jan/06/15
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail
athomemarket NEW - $65.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Used - $167.99 0 Dec/07/14 Jan/06/15
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Refurbished - $135.99 0 Dec/07/14 Jan/06/15
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $237.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Used - $266.99 0 Dec/07/14 Jan/06/15
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $168.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Refurbished - $162.99 0 Dec/07/14 Jan/06/15
Description: AMAT 0020-23070 Upper Shield 101% TIN 5+6 Applied Materials Wafer
athomemarket NEW - $273.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0040-78186 AEP-III Retainer Ring 200mm Composite Applied Materials
tcchie Refurbished - $999.00 0 Dec/07/14 Dec/12/14
Description: Applied Materials ALP 0040-23840
onlinesmt Refurbished - $749.00 0 Dec/07/14 Jan/06/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Refurbished - $199.00 0 Dec/07/14 Jan/06/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/07/14 Dec/10/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/07/14 Dec/10/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/07/14 Dec/10/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/07/14 Dec/10/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/07/14 Dec/10/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $60.00 0 Dec/07/14 Dec/10/14
Description: New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
svcstore Used - $149.99 0 Dec/07/14 Dec/10/14
Description: AMAT Applied Materials 0200-05785 14" Pumping High Purity Ceramic Cover Ring
liquidationbazar NEW - $999.00 0 Dec/08/14 Jan/07/15
Description: APPLIED MATERIALS 0110-11002 REV E AMAT DIGITAL I/O BOARD
laserandmotion NEW - $4,000.00 0 Dec/08/14 Mar/15/15
Description: CTI Onboard 4F ISO 100 Applied Materials HP Endura AMAT P/N 0190-76022
capitolareatech NEW - $20.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0620-00772 CABLE ASSY NULL MODEM 9.84FT DB9-F/F ***2 PA
capitolareatech NEW - $20.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0020-96877 BRACKET,INSERT ***9 PACK***
capitolareatech NEW - $45.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 0150-00392 CABLE ASSY,FILTER BOX INTERCONNECT,HT DP
capitolareatech NEW - $5.00 0 Dec/08/14 Jul/30/15
Description: Applied Materials (AMAT) 0720-90261 CONN.SOCKET 25 WAY CRIMP ***3 PACK***
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 3150-01001 MCGILL CF-1/2-N-S CAM FOLLOWER, 1/2 X .344
capitolareatech NEW - $20.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0720-90392 CONNECTOR, SMA FIBRE-OP ***2 PACK***
capitolareatech NEW - $5.00 0 Dec/08/14 Jul/30/15
Description: Applied Materials (AMAT) 1210-90231 RESISTOR FXD 1/4W 1% 1K5 MFR4 ***8 PACK***
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 1370-01008 NATIONAL SEMICONDUCTOR 2N4400 TRANSISTOR ***
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 1290-01039 TERM BLOCK 6 TERM 22-12AWG ***5 PACK***
capitolareatech NEW - $35.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 1210-01020 RESISTOR FIX 1/2W 5% 1.2K OHM CARBON FILM **
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 3690-02918 SCR MACH BDG HD 6-32 X 7/8L SLOT SST SLVR-PL
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 3240-01062 CND FTG FLEX MTLC 1.00" SCR-IN CONN CND T **
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 3700-90298 O-RING 29.61/DX2.4DIA-VITON. ***4 PACK***
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 1270-01482 ARROW ENGLAND 1500R11E SW SPST 125V NEON SGL
capitolareatech NEW - $75.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 3420-90088 INSULATING BUSH TYPE SF11 ***20 PACK***
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 0910-01118 Littelfuse 8AG 2A 361002 FUSE FAST BLO 8AG 2
athomemarket Used - $1,599.99 1 Dec/08/14 Dec/15/14
Description: Applied Materials 0040-85475 Ceramic 300mm Producer 12" Heater Pedestal 84051
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 3300-97189 FESTO FTG MINIATURE ELBOW QSM 1/8-6 ***15 PA
capitolareatech NEW - $25.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0910-01166 FUSE ELECTRONIC 2AMP 125V FAST ACTING ***30
capitolareatech NEW - $25.00 0 Dec/08/14 May/07/15
Description: Applied Materials (AMAT) 0680-01118 SQUARE D QOB1305237 Circuit Breaker MAG 1P 2
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 1330-01080 TIE WIRE SADDLE .438X1.06 BLIND HOLE M ***69
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0020-10199 FLAG HOME AND COMB
capitolareatech NEW - $18.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 3700-01894 SEAL CTR RING ASSY NW25 W/SILICONE ORING SST
athomemarket Used - $155.99 0 Dec/08/14 Jan/07/15
Description: Applied Materials 0150-76318 Coaxial Cable 55ft Length Black Jacket Coax
athomemarket NEW - $142.99 0 Dec/08/14 Jan/07/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0720-01398 Waldom 22-01-3077 CONNHSG CA MTG 7 POS 2695
capitolareatech NEW - $10.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 3700-90425 O RING BS 148 69.52 ID X 2.62. *** 3 PACK **
capitolareatech NEW - $50.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 1210-02723 BOURNS 3862C-282-503A RES POTENTIOMETER 1/2"
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 0840-01126 DIODE 1N4003 200.0V SILICON DO-41 CASE RECIT
athomemarket Used - $999.99 0 Dec/08/14 Dec/15/14
Description: Applied Materials 0010-43816 Common Mesa Source Retrofit Assembly SRCE RETRFT
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 0720-05196 CONN PLUG CA MTG 3PIN CIRC WEATHER TIGHT .14
athomemarket Used - $1,199.99 0 Dec/08/14 Dec/15/14
Description: AMAT/Applied Materials 0190-01871 Etch Conductor Interlock Module (DIP-191-741)
athomemarket Used - $264.99 0 Dec/08/14 Jan/07/15
Description: AMAT Applied Materials 0010-09316 Watlow TEOS Temp Controller Rev. A
capitolareatech NEW - $35.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 1210-03062 RESPOS TEMP COEF RESETTABLE 30V 2.50A 1. ***
nps NEW - $24.99 1 Dec/08/14 Dec/15/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 0020-37077 BUSS BAR, 5KVA TRANSFORMER
capitolareatech NEW - $10.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 3880-01034 WASHER WEAR NYL .250 ID X .4200 BLK ***90 PA
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 0630-01083 CAP FIX 1000V 22PF 10% LS .25 CERAMIC DISC *
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 0630-01097 CAP FIX V 50 150 PF EPOXY CTD CERAMIC ***100
capitolareatech NEW - $30.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 3700-01019 ORING ID 2.600 CSD .210 SILICNS595-50 MS-004
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 1370-01018 Motorola TIP30 TRANSISTOR PWR 40 VOLTS PNP *
capitolareatech NEW - $45.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 1370-90022 ON 2N3772 TRANSISTOR
capitolareatech NEW - $25.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 1390-01156 CABLE SHLD 22AWG 2 COND 300V PIC/CHR VIN ***
capitolareatech NEW - $30.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 1330-01081 TIE WIRE .30-.40DIA TWIST LOK BLIND ***500 P
capitolareatech NEW - $25.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 3690-01791 SCRMACH FIL HD 10-32 X 3/4L SLOTTED NYLON **
svcstore NEW - $139.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $209.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $899.99 0 Dec/08/14 Dec/11/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
svcstore NEW - $149.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
svcstore NEW - $209.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
svcstore NEW - $179.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $799.99 0 Dec/08/14 Dec/11/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/08/14 Dec/11/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/08/14 Dec/11/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/08/14 Dec/11/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow Used - $150.00 0 Dec/08/14 Dec/11/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/08/14 Dec/11/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/08/14 Dec/11/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/08/14 Dec/11/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/08/14 Dec/11/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/08/14 Dec/11/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
svcstore NEW - $199.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $189.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $199.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
gesemiconductor NEW - $85.00 1 Jul/31/12 Dec/12/14
Description: New Applied Materials 0021-03877 Flat Anodized Top Throttle Valve
svcstore NEW - $49.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
svcstore NEW - $139.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $189.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
yayais2012 NEW - $32.00 0 Dec/09/14 Jan/08/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 NEW - $95.00 0 Dec/09/14 Jan/08/15
Description: APPLIED MATERIALS 0150-29131 PDO1/2/3/4 P31/P35/P39/P67 PDO TRAY LOT OF 2
athomemarket Used - $249.99 1 Dec/09/14 Dec/16/14
Description: AMAT/Applied Materials 0040-75247 Rev. B Ring 2 Dual Blade Robot
athomemarket Used - $159.99 0 Dec/09/14 Dec/16/14
Description: Applied Materials 0190-05647 Flex Serial Transition Card Module Endura AMAT
athomemarket Used - $299.99 0 Dec/09/14 Dec/16/14
Description: AMAT/Applied Materials 0100-00193 Rev. A Sync Detect PWB Board Assembly P5000
industrial_loot Used - $78.00 0 Dec/09/14 Jan/08/15
Description: Applied Materials DIP 294 Device Net I/O Block 0190-36511
athomemarket Used - $414.99 0 Dec/09/14 Dec/16/14
Description: Deublin 20004-700 Rotating Union 6 Ports Applied Materials 0190-12477 AMAT
athomemarket Used - $659.99 0 Dec/09/14 Dec/16/14
Description: GE Fanuc 350-01657325-250 Applied Materials 0190-24633 0090-04405 VMIcPCI SBC
athomemarket Used - $84.99 0 Dec/09/14 Dec/16/14
Description: Applied Materials 0190-16928 4-Channel CPCI Devicenet Scanner SST DNP-CPCI-3U-4
athomemarket Used - $350.99 0 Dec/09/14 Jan/08/15
Description: AMAT/Applied Materials 0190-26328 BPG Hot Ion Pirani DeviceNet Gauge
athomemarket NEW - $417.99 0 Dec/09/14 Jan/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,039.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,333.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,574.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Scrap, for parts - $129.99 0 Dec/09/14 Dec/16/14
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
athomemarket Used - $159.99 0 Dec/09/14 Dec/16/14
Description: Applied Materials 0190-11817 SBS Technologies CP3-SER16-TTL Serial Board AMAT
athomemarket Used - $4,846.99 0 Dec/10/14 Jan/09/15
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
svcstore NEW - $149.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
svcstore NEW - $139.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
esolutions1 NEW - $269.10 0 Dec/09/14 Dec/21/14
Description: LOT OF 3 AMAT APPLIED MATERIALS 0020-13959 CAP, HTHU 8" HEATER NEW
svcstore NEW - $149.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $199.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
trees_for_a_better_tomorrow Used - $500.00 0 Dec/09/14 Dec/12/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow Used - $300.00 0 Dec/09/14 Dec/12/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $300.00 0 Dec/09/14 Dec/12/14
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
svcstore Used - $499.99 0 Dec/09/14 Dec/12/14
Description: AMAT Applied Materials 0035-00320 Industrial 14.75" 5000W Casting Heater Plate
powersell007 NEW - $129.00 1 Dec/10/14 Mar/18/15
Description: APPLIED MATERIALS 0040-32118 COVER, INTRLK DISTRIB BOARD AMAT *UNUSED (SEALED)*
powersell007 Used - $199.00 0 Dec/10/14 Dec/10/23
Description: APPLIED MATERIALS 0040-80952 RETAINING RING COMPOSITE 8" TITAN HEAD AMAT *NEW*
bobsgoodies2 Used - $75.00 2 Dec/10/14 Mar/31/22
Description: AMAT 0140-09223 Harness Assembly CVD/TUNG Chamber Applied Materials 0090-76133
altechco NEW - $9,999.99 0 Dec/10/14 May/04/15
Description: APPLIED MATERIALS ASSY STD 119338A 1-11938000-468 CENTURA 300MM MF 0010-26656
sparesllc09 NEW - $5,000.00 0 Dec/10/14 Jun/15/16
Description: 0020-27808 / FRAME,TARGET,FLAT 13' CHAMBER/ APPLIED MATERIALS
gti-semi NEW - $333.00 0 Dec/10/14 Nov/26/19
Description: AMAT Applied Materials, ELECTRODE GROUND - EG XR80, p/n 0020-85090
bigg.logistics101 Used - $899.99 0 Dec/10/14 Jun/11/15
Description: APPLIED MATERIALS 8115 CPU SIDE BOARD ASSY. 0100-00165 REVC
surplusssam NEW - $99.99 0 Dec/10/14 Dec/17/14
Description: NEW AMAT 0020-15335 APPLIED MATERIALS CLAMP WATER HOSE CHAMBER TOP ULTIMA+
athomemarket Used - $214.99 0 Dec/10/14 Dec/17/14
Description: Deublin 957-150 Rotating Union Belt Drive Applied Materials 0190-26506 AMAT
athomemarket Used - $211.99 0 Dec/10/14 Jan/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $190.99 0 Dec/10/14 Jan/09/15
Description: MKS/dIP/AMAT Control CDN496R Applied Materials 0190-34283 DeviceNet PCB Board
athomemarket Used - $93.99 0 Dec/10/14 Jan/09/15
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $70.99 0 Dec/10/14 Jan/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Scrap, for parts - $114.99 0 Dec/10/14 Dec/17/14
Description: Deublin SP0167 Rotating Union Belt Drive Applied Materials 0190-33456 AMAT
zi-eta4 Used - $45.00 0 Dec/10/14 Jan/09/15
Description: APPLIED MATERIALS AMP FILTER 0090-02703
gti-semi Used - $1,950.00 0 Dec/10/14 Nov/26/19
Description: AMAT Applied Materials, CVD WAFER LIFT, p/n 0010-09341
athomemarket Refurbished - $114.99 0 Dec/10/14 Jan/09/15
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
usedeqsales Used - $2,512.14 0 Dec/10/14 May/01/15
Description: AMAT Applied Materials 0010-39207 RF Match eMAX Module Used Working
usedeqsales Used - $2,512.14 1 Dec/10/14 Mar/13/15
Description: AMAT Applied Materials 0010-15877 RF Match PVD Biased Electrode Used Working
trees_for_a_better_tomorrow NEW - $60.00 0 Dec/10/14 Dec/13/14
Description: New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod 6
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/10/14 Dec/13/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/10/14 Dec/13/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/10/14 Dec/13/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
svcstore Used - $149.99 0 Dec/10/14 Dec/13/14
Description: AMAT Applied Materials 0200-05785 14" Pumping High Purity Ceramic Cover Ring
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/10/14 Dec/13/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $95.00 0 Dec/10/14 Dec/13/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
yayais2012 Used - $220.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 0040-62981 KSM 099-9242
yayais2012 Used - $850.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS Wafer Robot WTM-511-2-FWS02-V1 0190-08245 REV 003
yayais2012 Used - $89.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $2,800.00 0 Dec/11/14 Jan/10/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $180.00 0 Dec/11/14 Jan/10/15
Description: Applied Materials 3870-01331 Nor-Cal NW 50 In-Line Pneumatic Valve
yayais2012 Used - $110.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $250.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY 0100-76124
yayais2012 Used - $150.00 0 Dec/11/14 Jan/10/15
Description: AMAT Applied Materials 0100-01765 I/O Interface Board Rev. 002 Working
yayais2012 Scrap, for parts - $250.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $75.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 0041-12354 REV 03
yayais2012 NEW - $78.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS CABLE ASSY 0150-03048
yayais2012 Used - $450.00 0 Dec/11/14 Jan/10/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $220.00 0 Dec/11/14 Jan/10/15
Description: AMP APPLIED MATERIALS 0140-15487 REV 001
yayais2012 Used - $180.00 1 Dec/11/14 Dec/20/14
Description: AMAT APPLIED MATERIALS 0100-76124 DIGITAL I/O BOARD ASSY
yayais2012 Used - $350.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 0041-06736 04 SER 00194 LOT OF 2
yayais2012 Used - $250.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS SYSTEMS INTERLOCK CMP 300MM-HVM 0100-01467
yayais2012 Used - $80.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS OPTO ISOLATION 0100-02420 REV: 002
yayais2012 Used - $110.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 0040-49965 REV 04 USP 6, 251, 21.5
yayais2012 NEW - $15.00 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
yayais2012 Used - $320.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $78.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 0040-23526 REV 003
yayais2012 NEW - $125.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $190.00 0 Dec/11/14 Jan/10/15
Description: Applied Materials 0150-17081 MKS TeNTA cPCI PWRS-0721 PCI Power Board
ptb-sales Used - $750.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $225.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials Ceramic Lid AMAT #: 0200-00554
ptb-sales Used - $3,000.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $360.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials TC Plate, AMAT # 5000-30331
ptb-sales Used - $250.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials Lid Isolator, AMAT # 0200-00554
ptb-sales Used - $2,500.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $1,800.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials ETO Generator, AMAT # 0010-40099
ptb-sales Scrap, for parts - $4,250.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials Chamber AMAT 0040-07498
ptb-sales Used - $780.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
bruce135 Used - $399.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket Used - $254.99 0 Dec/11/14 Jan/10/15
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
athomemarket NEW - $100.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials 0190-10205 Link Relays PCB Interlock Board Channels 3-6
athomemarket Used - $4,510.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Used - $189.99 0 Dec/11/14 Jan/10/15
Description: AMAT/dIP/MKS Control CDN491 Applied Materials 0190-06170 DeviceNet PCB Board
athomemarket NEW - $348.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials 0100-76030 Chamber Interconnect B&D Centura MCVD Board
athomemarket NEW - $281.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $308.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials 0100-00493 Chamber Interface 5200 Ultima HDP-CVD Board PCB
athomemarket NEW - $83.99 0 Dec/11/14 Jan/10/15
Description: (5) NEW Applied Materials 1310-01003 Marchi TC, MI-K .062 x 5.75" Thermocouples
athomemarket NEW - $108.99 0 Dec/11/14 Jan/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $348.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials 0100-76029 Chamber Interconnect A&C Centura MCVD Board
athomemarket Used - $189.99 0 Dec/11/14 Jan/10/15
Description: AMAT/dIP/MKS Control CDN496 Applied Materials 0190-04397 DeviceNet PCB Board
athomemarket NEW - $217.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,258.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $147.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $257.99 0 Dec/11/14 Jan/10/15
Description: NEW AMAT 0040-21358 Honeycomb Hex SST Foil Collimator 1.5:1 Applied Materials
svcstore NEW - $139.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $209.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #2
svcstore Used - $899.99 0 Dec/11/14 Dec/14/14
Description: AMAT Applied Materials 0100-01815 Remote Chamber Drawer REM Rack Controller
svcstore NEW - $149.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08341
svcstore NEW - $149.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08340
supertechshop Used - $499.00 0 Dec/11/14 Jan/10/15
Description: AMAT Producer Lid Side-2 Upper SSWLL 0041-26056 Applied Materials
supertechshop Used - $499.00 0 Dec/11/14 Jan/10/15
Description: Applied Materials Producer Lid Side-1 Upper SSWLL 0041-26055 AMAT
supertechshop Used - $199.00 0 Dec/11/14 Jan/10/15
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
supertechshop Used - $199.00 0 Dec/11/14 Jan/10/15
Description: AMAT 0100-99007 XR80 Implanter Orienter Control PCB Card Applied Materials
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/11/14 Dec/14/14
Description: New Amat Applied Materials 0140-06783 Harness Assy, AC PWR SWL
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/11/14 Dec/14/14
Description: New Amat Applied Materials 0140-06782 Harness Assy
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/11/14 Dec/14/14
Description: New AMAT Applied Materials 3870-00538 Valve SEALED
trees_for_a_better_tomorrow NEW - $120.00 0 Dec/11/14 Dec/14/14
Description: New AMAT Applied Materials 3870-00536 Valve SEALED
trees_for_a_better_tomorrow Used - $150.00 0 Dec/11/14 Dec/14/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/11/14 Dec/14/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/11/14 Dec/14/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/11/14 Dec/14/14
Description: New Kaydon JU060 JU060CPO Radial Bearing AMAT 3060-90242 Applied Materials
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/11/14 Dec/14/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
svcstore NEW - $199.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
supertechshop Used - $99.99 0 Dec/11/14 Jan/10/15
Description: AMAT Applied Materials 5000 ETCH AC Window Assembly 0240-00598
svcstore NEW - $189.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466 #2
svcstore NEW - $199.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $199.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08571
supertechshop NEW - $99.00 0 Dec/11/14 Jan/10/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore NEW - $209.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #2
supertechshop Used - $149.00 0 Dec/11/14 Jan/10/15
Description: AMAT 0100-90573 Implanter XR80 Tilt Angle PCB Control Board Applied Materials
supertechshop Used - $149.00 0 Dec/11/14 Jan/10/15
Description: AMAT 0100-90404 Implanter XR80 Interlock Control PCB Card Applied Materials
supertechshop NEW - $99.00 0 Dec/11/14 Jan/10/15
Description: AMAT Titan Head 200mm/8" Retaining Ring Composite 0041-46642 Applied Materials
supertechshop Used - $274.99 0 Dec/11/14 Jan/10/15
Description: AMAT Device NET I/O Block DIP294 0190-36511 DeviceNet Applied Materials
supertechshop Used - $99.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials Primary Reflector 0200-07806 AMAT / Warranty
svcstore Used - $799.99 0 Dec/11/14 Dec/14/14
Description: AMAT Applied Materials 0100-01028 REM Rack Controller PCB Board Plug-In Module
svcstore NEW - $49.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742 #2
svcstore NEW - $149.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #2
getspares.com_sparesllc09 Used - $15,000.00 0 Dec/12/14 Jun/15/16
Description: 0010-76000 /ROBOT 4, 5, 6 INCH ASSEMBLY DRIVE P5000/APPLIED MATERIALS
bobsgoodies2 Used - $145.00 2 Dec/12/14 Mar/31/22
Description: Applied Materials 0190-35438 Switch, Flow 3/8" Hose Proteus 9100B24P16
usedeqsales Used - $2,512.14 0 Dec/12/14 May/01/15
Description: AMAT Applied Materials 0010-33724 High Efficiency RF Match Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 Jan/11/15
Description: AMAT Applied Materials 0010-21748 RF Match MFG Module Rev. 004 Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match MFG Module Rev. 005 Used Working
athomemarket Refurbished - $220.99 0 Dec/12/14 Jan/11/15
Description: AMAT 0020-24914 Cover Ring SST 200mm/8" Endura 5500 PVD Wafer Applied Materials
athomemarket NEW - $117.99 0 Dec/12/14 Jan/11/15
Description: NEW Applied Materials 0190-10239 LDI Generic TM Transition Module PCB Board
tcchie Refurbished - $999.00 0 Dec/12/14 Dec/17/14
Description: Applied Materials ALP 0040-23840
svcstore NEW - $149.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #2
svcstore NEW - $199.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials 200mm Notch Silicon Insert Ring Unit 0200-08466
svcstore NEW - $139.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $149.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials 200mm Notch Quartz Shadow Ring Unit 0200-08742
trees_for_a_better_tomorrow Used - $500.00 0 Dec/12/14 Dec/19/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow Used - $300.00 0 Dec/12/14 Dec/19/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
gti-semi NEW - $198.00 0 Dec/12/14 Jan/11/15
Description: AMAT Applied Materials, TOP SHIELD (VITREOUS) - EG XR80, p/n 0020-85053
trees_for_a_better_tomorrow NEW - $300.00 0 Dec/12/14 Dec/19/14
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
visionsemi NEW - $80.00 2 May/14/14 Dec/12/14
Description: AMAT PCB APPLIED MATERIALS MIRRA MESA ROBOT WRIST BOARD ASSY 0100-77036
bobsgoodies Used - $399.00 1 Aug/27/14 Dec/11/14
Description: Applied Materials 0010-20932 Index Motor Assy, Vexta A3723-9215
usedeqsales NEW - $309.11 1 Sep/17/14 Dec/11/14
Description: AMAT Applied Materials 0190-10292 8 Inch Upper Shield Bearing Lot of 3 New
appsilicone Used - $100.00 1 Dec/06/14 Dec/11/14
Description: TELEDYNE INSTRUMENTS 033590300 0-20 WT% 452 O3 SENSOR APPLIED MATERIALS MFC
benta09 NEW - $135.00 1 Nov/17/14 Dec/10/14
Description: NEW Applied Materials AMAT 0040-20048 //FEEDTHRU LAMP
sparesllc09 NEW - $800.00 1 Dec/06/11 Dec/10/14
Description: APPLIED MATERIALS 0040-54102 LID,CHAMBER, 200MM
testeqe NEW - $14.99 1 Jul/23/14 Dec/10/14
Description: NEW AMAT/Applied Materials PN: 3300-01895 Pipe Fitting/Adapter 1/8 NPT
bobsgoodies Used - $399.00 3 Aug/27/14 Dec/10/14
Description: Applied Materials 0010-76430 Cass Index Motor Assy, Vexta A3723-9215
csi.usa NEW - $5,000.00 1 Apr/25/12 Dec/09/14
Description: APPLIED MATERIALS 0010-23715 PMAX EMISSOMETER RTP PROBE ASSY ONE NEW AN ONE USE
mayraytan NEW - $245.00 1 Oct/25/11 Dec/09/14
Description: Applied Materials AMAT 1/4" VCR Valve, 3870-01745
nps NEW - $24.99 1 Dec/01/14 Dec/08/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
texassemicontech Scrap, for parts - $505.00 1 Nov/07/14 Dec/08/14
Description: Watlow Anafaze CLS208 Temperature Controller - AMAT Applied Materials 0010-17447
tcchie Used - $404.00 1 Dec/02/14 Dec/07/14
Description: applied materials
farmoninc Used - $1,150.00 1 Jul/29/08 Dec/07/14
Description: 2 Applied materials 0100-00423 GPLIS seriplex I/O Dist
powersell007 NEW - $349.00 1 Nov/27/14 Dec/06/14
Description: APPLIED MATERIALS 0040-20726 SHIELD, HTHU HEATER RF TOP REV A AMAT UNUSED SEALED
ecomicron NEW - $2,300.00 1 Nov/06/14 Dec/05/14
Description: 0100-00847, Applied Materials, AMAT, SERIPLEX IO DISTRIBUTION BOARD
bobsgoodies Used - $399.00 5 Aug/27/14 Dec/05/14
Description: Applied Materials 0010-76431 Cass Index Motor Assy, Vexta A3723-9215
interstateblue NEW - $89.50 1 Dec/30/09 Dec/04/14
Description: APPLIED MATERIALS CONTACTOR INTERLOCK 0100-37868 NEW
interstateblue NEW - $42.49 1 Dec/30/09 Dec/04/14
Description: APPLIED MATERIALS CONTACTOR INTERLOCK 0100-37868
onlinesmt Refurbished - $199.00 1 Dec/02/14 Dec/03/14
Description: APPLIED MATERIALS (AMAT) 0020-23041 CLAMP SHIELD
bobsgoodies NEW - $145.00 2 Aug/27/14 Dec/03/14
Description: Applied Materials 3620-01188 PUMP FTG UNION CRYOGENIC HELIUM LINE FEM/FEM
dr.fantom Used - $1,200.00 1 Nov/03/14 Dec/02/14
Description: Applied Materials AMAT 0090-76110 V21 SBC SYNERGY BOARD
ecomicron Used - $800.00 1 Oct/24/14 Dec/02/14
Description: 0100-20068, Applied Materials, AMAT, PCB, CCD BOARD
semiparts2010 Used - $10,000.00 2 Oct/01/13 Dec/02/14
Description: Applied Materials Magnet Assy, 0010-21810, Used
dr.fantom Used - $5,000.00 1 Oct/07/14 Dec/01/14
Description: Applied Materials 0100-35086 PCB ASSY GAS PANEL DISTRIBUTION
arizindo NEW - $89.95 6 May/12/14 Dec/01/14
Description: Applied Materials AMAT -- 0020-20488 -- CLAMP BAKEOUT LAMP
catalystparts NEW - $175.00 2 Jun/30/14 Dec/01/14
Description: applied materials, Glass, Tube Gas feed. 0200-09450 Rev. 002
40-30sourcing Used - $150.00 1 Nov/30/09 Nov/28/14
Description: APPLIED MATERIALS 0100-09127 PCB ASSY - TESTED
bobsgoodies NEW - $155.00 2 Aug/27/14 Nov/28/14
Description: AMAT 0015-77269 PULLEY BASE ASSEMBLY PAD CONDITIONER Applied Materials
dr.fantom NEW - $380.00 1 Nov/20/14 Nov/26/14
Description: Applied Materials AMAT 0200-18074 SHEATH RPSC HDP-CVD
mercury_man Used - $850.00 1 Nov/23/14 Nov/26/14
Description: Applied Materials VGA VIDEO CONTROLLER for AMAT Endura & Centura, P/N:0190-76050
exper-tech Used - $95.00 1 Sep/22/14 Nov/26/14
Description: AMAT Applied Materials 0190-20124 Pneumatic Manifold Chamber SMC NVV5J5, Used
alvin1462 NEW - $722.00 12 Nov/22/12 Nov/26/14
Description: APPLIED MATERIALS 1140-00620 power supply module HF10-937 NEW
usedeqsales Used - $3,507.14 2 Jul/08/14 Nov/26/14
Description: AMAT Applied Materials 0010-30012 MC Robot Drive Assembly P5000 0242-75991 Used
usedeqsales NEW - $312.11 1 Dec/12/11 Nov/25/14
Description: Applied Materials AMAT 0200-09217 Quartz Ring 200MM New
usedeqsales NEW - $556.12 2 Jun/22/12 Nov/25/14
Description: AMAT Applied Materials 0242-34852 XP Robot Upgrade Harness Kit New
baybimmer Used - $1,000.00 3 Jun/02/14 Nov/24/14
Description: Applied Materials 0010-38438 C-Chuck
supertechshop NEW - $199.00 3 Nov/13/14 Nov/24/14
Description: NEW AMAT 0020-05586 Ring Clamp Shield Internal S-IMP Applied Materials Sealed
mpd6996 NEW - $75.00 2 Nov/20/14 Nov/24/14
Description: APPLIED MATERIALS AMAT 0100-76039 8" ROBOT ARM - NEW
nps NEW - $27.11 1 Nov/17/14 Nov/24/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
dr.fantom Used - $500.00 2 Mar/15/12 Nov/24/14
Description: Applied Materials CONTROL VIDEO SWITCH 0190-37616 MKS AS05111-08
dr.fantom NEW - $600.00 1 Apr/29/13 Nov/24/14
Description: Applied Materials 421266-WB-APR Polisher Misc 35 LBC WEIGHT KIT 300MM CONTOUR
wpvbekins NEW - $9.00 1 Aug/31/11 Nov/22/14
Description: AMAT Applied Materials 0020-39658 N2 Diffuser Buffer
usedeqsales NEW - $501.12 1 Jan/30/12 Nov/21/14
Description: Applied Materials AMAT 0200-09222 External Quartz Ring 8" New
mayraytan NEW - $14.50 5 Dec/24/11 Nov/21/14
Description: Applied Materials AMAT Tungsten Halogen Lamp 1010-01531
usedeqsales Used - $508.14 1 Aug/12/14 Nov/21/14
Description: Supermicro 0240-47845 IAPC System Computer AMAT Applied Materials Used Working
usedeqsales NEW - $25,011.10 1 Nov/03/14 Nov/20/14
Description: AMAT Applied Materials 3NS410B-A014 Robot Set 0190-12314 New