[click to login]
WSEMI


TAGS > 4

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: 4 NEW COSEL UAW125S Power supply 52V, 125W, Novellus 27-143752-00
farmoninc
[view on eBay]
Used 1
in stock
$2,900.00
Description: 4 AMAT 0020-29719 Pre clean Endura sputter Pedestal quartz chuck ZPD CH34
farmoninc
[view on eBay]
NEW 2
in stock
$600.00
Description: 4 ECI Technologies TQ503298, Flow Switch Assy, 100ml/min Novellus 34-160330-00
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 4 NEW AMAT 0200-40002 Quartz nut cover, HDP etch chamber
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0050-18765 Weldment, pump purge, nupro #4, low K, HDPCVD
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 3790-01509 100 new SST Hex standoffs, 3/8' long, look to be #4 threads
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: 2 New AMAT 0140-78192 Cable HD SWP 1,2,3,4 encoder MTR pane
farmoninc
[view on eBay]
NEW 1
in stock
$937.50
Description: 4 AMAT 1400-90033 Photo Detector Type, P33008, 323518
farmoninc
[view on eBay]
NEW 2
in stock
$10.00
Description: 100 new AMAT 1290-01260 term ring TNG #4 14-16AWG VINYL IN
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: 4 AMAT 0020-32998 Bearing HSG Coupler Upper P5000 MC Robo 323570
farmoninc
[view on eBay]
NEW 1
in stock
$1,000.00
Description: 4 AMAT 0020-30860 Plate, Gate Valve, VER 4-TEOS, 323589
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: 4 new AMAT 0050-04040 weldment 1:4 single valve manifold
farmoninc
[view on eBay]
Used 1
in stock
$10.00
Description: 4 AMAT 1290-01026 term lug cprsn 1/2 yel 4/0AWG non-ins
farmoninc
[view on eBay]
NEW 1
in stock
$1,000.00
Description: 4 new AMAT 0021-09874 plenum cathode liner rps
farmoninc
[view on eBay]
Used 1
in stock
$120.00
Description: 4 units of Novellus orings 22-120429-00
j316gallery
[view on eBay]
Used 1
in stock
$397.60
Description: 454 APPLIED MATERIALS SHIM RING, 4, OXIDE 0020-09996
farmoninc
[view on eBay]
Used 1
in stock
$400.00
Description: 4 AMAT 0150-20112 Cable Assy, EMO generator 1/2 Int.
grandbirdnet
[view on eBay]
NEW 1
in stock
$2.00
Description: AMAT 3880-01008 WSHR FLAT #10 .438OD X .219ID X .049THK SST, LOT 4, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$85.00
Description: AMAT 3300-05197 FTG TBG SPCR CLR .56ID X .81OD X .132THK SST, LOT 4, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$176.00
Description: AMAT 0090-77182 5 GRIPPER SENSOR HARNESS, ARM 4, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0020-79294 MOUNT CLIP, LOWER CV, NEW, LOT 4
grandbirdnet
[view on eBay]
Used 1
in stock
$14,000.00
Description: AMAT 0010-14714 ASSY, 4 PORT TP UPA REFLEXION, REFURBISHED
grandbirdnet
[view on eBay]
NEW 2
in stock
$30.00
Description: AMAT 1030-01023 SWITCH MAGNET, NEW, LOT 4
grandbirdnet
[view on eBay]
Used 2
in stock
$11,500.00
Description: AMAT 0010-14714 ASSY, 4 PORT TP UPA REFLEXION, WORKING
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 3800-00463 Pressure Regulator, 4 VCR Female IN/4 VCR Male out
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 4 AMAT 0190-38053 Pneumatic Manifold, Stacked LL, 12 Station
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: 4 NEW AMAT 0190-05336 Belt Assembly, Buffer / Trans
farmoninc
[view on eBay]
NEW 1
in stock
$40.00
Description: 4 AMAT 0020-96658 Gasket
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 AMAT 0020-34594 Rev. A Insulator RF DXZ View Lid MEI-A-09698
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3860-01683 TBG PLSTC .250OD X .047WALL PFA 450HP 4 FEET, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 1410-00261 HTR Jacket, CH A,B,C Zone 4, 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: 4 AMAT 0020-78745 Housing, Pad Conditioner
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: 4 AMAT 3060-01696 BRG Ball 1.31250DX1.000IDX.157W Angula
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0150-76923 Cable Assembly, Mux to Fixed Load 3, 4 FA - 4W,
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0090-01013 Cable Heater, DPS 300MM CH. B G/P Line #4
farmoninc
[view on eBay]
NEW 2
in stock
$695.00
Description: 4 AMAT 0240-60064 KIT 8300 O-Ring, Etch Chamber, many o-ring
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0190-05894 HTR CHB 3870-00500 Part 4 TICL4 PRODU
farmoninc
[view on eBay]
NEW 1
in stock
$80.00
Description: 4 AMAT 0020-09594 FTG Switch Adapter
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 4 AMAT 0020-06590 PIN, Base Ring, Radiance Chamber RTP
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 AMAT 0021-39696 Handle
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 4 AMAT 3300-90958 Seal, metal, NW 40
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 AMAT 0020-10153 Buffer Cassette Male 200MM
farmoninc
[view on eBay]
NEW 1
in stock
$266.00
Description: 4 AMAT 1310-90009 Thermocouple
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 4 AMAT 1230-01212 Mount Kit Mini - Cap 16x7MM
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 4 AMAT 3220-00026 Coupling 8MM X 10MM
farmoninc
[view on eBay]
NEW 1
in stock
$190.00
Description: AMAT 0140-77651 HARNESS, TUBING BUNDLE 4
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 4 AMAT 0020-48308 Bracket - Valve Mount - Splash Guard - 3
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 4 AMAT 0040-91252 Pickup Show 125MM
farmoninc
[view on eBay]
NEW 1
in stock
$800.00
Description: 4 AMAT 0020-91891 Strip, Seal protection
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 4 AMAT 0021-03495 Screw, Pan, 4-40, Blocker, HT CVD Tin
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 4 AMAT 0021-04669 Spacer, Limited Extension, Upper Carrier
farmoninc
[view on eBay]
NEW 1
in stock
$40.00
Description: AMAT 1270-01092 SWITCH, PB MOM SPOT, CANNON 81210O& K, LOT OF 4
grandbirdnet
[view on eBay]
NEW 5
in stock
$3,500.00
Description: AMAT 0190-37616 KVM SWITCH, 4 PC INPUT, 3 USER LOCATION OUTPUT, TOUCHSCREE , NEW
farmoninc
[view on eBay]
NEW 3
in stock
$950.00
Description: 4 AMAT 0150-09276 CABLE OVER PRESSURE HE 401679
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 AMAT 0020-26889 Shoulder Screw, DC Bias 402166
auctionrus
[view on eBay]
Used 1
in stock
$42.00
Description: 4 AMAT 3700-01711 O-RING 4.23 x .139 402800
auctionrus
[view on eBay]
Used 1
in stock
$200.00
Description: 4 GEORGE FISCHER 801-010, AMAT 0190-04810 CDO, TEE 1" SOC Farmon ID 405941
auctionrus
[view on eBay]
Used 1
in stock
$275.00
Description: 4 AMAT 0140-03974 HARNESS ASSEMBLY, 300MM CENTURA ETCH CH Farmon ID 405944
auctionrus
[view on eBay]
NEW 1
in stock
$200.00
Description: 4 AMAT 0020-22260 Clip Spring Window, .19 THK, Front Door, Cover PVD 405934
auctionrus
[view on eBay]
NEW 1
in stock
$160.00
Description: 4 AMAT 0020-04435 Bracket, Lower, 2 in. Tube, 406441
auctionrus
[view on eBay]
NEW 1
in stock
$122.50
Description: AMAT 0040-00559 Side Shield 4, 407102
auctionrus
[view on eBay]
NEW 22
in stock
$140.00
Description: AMAT 0660-01860 Card Interface RS-232, 4 Port ISA, Moxa Smartio C104H/HS, 407132
auctionrus
[view on eBay]
NEW 1
in stock
$200.00
Description: 4 AMAT 0020-09039 BRACKET, STRIP, OXIDE CATHODE 407346
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: 4 AMAT 3700-01338 O-ring, ID .984 x .139 W, 409034
auctionrus
[view on eBay]
NEW 3
in stock
$450.00
Description: AMAT 0040-40684 PANEL, MOUNT, 4 CH. WTM, 300MM HDPCVD, WAFER TEMPERATURE MONITOR
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0190-05890 HTR GL CHB 0050-51971 Part 4 TICL4 PRODU, 411456
auctionrus
[view on eBay]
NEW 1
in stock
$192.50
Description: AMAT 0150-08083 4 Ch To Back Panel Cable Assembly 413887
auctionrus
[view on eBay]
NEW 1
in stock
$210.00
Description: AMAT 1410-00261, Watlow, Heater Jacket, Ch. A, B, C, Zone 4, 200mm, 413878
auctionrus
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0140-20286, Cable, Harness Assembly, Chamber 1 and 4 AC. 414411
vizko2017
[view on eBay]
Used 2
in stock
$45.00
Description: ,APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
auctionrus
[view on eBay]
Used 1
in stock
$84.00
Description: 4 AMAT 3700-02333 Dynamic Seals 328945
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0240-13278, Pressure Switch, Kit, VER 4 TEOS, 0150-10045. 328915
auctionrus
[view on eBay]
NEW 1
in stock
$315.00
Description: AMAT 0240-13278, Pressure Switch, Kit, VER 4 TEOS, 0150-10045. 328914
gigabitpartsolutions
[view on eBay]
Used 3
in stock
$242.00
Description: OEM Part (AMAT) 0020-03597 CARRIER Spider Lift 4,5,6 & 8 IN.
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 1200-01104 RLY CNTOR 4 PDT, 25A, Potter & Brumfield PM-17AY-24, 397364
ecomicron
[view on eBay]
Used 1
in stock
$700.00
Description: 0150-21342, AMAT, CHAMBER 4 INTERCONNECT, (EMC COMPLIANT)
bornalliancecom
[view on eBay]
NEW 14
in stock
$995.00
Description: Applied Materials 0020-26578 Clamp, 4 Point AMAT PVD
j316gallery
[view on eBay]
NEW 1
in stock
$1,010.54
Description: 4942 APPLIED MATERIALS 8" A101 4 MASK TAB RECESS SHUTTER DISK 0020-01888
farmoninc
[view on eBay]
Used 1
in stock
$85.00
Description: 4 AMAT 3880-01304 Washer Flat 1/4ID X 1/2OD, 0.045THK STL ZINC PLT, 415785
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0150-04216 Rev. 001, Cable Assembly, PDO 4, PDO Tray, 5.3 FI. 415850
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0040-94746, Manifold, 4 Way Factory Water. 415953
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0040-94746, Manifold, 4 Way Factory Water, 416523
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: 4 AMAT 0021-13099, Terminal Block, Short, Vac Lamphead PCB, 417062
farmoninc
[view on eBay]
Used 1
in stock
$30.00
Description: 4 M.E.C. Tech MEC81105-205L Spacer, .26, AMAT 0020-01020, 417127
farmoninc
[view on eBay]
NEW 12
in stock
$495.00
Description: AMAT 0200-00042 Cover QTZ 4 Poly .40 THK, 417465
farmoninc
[view on eBay]
Used 2
in stock
$350.00
Description: AMAT 0140-78313 Cable Head Sweep Drivers 3 & 4, 418020
farmoninc
[view on eBay]
Used 2
in stock
$350.00
Description: AMAT 0140-78313 Cable Head Sweep Drivers 3 & 4, 417038
j316gallery
[view on eBay]
Used 2
in stock
$463.31
Description: 3731 APPLIED MATERIALS CTRL VIDEO SWITCH 4 PC INPUT 0190-28951
farmoninc
[view on eBay]
Used 3
in stock
$25.00
Description: AMAT 0720-03475, Connector, Cable Mating RTANGTG, 4 POS RTANG, 4.4T-2. 419164
farmoninc
[view on eBay]
Used 1
in stock
$25.00
Description: 3 AMAT 3300-01033, BM1-04-05, FTG Pipe EL 3/4FP, 3/4MP BRS 4. 419277
farmoninc
[view on eBay]
Used 1
in stock
$90.00
Description: AMAT 1390-02386 Cable UHSHLD 22AWG 4 COND 150 V 80C 7x30P, 419433
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-15892 Rev.P1, Assembly, Heater, SLD Seg 2, Gas 4, Etc. 420024
spsglobal
[view on eBay]
Used 3
in stock
$80.00
Description: 352-0502// AMAT APPLIED 0090-20134 ASSY VALVE 4 WAY SS NEW
farmoninc
[view on eBay]
Used 1
in stock
$37.50
Description: 4 AMAT 3300-91769 FTG, Long Claw Clamp ISO63-250, 420738
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: 4 AMAT 0021-06582 Stop, Hard, Lift, Rot Head-ECP, 421604
usedeqsales
[view on eBay]
Used 1
in stock
$106.52
Description: AMAT Applied Materials 3700-01091 O-Ring 2-389-S Reseller Lot of 4 New
farmoninc
[view on eBay]
Used 2
in stock
$12.00
Description: 4 NEW AMAT 3880-01045 Washer Flat # 1/4 .500OD x .265ID x .063THK SST
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 136-0601// AMAT APPLIED 0010-00744 (#4) 20SCCM 1159B-13197---S USED
usedeqsales
[view on eBay]
Used 2
in stock
$207.17
Description: AMAT Applied Materials 0190-35113 Halogen Lamp Bulb 2000W Reseller Lot of 4 New
testeqe
[view on eBay]
NEW 1
in stock
$124.99
Description: Qty. 4: NEW AMAT/Applied Materials PN: 0020-00927 Viewport, Glass (Load) Window
testeqe
[view on eBay]
NEW 1
in stock
$299.99
Description: 4: NEW AMAT PN: 4020-00008/Mykrolis CBUG3LOP1 Disposable Filter .2µm Pore Kit
testeqe
[view on eBay]
NEW 2
in stock
$149.99
Description: NEW AMAT/Applied Materials PN: 1410-01513 Watlow Heater Jacket 30 Mil 5 V, 4 W
testeqe
[view on eBay]
NEW 1
in stock
$24.99
Description: 4: NEW AMAT Applied Materials 3700-02986 .61ID .10CSD O-Ring Oring Kit
testeqe
[view on eBay]
NEW 2
in stock
$399.99
Description: NEW AMAT PN: 0195-00042 110C Zone 4 Heater Top Level Assy, Producer SE Line
svsurplus
[view on eBay]
Used 1
in stock
$164.64
Description: SMC Lam Research 772-800722-007 Manifold SMC SYJ3233-5LOU with 4 X SY114-5MOU
j316gallery
[view on eBay]
NEW 1
in stock
$460.33
Description: 9783 LAM RESEARCH TOOL, GA, PRESS, DIFF, 4, 0-5 IN H20, W/ HOSE ( 515-133337-005
j316gallery
[view on eBay]
NEW 2
in stock
$110.42
Description: 10103 APPLIED MATERIALS HEATER JKT, 12V 6W, ZONE 4, ITEM 5 200MM PROD 1410-00306
j316gallery
[view on eBay]
NEW 4
in stock
$132.51
Description: 9859 APPLIED MATERIALS HEATER JKT, 15V, 8W, ZONE 4, 200MM PROD (NEW) 1410-00303
j316gallery
[view on eBay]
NEW 2
in stock
$264.91
Description: 9862 APPLIED MATERIALS HEATER JKT, 36V, 20W, ZONE 4, 200MM PROD (NEW) 1410-00310
farmoninc
[view on eBay]
Used 1
in stock
$380.00
Description: 4 AMAT 0020-40137 Lock Shipping Door, LLC 424232
usedeqsales
[view on eBay]
Used 1
in stock
$1,205.15
Description: AMAT Applied Materials 0021-43797 Shield Lower Rev. 4 New Surplus
midwestsemi
[view on eBay]
Used 1
in stock
$43.70
Description: LOT 4 NEW AMAT 3700-01211 BAY SEAL 2-264 VITON O RING COMPOUND V747 SHIPSAMEDAY
usedeqsales
[view on eBay]
Used 1
in stock
$155.18
Description: AMAT Applied Materials 3700-02329 O-Ring Duro White Reseller Lot of 4 New
catalystparts
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT Applied Materials 0150-21342 Chamber 4 Interconnect Mainframe
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 003-0102// AMAT APPLIED 3620-01146 (#4) UNCLEAN 8031315 wPUMP CRYO [ASIS]
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0190-28951 CONTROL VIDEO SWITCH, 4 PC INPUT, 3 MON, USED
usedeqsales
[view on eBay]
Used 1
in stock
$1,252.12
Description: Digital Dynamics 27-134495-00 sioc ANNEAL 4 Interlock Module Novellus Used
spsglobal
[view on eBay]
Used 1
in stock
$320.00
Description: 145-0601// AMAT APPLIED 0150-20017 CABLE ASSY,CH 4 INTERCON 25' USED
spsglobal
[view on eBay]
Used 1
in stock
$270.00
Description: 142-0102// AMAT APPLIED 0150-21342 CHAMBER 4 INTERCONNECT, (EMC C USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 142-0301// AMAT APPLIED 0010-03320 WATER RETURN HOSE CH 1,4,C & D NEW
sacramento_liquidators
[view on eBay]
NEW 1
in stock
$189.99
Description: Applied Materials 0050-93722 8x6x3 4 Port Stainless Steel Manifold
midwestsemi
[view on eBay]
Used 1
in stock
$79.35
Description: LOT 4 NEW APPLIED MATERIAL 3300-02589 FTG TBG CONN 3/8T X 1/2MNPT SST SHIPSAMEDA
spsglobal
[view on eBay]
Used 8
in stock
$10.00
Description: 344-0201// AMAT APPLIED 0020-10762 BUSHING,SUSCEPTOR SUPPORT,CERAMIC,4,5,6" NEW
austieiscute
[view on eBay]
Used 1
in stock
$349.99
Description: New Lot 4 LAM Shielded Cable 833-016952-014 Rev. A CABLE ASSY PREF TM 100 Feet
ntsurplus302
[view on eBay]
Used 5
in stock
$35.00
Description: 3196 4 AMAT 0680-00400 Loto Device For Supplemental Circuit Protectors
sacramento_liquidators
[view on eBay]
NEW 1
in stock
$52.11
Description: Applied Materials Parker Seal 3700-01176 x4 4 Packs
usedeqsales
[view on eBay]
Used 1
in stock
$403.19
Description: AMAT Applied Materials 3700-02187 Chemraz O-Ring Reseller Lot of 4 New
usedeqsales
[view on eBay]
Used 2
in stock
$303.19
Description: AMAT Applied Materials 0020-30425 Insert AL Outer Pedeatal Reseller Lot of 4 New
maxisemi1349
[view on eBay]
Used 26
in stock
$160.00
Description: 0200-09071 LIFTING PIN 200MM. lot of 4
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 344-0401// AMAT APPLIED 0020-24771 COUPLER 4 BAR LINKAGE SHUTTER NEW
logansemi
[view on eBay]
Used 1
in stock
$400.00
Description: Lam RESEARCH PIN, RF SENSE, REM, ESC 839-249094-001 LOT OF 4, NOS
usedeqsales
[view on eBay]
Used 1
in stock
$1,605.19
Description: Lam Research 810-707056-002 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,605.19
Description: Lam Research 810-707056-003 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare
usedeqsales
[view on eBay]
Used 3
in stock
$1,605.19
Description: Lam Research 810-707059-001 PM Node 4 PCB Panel 810-707150-001 Continuum Spare
adelrick123
[view on eBay]
NEW 1
in stock
$40.00
Description: AMAT 0720-05300 Conn RCPT 4 Pin
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$5.50
Description: Switch (LAM) 661-007801-002 Lens Round Red PKG 4
j316gallery
[view on eBay]
Used 4
in stock
$3,007.59
Description: 13317 APPLIED MATERIALS WLDMNT, VAL MAN, 4 VAL RIGHT, 6LV-DAF111P-C 0050-37705
maxisemi1349
[view on eBay]
Used 1
in stock
$695.00
Description: 0240-10162 KIT,SPARES 4,5,6&8 BW PROCESS
spsglobal
[view on eBay]
Used 2
in stock
$170.00
Description: 344-0502// AMAT APPLIED 0090-20137 ASSY VALVE 4 WAY DS HIGHFLOW NEW
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 344-0502// AMAT APPLIED 0090-20136 ASSY VALVE 4 WAY SSHF NEW
spsglobal
[view on eBay]
Used 3
in stock
$180.00
Description: 344-0502// AMAT APPLIED 0090-18012 ASSY VALVE 4 WAY NEW
spsglobal
[view on eBay]
Used 4
in stock
$140.00
Description: 344-0502// AMAT APPLIED 0090-20309 HARNESS, 4 MONITOR REMOTE SW BOX INTCNT NEW
j316gallery
[view on eBay]
NEW 1
in stock
$221.64
Description: 13749 APPLIED MATERIALS CABLE ASSY HTR AC POS 1 2 4 WIDE BODY NEW 0150-70132
roundtable1
[view on eBay]
Used 30
in stock
$49.99
Description: Applied Materials 0190-19374 Lamp 120V 2100W LP Lot of 4
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 322-0201// AMAT APPLIED 0190-35448 PNEUMATIC MANIFOLD,GAS PANEL,4 USED
roundtable1
[view on eBay]
Used 1
in stock
$199.00
Description: AMAT 0140-02870 HARN ASSY,FINAL VALVES 1 THRU 4 STATUS
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 142-0502// AMAT APPLIED 0150-10016 CABLE ASSY OZONATOR, SEGMENT 4 NEW
spsglobal
[view on eBay]
Used 1
in stock
$570.00
Description: 142-0503// AMAT APPLIED 0140-08503 HARNESS ASSY, 300MM EMAX AP, 4 CH. RS232 NEW
usedeqsales
[view on eBay]
Used 1
in stock
$411.19
Description: AMAT Applied Materials 0020-35291 Plug Gas Nozzle DPS Metal Etch Lot of 4 New
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 141-0303// AMAT APPLIED 0140-77726 HARNESS TUBING BUNDLE 4 USED
spsglobal
[view on eBay]
Used 1
in stock
$370.00
Description: 116-0503// AMAT APPLIED 0200-09197 PLATE GAS DIST,LOWER, QUARTZ,4 NEW
dr.dantom
[view on eBay]
Used 1
in stock
$300.00
Description: LOT OF 4 Applied Materials 0190-25613 300MM BONDED SLIT VALVE REV.2
roundtable1
[view on eBay]
NEW 1
in stock
$99.00
Description: Applied Materials 0680-02214 C/B 3 POLE 240V 15A Lot Of 4
jollyg00d
[view on eBay]
Used 1
in stock
$23.00
Description: (4) AMAT 3700-01088 Seal Center Ring Assembly NW50 Viton O-ring
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 4 AMAT 0021-10732 Vespel, Magnet Ring, 398653
j316gallery
[view on eBay]
Used 4
in stock
$663.16
Description: 16719 APPLIED MATERIALS CABLE ASSY,HI VOLTAGE 4 PIN 55FT, ULTIMA 0190-18331
partskorea1
[view on eBay]
NEW 1
in stock
$499.00
Description: Lam P/N 716-156224-002 PIN LIFT,SAPPHIRE, STN#1 ,SHORT, C3ALTUS lot of 4
spsglobal
[view on eBay]
Used 1
in stock
$12,000.00
Description: 172-0401// AMAT APPLIED 0195-03306 EMAG POWER SUPPLY,4 CHANNEL,208 VAC [ASIS]
j316gallery
[view on eBay]
Used 1
in stock
$453.64
Description: 16972 APPLIED MATERIALS WELDMENT VLV MANF 4 STATION 0010-47446
j316gallery
[view on eBay]
Used 2
in stock
$200.53
Description: 16974 APPLIED MATERIALS WELDMENT VLV MANF 4 STATION 0010-47446
j316gallery
[view on eBay]
NEW 1
in stock
$193.02
Description: 17488 APPLIED MATERIALS SMIF T-BAR FOR ROLLER PIN ASSY LOT OF 4 (NEW) 0040-75725
j316gallery
[view on eBay]
NEW 3
in stock
$2,784.28
Description: 18394 APPLIED MATERIALS CLAMP RING 8" SEMI NOTCH 4 ALIGNMENT T NEW 0021-06097
usedeqsales
[view on eBay]
Used 1
in stock
$405.20
Description: AMAT Applied Materials DP Cable 0150-05474 0150-05475 Copper Lot of 4 New Spare
j316gallery
[view on eBay]
Used 1
in stock
$200.84
Description: 19371 LAM RESEARCH 12-SLOT PNEU MANF W/ 4 X SY114-5MOU 772-801282-001
senior-inc
[view on eBay]
Used 1
in stock
$1,550.00
Description: AMAT 0090-00139 (0140-00476) ELEC ASSY WB ENDURA 1,2,4 & D LTESC
expertsurplus
[view on eBay]
NEW 3
in stock
$300.00
Description: AMAT, 0050-37554, Elbow Vacuum Line with 4 Ports, New
expertsurplus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT, 3880-01024, WSHR LKG SPLIT #8 .2930D X .174ID X .040THK SST, New, Lot of 4
expertsurplus
[view on eBay]
NEW 3
in stock
$100.00
Description: AMAT, 0050-89057, 1" Tubing with 90 Deg bend 4 1/4" X 10" AN Flare, New
auctionrus
[view on eBay]
Used 1
in stock
$40.00
Description: 4 AMAT 3880-01215 Washer Flat .375OD X .161ID X .062THK, 453048
powersell007
[view on eBay]
Used 1
in stock
$7,999.00
Description: AMAT 0010-45147 CHILL PLATE ASSY, SYMMETRICAL SOURCE 4 ZONE 0041-31123
j316gallery
[view on eBay]
NEW 1
in stock
$313.51
Description: 19750 APPLIED MATERIALS HARNESS ASSY, HTR POWER 4 CH, 0.6M (NEW) 0140-02301
spsglobal
[view on eBay]
Used 10
in stock
$400.00
Description: 126-0501// AMAT APPLIED 1200-01067 RLY CNTOR 300A 24VAC 50HZ NEMA SIZE 4 [NEW]
surplus_eq
[view on eBay]
NEW 4
in stock
$39.88
Description: Clippard 4 Way R-482 Electronic Valve Applied Materials (AMAT) 3870-01052
j316gallery
[view on eBay]
NEW 5
in stock
$7.59
Description: 19877 APPLIED MATERIALS CLAMP HOSE 1/4x13/16 SST (LOT OF 4) (NEW) 0690-01019
quick_ship_usa
[view on eBay]
NEW 3
in stock
$299.88
Description: Qty (4) New! LAM Research 25’ Cable 853-810609-025 Rev. A CA EMO RPDB Pump 25ft
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 346-0203// AMAT APPLIED 0010-76087 WAFER VALVE ASSY. CH 2,4,& D [NEW]
j316gallery
[view on eBay]
Used 1
in stock
$964.72
Description: 20152 NOVELLUS BRKT, SHIPPING, AQR7/AQT7, 4 PART 20-152626-00
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 177-0402// AMAT APPLIED 0050-24881 GAS LINE,CH 4,MFC 5&6,O-T-BACK [USED]
capitolareatech
[view on eBay]
NEW 2
in stock
$393.95
Description: Applied Materials (AMAT) 1410-01506 Heater Jacket, Lower/Bypass, Zone 4, Chamber
capitolareatech
[view on eBay]
NEW 1
in stock
$13.95
Description: AMAT 3810-01058 STUD SELF-EJECTING 1/4 TURN SIZE 4 .60L
storefarm
[view on eBay]
Used 2
in stock
$1,498.00
Description: [Used] NOVELLUS / 02-273469-00 / WTS-HV SIOC 4, Rev.A, 1pcs
capitolareatech
[view on eBay]
NEW 1
in stock
$209.95
Description: Applied Materials (AMAT) 0140-06935 HARNESS ASSY, DR3, 4, 5 MOTOR POWER, PV2
capitolareatech
[view on eBay]
NEW 1
in stock
$17.95
Description: Applied Materials AMAT 3370-01029 HDL RND 4 LOG 2 HIGH SST 12THK 516-18THD
capitolareatech
[view on eBay]
NEW 5
in stock
$17.95
Description: Applied Materials AMAT 1270-01301 SWITCH VACUUM SPDT 4 HG
capitolareatech
[view on eBay]
NEW 1
in stock
$22.95
Description: Applied Materials (AMAT) 0060-21189 LABEL SET ( PACK OF 4)
capitolareatech
[view on eBay]
NEW 1
in stock
$13.95
Description: Novellus 34-158908-00 CONTACTOR AUX CONTACT 4 NO
capitolareatech
[view on eBay]
Used 1
in stock
$17.95
Description: Applied Materials (AMAT) 3830-01013 LABEL (SET OF 4)
capitolareatech
[view on eBay]
Used 1
in stock
$33.95
Description: Applied Materials (AMAT) 3790-01439 STDF M/F (PACK OF 4)
capitolareatech
[view on eBay]
Used 5
in stock
$17.95
Description: Applied Materials (AMAT) 3910-01108 LABEL CE WARNING (PACK OF 4)
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 3690-03910 SCR CAP SKT HD 10-32X3/4L HEX SKT LOT OF 4, NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$1,000.00
Description: AMAT 0020-06083 SHIELD BASEPLATE SET OF 4, NEW
capitolareatech
[view on eBay]
Used 2
in stock
$67.95
Description: Applied Materials (AMAT) 0140-21007 HARNESS 3 POS EXTENSION 4 FT
maxisemi1349
[view on eBay]
Used 6
in stock
$300.00
Description: 15-412730-00 SPRING,SNUBBER, KEYHOLE,APC, lot of 4
mooresemi
[view on eBay]
Used 1
in stock
$650.00
Description: Applied Materials AMAT 0100-14002 4 Channel RS232 Isolator schem 0130-14002 revC
svcheck
[view on eBay]
Used 1
in stock
$154.56
Description: AMAT APPLIED MATERIALS 0200-03264 150MM NCSR Outer Ring Lot of 4
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, WELDMENT,MANIFOLD,SINGLE VALVE, 4 LINE, 0050-09419, New
maxisemi1349
[view on eBay]
Used 1
in stock
$650.00
Description: 0020-04095 LEXAN RING (W/O LABYRINTH) 4, 5, AND 6 INCH
nissiglobal
[view on eBay]
Used 1
in stock
$11.84
Description: LAM RESEARCH 720-001000-004 SCR, PNH, PH 4-40 ( QTY 4)
nissiglobal
[view on eBay]
Used 1
in stock
$13.42
Description: 4 PCS LAM RESEARCH 785-190040-004 LBL, 1J200,202, 203 & 204
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 4 AMAT 0020-21901 Bracket Shield, 453476
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$200.00
Description: 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$464.34
Description: 0020-30085 / PUMPING PLATE 4,5,6 NITRIDE GIANT GAP, EESI 2900-11777 / AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$228.32
Description: 0020-03597 / CARRIER,4,5,6,8",TEOS ETCH CHAMBER,AMT 0020-03597 / AMAT
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0015-35236 STRIKER, LATCH, DOME, DPS Lot of 4, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$15,000.00
Description: AMAT 0010-89010 R3,4 STRETCH ROTATION ASSY , REFURBISHED
maxisemi1349
[view on eBay]
NEW 1
in stock
$2,800.00
Description: 17-340191-00 CONTACT,APC,200MM,1MM,EE, lot of 4
electricstuffguy
[view on eBay]
NEW 1
in stock
$29.99
Description: LAM RESEARCH CABLE ASSY, 833-131665-015 4 pin fem plugs cable free shipping!
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,200.00
Description: NOVELLUS 15-118380-00 RING, RETAINING, 4 PIECE, THIN, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 1120-01117 Cable Fiberoptic 600UM 4 Meter, SMA-Conn, 100208
banyanteam
[view on eBay]
NEW 3
in stock
$16.50
Description: Applied Materials 3060-01090 Needle Bearing (Pack Of 4)
farmoninc
[view on eBay]
NEW 1
in stock
$60.00
Description: 4 Perlast G47P-012-SC, Translucent (FFKM) O-Ring, Novellus 22-275478-00, 100314
grandbirdnet
[view on eBay]
Used 1
in stock
$1,950.00
Description: AMAT 0010-14716 MANIFOLD ASSY, RR 4 PORT UPA REFLEXION, USED
banyanteam
[view on eBay]
Used 1
in stock
$34.00
Description: Lam Research Type 746-000218-001 Lam Exit And Entrance Arm Bearing (Pack Of 4)
visionsemi
[view on eBay]
NEW 12
in stock
$450.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 4 BELLOWS SEC EPI 300MM 0190-46598 NEW
visionsemi
[view on eBay]
NEW 3
in stock
$150.00
Description: APPLIED MATERIALS AMAT CABLE ASSY CAT5E COMM 10 BASE 25FT 0150-34717 LOT OF 4
visionsemi
[view on eBay]
NEW 3
in stock
$1,500.00
Description: APPLIED MATERIALS AMAT HEATER JACKET CONTR 4 ZONE EPI 300MM 0041-75024 NEW
ace_stellar_seller
[view on eBay]
NEW 1
in stock
$4,399.00
Description: LAM RESEARCH MOTHERBOARD 4 CHANNELS VIEP 810-040286-001 REV A
ace_stellar_seller
[view on eBay]
NEW 1
in stock
$1,299.00
Description: LAM RESEARCH VME BREAKOUT, 4 CHANNELS VIEW 810-040287-004 REVC
usedeqsales
[view on eBay]
Used 1
in stock
$404.21
Description: AMAT Applied Materials 0021-38692 DPS PLY TV FLAG Reseller Lot of 4 New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$504.21
Description: AMAT Applied Materials 0050-31614 Weldment MXP #4 PH II CMN MNFRM Lot of 5 New
usedeqsales
[view on eBay]
Used 1
in stock
$805.21
Description: AMAT Applied Materials 0021-04252 Outer Cup Reseller Lot of 4 Refurbished
banyanteam
[view on eBay]
Used 2
in stock
$100.00
Description: Applied Materials 0020-03462 Finger End Gripper (Pack Of 4)
banyanteam
[view on eBay]
Used 1
in stock
$35.00
Description: Applied Materials Type 0190-00049 Flex Cable 4 Conductor 26" Long
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 4 AMAT 0140-01218 Harness Assy RS232 Link Ower Wafer Loader, 101053
farmoninc
[view on eBay]
NEW 1
in stock
$360.00
Description: 4 AMAT 0200-09716 Left Pin, Heater, 101198
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: 4 AMAT 0015-20214 Pully Motor VOR IMP, 101410
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: 4 AMAT 0020-01350 Insulator, Bias, 101446
capitolareatech
[view on eBay]
NEW 1
in stock
$279.95
Description: Applied Materials (AMAT) 0020-03432 INSERT, PED, EXT, 4
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: AMAT 0720-00703 Conn Plug CA-End Circ 4 Pin Crimp Weather, 101651
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 AMAT 0020-14030 Coupling End Effector, 101740
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 4 AMAT 3200-01182 Cover, Map Dev. Front, 101758
farmoninc
[view on eBay]
NEW 1
in stock
$40.00
Description: 4 AMAT 0015-09328 Screw Mach BTN HD 4--40 X 1/2 HEX SKT SST NI Plated, 101790
farmoninc
[view on eBay]
Used 1
in stock
$60.00
Description: 4 AMAT 3700-01147 O-Ring, DI Resistivity Sensor, 101811
roundtable1
[view on eBay]
NEW 1
in stock
$189.00
Description: APPLIED MATERIALS (AMAT) 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
usedeqsales
[view on eBay]
Used 2
in stock
$658.21
Description: Lam Research 715-009777-002 4 Inch Electrode Cap Reseller Lot of 2 New Surplus
j316gallery
[view on eBay]
Used 5
in stock
$804.30
Description: 25875 ADVANCED ENERGY PCB PARAMOUNT MF USER 4 IF BOARD 23020164-A
j316gallery
[view on eBay]
Used 2
in stock
$803.20
Description: 9819 APPLIED MATERIALS HEATER (4 NOTCH), 0190-21797, 0040-22802 0020-25478
myriadindustrial
[view on eBay]
Used 1
in stock
$29.75
Description: Lot of 4 Applied Materials Hollow Hex Fitting Plugs 7/16-20 3300-02944 SS
myriadindustrial
[view on eBay]
Used 9
in stock
$27.99
Description: 4 ea AMAT Tube Fitting Male Connectors 5/32Tx 10 32UNF One Touch 3300-05678
myriadindustrial
[view on eBay]
NEW 1
in stock
$75.00
Description: Lot of 4 Applied Materials Ferrule Backs N2-06-ND AMAT 3300-04599
myriadindustrial
[view on eBay]
NEW 2
in stock
$99.00
Description: Lot of 4 Applied Materials Barb Hose Fitting 3/8H x 9/16 18SAE 37Deg 3300-02614
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$289.07
Description: 0150-21342 / CHAMBER 4 INTERCONNECT EMC COMPLIANTY (SEALED) / APPLIED MATERIALS
myriadindustrial
[view on eBay]
NEW 1
in stock
$84.75
Description: Lot of 4 Swagelok Pushlok 3/8T Tubing Fitting Adapter AMAT 3300-03169
usedeqsales
[view on eBay]
Used 1
in stock
$759.21
Description: AMAT Applied Materials 0200-09121 WxZ Ceramic Shield 4,5,6 BW OEM Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$1,009.21
Description: Novellus Systems 01-029102-25 200mm Shower Head Spacer Reseller Lot of 4 New
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102387
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102386
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Nupro 6LV-BNBW4, 102385
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Nupro 6LV-BNBW4, 102384
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$496.03
Description: 0051-02924 (LOT OF 4) / SST. PIPE TUBE 1 5-8 INCH OD 3-16 INCH THICK TUBE / AMAT
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102478
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102480
usedeqsales
[view on eBay]
Used 7
in stock
$321.45
Description: AMAT Applied Materials 0020-20390 Arm Frog Leg Reseller Lot of 4 Working Surplus
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, NUPRO 6LV-BNBW4, 102492
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102539
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, NUPRO 6LV-BNBW4, 102537
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102543
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, NUPRO 6LV-BNBW4, 102542
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, NUPRO 6LV-BNBW4, 102547
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102545
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102544
cresttec111
[view on eBay]
NEW 1
in stock
$93.00
Description: 0190-70044 AMAT Lot Of 4 NEW Valve SOL 1/16 With Orifice
usedeqsales
[view on eBay]
Used 1
in stock
$2,009.21
Description: AMAT Applied Materials 0010-13320 P5000 Cleanroom 4,5,6" Robot Body Surplus
capitolareatech
[view on eBay]
NEW 1
in stock
$349.95
Description: Applied Materials (AMAT) 0010-60009 SPARE 4 IN SUSCEPTOR (PLATE STOCK)
capitolareatech
[view on eBay]
Used 1
in stock
$239.95
Description: Applied Materials (AMAT) 0150-70132 CABLE ASSY HEATER AC POS 1 2 4 WIDE BODY
capitolareatech
[view on eBay]
Used 1
in stock
$1,399.95
Description: Applied Materials (AMAT) 0020-09897 PLATE, BLOCKER #4
capitolareatech
[view on eBay]
Used 5
in stock
$299.95
Description: Applied Materials (AMAT) 0950-01544 TEMP CNTRL DIGITAL 4 DIG 1/16 DIN SERIES
capitolareatech
[view on eBay]
NEW 1
in stock
$149.95
Description: APPLIED MATERIALS (AMAT) 0140-20286 HARNESS ASSY CHAMBER 1 AND 4 AC
katiil3
[view on eBay]
Used 3
in stock
$104.30
Description: Applied Materials AMAT 0140-01796 CABLE, 4 "B" SLURRY FLOW MONITORS TO SLU
katiil3
[view on eBay]
Used 1
in stock
$139.30
Description: Applied Materials AMAT 0021-83964 CLAMP, BBX DUAL SPRAY BAR, DESICA CLEANE Qty 4
katiil3
[view on eBay]
NEW 4
in stock
$199.00
Description: Applied Materials AMAT 0140-14336 HARNESS Assy CONTOUR UPA POWER REFLEXION Qty 4
katiil3
[view on eBay]
Used 1
in stock
$69.30
Description: Applied Materials AMAT 0620-07077 6P6C MODULAR CORD 1-1(REVERSED),25 FT Qty 4
allforsale555
[view on eBay]
Used 1
in stock
$249.00
Description: Applied Materials AMAT 0150-03027 CABLE ASSY, HEAD 4 UPA (4P), ANALOG I/O,
powersell007
[view on eBay]
Used 1
in stock
$11,999.00
Description: APPLIED MATERIALS 0195-05598 EMAG 4 CHANNEL POWER SYSTEM AMAT MAG05-25041-007
amat-sparescom
[view on eBay]
NEW 1
in stock
$150.00
Description: Applied Materials 3300-02279 FTG TBG ELBOW 1/8T X 1/8MNPT  AMAT (LOT OF 4)
j316gallery
[view on eBay]
Used 2
in stock
$903.58
Description: 27436 ADVANCED ENERGY PCB, NAVIGATOR HEX-MOTOR CTRL 4 23020432-B
bntyhunter07
[view on eBay]
Used 1
in stock
$1,430.21
Description: Veriflow diaphragm 4 valve manifold w/weldment AMAT 0050-43914 Rev 001
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 346-0303// AMAT APPLIED 0720-03092 CONNRCPT PNL MTG HEX-COAX SQ-TYP 50OHM 4 NEW
j316gallery
[view on eBay]
Used 1
in stock
$3,592.27
Description: 26997 NOVELLUS 4 AXIS LOADER CONTROLLER, TA2018 02-126855-00
maxisemi1349
[view on eBay]
Used 5
in stock
$500.00
Description: 15-113064-00 NOZZLE,INJECTOR,SPEED/SPEED-S, lot of 4
katiil3
[view on eBay]
Used 1
in stock
$104.30
Description: Applied Materials AMAT 0140-14336 HARNESS Assy CONTOUR UPA POWER REFLEXION Qty 4
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 103459
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 103465
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-09911 MXP Sputter, Etch Carrier, Wafer lift with 4 Pin, 103474
maxisemi1349
[view on eBay]
Used 5
in stock
$300.00
Description: 15-340242-00 SPRING, SNUBBER, APC,200mm, lot of 4
chgv47
[view on eBay]
NEW 22
in stock
$29.99
Description: 4) APPLIED MATERIALS 3690-04374 SILVER PLATED SCRCAP SKT HD 1/4-20X3-3/4L SST
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 000-0000// AMAT APPLIED 0242-13107 (#4) HEAT EXCHANGER FOR CVD CHAMBERS [ASIS]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$880.17
Description: 0020-10727 / COVER TOP 4 IN 5IN 6IN TEOS / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$502.22
Description: AMAT Applied Materials 0200-10263 Ceramic MXP+ Cathode Washer Lot of 4 P5000 New
katiil3
[view on eBay]
Used 1
in stock
$209.30
Description: AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Lot 4
j316gallery
[view on eBay]
Used 1
in stock
$7,358.80
Description: 28072 APPLIED MATERIALS CTLR VANTAGE FLEX 4, CPCI, CP3423-S000512 0090-02781
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 352-0502// AMAT APPLIED 0090-20043 (#3) ASSY, 4 WAY-SINGLE VALVE [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 352-0502// AMAT APPLIED 0090-20043 (#2) ASSY, 4 WAY-SINGLE VALVE [NEW]
cosplity
[view on eBay]
Used 2
in stock
$2,500.00
Description: AMAT 0021-12412 BASE, INNER, 4 ROLLER ASSEMBLY, 200 MM
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 4 AMAT 3700-01338 O-ring, ID .984 x .139 W, 105212
cosplity
[view on eBay]
Used 4
in stock
$7,800.00
Description: AMAT 0240-30249 KIT, 4 PORT UPA REFLEXION 300MM CMP
techequipsales
[view on eBay]
Used 1
in stock
$1,000.00
Description: Advanced Energy 2099-008-02 2169-005-01 2169-005-01 MDX Power Supply, lot of 4
rolx1234
[view on eBay]
NEW 1
in stock
$150.00
Description: Lam Research 839-240559-001 Assy, Tool , Align, 4 pin lifter
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-09911 MXP Sputter, Etch Carrier, Wafer lift with 4 Pin, 105425
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0140-09197 4 Pin J4 - J3 Cable, Rev. F, 105507
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$7,510.26
Description: 0010-54318 /ASSY 4 ZONE V2 FIXED F CENTURA CENTRIS DPS2 / APPLIED MATERIAL
vizvik16
[view on eBay]
NEW 1
in stock
$140.00
Description: Applied Materials 3700-02454 ORING ID 14.975 CSD .210 VITON 75 DURO LOT OF 4
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 106094
katiil3
[view on eBay]
Used 1
in stock
$499.00
Description: APPLIED MATERIALS POSTS, ADO ALIGNMENT 0020-45474 Lot of 4
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 NEW AMAT APPLIED 0020-09807 EDGE PLATE FIXED PANEL, 106686
farmoninc
[view on eBay]
NEW 1
in stock
$80.00
Description: 4 NEW AMAT 0020-40160 BRACKET BOTTOM, 106756
farmoninc
[view on eBay]
Used 10
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 106796
farmoninc
[view on eBay]
Used 10
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 106786
farmoninc
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT 0010-03320 WATER RETURN HOSE CH 1,4,C & D, 106936
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 107119
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 107118
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 107117
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 107116
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$474.03
Description: 0200-09224 / ADAPTER RING GIANT GAP NITRIDE 4,5, & 6" / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 2
in stock
$403.19
Description: AMAT Applied Materials 0021-08970 Nickel Lock Ring Reseller Lot of 4 Refurbished
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 4 AMAT 3700-02753 O-RING 2-258-UHP, 2-0884, 107981
usedeqsales
[view on eBay]
Used 1
in stock
$2,007.22
Description: AMAT Applied Materials 0020-24171 8" Clamp Ring Endura 5500 Lot of 4 Refurbished
visionsemi
[view on eBay]
Used 2
in stock
$1,700.00
Description: APPLIED MATERIALS 0190-35216 REV A PROCESS CHAMBER INTERFACE BD 0190-35054 REV 4
automotiveapple
[view on eBay]
Used 1
in stock
$4,761.90
Description: As-Is NOVELLUS SESIOC 4 WTS HV 4 02-273469-00
farmoninc
[view on eBay]
NEW 1
in stock
$20.00
Description: 4 AMAT 3690-01092 10-32 X 3/8L HEX SKT SST, 109021
farmoninc
[view on eBay]
NEW 1
in stock
$5.00
Description: 4 AMAT 3880-01025 Washer LKG SPLIT # 10 .334OD x .200ID x .047THK SST, 109023
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0140-09197 Cable With Mesh 15 ft. 4 Pin. J4 - J3, 108302
toro.industrial.surplus
[view on eBay]
NEW 1
in stock
$30.00
Description: Applied Materials 3060-01088 AMAT Flanged Ball Bearing (Pack Of 4)
dgold32
[view on eBay]
Used 1
in stock
$199.99
Description: NOVELLUS 61-358683-00 FE-HD 1 MACH 4 HNDLR G6 Controller
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108460
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108459
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108458
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108457
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108456
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108503
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108502
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108501
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108505
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108504
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108554
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108553
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$243.52
Description: 0050-54417 / WELDMENT MANIFOLD 1.4 PITCH 4 POS K1S / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$185.74
Description: 0660-01512 / CARD APC ANALOG OUTPUT 4 CHANNEL 5V / APPLIED MATERIALS AMAT
lwltech
[view on eBay]
Used 1
in stock
$120.00
Description: Lam Research 490 / 590 ETCHER POWER DRIVER MOTOR PCB 810-000474-001, lot of 4
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$327.00
Description: 0022-77002 / COVER, 4 SLURRY DISPENSE ARM, MIRRA CMP / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$9,526.26
Description: 0010-54317/ ASSY 0041-31311 4 ZONE V2 FIXED FULL SYM CENTURA CENTRIS DPS2S/ AMAT
eisale1535
[view on eBay]
Used 1
in stock
$2,529.00
Description: 01x AMAT 0190-34282 Rev 4 PCB MKS CDN491R AS01491-04
cubit001
[view on eBay]
Used 2
in stock
$500.00
Description: Amat 0200-09224 Adapter Ring Giant Gap Nitride 4,5 APPLIED MATERIALS
industry-outlet
[view on eBay]
Used 1
in stock
$69.99
Description: 4 AMAT Applied Materials - 0050-75071 - Flanged Adapter - Swagelok 1/4" VCR
myriadindustrial
[view on eBay]
Used 1
in stock
$99.99
Description: Lot of 50 each AMAT Applied Materials 0910-01018 Littelfuse 251004 4 AMP 125V VF
usedeqsales
[view on eBay]
Used 1
in stock
$11,009.20
Description: Kollmorgen MAG05-25041-007 EMAG 4 Chan Power System AMAT 0195-05598 Cu Working
farmoninc
[view on eBay]
Used 1
in stock
$12,500.00
Description: AMAT 0010-09781 Teos Ampule VER 4, U0050-09821FM-9150, 6LV-BNBW4-P-C, 109902
maxisemi1349
[view on eBay]
Used 1
in stock
$28.00
Description: 21-128808-00 BEARING,NEEDLE, lot of 4
liquiditech
[view on eBay]
Used 3
in stock
$269.10
Description: AMAT Applied Materials 0040-06361 ISM SWLL 300mm Bellows Assembly #4
maxisemi1349
[view on eBay]
Used 1
in stock
$2,800.00
Description: 17-458650-00 CONTACT,200MM,.95TP,N5, lot of 4
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-09996 SHIM RING, 4, OXIDE, 110513
farmoninc
[view on eBay]
Used 1
in stock
$1,650.00
Description: AMAT 0020-03691 CLAMP RING, 4, DF, 110800
farmoninc
[view on eBay]
Used 1
in stock
$1,650.00
Description: AMAT 0020-03691 CLAMP RING, 4, DF, 110916
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0150-03463 CABLE ASSY, FIC TO OPTO PC, PDO 3 & 4, 3, 111039
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-09996 SHIM RING, 4, OXIDE, 111086
j316gallery
[view on eBay]
Used 3
in stock
$3,999.00
Description: 30415 APPLIED MATERIALS CHAMBER 4,5,6 CVD REPCS 0040-09286
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 4 NEW AMAT 0021-35802 BRACKET,MAINFRAME, NON-HTD GAS LN, 111927
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0050-09419 WELDMENT, MANIFOLD, SINGLE VALVE, 4 LINE, 111930
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,330.56
Description: 0240-28838 / KIT, NK 4 ROLLER PLUNGER 85-3708-0001-02 / APPLIED MATERIALS AMAT
eisale1535
[view on eBay]
Used 1
in stock
$3,645.00
Description: MALEMA FLOW CONTROLLER MFC-8000-T2104-052-P-001 Rev 4 AMAT 0190-14383 Rev 001
expertsurplus
[view on eBay]
Used 4
in stock
$120.00
Description: Stainless Steel Vacuum 90° Elbow NW50, 4" x 4", AMAT 0050-31592, Lot of 4
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-00952 ASSY, ELEC STD, W/C MCA + ENDURA 1 & 4, 112378
gteprimo
[view on eBay]
Used 1
in stock
$594.02
Description: Applied Materials PWB 12-406152-03 REV 4 FAB 0110-00280 E2 0190-01381 Inkl. MwSt
gteprimo
[view on eBay]
Used 1
in stock
$594.02
Description: Applied Materials PWB 12-406152-03 REV 4 FAB 0110-00280 E2 0190-01381 Inkl. MwSt
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: 4 AMAT 0270-03674 WAFER CAL TOOL 2.5MM THK, FEOL MCVD 300MM, 112661
j316gallery
[view on eBay]
Used 2
in stock
$528.19
Description: 25822 LAM RESEARCH 4 WAY VALVE ASSY W/ 3X DIAPHRAGM VALVE 839-034095-001
j316gallery
[view on eBay]
Used 1
in stock
$815.41
Description: 27813 ADVANCED ENERGY PCB, PARAMOUNT MF USER 4 IF BD 23020164-A
j316gallery
[view on eBay]
Used 2
in stock
$914.69
Description: 27814 ADVANCED ENERGY PCB, PARAMOUNT MF USER 4 IF BD 23020164-A
j316gallery
[view on eBay]
Used 6
in stock
$63.28
Description: 1393 APPLIED MATERIALS ASSY 4 WAY DOUBLE FOR SLIT 24V DC NVZ3243-5LOZ 0090-70015
gophersales
[view on eBay]
NEW 1
in stock
$660.00
Description: AMAT Parker 3320-01024, 4 GVGR-N 1/4" NI VCR Gasket w/ Contoured PTFE Retainer
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 351-0302 AMAT APPLIED 0190-15211 BRG, 4 PT, 4.875 OD X 4.25 ID X .3125W [ASIS]
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 New AMAT 3420-01067 INSULATOR BKHD FEEDTHROUGH 1/4VCR SILICONE R, 113363
albsemicon
[view on eBay]
NEW 1
in stock
$999.99
Description: NOVELLUS 15-118380-00 REV.A RING, RETAINING, 4 PIECE, THIN, NEW IN BAG
xenop
[view on eBay]
Used 1
in stock
$597.00
Description: Advanced Energy 2278-000-C Pulsing Arc Power Supply with 4 Month Warranty
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,475.56
Description: 0010-09256 / GAS BOX 4,5,6 TEOS / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0226-31128 Rev D ASSY VERSION 4 SIGNAL LAMP PCB AMAT, 113986
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0240-27028 KIT, CONVENIENCE OUTLET COVER, POS 4, EN, 114078
farmoninc
[view on eBay]
Used 1
in stock
$120.00
Description: AMAT 0660-01514 CARD APC ANALOG INPUT 4 CHANNEL 5V, 114443
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0660-01512 CARD APC ANALOG OUTPUT 4 CHANNEL 5V, 114449
j316gallery
[view on eBay]
Used 4
in stock
$262.50
Description: 32017 PRECISION SNSRS ABSOLUTE PR SWITCH, 4 TORR, AMAT 0090-03151 E48W-H89
katiil3
[view on eBay]
Used 1
in stock
$83.30
Description: Lam Research 22-418752-00 O-Ring Lot of 4
farmoninc
[view on eBay]
Used 1
in stock
$1,650.00
Description: AMAT 0020-03691 CLAMP RING, 4, DF, 114763
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,900.82
Description: 0200-40002 (LOT OF 4) /COVER, NUT QTZ HDP /APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 4 AMAT 0020-00208 Shaft, Trunnion Robot DRIVE, 114884
metkorea
[view on eBay]
Used 1
in stock
$192.24
Description: Lam Research Pcb Kvm 6Ports 4 Users 810-104580-004 Jabm12310471 810104580004 Jab
farmoninc
[view on eBay]
Used 1
in stock
$1,650.00
Description: AMAT 0020-03691 CLAMP RING, 4, DF, 114935
hjtec53040044
[view on eBay]
Used 1
in stock
$6,000.00
Description: CPLG UNION ROTARY 4-PORT/Rotary Unit 4 Zone 0760-01037 Mirra AMAT Used
trancomputers
[view on eBay]
Used 1
in stock
$19.99
Description: AMAT APPLIED MATERIALS 3300-02229 FTG HOSE BARB 1/2H X 3/4-16SAE 37DEG F 4 pcs
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 3410-00800 QYT 4, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 3700-01219 O-RING FOR TIFFANY QTY 4, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 3690-01210 SCREW, SKT HD 5/16-18X1 LOT OF 4, NEW
myriadindustrial
[view on eBay]
NEW 1
in stock
$95.69
Description: Lot of 4 each Applied Materials AMAT 3500-00142 Lock Nuts
myriadindustrial
[view on eBay]
NEW 1
in stock
$64.00
Description: Applied Materials AMAT 0150-76647 Pump Cable Wire Jumper 4 Pin Conn EMD
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 3410-00801 QYT 4, NEW
j316gallery
[view on eBay]
Used 1
in stock
$8,999.00
Description: 32481 APPLIED MATERIALS EMAG 4 CHANNEL POWER SYSTEM 0195-03305
j316gallery
[view on eBay]
Used 1
in stock
$8,999.00
Description: 32479 APPLIED MATERIALS EMAG 4 CHANNEL POWER SYSTEM 0195-05598
j316gallery
[view on eBay]
Used 1
in stock
$8,999.00
Description: 32480 APPLIED MATERIALS EMAG 4 CHANNEL POWER SYSTEM 0195-03305
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3860-00156 WASHR LOCK SPLIT LOT OF 4, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3690-01251 SCR MACH BTN HD 10-32×1-5/8L HEX SKT STL BLK-OXD LOT OF 4, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,200.00
Description: AMAT 0010-14702 MANIFOLD ASSY ZONE-2, 4 PORT UPA 300MM REFLEXION, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 3690-06173 SCR CAP SKT HD 10-32×1/4L HEX SKT BRS LOT OF 4, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 AMAT 0020-76473 BRACKET GEN RACK FLOOR MOUNT, 104573
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 AMAT 0020-76473 BRACKET GEN RACK FLOOR MOUNT, 104655
scs6688
[view on eBay]
NEW 1
in stock
$410.00
Description: LAM RESEARCH 515-133337-005 TOOL, GA, PRESS, DIFF, 4, 0-5 IN H20, W/ HOSE,NEW
dch2023
[view on eBay]
NEW 1
in stock
$425.00
Description: LAM RESEARCH 515-133337-005 TOOL, GA, PRESS, DIFF, 4, 0-5 IN H20, W/ HOSE
j316gallery
[view on eBay]
Used 1
in stock
$3,150.00
Description: 32521 NOVELLUS SESIOC ANNEAL 4 02-168111-00
j316gallery
[view on eBay]
Used 2
in stock
$4,200.00
Description: 32527 NOVELLUS SESIOC 4 IXT WTS HV, WTS-HV SIOC 3&4 02-266875-00
dch2023
[view on eBay]
NEW 1
in stock
$455.00
Description: LAM RESEARCH 515-133337-001 TOOL,GA,PRESS,DIFF,4,0-1 INH2O,W/HOSE
scs6688
[view on eBay]
NEW 1
in stock
$440.00
Description: LAM RESEARCH 515-133337-001 TOOL,GA,PRESS,DIFF,4,0-1 INH2O,W/HOSE,NEW
liquiditech
[view on eBay]
Used 1
in stock
$89.10
Description: Lot of 4 Applied Materials AMAT 0150-20578 CBL Ebara Jumper Plug, A-Series
farmoninc
[view on eBay]
Used 1
in stock
$975.00
Description: AMAT 0020-25289 Clamp Ring 5", SMF AL/TI 4, 5500, 115390
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$631.68
Description: 0242-44072 / KIT, 300MM PCII INNER OUTER SHIELD ASSY 4 RING NUT 002-19343 /AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$362.16
Description: CTI-Cryogenics 8039345 Cryopump Helium CryoLine Lot of 4 MRC Eclipse Working
farmoninc
[view on eBay]
Used 1
in stock
$260.00
Description: AMAT 0100-09040 SSR AC Control Board + 4 Potter & Brumfield OAC-24, 115786
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 4 New AMAT 3420-01067 INSULATOR BKHD FEEDTHROUGH 1/4VCR SILICONE R, 117186
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 4 AMAT 0021-35892 Supporter, 117668
farmoninc
[view on eBay]
Used 1
in stock
$1,650.00
Description: AMAT PUMPING PLATE 4,5,6"SILANE/NITRIDE, 0020-10752, 117829
alvin1462
[view on eBay]
Used 3
in stock
$3,000.00
Description: Applied Materials AMAT 0010-47862 , SMC INOI-7134-33 , 4 Port DNET UPA MODULE
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-09996 SHIM RING, 4, OXIDE, 118005
jtechsemi
[view on eBay]
NEW 1
in stock
$3,800.00
Description: Applied Materials 0010-20769 ASSY V ELECTROD W O IEP DTCU .4 SPAC AMAT
alvin1462
[view on eBay]
Used 3
in stock
$3,333.00
Description: Applied Materials AMAT 0190-75531 , SMC , 4 Port DNET UPA MODULE
farmoninc
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0020-03691 CLAMP RING, 4, DF, 118446
farmoninc
[view on eBay]
Used 1
in stock
$6,500.00
Description: AMAT 0100-20039 RF GENERATOR BACKPLANE, 4 AMAT 0100-20012 ISOLATION, 118511
farmoninc
[view on eBay]
NEW 1
in stock
$80.00
Description: LOTS OF 4 AMAT 0020-10985 HYBRID SEAL INSTALLATION CLIP, 118563
allpart2021
[view on eBay]
Used 1
in stock
$1,350.00
Description: ✅ NOVELLUS PCB, ILDS, INTLK, 4 HTR, NS CONS, C3VCTR 03-401081-00 / 76-401081-00
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0020-09996 SHIM RING, 4, OXIDE, ISOLATION VALVE, 119117
farmoninc
[view on eBay]
NEW 1
in stock
$375.00
Description: Lot of 4 AMAT 0150-09590 EMO #2 REMOTE CABLE ASSEMBLY DELTA A, 119865
katiil3
[view on eBay]
Used 1
in stock
$799.00
Description: APPLIED MATERIALS AMAT 0190-34212 /AERA PI-98 MFC, GAS N2, 100SCCM Lot of 4
katiil3
[view on eBay]
Used 1
in stock
$279.30
Description: Aera PI-98 Mass Flow Controller AMAT 0190-34213 MFC MGMR 300SCCM Lot of 4
farmoninc
[view on eBay]
Used 2
in stock
$220.00
Description: LOT OF 4 AMAT 0100-00063 PCB ASSEMBLY OPTO LIMIT DETECTOR "B", MS0059, 120365
usedeqsales
[view on eBay]
Used 1
in stock
$806.23
Description: CTI-Cryogenics 8112463G050 Cryo Pump Power Cable 5 Foot Reseller Lot 4 Working
farmoninc
[view on eBay]
NEW 1
in stock
$1,995.00
Description: LOT OF 4 AMAT 0021-77289 SHAFT, STOPPER ASSY, SCRUBBER , 80-Z15E-3-3, 120078
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$900.82
Description: 0041-47915 / UPPER AC DIST FULL SYMETRICAL 4 ZONE / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 4 AMAT 0150-20038 CABLE ASSY, REMOTE AI/O CONTROLLER INTERFACE, 121367
jtechsemi
[view on eBay]
NEW 1
in stock
$500.00
Description: Applied Materials 0190-34512 PCB 4 CHANNEL DEVICENET SCANNER REV 06 AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: LOT OF 4 AMAT 0021-21307 BUSHING COIL SUPPORT, ELECTRA IMP-CU, 121794

This tag has been viewed 11 times

Most recent views:

Taiwan (Province of China) Friday, Oct/18/2024 at 1:27 am CST
Malaysia Friday, Oct/18/2024 at 1:24 am CST
United States of America Friday, Oct/18/2024 at 1:22 am CST
United States of America Friday, Oct/18/2024 at 1:22 am CST
China Friday, Oct/18/2024 at 1:20 am CST
United States of America Friday, Oct/18/2024 at 1:19 am CST
Singapore Friday, Oct/18/2024 at 1:19 am CST
China Friday, Oct/18/2024 at 1:17 am CST
Taiwan (Province of China) Friday, Oct/18/2024 at 1:16 am CST
United States of America Friday, Oct/18/2024 at 1:16 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
hitech.man NEW - $379.00 2 Aug/26/12 Sep/19/12
Description: Clamp ring Vespel 4 inch, Applied Materials, AMAT-P5000, P/N 0020-03673
bobsgoodies NEW - $1.19 0 Nov/13/12 Mar/07/13
Description: Parker 4 HTX-S, 1/4" Tube Union, JIC, 37 deg flare, Plated Steel AMAT 3300-05190
bobsgoodies Used - $800.00 0 Nov/13/12 Dec/13/12
Description: AMAT Return Valve/Turck 0190-01186, 6A-PR6-EPRT-SS-2770 Lot or 4 0010-05216
athomemarket Refurbished - $409.99 0 Oct/19/12 Nov/18/12
Description: LAM Research 810-15987-101 TCP Autotune Board Rev. 4 PCB Bd
jc4569 NEW - $224.99 1 Oct/08/12 Jan/18/13
Description: Lot of 4 Fairchild Pressure Transducer TT7800-404 0-30 PSIG Output
bobsgoodies NEW - $4.50 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
athomemarket NEW - $73.99 6 Dec/16/12 Dec/23/12
Description: 4 NEW Fujikin 1/4" VCR Diaphragm Valves Assembly AMAT 0050-81178 N.C. Stainless
athomemarket NEW - $314.99 1 Nov/26/12 Dec/17/12
Description: NEW Applied Materials/AMAT 0021-22028 8"/200mm 101 Pedestal Ch. 4
capitolareatech Used - $1,500.00 1 Aug/24/12 Dec/17/12
Description: APPLIED MATERIALS 0190-17416 CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD
grt_bargains_4-u NEW - $500.00 0 Dec/18/12 Dec/21/12
Description: HAKKO FR-1012B PRE HEATER INFRA RED BENCH TOP PREHEATER 1 TO 4 ZONE SETTINGS NEW
capitolareatech NEW - $150.00 1 Oct/22/12 Aug/02/13
Description: LAM RESEARCH 684-090808-004 CABLE VAT VALVE (4 METERS)
smartelektronikgmbh NEW - $264.00 0 Dec/21/12 Jun/03/16
Description: AMAT 0150-10244 // CABLE ASSY MFC TO L11FB (4 pieces)
tecom1 Used - $1,499.99 1 Dec/14/12 Dec/21/12
Description: Streamline SHC-4A2 HEPA Filtered Horizontal Laminar Flow Hood Cabinet 4 Feet
supertechshop Used - $95.00 1 Dec/29/12 Jan/17/13
Description: Lot 4 AMAT 0270-90175 / 0100-90630 DAQ Board PWBA Test Adapter Extender Schroff
athomemarket NEW - $103.49 0 Dec/29/12 Jan/28/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
capitolareatech NEW - $1.00 0 Jan/04/13 Sep/01/13
Description: AMAT 3880-01022 WASHER,LKG SPLIT #4 .025
nazservices NEW - $1,000.00 1 Jul/19/12 Jan/07/13
Description: 4 New YASKAWA JUSP-OP02A-1 DIGITAL OPERATOR WITH CABLE, SERVOPACK 1600-100409
outback6 Used - $105.50 1 Jan/02/13 Jan/09/13
Description: C95400 Lot 4 Low Pressure Relief Valve G004 w/ NW40 KF40 Vacuum Flanges
bobsgoodies NEW - $385.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-36020 Water Flow Switch1/2" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $15.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 3320-02242 Gasket 1.33 CFF OFHC Copper (Pack of 4)
bobsgoodies NEW - $30.00 0 Jan/11/13 Feb/10/13
Description: AMAT 3700-01947 "O"Ring Gland Viton .250ID Square C/S, 4 QO-VI (Box of 20)
bobsgoodies NEW - $4.80 0 Jan/11/13 Feb/10/13
Description: AMAT 3690-01956 SCR CAP SKT HD 8-54 X 7/8"L SST Silver-PLT (Pack of 4)
bobsgoodies NEW - $9.20 0 Jan/11/13 Feb/10/13
Description: AMAT 3690-02239 SCR CAP SKT HD 1/4-20 X 1"L SST Silver-Plated (Pack of 4)
bobsgoodies NEW - $4.50 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
bobsgoodies Used - $800.00 1 Jan/14/13 Jan/25/13
Description: AMAT Return Valve/Turck 0190-01186, 6A-PR6-EPRT-SS-2770 Lot or 4 0010-05216
equipment_recycling NEW - $100.00 0 Jan/17/13 Jan/24/13
Description: AMAT 0100-20040 Remote Supply Distribution PCB (lot of 4)
usedeqsales Used - $104.56 1 Sep/05/12 Jan/19/13
Description: Mitsubishi Contactor SD-N50 Lot of 4 Used Working
adhesivenetworks Used - $25.00 0 Jan/19/13 Feb/18/13
Description: Mott GasShield POU-05-SV1 (Lot of 4)
capitolareatech NEW - $17.72 0 Sep/29/11 Sep/18/13
Description: SVG 106464-01 MANIFOLD, 4 TAP
hdsemispares NEW - $5.00 1 Jan/24/13 Jan/31/13
Description: FUJIKIN VALVE PNEU DIAPH N/C 3PORT C-SEAL .45~.6MPa N.C. AMAT 0190-24355 (QTY 4)
athomemarket NEW - $114.99 0 Jan/28/13 Feb/27/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
surpluseq* Used - $75.00 0 Jan/30/13 Sep/27/13
Description: H-Square AFEZ-4AC 4 Inch 100mm Automatic Wafer Aligner
liquisinc NEW - $49.99 0 Jan/31/13 Feb/07/13
Description: Lot of 4 OMEGA PSW-536 PRESSURE SWITCH Pressure Transmitter
electro-glas Used - $250.00 3 Jan/31/13 Mar/01/13
Description: LOT of 4 ELECTROGLAS Theta Z Joystick FunctionAssy 251732-002 And 003 Boards
electro-glas Used - $350.00 2 Jan/30/13 Mar/15/13
Description: LOT of 4 ELECTROGLAS Cpu 020 Assy 251411-003 Rev R R P P Boards
capitolareatech NEW - $85.00 6 Jan/29/13 Jan/30/13
Description: AIR LIQUIDE 304040-001 KIT,REBUILD,DP-20; YAMADA K20-FSI KIT: (4) 643007 O-RING,
capitolareatech NEW - $7.67 1 May/09/11 Jan/30/13
Description: HBC CONTROLS HBC-50HDA-Z MODULE, DIGITAL I/O 4-32VDC 4
cybernetyk NEW - $39.95 0 Jan/31/13 Feb/07/13
Description: NEW AB 700-HF32Z24-1-4 RELAY, 24VDC 2PDT W/LED + 700-HN116 Base *4 PCS*
esolutions1 NEW - $584.10 0 Feb/01/13 Dec/21/14
Description: Applied Materials 1270-00915 SW DIGITAL FLOW WATER .4- 4 L/MIN NPN AMAT
bobsgoodies NEW - $400.00 1 Jan/25/13 Jan/31/13
Description: AMAT #0020-79250 Mount Plate with 4 Pneumatic Fluoroware Valves 202-68-01
usedeqsales Used - $204.12 1 Apr/09/12 Jan/31/13
Description: Carlo Gavazzi Contactor RJ1P23V50E Lot of 4 Used Working
usedeqsales Used - $303.10 7 Mar/25/10 Jan/31/13
Description: Turck Schottky Power Tap SPTC2 Lot of 4 New 1110-00028
equipment_recycling NEW - $120.00 1 Feb/08/13 Feb/14/13
Description: AMAT 0100-20040 Remote Supply Distribution PCB (lot of 4)
esolutions1 NEW - $44.99 0 Feb/09/13 Dec/21/14
Description: LOT 4 AMAT 0190-19374 HALOGEN lAMP 2kw FOR EPI CENTURA
bobsgoodies NEW - $4.80 0 Feb/11/13 Mar/13/13
Description: AMAT 3690-01956 SCR CAP SKT HD 8-54 X 7/8"L SST Silver-PLT (Pack of 4)
bobsgoodies NEW - $385.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-36020 Water Flow Switch1/2" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $12.00 0 Feb/11/13 Mar/13/13
Description: AMAT 3690-02131 SCR CAP SKT HD 8-32 X 1/2"L Vent SST Silver-Plated (Pack of 4)
bobsgoodies NEW - $30.00 0 Feb/11/13 Mar/13/13
Description: AMAT 3700-01947 "O"Ring Gland Viton .250ID Square C/S, 4 QO-VI (Box of 20)
bobsgoodies NEW - $4.50 0 Feb/15/13 Mar/17/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
farmoninc NEW - $400.00 0 Feb/19/13 Sep/17/13
Description: 4 VAT 253941 Kit, Bellows feedthrough Novellus 63-336567-00
smtechkoreacom Used - $15,000.00 0 Feb/19/13 Sep/18/13
Description: Applied Materials 0010-89010 R3,4 STRETCH ROTATION ASSY AMAT
sellyoursurplus Used - $350.00 0 Feb/26/13 Mar/05/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $89.00 0 Feb/26/13 Mar/05/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
athomemarket NEW - $114.99 0 Feb/28/13 Mar/30/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
bobsgoodies NEW - $0.99 0 Mar/07/13 Jul/09/13
Description: Parker 4 HTX-S, 1/4" Tube Union, JIC, 37 deg flare, Plated Steel AMAT 3300-05190
bobsgoodies NEW - $12.00 0 Mar/13/13 Apr/12/13
Description: AMAT 3690-02131 SCR CAP SKT HD 8-32 X 1/2"L Vent SST Silver-Plated (Pack of 4)
bobsgoodies NEW - $385.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0190-36020 Water Flow Switch1/2" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $99.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0190-09016 24VDC Valve (Lot of 4)
bobsgoodies NEW - $30.00 0 Mar/13/13 Apr/12/13
Description: AMAT 3700-01947 "O"Ring Gland Viton .250ID Square C/S, 4 QO-VI (Box of 20)
bobsgoodies NEW - $9.20 0 Mar/13/13 Apr/12/13
Description: AMAT 3690-02239 SCR CAP SKT HD 1/4-20 X 1"L SST Silver-Plated (Pack of 4)
sellyoursurplus Used - $350.00 0 Mar/17/13 Mar/24/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $89.00 0 Mar/17/13 Mar/24/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $285.00 0 Mar/17/13 Mar/24/13
Description: Lot of 4 Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Ma
sellyoursurplus NEW - $99.00 0 Mar/17/13 Mar/24/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
bobsgoodies NEW - $4.50 0 Mar/18/13 Apr/17/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
capitolareatech NEW - $325.00 0 Mar/19/13 Sep/15/13
Description: Applied Materials (AMAT) 0190-01816 HEATER, DOOR LL A/B NARROW BODY 4 CH
capitolareatech Scrap, for parts - $125.00 1 Mar/19/13 Mar/20/13
Description: LAM 853-800722-003 LAM ASSY, MNFD, 4 STATION - For parts only
capitolareatech NEW - $28.13 0 Mar/20/13 Sep/11/14
Description: APPLIED MATERIALS (AMAT) 0050-31614 CH A MXP,PROCESS #4 PH II CMN MNFRM
capitolareatech NEW - $225.00 0 Mar/20/13 Sep/16/13
Description: NOVELLUS 15-118380-00 RING,RETAINING,4 PIECE,THIN
usedeqsales NEW - $317.19 1 Mar/28/13 Oct/12/13
Description: Swagelok SS-FJ8RF8RF8-36 Hose Assembly Flex Braid AMAT 3400-00566 Lot of 4 New
athomemarket NEW - $114.99 0 Mar/30/13 Apr/29/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
usedeqsales Used - $404.13 0 Apr/01/13 May/05/15
Description: MKS Instruments AS00124-04 MicroNode I/O 409-019 AMAT 0190-25870 lot of 4 used
usedeqsales Used - $654.13 1 Apr/04/13 Sep/06/13
Description: MDC 996035 Manual Operated Angle Valve AMAT 3870-01352 Lot of 4 Used Working
sellyoursurplus NEW - $89.00 0 Apr/07/13 Apr/14/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $350.00 0 Apr/07/13 Apr/14/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $99.00 0 Apr/07/13 Apr/14/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
sellyoursurplus NEW - $285.00 0 Apr/07/13 Apr/14/13
Description: Lot of 4 Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Ma
athomemarket NEW - $112.99 0 Apr/15/13 Apr/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
sellyoursurplus NEW - $99.00 0 Apr/16/13 May/16/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
sellyoursurplus NEW - $89.00 0 Apr/16/13 May/16/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $350.00 0 Apr/16/13 May/16/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
farmoninc NEW - $300.00 1 Apr/17/13 May/17/13
Description: 4 NEW Hamamatsu R7400U, AMAT 1120-00192 photomultiplier tubes, 16mm dia. 850nm
bobsgoodies NEW - $4.50 0 Apr/17/13 May/17/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
sellyoursurplus NEW - $285.00 0 Apr/17/13 May/17/13
Description: Lot of 4 Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Ma
bobsgoodies NEW - $60.00 0 Apr/23/13 May/17/13
Description: APPLIED MATERIALS 3060-01641 BRG BALL .25 ID X .50 OD X.19 W SST 440C (Lot of 4)
athomemarket NEW - $112.99 0 Apr/23/13 May/23/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
sellyoursurplus Used - $350.00 0 Apr/24/13 May/01/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $89.00 0 Apr/24/13 May/01/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $99.00 0 Apr/25/13 May/02/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
sellyoursurplus NEW - $285.00 0 Apr/25/13 May/02/13
Description: Lot of 4 Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Ma
farmoninc Used - $2,500.00 1 Apr/29/13 Feb/12/14
Description: 4 AMAT 0200-34695 Cathode liners, anodized
athomemarket NEW - $114.99 0 Apr/30/13 May/30/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
zuse81 Used - $1,200.00 0 May/01/13 Jun/30/13
Description: SEKIDENKO 2000 APPLIED MATERIALS 0190-03007 WAFER TEMPERATURE MONITOR 4 CHANNEL
oka1298 NEW - $4.99 0 May/01/13 Aug/29/13
Description: APPLIED MATERIALS 3880-01330 WSHR STANDARD 3/8" ID X 1.0 OD SST ( LOT OF 4)
bobsgoodies NEW - $39.00 0 May/04/13 May/17/13
Description: AMAT 0050-89057 1" Tubing with 90 Deg bend 4 1/4" X 10" AN Flare, Female W/Nuts
bobsgoodies NEW - $177.00 0 May/10/13 May/16/13
Description: Applied Materials 0150-36020 Water Flow Switch3/8" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $137.00 0 May/16/13 Jun/15/13
Description: Applied Materials 0190-35437 Water Flow Switch 3/8" Hose Proteus9108B24P .4 GPM
bobsgoodies NEW - $137.00 0 May/16/13 Jun/15/13
Description: Applied Materials 0150-36020 Water Flow Switch3/8" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $137.00 0 May/16/13 Jun/15/13
Description: AMAT 0150-35747 .4 GPM Flow Switch 1/2" Hose Connections Proteus 9100SSSAE24P2
bobsgoodies NEW - $60.00 0 May/17/13 Jul/09/13
Description: APPLIED MATERIALS 3060-01641 BRG BALL .25 ID X .50 OD X.19 W SST 440C (Lot of 4)
bobsgoodies NEW - $4.50 0 May/17/13 Jul/09/13
Description: Applied Materials 0690-01685 Clamp Tube 1/4" Single Bolt Polypro 1/4-20 Bag of 4
bobsgoodies NEW - $4.80 0 May/17/13 Jul/09/13
Description: AMAT 3690-01956 SCR CAP SKT HD 8-54 X 7/8"L SST Silver-PLT (Pack of 4)
bobsgoodies NEW - $12.00 0 May/17/13 Jul/09/13
Description: AMAT 3690-02131 SCR CAP SKT HD 8-32 X 1/2"L Vent SST Silver-Plated (Pack of 4)
sellyoursurplus NEW - $285.00 0 May/20/13 Jun/19/13
Description: Lot of 4 Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Ma
bobsgoodies NEW - $120.00 0 May/21/13 Jul/09/13
Description: AMAT 0090-20026 SENSOR ASSY,PROCESS POS (Lot of 4)
usedeqsales Scrap, for parts - $505.13 1 May/23/13 May/23/13
Description: Sekidenko 0190-00220 4 Channel Controller AMAT Not Working As-Is
athomemarket NEW - $112.99 0 May/23/13 Jun/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
usedeqsales NEW - $305.13 1 May/28/13 Oct/12/13
Description: Applied Materials 3300-02155 Tee Union Flange 3T-NW-25B Lot of 4 New
athomemarket NEW - $114.99 0 May/30/13 Jun/29/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
tdindustrial Used - $1,437.00 0 Jun/07/13 Jun/27/18
Description: AMAT CERAMIC HEATER 0040-32148 (lot of 4)
usedeqsales Used - $1,806.13 0 Jun/11/13 Apr/30/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27882 Lot of 4 Used Wokring
sellyoursurplus NEW - $89.00 0 Jun/16/13 Jun/19/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $350.00 0 Jun/16/13 Jun/19/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $99.00 0 Jun/16/13 Jun/19/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
bobsgoodies NEW - $137.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0150-36020 Water Flow Switch3/8" Hose Proteus 100SS24, .4 GPM
bobsgoodies NEW - $137.00 0 Jun/17/13 Jul/09/13
Description: Applied Materials 0190-35437 Water Flow Switch 3/8" Hose Proteus9108B24P .4 GPM
bobsgoodies NEW - $137.00 0 Jun/17/13 Jul/09/13
Description: AMAT 0150-35747 .4 GPM Flow Switch 1/2" Hose Connections Proteus 9100SSSAE24P2
kingprecision Refurbished - $4,000.00 0 Jun/17/13 Jul/31/13
Description: OEM AMAT 6" 4 Finger Heater Assembly 0010-70252 Refurbished with Warranty
capitolareatech NEW - $2.57 0 Jun/19/13 Apr/28/14
Description: LAM 715-495022-001 BOLT CLAMP RETAINING 1/4-20 W/6-3 PKG 4
sellyoursurplus NEW - $89.00 0 Jun/20/13 Jun/30/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $350.00 0 Jun/20/13 Jun/30/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $99.00 0 Jun/20/13 Jun/30/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
athomemarket NEW - $112.99 0 Jun/22/13 Jul/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
usedeqsales Used - $1,806.13 0 Jun/24/13 Apr/30/15
Description: Aera FCPI980CBAXDIDJAA Mass Flow Controller AMAT 0190-34212 Lot of 4
usedeqsales Used - $1,806.13 0 Jun/24/13 Apr/30/15
Description: Unit UFC-8565C Mass Flow Controller AMAT 3030-14611 Lot of 4 As-Is
athomemarket NEW - $114.99 0 Jun/29/13 Jul/29/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
bobsgoodies NEW - $9.20 0 Jul/20/13 Aug/14/13
Description: AMAT 3690-02239 SCR CAP SKT HD 1/4-20 X 1"L SST Silver-Plated (Pack of 4)
athomemarket NEW - $101.69 0 Jul/22/13 Aug/21/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
farmoninc NEW - $50.00 0 Jul/26/13 May/18/23
Description: 4 new AMAT 3300-91126 FTG, elbow M5X6MM OD tube
farmoninc NEW - $150.00 0 Jul/26/13 Apr/06/18
Description: new AMAT 0090-00740 assy. lamp tower W/ 4 light 24V R-C-G-B
athomemarket NEW - $103.49 0 Jul/29/13 Aug/28/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
farmoninc NEW - $270.00 0 Jul/30/13 May/18/23
Description: 4 new AMAT 0140-21869 harness assy. EMO INTCON WAFER LDR ECP
farmoninc NEW - $80.00 1 Jul/30/13 Jan/04/19
Description: 4 AMAT 0720-01419 CONN HSG CA MTG
farmoninc NEW - $380.00 0 Jul/30/13 May/18/23
Description: 4 new AMAT 0010-09319 PURGE hose chamber lid
odysseystudios Refurbished - $900.00 1 Jul/30/13 Jun/30/16
Description: LSA Cleanpart 233555052 Chamber Insert Applied Materials 0200-00531 Rev 4
farmoninc NEW - $350.00 0 Jul/31/13 May/18/23
Description: 4 new AMAT 3700-01401 ORING, O-RING
usedeqsales Used - $308.13 5 Aug/02/13 Jan/21/14
Description: Sensor Technics SQ01568 Transducer AMAT 0090-00963 Lot of 4 Used Working
farmoninc Used - $150.00 0 Aug/03/13 May/18/23
Description: 4 AMAT 3700-01016 ORING, O-RING
farmoninc NEW - $400.00 0 Aug/03/13 May/18/23
Description: 4 new AMAT 0021-77348 FLAG
farmoninc NEW - $125.00 0 Aug/03/13 May/18/23
Description: 4 AMAT 3700-90192 ORING, O-RING
usedeqsales Used - $558.13 1 Aug/06/13 Aug/14/13
Description: Pearson 6165 Current Monitor 0.10 Volts/Amp AMAT 1360-01145 Lot of 4 Used
farmoninc NEW - $200.00 0 Aug/06/13 May/18/23
Description: 4 AMAT 1190-90003 Regulator Voltage Var, 323582
usedeqsales Used - $408.13 1 Aug/07/13 Oct/02/13
Description: Control Instruments SNT476 Sensor AMAT 0140-04933 Lot of 4 Used Working
usedeqsales Scrap, for parts - $1,806.11 0 Aug/07/13 Apr/30/15
Description: Celerity AASGD40W1 Mass Flow Controller IN3XP AMAT 0190-19979 O2 Lot of 4
usedeqsales Scrap, for parts - $1,806.11 0 Aug/07/13 Apr/30/15
Description: Celerity AAPGD40W1 Mass Flow Controller IN3XP AMAT 0190-19977 CHF3 Lot of 4
usedeqsales Scrap, for parts - $1,808.13 0 Aug/16/13 Apr/30/15
Description: Celerity AASGD40W1 Mass Flow Controller IN3XP AMAT 0190-19979 Ar Lot of 4
usedeqsales Scrap, for parts - $1,808.13 0 Aug/16/13 Apr/30/15
Description: Celerity AARGDD0W1 Mass Flow Controller IN3XP AMAT 0190-33083 He Lot of 4
athomemarket NEW - $101.69 0 Aug/21/13 Sep/20/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
sellyoursurplus Used - $250.00 0 Aug/21/13 Aug/28/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $99.00 0 Aug/23/13 Aug/30/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
sellyoursurplus NEW - $89.00 0 Aug/23/13 Aug/30/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus NEW - $285.00 0 Aug/23/13 Aug/30/13
Description: Lot of 4 Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Ma
sellyoursurplus Used - $350.00 0 Aug/23/13 Aug/30/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
athomemarket NEW - $103.49 0 Aug/28/13 Sep/27/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
sellyoursurplus NEW - $89.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
sellyoursurplus Used - $250.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $350.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $285.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Ma
sellyoursurplus NEW - $99.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk, Prod
usedeqsales NEW - $409.13 0 Sep/06/13 May/05/15
Description: Purolite PCL-2111 Ion Exchange Resin Cartridge AMAT 4020-00166 Lot of 4 New
bobsgoodies NEW - $125.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0150-36020 Water Flow Switch3/8" Hose Proteus 100SS24, .4 GPM
capitolareatech NEW - $120.00 1 Sep/12/13 Nov/11/13
Description: AMAT 3870-01306 944AOP-LP-NCSFSFF PARKER VERIFLO MODEL: 944AOPLPHNCSFSFF / PN: 4
usedeqsales NEW - $309.13 1 Sep/13/13 Sep/14/13
Description: AMAT Applied Materials 0190-00959 4 Point Contact Ball Radial Bearing Lot of 2
testeqe NEW - $124.99 0 Sep/18/13 Jun/04/16
Description: Qty. 4: NEW AMAT/Applied Materials PN: 0020-00927 Viewport, Glass (Load) Window
yayais2012 NEW - $110.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
yayais2012 NEW - $140.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $110.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
athomemarket NEW - $112.99 0 Sep/21/13 Oct/21/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
usedeqsales Scrap, for parts - $1,809.13 2 Sep/23/13 Mar/23/15
Description: Tylan FC-2961MEP5 Mass Flow Controller AMAT 0225-16006 Lot of 4 As-Is
ultra_clean_warehouse NEW - $266.92 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-74395 TRANS DOOR LEVER TSSL 15KX - Rev 4
keykorea NEW - $6.00 1 Sep/26/13 Dec/01/14
Description: AMAT 3880-01215 WSHR FLAT .375OD X .161ID X .062THK, LOT 4, NEW
grandbirdnet NEW - $17.66 1 Sep/27/13 Dec/17/21
Description: AMAT 0015-09183 WASHER,BELLEVILE,#4,NISULF, LOT 16, NEW
keykorea NEW - $20.00 1 Sep/27/13 Dec/01/14
Description: AMAT 3780-01091 WSHR WAVE SPRG 3WAVE .48OD X .35ID X .12FH C-STL, LOT 4, NEW
athomemarket NEW - $114.99 0 Sep/27/13 Oct/27/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
ultra_clean_warehouse NEW - $260.97 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II - 0040-78952 MANIFOLD BLOCK 4 300MM
keykorea NEW - $92.00 0 Sep/29/13 Aug/26/14
Description: AMAT 3700-01756 ORING ID.234 CSD.139 CHEMRAZ SC513 80 DURO WHT, LOT 4, NEW
tdindustrial NEW - $29.95 1 Sep/30/13 Jun/19/14
Description: LAM 713-011049-005 Shield, Window, U.V. Sing. Filt. SE Lot of 4, New, Sealed
dazzy1997 NEW - $119.99 0 Oct/02/13 Oct/12/13
Description: (4) New Swagelok Stainless Valves SS-4P4T4
triade1080 NEW - $26.98 1 Sep/08/13 Oct/01/13
Description: (4) Swagelok Tube Fitting 1/2 Tube x 1/2 M Pipe - S-810-1-8- Steel, Lot of 4
sellyoursurplus NEW - $285.00 0 Oct/06/13 Oct/13/13
Description: Lot of 4 Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Ma
keykorea NEW - $450.00 1 Oct/15/13 Feb/12/20
Description: LAM RESEARCH 715-007469-001 ELECTRODE LOWER 8" 4 PIN, NEW
visionsemi Used - $20.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3400-01141 HOSE FLEX PVC WIRE 4'
visionsemi NEW - $20.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 920-094174-156 FTG, UN, BHD, TUBE TO TUBE (LOT OF 4)
usedeqsales NEW - $660.13 0 Oct/17/13 May/04/15
Description: AMAT Applied Materials 26167-1 T/C PRSP Thermocouple 0190-09185 Lot of 4 New
yayais2012 NEW - $110.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
yayais2012 Used - $45.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
athomemarket NEW - $112.99 0 Oct/21/13 Nov/20/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
usedeqsales Used - $310.13 1 Oct/25/13 Oct/26/13
Description: SMC D-276-990020B 24-Port Pneumatic Manifold AMAT 0190-01401 Lot of 4 Used
athomemarket NEW - $114.99 0 Oct/27/13 Nov/26/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
visionsemi Used - $20.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3400-01141 HOSE FLEX PVC WIRE 4'
visionsemi NEW - $20.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 920-094174-156 FTG, UN, BHD, TUBE TO TUBE (LOT OF 4)
ultra_clean_warehouse NEW - $243.15 0 Oct/28/13 May/07/14
Description: APPLIED MATERIALS AMAT - 0040-72465 BLOCK BEARING CASTER FRONT 5500 - REV 4
keykorea NEW - $100.00 1 Nov/05/13 Dec/03/14
Description: AMAT 0020-78957 BLADDER DECHUCK UPPER 8.00 TITAN HEAD, NEW, LOT 4
prism_electronics2 Used - $699.99 1 Nov/06/13 Jan/12/21
Description: Novellus LOGOSOL Lid Lift Controller 02-124151-00 Rev 4
usedeqsales Scrap, for parts - $1,811.13 0 Nov/08/13 Apr/30/15
Description: Aera TC FC-981SBC Mass Flow Controller AMAT 3030-06738 Lot of 4 As-Is
yayais2012 NEW - $110.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
usedeqsales Scrap, for parts - $724.45 1 Nov/22/13 Feb/14/14
Description: MKS Instruments 1159B-00020RV-S Mass Flow Controller AMAT 3030-01172 Lot of 4
prism_electronics6 Used - $44.97 1 Nov/21/13 Jul/12/19
Description: LOT OF 4: Lam Research Inner Gate Block Pivot, 715-012247-001
trees_for_a_better_tomorrow NEW - $200.00 1 Nov/24/13 Dec/01/13
Description: Lot of 4 New Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355
trees_for_a_better_tomorrow Used - $400.00 0 Nov/25/13 Dec/02/13
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
conquer_2011 Used - $1,350.00 0 Nov/25/13 Dec/05/13
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
athomemarket NEW - $114.99 0 Nov/26/13 Dec/26/13
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
mghaines NEW - $30.00 0 Dec/02/13 Dec/12/13
Description: APPLIED MATERIALS 3690-01869 QTY 4
trees_for_a_better_tomorrow Used - $400.00 0 Dec/02/13 Dec/09/13
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
conquer_2011 Used - $1,199.00 0 Dec/05/13 Dec/15/13
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
bobsgoodies NEW - $175.00 3 Dec/10/13 Dec/18/13
Description: Applied Materials 0200-09716 Pin, Lift, Heater WxZ.REV.001 (Lot of 4 pins)
everything4copiers NEW - $285.00 0 Dec/11/13 Dec/23/13
Description: Lot of 4 Fujikin FPR-NHDT-21-6.35-PA-AYT Valve SEALED AMAT 0190-24355 Applied Ma
keykorea NEW - $4,000.00 1 Dec/12/13 Nov/25/16
Description: AMAT 0022-77001 BODY, 4 SLURRY DISPENSE ARM, MIRRA CMP, NEW
capitolareatech NEW - $4.18 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00282 Screw, MACH SKT HD 8-32 X .22 PKG 4
conquer_2011 Used - $1,199.00 0 Dec/15/13 Dec/25/13
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
athomemarket NEW - $114.99 0 Dec/20/13 Jan/19/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
yayais2012 NEW - $110.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
austieiscute Used - $750.00 1 Dec/21/13 Jul/26/14
Description: AMAT Parker Pneumatic Cylinder Series P1D 0190-24755  AMAT 0041-01104 Rev. 4
yayais2012 NEW - $110.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $45.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
dr.fantom NEW - $120.00 0 Dec/26/13 Feb/12/15
Description: Applied Materials 0240-52734 KIT H2 ON INDICATOR PER PEOCESS PLATTER HELIOS 4
athomemarket NEW - $116.99 0 Dec/26/13 Jan/25/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
oka1298 NEW - $4.99 1 Dec/26/13 Sep/19/14
Description: AMAT 3690-02032 SCR PANEL MTG 10-32 X 1/2L PHH W/WSHR-NYL (THK QTY 4)
visionsemi Used - $20.00 0 Dec/27/13 May/26/17
Description: APPLIED MATERIALS AMAT 3400-01141 HOSE FLEX PVC WIRE 4'
mghaines NEW - $30.00 0 Dec/29/13 Jan/08/14
Description: APPLIED MATERIALS 3690-01869 QTY 4
pohyh Used - $800.00 14 Dec/30/13 Sep/25/17
Description: 890 (4) AMAT ASSY 0010-0452M-002 0040-04542 REV 001 HEATER
conquer_2011 Used - $1,399.00 0 Dec/30/13 Jan/29/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
trees_for_a_better_tomorrow Used - $400.00 0 Jan/02/14 Jan/09/14
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
farmoninc NEW - $75.00 0 Jan/04/14 Aug/28/20
Description: 4 new AMAT 3870-00078 HPS 93-0057 KF50 Vacuum bellows NW-50
pohyh Used - $3,500.00 0 Jan/07/14 Oct/05/14
Description: 1345 (4) AMAT 0021-38337 P2 WPI
trees_for_a_better_tomorrow Used - $400.00 0 Jan/11/14 Jan/18/14
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
keykorea Used - $100.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-01881 CABLE, SENSOR-CONTROL, BLKHD 4, SCRUBBER, WORKING
keykorea Used - $3,500.00 1 Jan/14/14 Feb/14/14
Description: AMAT 0190-00220 CONTROLLER, MODEL OR2000 4 CH, WTM, ULTI, AS-IS
yayais2012 NEW - $110.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
athomemarket NEW - $114.99 0 Jan/19/14 Feb/18/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
trees_for_a_better_tomorrow Used - $400.00 0 Jan/19/14 Jan/26/14
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
yayais2012 NEW - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $45.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
capitolareatech NEW - $5.63 0 Jan/22/14 Sep/19/14
Description: APPLIED MATERIALS (AMAT) 3320-02242 G-133 Gasket 1.33 CFF OFHC Copper, Pack of 4
athomemarket NEW - $116.99 0 Jan/25/14 Feb/24/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
conquer_2011 Used - $1,399.00 0 Jan/29/14 Feb/28/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
trees_for_a_better_tomorrow Used - $400.00 0 Feb/03/14 Feb/10/14
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
farmoninc NEW - $250.00 1 Feb/05/14 Apr/12/16
Description: 4 AMAT 3870-02501 Valve Ball 2 Way Pneumatic
mghaines NEW - $30.00 0 Feb/09/14 Mar/11/14
Description: APPLIED MATERIALS 3690-01869 QTY 4
yayais2012 NEW - $110.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
athomemarket NEW - $114.99 0 Feb/18/14 Mar/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
yayais2012 NEW - $140.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $45.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
athomemarket NEW - $116.99 0 Feb/24/14 Mar/26/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
farmoninc Used - $1,180.00 0 Feb/26/14 Mar/30/18
Description: 4 UNIT MFC UFC-1100A, AMAT 3030-01065 N2, 20 SCCM Gas Range,
farmoninc Used - $250.00 0 Feb/26/14 Mar/17/15
Description: 4 AMAT 3800-00576 Veriflo SQMICRO302PFMM Valve
farmoninc NEW - $1,100.00 1 Feb/27/14 Apr/07/20
Description: 4 NEW AMAT 3870-05747 Diaphragm valve
conquer_2011 Used - $1,399.00 0 Feb/28/14 Mar/19/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
farmoninc NEW - $150.00 1 Mar/11/14 Jul/02/18
Description: NEW AMAT 0020-30320 Ring, Focusing, Lexan, 4 inch
prism_electronics1 NEW - $299.99 1 Mar/03/14 Feb/17/17
Description: Lam Research 810-039987-002 PCBA, 4 Channels Viep PCB Card Board
trees_for_a_better_tomorrow Used - $400.00 0 Mar/16/14 Mar/23/14
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
usedeqsales Used - $403.14 1 Mar/17/14 Apr/02/14
Description: Oriental Motor A3723-9215 5-Phase Stepping Servo Motor AMAT 0010-76430 Lot of 4
athomemarket NEW - $114.99 0 Mar/21/14 Apr/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
yayais2012 NEW - $110.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
fablogic Used - $600.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0010-70321 Slit Valve Assy. Precision 500 Mark II (Used; Lot of 4)
trees_for_a_better_tomorrow Used - $400.00 0 Mar/23/14 Mar/30/14
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
usedeqsales Used - $603.14 0 Mar/24/14 May/04/15
Description: Sanyo Denki 103H7851-72B2 Stepping Servo Motor AMAT 0090-00412 Lot of 4 Used
conquer_2011 Used - $749.00 0 Mar/24/14 Mar/29/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
yayais2012 NEW - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 Used - $45.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $140.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
athomemarket NEW - $116.99 0 Mar/27/14 Apr/26/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
conquer_2011 Used - $1,249.00 0 Mar/29/14 Apr/28/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
trees_for_a_better_tomorrow Used - $400.00 0 Mar/30/14 Apr/06/14
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $400.00 0 Apr/17/14 Apr/24/14
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
athomemarket NEW - $114.99 0 Apr/20/14 May/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
yayais2012 NEW - $110.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
xltechtexas Used - $275.00 1 Apr/21/14 Jul/09/14
Description: IRCON MODLINE 4 MODEL 47-13C-2-0-0 PYROMETER WITH AMAT CABLE 0140-20414
farmoninc NEW - $150.00 1 Apr/21/14 Jun/15/14
Description: AMAT 0620-00887 Cable Assembly Device Net, 4 Meter
trees_for_a_better_tomorrow Used - $400.00 0 Apr/26/14 May/03/14
Description: Lot of 4 AMAT Applied Material 0100-40025 Mainframe Interconnect Board PCB Rev A
yayais2012 NEW - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $45.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
athomemarket NEW - $116.99 0 Apr/26/14 May/26/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
keykorea Used - $120.00 0 Apr/27/14 Jan/03/18
Description: AMAT 0140-77135 HD ROT 4,PWR,DVR-CNTRL, USED
conquer_2011 Used - $1,249.00 0 Apr/28/14 May/28/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
usedeqsales NEW - $305.14 1 May/01/14 Aug/06/14
Description: Parker PV-11-001-01 Pneumatic Diaphragm Valve AMAT 3870-99109 Lot of 4 New
usedeqsales NEW - $405.14 0 May/01/14 May/05/15
Description: UE Precision Sensors LDP0.5WC-1 Low Differential Switch AMAT 1350-00392 Lot of 4
fablogic Used - $225.00 0 May/19/14 Jul/18/14
Description: AMAT 0010-70321 Slit Valve Assy. Precision 500 Mark II (Used; Lot of 4)
athomemarket NEW - $114.99 0 May/20/14 Jun/19/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
usedeqsales NEW - $205.14 1 May/21/14 Sep/19/14
Description: Mykrolis NTT 205 Pressure Transducer AMAT 1350-01106 Lot of 4 New
yayais2012 NEW - $110.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
usedeqsales Used - $503.14 1 May/22/14 Jul/24/14
Description: Oriental Motor A3723-9215 5-Phase Stepping Servo Motor AMAT 0010-76430 Lot of 4
athomemarket NEW - $116.99 0 May/26/14 Jun/25/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
yayais2012 Used - $45.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
conquer_2011 Used - $1,249.00 0 May/28/14 Jun/27/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
waste-not-recycling Scrap, for parts - $499.99 0 Aug/01/14 Aug/31/14
Description: Lam 853-031550-001 Variable Gap Drive Assembly 4 LAM9600 w/ 853-011141-003-0614
waste-not-recycling NEW - $69.99 0 Aug/01/14 Aug/31/14
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged.
fablogic Used - $425.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0010-70321 Slit Valve Assy. Precision 500 Mark II (Used; Lot of 4)
athomemarket NEW - $74.99 0 Aug/01/14 Aug/31/14
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
fablogic NEW - $995.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-26578 Clamp, 4 Point (NEW) AMAT PVD
usedeqsales Refurbished - $2,008.14 0 Aug/06/14 May/01/15
Description: Ircon Modline 4 Digital Indicator Sensor 47-99C-9-0-0 AMAT 1400-01304 Refurb
farmoninc NEW - $50.00 0 Aug/07/14 Jun/01/23
Description: AMAT 0190-15831 Subassy, Weldment 4 POS, Manifold, C1S
athomemarket NEW - $79.99 0 Aug/18/14 Sep/17/14
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $114.99 0 Aug/18/14 Sep/17/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
farmoninc NEW - $450.00 0 Aug/19/14 Jun/01/23
Description: AMAT 0190-05907 HTR GL CHA 0050-52572 Part 4 TICL4 PRODU
farmoninc NEW - $300.00 0 Aug/20/14 Jun/01/23
Description: 4 AMAT 0021-00836 Modified Tube, Vaporizer Tip, 10 MIL 60D
yayais2012 NEW - $110.00 0 Aug/24/14 Jul/20/15
Description: APPLIED MATERIALS 0150-19140 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-D IH1000
athomemarket NEW - $116.99 0 Aug/24/14 Sep/23/14
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
farmoninc Used - $200.00 0 Aug/26/14 May/07/18
Description: 4 AMAT 0020-04468 Coupler
conquer_2011 Used - $1,449.00 0 Aug/26/14 Sep/25/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
bobsgoodies NEW - $0.99 106 Aug/27/14 Jun/15/17
Description: Parker 4 HTX-S, 1/4" Tube Union, JIC, 37 deg flare, Plated Steel AMAT 3300-05190
yayais2012 Used - $45.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
athomemarket NEW - $74.99 0 Aug/31/14 Sep/30/14
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
waste-not-recycling Scrap, for parts - $499.99 0 Sep/02/14 Oct/02/14
Description: Lam 853-031550-001 Variable Gap Drive Assembly 4 LAM9600 w/ 853-011141-003-0614
waste-not-recycling NEW - $59.99 0 Sep/02/14 Oct/02/14
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged.
farmoninc NEW - $20.00 1 Sep/08/14 May/18/15
Description: 4 Parker 4-2 RA-SS Pipe, AMAT 3300-02875 Fitting Pipe Adapter 1/4FP x 1/8 MP
exper-tech NEW - $45.00 1 Sep/09/14 Nov/04/14
Description: AMAT Applied Materials 3700-02153 Chemraz Greene Tweed O-ring, Lot of 4, New
exper-tech NEW - $45.00 0 Oct/03/14 Nov/27/15
Description: AMAT Applied Materials 3700-01597 O-Ring ID2.112 CSD.103 Silic 70Duro, Lot of 4
waste-not-recycling Scrap, for parts - $399.99 0 Oct/07/14 Nov/06/14
Description: Lam 853-031550-001 Variable Gap Drive Assembly 4 LAM9600 w/ 853-011141-003-0614
waste-not-recycling Scrap, for parts - $399.99 0 Dec/08/14 Jan/07/15
Description: Lam 853-031550-001 Variable Gap Drive Assembly 4 LAM9600 w/ 853-011141-003-0614
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 3700-90298 O-RING 29.61/DX2.4DIA-VITON. ***4 PACK***
athomemarket Scrap, for parts - $179.99 0 Dec/08/14 Dec/15/14
Description: Lot of 4 Deublin 20004-700 Rotating 6 Port Unions AMAT 0190-12477 for PARTS
getspares.com_sparesllc09 Used - $15,000.00 0 Dec/12/14 Jun/15/16
Description: 0010-76000 /ROBOT 4, 5, 6 INCH ASSEMBLY DRIVE P5000/APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $200.00 0 Dec/14/14 Dec/21/14
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
trees_for_a_better_tomorrow NEW - $50.00 0 Dec/14/14 Dec/21/14
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
athomemarket Scrap, for parts - $181.99 1 Dec/16/14 Jan/09/15
Description: Lot of 4 Deublin 20004-700 Rotating 6 Port Unions AMAT 0190-12477 for PARTS
athomemarket NEW - $86.99 0 Dec/16/14 Jan/15/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket Used - $514.99 0 Dec/17/14 Dec/24/14
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket NEW - $116.99 0 Dec/17/14 Jan/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
powersell007 Used - $2,799.00 1 Dec/19/14 Dec/19/14
Description: APPLIED MATERIALS 0010-70254 ASSY 8" HEATER VCR W/2 TC'S 5500 PVD 4 FINGER AMAT
esprprts NEW - $25.00 0 Dec/20/14 Jan/19/15
Description: Four (4) Applied Materials (AMAT) 0020-31633 LIFT PINS, CONDUCTIVE
athomemarket NEW - $54.99 0 Dec/23/14 Jan/22/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
conquer_2011 Used - $1,449.00 0 Dec/24/14 Jan/23/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
capitolareatech NEW - $513.05 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-90789 LOOM ASSY 10A.P3,4,5,6/13A....
capitolareatech NEW - $280.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77153 HT ROT 4 PWR, MNFRM BKHD
capitolareatech NEW - $67.10 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-31332 Patlite SIGNAL TOWER ASSY 4 POS
capitolareatech NEW - $3.75 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01137 Resetable Fuse 1.1A RXE110, Pack of 4
capitolareatech NEW - $8.14 0 Dec/27/14 Jul/30/15
Description: NOVELLUS 34-158908-00 ABB AUTOMATION CA5-40ERT CONTACTOR, AUX CONTACT, 4 NO
capitolareatech NEW - $1.00 0 Dec/27/14 Feb/27/15
Description: NOVELLUS 21-042023-11 Flat Washer, 3/8, SS, Pack of 4
capitolareatech NEW - $2.10 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3750-90086 Sleeve, Clear, H/SHRINK, 6.4 I/D, 4 feet
capitolareatech NEW - $5,000.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9240-06151 KIT, OPTION SDS ASH3/PH3, 4 TOR
testeqe NEW - $499.99 0 Dec/29/14 Jan/28/15
Description: 4: NEW AMAT Applied Materials 4020-00008 Disposable Filter .2µm Pore Kit
testeqe NEW - $34.99 0 Dec/29/14 Jan/28/15
Description: 4: NEW AMAT Applied Materials 3700-01378 Viton ID 14.975 O-Ring Oring Kit
testeqe NEW - $34.99 0 Dec/29/14 Jan/28/15
Description: 4: NEW AMAT Applied Materials 3700-01416 Viton ID 13.975 O-Ring Oring Kit
testeqe NEW - $24.99 0 Dec/29/14 Jan/28/15
Description: 4: NEW AMAT Applied Materials 3700-02986 .61ID .10CSD O-Ring Oring Kit
athomemarket Used - $519.99 0 Dec/29/14 Jan/28/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket NEW - $76.99 0 Dec/29/14 Jan/28/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
farmoninc Used - $550.00 0 Dec/30/14 Mar/02/23
Description: 4 AMAT 0090-00182 ELECT. ASSY. HEAT TILT
farmoninc Used - $240.00 0 Dec/31/14 Mar/02/23
Description: 4 AMAT 0021-06175 Cover, Flowthru Post, PVDF, Cell, ECP
bornalliancecom NEW - $995.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-26578 Clamp, 4 Point (NEW) AMAT PVD
bornalliancecom Used - $350.00 0 Dec/31/14 Jul/29/15
Description: AMAT 0010-70321 Slit Valve Assy. Precision 500 Mark II (Used; Lot of 4)
farmoninc NEW - $100.00 1 Jan/01/15 Feb/10/21
Description: 4 AMAT 3700-01624 SEAL ORING ASSY NW40 W/VITON ORING SS BOC EDWARDS C10516395
yayais2012 NEW - $140.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $110.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 Used - $45.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
farmoninc NEW - $120.00 0 Jan/03/15 Mar/02/23
Description: 4 AMAT 0040-90951 RESISTOR CHAIN, 150 TXX 5 LNG
esolutions1 NEW - $49.99 0 Jan/05/15 Feb/03/15
Description: LOT 4 AMAT 0190-19374 HALOGEN lAMP 2kw
athomemarket Used - $514.99 0 Jan/07/15 Jan/14/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
usedeqsales NEW - $521.15 0 Jan/07/15 May/01/15
Description: Praxair 0190-29441 CMP Polishing Pad 30" Window Pad Lot of 4 AMAT New
waste-not-recycling Scrap, for parts - $299.99 0 Jan/07/15 Feb/06/15
Description: Lam 853-031550-001 Variable Gap Drive Assembly 4 LAM9600 w/ 853-011141-003-0614
usedeqsales NEW - $601.15 0 Jan/08/15 May/04/15
Description: Xycarb Ceramics 255860 Infared Lamp 2000 Watt AMAT 0190-35113 Lot of 4 New
gigabitpartsolutions NEW - $2,500.00 0 Jan/13/15 Jul/24/15
Description: Assy, High Precision Reflectors and bracket set 4 300mm 0090-03426 AMAT
usedeqsales NEW - $301.15 1 Jan/13/15 Feb/09/15
Description: Swagelok SS-1610-4 4 Port Tube AMAT 3300-04337 Reseller Lot of 5 New
helixtek NEW - $950.00 8 Jan/13/15 Dec/20/15
Description: Amat mirra 200mm cmp polisher model 3400 Titanii 4 port spindle 0040-77641
aaaportal Used - $61.41 0 Jan/14/15 Oct/08/17
Description: SMC Lam Research 772-800722-007 Manifold SMC SYJ3233-5LOU with 4 X SY114-5MOU
athomemarket Used - $496.99 0 Jan/15/15 Feb/14/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $75.99 0 Jan/15/15 Feb/14/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $102.99 0 Jan/16/15 Feb/15/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $37.99 0 Jan/22/15 Feb/21/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
conquer_2011 Used - $1,275.12 0 Jan/23/15 Feb/22/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
usedeqsales NEW - $301.15 0 Jan/27/15 May/04/15
Description: Swagelok 6LV-DFMR8-P-C Pneumatic Diaphragm Valve Lot of 4 AMAT 3870-06602 New
usedeqsales Used - $401.15 1 Jan/27/15 Jan/27/15
Description: Control Instruments SNT476 Sensor Assembly AMAT 0090-35042 Lot of 4 Used Working
athomemarket Used - $397.99 0 Jan/28/15 Feb/27/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket NEW - $62.99 0 Jan/28/15 Feb/27/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
farmoninc NEW - $300.00 0 Jan/31/15 Jan/05/22
Description: 4 AMAT 1120-00482 Amp, Fiber Optic, Lvl Sensor, IR, FX-301H
yayais2012 NEW - $110.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 Used - $45.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $140.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
waste-not-recycling Scrap, for parts - $99.99 0 Feb/09/15 Mar/11/15
Description: Lam 853-031550-001 Variable Gap Drive Assembly 4 LAM9600 w/ 853-011141-003-0614
prism_electronics11 Used - $124.90 0 Feb/09/15 Feb/23/15
Description: Lot Of 4 Lam Research Patch Cable 833-004565-020 REV A 1002685-0843
autoetch_repair NEW - $36.00 0 Feb/09/15 Apr/10/15
Description: LAM Research rainbow 713-010221-005 plug, gap gage set of 4
keykorea NEW - $11,500.00 0 Feb/14/15 Mar/11/21
Description: AMAT 0190-03007 WAFER TEMPERATURE MONITOR, 4 CHANNEL, 950-4007-00 , NEW
athomemarket Used - $396.99 0 Feb/14/15 Mar/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $75.99 0 Feb/14/15 Mar/16/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $102.99 0 Feb/15/15 Mar/17/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
gigabitpartsolutions NEW - $302.50 0 Feb/20/15 Jun/14/16
Description: Sensor APPLIED MATERIALS (AMAT) 0010-09780 ASSY LEVEL SENSOR TEOS/DOPANT PHASE 4
athomemarket NEW - $37.99 0 Feb/21/15 Mar/23/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
conquer_2011 Used - $1,449.00 0 Feb/22/15 Mar/24/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
athomemarket NEW - $62.99 0 Feb/27/15 Mar/29/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
athomemarket Used - $397.99 0 Feb/28/15 Mar/30/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
csi.usa NEW - $149.00 0 Mar/03/15 Jan/16/18
Description: APPLIED MATERIALS P/N 3700-90389 O-RING PILLAR SEAL GASKET PACK OF 4 EACH
used1eqsales Used - $200.00 0 Mar/05/15 May/26/17
Description: AMAT 0020-28668 Poppet Valve 301178-2710 Rev 1 Lot of 4 used working
yayais2012 NEW - $110.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 Used - $45.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
csi.usa NEW - $49.00 0 Mar/09/15 Jan/22/18
Description: APPLIED MATERIALS 3690-04583 ITEM#178 SCR CAP SKT 1/2-13x7/8L HEX PACK OF 4
waste-not-recycling Scrap, for parts - $99.99 1 Mar/11/15 Mar/27/15
Description: Lam 853-031550-001 Variable Gap Drive Assembly 4 LAM9600 w/ 853-011141-003-0614
athomemarket Refurbished - $404.99 1 Feb/10/15 Mar/11/15
Description: LAM Research 810-15987-101 TCP Autotune Board Rev. 4 PCB Bd
athomemarket Used - $396.99 0 Mar/17/15 Apr/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $75.99 0 Mar/17/15 Apr/16/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $102.99 0 Mar/17/15 Apr/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
keykorea Used - $4,500.00 0 Mar/22/15 Feb/18/18
Description: AMAT 0190-03007 WAFER TEMPERATURE MONITOR, 4 CHANNEL, 950-4007-00 , USED
desert_dweller77 Used - $1,250.00 1 Mar/22/15 Mar/23/15
Description: RF Match PVD High EFF 0010-13602 Rev. 4 AMAT Used
athomemarket NEW - $37.99 0 Mar/23/15 Apr/22/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
farmoninc Used - $1,750.00 0 Mar/24/15 Mar/02/23
Description: AMAT 0010-07094 ASSY, 4 PORT FI CONTROLLER, 300MM
conquer_2011 Used - $1,449.00 0 Mar/24/15 Apr/23/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
epteksemi NEW - $819.00 3 Mar/26/15 Apr/23/15
Description: LAM / Advanced Energy: MATCH, BIAS, 4 MHZ, 2.5 KW, EXP RN PN 660-095275-005
electronicpals Used - $175.00 0 Mar/29/15 Aug/17/15
Description: Lam Research 716-040737-402 Rev B Lot Of 4 Ring's Semiconductor Part
athomemarket NEW - $62.99 0 Mar/30/15 Apr/29/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
athomemarket Used - $397.99 0 Mar/30/15 Apr/29/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
yayais2012 NEW - $140.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $110.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 Used - $45.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
gigabitpartsolutions Refurbished - $275.00 0 Apr/09/15 Jun/02/16
Description: OEM Part APPLIED MATERIAL (AMAT) 0020-03597 CARRIER 4,5,6 & 8 IN. (refurb)
usedeqsales Used - $454.15 0 Apr/09/15 May/05/15
Description: Anchor Fluid Power LV2BS#24-2T-MH Ball Valve AMAT 3870-06965 Lot of 4 Used
farmoninc NEW - $1,900.00 0 Apr/12/15 Jun/03/16
Description: 4 AMAT 0190-70076 BRG Ball 1/8'6.00 Bore 6.50 O.D.
usedeqsales Used - $1,809.13 0 Apr/13/15 Apr/30/15
Description: Tylan FC-2961MEP5 Mass Flow Controller AMAT 0225-16006 Lot of 4 Used Working
athomemarket Used - $396.99 0 Apr/16/15 May/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $75.99 0 Apr/16/15 May/16/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $102.99 0 Apr/16/15 May/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
dr.fantom NEW - $250.00 0 Apr/22/15 May/13/15
Description: Applied Materials AMAT 0190-18424 SMC HE PARTICLE FILTER COLD LOOP 4 UM A
athomemarket NEW - $37.99 0 Apr/22/15 May/22/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
farmoninc NEW - $350.00 0 Apr/23/15 Aug/21/15
Description: AMAT 0190-36940 CYBERSERIAL 4S PCIE 4 PORT 401491
nazservices Used - $750.00 1 Jul/20/12 Apr/23/15
Description: 4 Corman Technologies CT-N108-N1, PROM: 2.8 FPGA :3260-0223, CorNet V2.8,
conquer_2011 Used - $1,449.00 0 Apr/23/15 May/23/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
farmoninc NEW - $750.00 1 Apr/27/15 Aug/17/15
Description: 4 NOVELLUS 22-113879-00 SWAGELOK 6LV-DTFR4-P-BL SHUTOFF VALVE, VCR 401547
athomemarket NEW - $62.99 0 Apr/29/15 May/29/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
athomemarket Used - $397.99 0 Apr/29/15 May/29/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket NEW - $69.99 0 Apr/30/15 May/07/15
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
esolutions1 NEW - $49.99 0 May/03/15 Jun/30/15
Description: LOT 4 AMAT 0190-19374 HALOGEN lAMP 2kw
yayais2012 NEW - $140.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $110.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
athomemarket NEW - $69.99 0 May/08/15 Jun/07/15
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
yayais2012 Used - $45.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
svcstore NEW - $49.99 0 May/15/15 May/18/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket Used - $396.99 0 May/16/15 Jun/15/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $75.99 0 May/16/15 Jun/15/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $102.99 0 May/17/15 Jun/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
svcstore NEW - $49.99 0 May/18/15 May/21/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
used1eqsales NEW - $602.57 0 May/21/15 Nov/17/15
Description: AMAT 0021-43797 Shield Lower Rev 4 new surplus
svcstore NEW - $49.99 0 May/21/15 May/24/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket NEW - $37.99 0 May/23/15 Jun/22/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
conquer_2011 Used - $1,449.00 0 May/23/15 Jun/22/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
svcstore NEW - $49.99 0 May/24/15 May/27/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $49.99 0 May/27/15 May/30/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket NEW - $62.99 0 May/29/15 Jun/28/15
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
athomemarket Used - $397.99 5 May/29/15 Jun/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
farmoninc NEW - $300.00 0 May/29/15 Oct/26/15
Description: 3 novellus 03-120926-00 MFC, interface cable for 4 MFC mass flow controller
svcstore NEW - $49.99 0 May/30/15 Jun/02/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $49.99 0 Jun/02/15 Jun/05/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
used1eqsales NEW - $206.15 1 Jun/05/15 Jan/18/16
Description: AMAT 0200-20494 Cap Rigid Coil Support Lot of 4 new surplus
svcstore NEW - $49.99 0 Jun/05/15 Jun/08/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
yayais2012 NEW - $140.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $110.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
athomemarket NEW - $69.99 0 Jun/07/15 Jul/07/15
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
svcstore NEW - $49.99 0 Jun/08/15 Jun/11/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
yayais2012 Used - $45.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
svcstore NEW - $49.99 0 Jun/13/15 Jun/16/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket Used - $396.99 1 Jun/15/15 Jun/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $68.39 0 Jun/15/15 Jul/15/15
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $92.69 0 Jun/16/15 Jul/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
svcstore NEW - $49.99 0 Jun/16/15 Jun/19/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $49.99 0 Jun/19/15 Jun/22/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket NEW - $25.59 9 Jun/22/15 Oct/28/15
Description: NEW AMAT 0050-28343 Assembly w/ 4 Parker 944 Valves Veriflo Diaphragm 944AOP
svcstore NEW - $49.99 0 Jun/22/15 Jun/24/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
conquer_2011 Used - $1,449.00 0 Jun/22/15 Jul/22/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
auctionrus NEW - $60.00 3 Jun/25/15 May/25/23
Description: 4 AMAT 3300-01958 FTGTBG Connectore, 3/8T, 9/16-18 SST, 406364
svcstore NEW - $49.99 0 Jun/24/15 Jun/27/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
auctionrus NEW - $240.00 0 Jun/27/15 Aug/16/16
Description: 24 AMAT 1290-02251 Term, Swivel Nut, 1/4-20X0.575,4 AWG, 406475
svcstore NEW - $49.99 0 Jun/27/15 Jun/30/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket Used - $62.99 1 Jun/28/15 Jun/21/16
Description: NEW: 4 2.75"CF Blank Cap Fittings Stainless Steel 2-3/4 Non-Rot. AMAT 3300-02240
svcstore NEW - $49.99 0 Jun/30/15 Jul/03/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
esolutions1 NEW - $49.99 0 Jul/02/15 Jul/07/15
Description: LOT 4 AMAT 0190-19374 HALOGEN lAMP 2kw
svcstore NEW - $49.99 0 Jul/03/15 Jul/06/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $49.99 0 Jul/06/15 Jul/09/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
used1eqsales NEW - $307.15 0 Jul/07/15 Nov/04/15
Description: Novellus 19-164060-00 MOD MCA Ball Height Adjustment Tool Lot of 4 new
athomemarket NEW - $69.99 0 Jul/07/15 Jun/25/17
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
auctionrus NEW - $200.00 1 Jul/08/15 Jan/13/16
Description: 4 AMAT 0200-09933 Pin, Wafer Lift, Heater, 406589
yayais2012 Used - $45.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $140.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $110.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
usedeqsales NEW - $157.15 0 Jul/09/15 Aug/26/20
Description: AMAT Applied Materials 3300-04424 FTG Hose Barb 1.00H SWVL Lot of 4 New
svcstore NEW - $45.99 0 Jul/10/15 Jul/13/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $45.99 0 Jul/13/15 Jul/16/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
athomemarket NEW - $75.99 5 Jul/15/15 Mar/23/16
Description: (Lot of 4) NEW Greene Tweed 9207-SC513 Chemraz PlasmaPro AMAT 3700-02153 O-Ring
athomemarket NEW - $20.00 0 Jul/16/15 Jul/05/17
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
svcstore NEW - $45.99 0 Jul/16/15 Jul/19/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $45.99 0 Jul/19/15 Jul/22/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
conquer_2011 Used - $424.50 0 Jul/22/15 Aug/21/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
svcstore NEW - $45.99 0 Jul/22/15 Jul/25/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
esolutions1 NEW - $49.99 1 Jul/23/15 Oct/20/15
Description: LOT 4 AMAT 0190-19374 HALOGEN lAMP 2kw
svcstore NEW - $45.99 0 Jul/25/15 Jul/28/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
gigabitpartsolutions NEW - $49.50 0 Jul/27/15 Mar/24/16
Description: O-Ring DU PONT AS-568A Applied Materails (AMAT) 3700-01360 PKG 4 ID .359 CSD .1
svcstore NEW - $45.99 0 Jul/29/15 Aug/01/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $45.99 0 Aug/01/15 Aug/04/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $45.99 0 Aug/04/15 Aug/07/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $40.99 0 Aug/10/15 Aug/13/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
yayais2012 Used - $45.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $140.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $110.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
svcstore NEW - $40.99 0 Aug/13/15 Aug/16/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
esolutions1 NEW - $49.99 1 Aug/13/15 Oct/20/15
Description: LOT 4 AMAT 0190-19374 HALOGEN lAMP 2kw
svcstore NEW - $40.99 0 Aug/16/15 Aug/19/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $40.99 0 Aug/19/15 Aug/22/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
usedeqsales Used - $508.15 0 Aug/20/15 Nov/18/15
Description: MEI Motion Engineering T008-2001 eXMP Controller Rev. 4 AMAT 0190-13990 Used
conquer_2011 Used - $424.50 0 Aug/21/15 Sep/20/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
svcstore NEW - $40.99 0 Aug/22/15 Aug/27/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $40.99 0 Aug/27/15 Sep/01/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $40.99 0 Sep/01/15 Sep/06/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $40.99 0 Sep/06/15 Sep/11/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
yayais2012 Used - $45.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
svcstore NEW - $40.99 0 Sep/11/15 Sep/16/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
yayais2012 NEW - $110.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
frostliquidation Used - $150.00 0 Sep/16/15 Sep/25/15
Description: HPS / LAM RESEARCH 796-480055-001 PNEUMATIC VALVE NW25 - LOT OF 4
gigabitpartsolutions NEW - $11.00 0 Sep/17/15 Jun/13/16
Description: Switch LAM RESEARCH (LAM) 661-007801-002 Lens Round Red PKG 4
svcstore NEW - $35.99 0 Sep/17/15 Sep/22/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
gigabitpartsolutions NEW - $30.80 0 Sep/22/15 Nov/21/15
Description: Hardware Novellus 15-272991-00 BRACKET, MTF FT, SEISMC RESTRNT PKG 4
svcstore NEW - $35.99 0 Sep/22/15 Sep/27/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
farmoninc NEW - $3.95 0 Sep/23/15 Sep/30/15
Description: 4 AMAT 0100-09042 PCB Assembly, Opto Switch, Arrow H21B10088K, 409590
farmoninc NEW - $9.95 0 Sep/24/15 Oct/01/15
Description: 4 AMAT 0200-09933 Pin, Wafer Lift, Heater, 409659
frostliquidation Used - $125.00 0 Sep/27/15 Nov/25/15
Description: HPS / LAM RESEARCH 796-480055-001 PNEUMATIC VALVE NW25 - LOT OF 4
svcstore NEW - $35.99 0 Sep/27/15 Oct/02/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
frostliquidation NEW - $200.00 0 Sep/28/15 Nov/27/15
Description: WATLOW 93BB1CD100BR TEMP /PROCESS CONTROLLER 4 DIG 1/16 DIN / AMAT 3930-01043
farmoninc NEW - $3.95 0 Sep/30/15 Oct/07/15
Description: 4 AMAT 0100-09042 PCB Assembly, Opto Flag read Switch, Arrow H21B10088K, 409590
svcstore NEW - $35.99 0 Oct/02/15 Oct/07/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $35.99 0 Oct/07/15 Oct/12/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
frostliquidation NEW - $100.00 0 Oct/09/15 Nov/08/15
Description: AMAT KAYDON 0190-35194 4 PT. RADIAL BALL BEARING - LOT OF 2
frostliquidation NEW - $100.00 0 Oct/09/15 Nov/08/15
Description: AMAT KAYDON 0190-76109 4 PT. RADIAL BALL BEARING
frostliquidation NEW - $100.00 0 Oct/09/15 Nov/08/15
Description: AMAT KAYDON 0190-13221 4 PT. RADIAL BALL BEARING
yayais2012 Used - $45.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $140.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $110.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
svcstore NEW - $35.99 0 Oct/12/15 Oct/17/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $35.99 0 Oct/17/15 Oct/22/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
conquer_2011 Used - $424.50 0 Oct/20/15 Nov/19/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
svcstore NEW - $35.99 0 Oct/22/15 Oct/27/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
catalystparts NEW - $50.00 1 Oct/27/15 Oct/20/16
Description: Applied Materials 0690-01583 Flang 1-1/3" OD CF Blank (Lot of 4 )
svcstore NEW - $35.99 0 Oct/27/15 Nov/01/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
exper-tech NEW - $25.00 0 Nov/06/15 Dec/06/15
Description: AMAT, Wire, Jumper 4 Pin Conn, Gen Rack Emo, 0150-20143 Rev C, New
auctionrus NEW - $650.00 0 Nov/07/15 Jan/07/22
Description: AMAT 0190-15891 Assembly, Heater, SLD SEG 1, Gas 4, Etch, 411004
svcstore NEW - $31.99 0 Nov/07/15 Nov/12/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
yayais2012 Used - $45.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $140.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
yayais2012 NEW - $110.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
svcstore NEW - $31.99 0 Nov/12/15 Nov/17/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
bms-semicon NEW - $159.99 0 Nov/15/15 May/04/23
Description: [4 UNITS] AMAT 0020-61533 INSULATOR PLATE, PIB CHILLER LINES, 300MM ENDURA2 NEW
svcstore NEW - $31.99 0 Nov/17/15 Nov/22/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
conquer_2011 Used - $424.50 0 Nov/19/15 Dec/19/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
surplusssam NEW - $17.99 1 Nov/20/15 Aug/25/17
Description: LOT OF 5 NEW AMAT APPLIED MATERIALS 3700-01288 NICKEL GASKETS 1/4" 4 VCR
svcstore NEW - $31.99 0 Nov/22/15 Nov/27/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $31.99 0 Nov/27/15 Dec/02/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
svcstore NEW - $31.99 0 Dec/02/15 Dec/07/15
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
hollylyn65 NEW - $100.00 1 Dec/20/15 Dec/29/15
Description: 4 New Eaton/Cutler-Hammer 15 Amp Arc Fault Circuit Breakers
katiil3 Used - $799.00 1 Dec/13/15 Dec/28/15
Description: AMAT 0190 09442 CARD LOT OF 4
conquer_2011 Used - $25,850.00 0 Jan/04/16 Feb/03/16
Description: Lot of 4 Semitool/ AMAT SRD Spin Rinser Dryer ST-860 Double Stacks w/ Options
used1eqsales Used - $3,001.16 0 Jan/04/16 Mar/16/16
Description: Nikon TYPE ALL TYPE 4 X Y Z Laser Diode NSR-S204B Main Body Wafer Stage used
ecomicron NEW - $900.00 1 Jan/04/16 Jul/10/18
Description: 0200-09214 RING,PEDESTAL,QUARTZ,4 METAL ETCH CHAMB, AMAT
svcstore NEW - $31.99 0 Jan/06/16 Feb/05/16
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
retechtronics2 NEW - $75.00 12 Jan/09/16 Jan/18/16
Description: AMAT KAYDON 0190-76109 4 PT. RADIAL BALL BEARING (15831001) - NEW
helixtek NEW - $1,250.00 0 Jan/10/16 Aug/28/16
Description: Amat mirra 200mm cmp polisher model 3400 Titanii 4 port spindle 0040-77641
retechtronics2 NEW - $60.00 12 Jan/11/16 Jan/11/16
Description: AMAT KAYDON 0190-76109 4 PT. RADIAL BALL BEARING (15831001) - NEW
yayais2012 Used - $45.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0150-19139 CABLE ASSY HELIOS-4 INLET 4 PUMP RUN CH-A IH1000 E4
yayais2012 NEW - $140.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0140-17902 HARNESS ASSY HELIOS 4 ABATEMENT TO EPI E4 ACP
tjtechseller Used - $8,500.00 0 Jan/14/16 Jan/24/16
Description: 0290-09275 Applied Material AMAT P5000 TEOS HOT BOX VERSION 4 ON BOARD TEOS
arizindo NEW - $50.00 4 Jan/15/16 Jan/12/18
Description: Swagelok SS Braided Hose ½", 4' AMAT 3400-01513 -- SS-TH8RF8RF8-48 -- New
conquer_2011 Used - $424.50 0 Jan/18/16 Feb/17/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
capitolareatech NEW - $125.03 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00231 PARKER CASY-1461 MANF 4 STATION W/PASS THRU
capitolareatech Used - $50.00 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 15-149996-00 NOVELLUS SYSTEMS INC 15-149996-00 PLATE,GATE,L-TYPE BG,4
capitolareatech Refurbished - $65.00 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 15-149996-00 NOVELLUS SYSTEMS INC 15-149996-00 PLATE,GATE,L-TYPE BG,4
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: Novellus 15-118380-00 Ring, Retaining, 4 Piece, Thin
capitolareatech NEW - $40.80 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00197 MODULE DIGITAL 4 CH 5-60VDC OUTPUT, 5VDC
capitolareatech NEW - $206.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01860 AXION TECH C104H CARD INTERFACE RS-232 4 PO
capitolareatech NEW - $159.69 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90870 PWBA 4 PHASE STEPPER
ecomicron NEW - $2,000.00 1 Jan/28/16 Mar/22/18
Description: 0010-10898, Applied Materials, 4 IN SILANE SUCEPTOR (PLATE STOCK)GECO
tjtechseller Used - $8,000.00 0 Feb/03/16 May/30/17
Description: 0290-09275 Applied Material AMAT P5000 TEOS HOT BOX VERSION 4 ON BOARD TEOS
capitolareatech Refurbished - $170.85 0 Feb/05/16 Feb/08/16
Description: AMAT 0020-24962 SHIELD LOW KNEE AL/TI PRCS SST 8" WFR .4
capitolareatech Refurbished - $255.00 0 Feb/08/16 Feb/08/16
Description: AMAT 0020-24962 SHIELD LOW KNEE AL/TI PRCS SST 8" WFR .4
capitolareatech Refurbished - $255.00 0 Feb/08/16 Feb/24/16
Description: AMAT 0020-24962 SHIELD LOW KNEE AL/TI PRCS SST 8" WFR .4
vipermn Used - $3,000.00 0 Feb/09/16 Feb/24/16
Description: APPLIED MATERIALS AMAT 0010-66276 REV 4 AKT-1600 PECVD VACUUM ROBOT
svcstore NEW - $27.99 0 Feb/09/16 Mar/10/16
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
vizko2017 NEW - $85.00 0 Feb/11/16 Oct/17/23
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 4
gesemiconductor Used - $50.00 1 Feb/22/16 Aug/16/18
Description: Applied Materials 0100-90228 PCB 4 Phase Stepper Controller
capitolareatech NEW - $266.64 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-40209 CLAMP, U-SHAPE, SHORT, 4 PIECE CELL COVE
capitolareatech NEW - $38.96 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-21007 HARNESS 3 POS EXTENSION 4 FT
capitolareatech NEW - $206.68 0 Feb/25/16 Jun/24/16
Description: AMAT 0660-01860 CARD INTERFACE RS-232 4 PORT ISA
capitolareatech NEW - $129.68 1 Feb/25/16 May/10/16
Description: AMAT 0660-00377 Universal 4-port RS-232 board (ISA) with DB37 to DB25 x 4 cable
capitolareatech NEW - $225.00 0 Feb/25/16 Jun/24/16
Description: Novellus 15-118380-00 Ring, Retaining, 4 Piece, Thin
capitolareatech NEW - $125.03 0 Feb/25/16 Jun/24/16
Description: AMAT 4060-00231 MANF 4 STATION W/PASS THRU, 3/4" PAR-FLARE INLET, 5X1/2" PAR-FLA
capitolareatech NEW - $5,000.00 0 Feb/25/16 Jun/24/16
Description: AMAT 9240-06151 KIT, OPTION SDS ASH3/PH3, 4 TOR
farmoninc NEW - $50.00 1 Feb/28/16 Feb/29/16
Description: 4 AMAT 1200-01019 Solid State Relay, OPTO 22 model 240D45 SSR, 328715
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01461 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 Chamber, 43V, 4
capitolareatech Used - $65.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0040-76286 EMO BRACKET SKINS CENTURA WITH 2 EMERGENCY STOP BUTTONS *** 4 PA
capitolareatech Used - $65.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0020-76382 BRACKET, EMO SWITCH WITH SWITCH *** 4 PACK ***
capitolareatech Refurbished - $255.00 0 Mar/02/16 Mar/11/16
Description: AMAT 0020-24962 SHIELD LOW KNEE AL/TI PRCS SST 8" WFR .4
bobsgoodies2 Used - $240.00 0 Mar/04/16 Mar/31/22
Description: AMAT 3870-01023 Swagelok SS-4C-1 1/4" Check Valve 1 PSI Cracking (Lot of 4)
farmoninc NEW - $9.95 0 Mar/07/16 Mar/17/16
Description: 4 AMAT 1200-01019 Solid State Relay, OPTO 22 model 240D45 SSR, 328715
athomemarket Used - $2,399.99 0 Mar/08/16 Mar/15/16
Description: AMAT 0090-02783 Centura AP Etch Controller w/ CCM Flex 4 Endura CP3423
metkorea Used - $300.00 0 Mar/10/16 Apr/22/21
Description: LAM RESEARCH ESC HTR FILTER 4 CHANNEL 810-063892-001 Experdited shipping
bobsgoodies NEW - $185.00 1 Mar/10/16 May/25/17
Description: AMAT 0227-06824 SIGNAL TOWER WALL MOUNT, PATLITE WME-EN (R,A,G, B) 4 COLOR 24 V
farmoninc Used - $4,500.00 0 Mar/14/16 Jun/01/16
Description: AMAT 0040-09001 Chamber 4, 5, 6" CVD, 0040-090016G, CDS 338, 329330
athomemarket Used - $1,799.99 1 Mar/15/16 Nov/30/17
Description: AMAT 0090-02783 Centura AP Etch Controller w/ CCM Flex 4 Endura CP3423
farmoninc NEW - $9.95 0 Mar/18/16 Mar/18/16
Description: 4 AMAT 1200-01019 Solid State Relay, OPTO 22 model 240D45 SSR, 328715
conquer_2011 Used - $424.50 0 Mar/19/16 Apr/18/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
20041014625pm Scrap, for parts - $385.00 0 Mar/19/16 May/02/16
Description: Lam Research Dip AutoTune PCB 810-015932-001 Rev 4 SN GT9803157
gigabitpartsolutions NEW - $27.50 0 Mar/25/16 Feb/14/19
Description: O-Ring DU PONT AS-568A Applied Materails (AMAT) 3700-01360 PKG 4 ID .359 CSD .1
svcstore NEW - $27.99 0 Mar/25/16 Apr/24/16
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
gigabitpartsolutions NEW - $30.80 1 Mar/26/16 Oct/24/23
Description: O-Ring Chemraz PKG 4 GREEN TWEED N03450102SSE38 LAM 734-007524-286
outback6stk Scrap, for parts - $750.00 0 Mar/28/16 Apr/04/16
Description: D125568 AMAT 0010-07094 FIC 4 Port Revision:1 Controller CP3308-S000298
cleaningthegarage2014 NEW - $59.99 0 Apr/05/16 Sep/13/16
Description: GOULD Shawmut Amptrap A50P250 Type 4 FUSE 250A 500V AMAT 0910-01015, New
capitolareatech NEW - $20.00 0 Apr/06/16 Jul/05/16
Description: AMAT 3700-90284 O RING 100.96 I/D X5.33 VITON *** 4 PACK ***
capitolareatech NEW - $20.00 0 Apr/06/16 Jul/05/16
Description: AMAT 3700-01167 ORING ID 3.100 CSD .210 VITON 75DURO BLK *** 4 PACK ***
capitolareatech NEW - $25.00 0 Apr/06/16 Jul/05/16
Description: AMAT 3300-03517 FTG PIPE ADPTR RDCR 3/8FP X 1/8MP 1.3"L HEX SST *** 4 PACK
outback6stk Scrap, for parts - $600.00 1 Apr/07/16 Dec/05/16
Description: D125568 AMAT 0010-07094 FIC 4 Port Revision:1 Controller CP3308-S000298
conquer_2011 Used - $960.00 0 Apr/18/16 May/18/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
onlinesmt Refurbished - $45.00 0 Apr/19/16 May/19/16
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP RIGID COIL SUPPORT for VECTRA (Lot of 4)
capitolareatech NEW - $15.00 0 Apr/20/16 Sep/15/16
Description: AMAT 3700-90040 SEAL, DOWTY, 3/8" BSP ***4 PACK***
capitolareatech NEW - $10.00 0 Apr/20/16 Sep/15/16
Description: AMAT 3691-30524 Screw CAP SKT HD M5X45 TORX T27 316SST XYLAN 1014/570, Pack of 4
capitolareatech NEW - $15.06 0 Apr/21/16 Sep/15/16
Description: LAM 734-092559-008 O-RING, FLUORSILICONE 4,47X1,78
bobsgoodies NEW - $75.00 1 Apr/21/16 Dec/14/16
Description: AMAT 0190-75039 VHP ROBOT BRG BALL 7/8OD x 3/8ID x 7/32W, 1ROW (Lot of 4)
svcstore NEW - $27.99 0 Apr/24/16 May/24/16
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
exper-tech NEW - $120.00 0 Apr/25/16 Oct/30/18
Description: Applied Materials 0050-31592 Stainless Steel Vacuum 90 Elbow NW50, Lot of 4
capitolareatech NEW - $2.54 0 May/02/16 Jul/01/16
Description: AMAT 0015-09139 SPRING #4 BELLEVILLE WASHER ANODIZED
capitolareatech NEW - $3.75 0 May/02/16 Jul/01/16
Description: AMAT 3690-04826 Screw CAP SKT HD M3.5 X 25MM LG HEX SKT SST A2-70, Pack of 4
ecomicron NEW - $600.00 0 May/03/16 May/16/18
Description: 0100-90124, AMAT, PWBA 4 PHASE STEP.CONTRL
conquer_2011 Used - $960.00 0 May/18/16 Jun/17/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
dr.dantom Used - $900.00 0 May/19/16 Mar/01/17
Description: SST 5136-DNP-VME-4 V1.2.1 4 Channel DeviceNet VME Interface AMAT 0190-05731
onlinesmt Refurbished - $45.00 0 May/25/16 Jun/09/16
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP RIGID COIL SUPPORT for VECTRA (Lot of 4)
svcstore NEW - $27.99 0 May/26/16 Jun/25/16
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
gigabitpartsolutions NEW - $825.00 2 Jun/09/16 Jun/22/22
Description: Tool AMAT 0090-03426 set 4 300mm Assy, High Precision Reflectors and bracket
onlinesmt Refurbished - $20.00 0 Jun/09/16 Jun/16/16
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP RIGID COIL SUPPORT for VECTRA (Lot of 4)
yericomfg NEW - $20.00 0 Jun/10/16 Aug/08/18
Description: Applied Materials; Wire, Jumper 4 Pin Conn, EMO; 0150-76647
gesemiconductor NEW - $50.00 0 Jun/14/16 Jun/09/17
Description: APPLIED MATERIALS 3420-90056 Insulating Beads No. 4 Cylinder
gigabitpartsolutions NEW - $218.90 0 Jun/15/16 Jan/13/22
Description: Sensor (AMAT) 0010-09780 ASSY LEVEL TEOS / DOPANT PHASE 4
sparesllc09 Used - $5,000.00 1 Jun/15/16 Jan/10/19
Description: 0010-76000 /ROBOT 4, 5, 6 INCH ASSEMBLY DRIVE P5000/APPLIED MATERIALS
testeqe NEW - $499.99 0 Jun/16/16 Jul/11/17
Description: 4: NEW AMAT Applied Materials PN: 3870-03063 2-Way 100 PSIG Diaphragm Valve Kit
conquer_2011 Used - $960.00 0 Jun/17/16 Jul/17/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
gigabitpartsolutions NEW - $16.50 0 Jun/20/16 Apr/22/20
Description: Hardware Novellus 15-272991-00 BRACKET, MTF FT, SEISMC RESTRNT PKG 4
bobsgoodies Used - $145.00 3 Jun/23/16 Mar/13/17
Description: AMAT 0140-09664 SW WATER FLOW SWITCH 1/4FNPT Proteus 100SS24 .4 GPM
bobsgoodies NEW - $145.00 1 Jun/24/16 Jan/13/21
Description: Applied Materials 0150-36020 Water Flow Switch3/8" Hose Proteus 100SS24, .4 GPM
svcstore NEW - $24.99 0 Jun/28/16 Jul/28/16
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
tdindustrial NEW - $10.00 0 Jun/30/16 Nov/19/21
Description: AMAT O-Ring, 3700-03430, Lot of 4, New
capitolareatech NEW - $25.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-03426 Vespel Plug pkg 4
capitolareatech NEW - $7.95 0 Jul/08/16 Sep/15/16
Description: AMAT 0910-01338 Fuse HLDR 5X20MM 20A/300V 15MM DIN, TypST-SI-UK 4
capitolareatech NEW - $8.68 0 Jul/08/16 Sep/15/16
Description: AMAT 0720-91547 Connector Housing Frame 4 Module
capitolareatech NEW - $21.41 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-30370 COVER ALUM #4 SCR HD PROTECTOR PRSP
capitolareatech NEW - $60.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0090-77182 GRIPPER SENSOR HARNESS, ARM 4
capitolareatech NEW - $159.69 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-90870 PWBA 4 PHASE STEPPER
capitolareatech NEW - $765.74 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-90789 LOOM ASSY 10A.P3,4,5,6/13A....
capitolareatech NEW - $280.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-77153 HT ROT 4 PWR, MNFRM BKHD
capitolareatech NEW - $325.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0190-01816 HEATER, DOOR LL A/B NARROW BODY 4 CH
capitolareatech NEW - $117.87 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-97368 Cable Assembly, Trans CH MF Dir Driver RSLVR 4
capitolareatech NEW - $67.10 0 Jul/08/16 Sep/15/16
Description: AMAT 0226-31332 SIGNAL TOWER ASSY 4 POS
capitolareatech NEW - $225.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01506 Heater Jacket, Lower/Bypass, Zone 4, Chamber
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01461 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 Chamber, 43V, 4
capitolareatech NEW - $35.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-00934 Heater Jacket, 30 MIL B Layer Upper Zone 4 Cham, 23V, 20W
capitolareatech NEW - $225.00 0 Jul/08/16 Sep/15/16
Description: Novellus 15-118380-00 Ring, Retaining, 4 Piece, Thin
capitolareatech NEW - $80.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3810-01058 STUD SELF-EJECTING 1/4 TURN SIZE 4 .60L
capitolareatech NEW - $125.03 0 Jul/09/16 Sep/15/16
Description: AMAT 4060-00231 MANF 4 STATION W/PASS THRU, 3/4" PAR-FLARE INLET, 5X1/2" PAR-FLA
capitolareatech NEW - $5,000.00 0 Jul/09/16 Sep/15/16
Description: AMAT 9240-06151 KIT, OPTION SDS ASH3/PH3, 4 TOR
capitolareatech NEW - $20.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3700-90284 O RING 100.96 I/D X5.33 VITON *** 4 PACK ***
capitolareatech NEW - $20.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3700-01167 ORING ID 3.100 CSD .210 VITON 75DURO BLK *** 4 PACK ***
capitolareatech NEW - $25.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3300-03517 FTG PIPE ADPTR RDCR 3/8FP X 1/8MP 1.3"L HEX SST *** 4 PACK ***
capitolareatech Used - $65.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0040-76286 EMO BRACKET SKINS CENTURA WITH 2 EMERGENCY STOP BUTTONS *** 4 PA
capitolareatech Used - $65.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0020-76382 BRACKET, EMO SWITCH WITH SWITCH *** 4 PACK ***
capitolareatech Used - $175.00 0 Jul/10/16 Jul/13/16
Description: Applied Materials 1270-01776 SET FLOW TO 1.00 GPM (4 LPM)
conquer_2011 Used - $960.00 0 Jul/17/16 Aug/16/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
visionsemi NEW - $50.00 0 Jul/19/16 Jan/24/22
Description: 3090-01104 APPLIED MATERIALS AMAT SILVER PLATE HEX BOLT SET OF 4 Hex 5/16-18x 5
capitolareatech Used - $175.00 0 Jul/19/16 Sep/15/16
Description: Applied Materials 1270-01776 SET FLOW TO 1.00 GPM (4 LPM)
smartelektronikgmbh NEW - $264.00 0 Jul/20/16 Jan/01/18
Description: AMAT 0150-10244 // CABLE ASSY MFC TO L11FB (4 pieces)
ecomicron Used - $4,600.00 0 Jul/22/16 Apr/05/22
Description: 0150-75205, AMAT, EMC COMP,CABLE ASSY CHAM UMBIL,A,B,C,D 4
electronics-abq Used - $849.95 1 Jul/22/16 May/11/23
Description: KollMorgen Maguedyne 0190-36315 4 Channel Magnet Driver Applied Materials AMAT
bornalliancecom Used - $225.00 0 Jul/25/16 Dec/10/19
Description: Applied Materials 0010-70321 Slit Valve Assy. Precision 500 Mark II ( Lot of 4)
bornalliancecom Used - $25,000.00 0 Jul/26/16 Mar/03/22
Description: Applied Materials 0290-09275 Version 4 Teos Hotbox Intel Precision 5000
smartelektronikgmbh NEW - $67.00 0 Jul/27/16 Feb/16/18
Description: AMAT 3070-00005 // FTG BLWS SECTION FLEX NW50XNW50 2"ID X 4
testeqe NEW - $124.99 0 Jul/29/16 Jul/24/17
Description: Qty. 4: NEW AMAT/Applied Materials PN: 0020-00927 Viewport, Glass (Load) Window
svcstore NEW - $21.99 0 Jul/29/16 Aug/28/16
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
benta09 NEW - $100.00 0 Aug/03/16 Sep/02/16
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
testeqe NEW - $199.99 0 Aug/04/16 Jun/30/17
Description: NEW AMAT/Applied Materials PN: 1410-01513 Watlow Heater Jacket 30 Mil 5 V, 4 W
testeqe NEW - $399.99 0 Aug/05/16 Jul/01/17
Description: NEW AMAT PN: 0195-00042 110C Zone 4 Heater Top Level Assy, Producer SE Line
vipermn Used - $180.00 0 Aug/05/16 Sep/06/16
Description: AMAT 0020-31999 ELECTRO-STATIC CHUCK - LOT OF 4
pohyh NEW - $1,200.00 4 Aug/12/16 Jul/27/18
Description: 5621 APPLIED MATERIAL VHP HUB BEARING (LOT OF 4), NEW 0190-00959
conquer_2011 Used - $960.00 0 Aug/16/16 Sep/15/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
surplusseller13 Used - $52.45 0 Aug/17/16 Dec/06/17
Description: LAM 855-010409-007 Programmable CPU EPROM Ver H Lot of 4 Used
ecomicron NEW - $160.00 1 Aug/17/16 May/17/22
Description: CUTLER-HAMMER D15CR31TB MULTI-POLE RELAY 600V, 24V COIL, 4 POLE, 3 N.O./1 N.C.
used1eqsales Used - $908.16 0 Aug/30/16 Oct/11/17
Description: AMAT 9090-00790 Resistor (Lot of 4) AMAT Quantum X used working
svcstore NEW - $18.99 0 Aug/29/16 Sep/16/16
Description: Lot of 4 NEW Lam Research 85.725mm Pit Eband Instl 374/377 713-102458-004
benta09 NEW - $100.00 0 Sep/03/16 Oct/03/16
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
pohyh Used - $150.00 1 Sep/06/16 Jul/04/17
Description: 7114 APPLIED MATERIAL PCB 4 CHANNEL DEVICENET SCANNER 0190-34512
outback6stk NEW - $300.00 0 Sep/06/16 Sep/13/16
Description: Z131520 (4) AMAT 3870-01486 Parker Veriflo 944 AOPHP NC S FSMM Diaphragm Valve
yericomfg Used - $400.00 1 Sep/07/16 Feb/22/17
Description: SST/Woodhead; SST- DNP-PCI-4, PCI 4 PORT Interface Board / AMAT 0190-15756
autoquip7 NEW - $2,200.00 0 Sep/12/16 Jul/25/22
Description: 0100-09124, APPLIED MATERIALS, PWBA 4 PHASE STEP CONTROL
conquer_2011 Used - $960.00 0 Sep/15/16 Oct/15/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
farmoninc NEW - $350.00 0 Sep/15/16 Dec/04/17
Description: AMAT 0190-36940 CYBERSERIAL 4S PCIE 4 PORT 401491
outback6stk NEW - $100.00 0 Sep/19/16 Sep/26/16
Description: Z131520 (4) AMAT 3870-01486 Parker Veriflo 944 AOPHP NC S FSMM Diaphragm Valve
capitolareatech NEW - $39.51 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04397 CABLE, SHELF #4
capitolareatech NEW - $295.97 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-08083 4 CH TO BACK PANEL CABLE ASSEMBLY
capitolareatech NEW - $17.72 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-00429 Power Cable AC 15A 250V 6-15P/IEC320-C13 1, 4 1/2 feet long
capitolareatech NEW - $225.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01506 Heater Jacket, Lower/Bypass, Zone 4, Chamber
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01461 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 Chamber, 43V, 4
capitolareatech NEW - $35.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-00934 Heater Jacket, 30 MIL B Layer Upper Zone 4 Cham, 23V, 20W
capitolareatech NEW - $4.95 0 Sep/20/16 Nov/19/16
Description: AMAT 3880-01022 WSHRLKG SPLIT #4 .203OD X .120ID X .025THK SST
capitolareatech NEW - $5,000.00 0 Sep/20/16 Nov/19/16
Description: AMAT 9240-06151 KIT, OPTION SDS ASH3/PH3, 4 TOR
capitolareatech NEW - $37.50 2 Sep/20/16 Oct/05/16
Description: AMAT 0090-20043 ASSY, 4 WAY-SINGLE VALVE
capitolareatech NEW - $9.95 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 713-034964-001 WSHR, .417IDX1.00ODX.25THK, 300MM, REV C ***Lot of 4
capitolareatech Used - $175.00 0 Sep/20/16 Oct/20/16
Description: Applied Materials 1270-01776 SET FLOW TO 1.00 GPM (4 LPM)
capitolareatech NEW - $8.14 0 Sep/20/16 Nov/19/16
Description: NOVELLUS 34-158908-00 CONTACTOR, AUX CONTACT, 4 NO
capitolareatech NEW - $95.00 0 Sep/22/16 Oct/06/16
Description: AMAT 0090-70015 SMC NVZ3243 - ASSEMBLY 4 WAY DOUBLE FOR SLIT
yericomfg NEW - $30.00 0 Sep/26/16 Aug/08/18
Description: Applied Materials; 0680-01848, SUPPL PROTEC CUR-LIMIT 1P 240VAC 4, Cuttler Hamme
yericomfg NEW - $20.00 0 Sep/26/16 Aug/08/18
Description: Applied Materials; 3310-90040, 4 Bar Pressure Guage, SMC 5K4
outback6stk NEW - $9.99 0 Sep/29/16 Oct/06/16
Description: Z131520 (4) AMAT 3870-01486 Parker Veriflo 944 AOPHP NC S FSMM Diaphragm Valve
farmoninc NEW - $750.00 0 Sep/30/16 Feb/22/18
Description: 4 AMAT 0200-09933 Pin, Wafer Lift Heater 416644
farmoninc Used - $95.00 0 Oct/04/16 Mar/16/23
Description: 4 AMAT 3300-02507, FTG Pipe, 1/4-18 NPT 9/16 HEX, 0240-37720. 416810
benta09 NEW - $100.00 0 Oct/06/16 Nov/05/16
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
tjtechseller NEW - $95.00 1 Oct/11/16 Jan/11/23
Description: 0090-20043 AMAT ASSY, 4 WAY-SINGLE VALVE
prism_electronics11 Used - $207.99 0 Oct/12/16 Jan/20/22
Description: Lot Of 4 Lam Research 713-050506-001 Rev. A
farmoninc Used - $400.00 0 Oct/14/16 Mar/16/23
Description: 4 AMAT 0021-36900, Cover, Probes, 300MM RTP. 417228
conquer_2011 Used - $960.00 0 Oct/15/16 Nov/14/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
tdindustrial NEW - $25.00 1 Oct/21/16 Nov/29/18
Description: AMAT Pin, Adv Clamp, BWCVD, 0020-30033 REV 001, Lot of 4, New, Sealed
jericotagl NEW - $150.00 1 Oct/24/16 Dec/12/16
Description: AMAT 0050-07469 / 42600726 GAS MANIFOLD W/ 4 X VERIFLO DIAPHRAGM VALVES - NEW
ypspare Used - $1,200.00 0 Oct/29/16 Jan/24/19
Description: AMAT APPLIED MATERIALS 0040-21402 REV. 006 Bellows LOT OF 4 SOLD AS-IS
benta09 NEW - $100.00 0 Nov/09/16 Dec/09/16
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
conquer_2011 Used - $960.00 0 Nov/14/16 Dec/14/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
farmoninc NEW - $150.00 0 Nov/14/16 Mar/03/21
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelock 6LV-BNBW4-C, 418488
farmoninc Used - $150.00 0 Nov/14/16 Sep/10/21
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelock 6LV-BNBW4-C, 418487
farmoninc Used - $150.00 0 Nov/14/16 Sep/10/21
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelock 6LV-BNBW4-C, 418486
farmoninc Used - $150.00 0 Nov/16/16 Sep/10/21
Description: AMAT 0050-09789, Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4-C. 418612
farmoninc Used - $250.00 0 Nov/22/16 Sep/10/21
Description: 2 AMAT 0050-09789, Weldment, Valve, Ver 4 TEOS, Swagelok 6LV-BNBW4-C. 419138
farmoninc Used - $150.00 0 Nov/21/16 Sep/10/21
Description: AMAT 0050-09789 Weldment, Final Valve, Ver 4 TEOS, Swagelok 6LV-BNBW4-C, 419135
farmoninc Used - $150.00 0 Nov/21/16 Sep/10/21
Description: AMAT 0050-09789 Weldment, Final Valve, Ver 4 Teos, Nupro 6LV-BNBW4-C, 419134
farmoninc Used - $125.00 0 Nov/21/16 Sep/10/21
Description: AMAT 0050-09789 Weldment, Final Valve, Ver 4 Teos, Nupro 6LV-BNBW4-C 419132
ab-international Used - $195.00 0 Nov/20/16 Nov/30/16
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
ab-international NEW - $40.00 0 Nov/20/16 Nov/30/16
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
farmoninc Used - $150.00 0 Nov/22/16 Sep/10/21
Description: AMAT 0050-09789, Weldment, Final Valve, Ver 4 TEOS, Swagelok 6LV-BNBW4-C. 419140
farmoninc Used - $150.00 0 Nov/28/16 Sep/10/21
Description: AMAT 0050-09789, Weldment, Final Valve, Ver 4 TEOS, Swagelok 6LV-BNBW4-C. 419318
farmoninc Used - $150.00 0 Nov/28/16 Sep/10/21
Description: AMAT 0050-09789, Weldment, Final Valve, Ver 4 TEOS, Swagelok 6LV-BNBW4-C. 419319
be4049 NEW - $1,440.00 0 Nov/28/16 Aug/28/20
Description: 0021-06148 AMAT CLAMP RING, 8 SEMI NOTCH, 4 ALIGNMENT T
farmoninc Used - $150.00 0 Nov/29/16 Sep/10/21
Description: AMAT 0050-09789, Weldment, Final Valve, Ver 4 TEOS, Swagelok 6LV-BNBW4-C. 419386
atxdeals4u Used - $175.00 0 Nov/30/16 Dec/07/16
Description: AMAT 0190-15756 REV. 001 SST-DNP-PCI-4 4 Port Interface Board
ab-international NEW - $40.00 0 Dec/10/16 Dec/20/16
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
ab-international Used - $195.00 0 Dec/10/16 Dec/20/16
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
conquer_2011 Used - $1,020.80 0 Dec/14/16 Jan/13/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
capitolareatech NEW - $22.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-78659 Plug, Inter Platen PKG 4
capitolareatech NEW - $129.95 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-39174 Bracket, Coil, 4 Holes
capitolareatech NEW - $38.96 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-21007 HARNESS 3 POS EXTENSION 4 FT
capitolareatech NEW - $17.72 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-00429 Power Cable AC 15A 250V 6-15P/IEC320-C13 1, 4 1/2 feet long
capitolareatech NEW - $225.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-01506 Heater Jacket, Lower/Bypass, Zone 4, Chamber
capitolareatech NEW - $50.00 0 Dec/19/16 Mar/28/18
Description: AMAT 1410-01461 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 2 Chamber, 43V, 4
capitolareatech NEW - $35.00 0 Dec/19/16 Sep/24/19
Description: AMAT 1410-00934 Heater Jacket, 30 MIL B Layer Upper Zone 4 Cham, 23V, 20W
capitolareatech NEW - $225.00 0 Dec/19/16 Jun/19/20
Description: Novellus 15-118380-00 Ring, Retaining, 4 Piece, Thin
capitolareatech NEW - $15.00 0 Dec/20/16 Jun/20/20
Description: AMAT 3700-90040 SEAL, DOWTY, 3/8" BSP ***4 PACK***
capitolareatech NEW - $125.03 0 Dec/20/16 Jun/20/20
Description: AMAT 4060-00231 MANF 4 STATION W/PASS THRU, 3/4" PAR-FLARE INLET, 5X1/2" PAR-FLA
capitolareatech NEW - $15.06 0 Dec/20/16 Jun/20/20
Description: LAM 734-092559-008 O-RING, FLUORSILICONE 4,47X1,78
capitolareatech NEW - $2,995.00 0 Dec/20/16 Jun/20/20
Description: AMAT 9240-06151 KIT, OPTION SDS ASH3/PH3, 4 TOR
capitolareatech NEW - $20.00 0 Dec/20/16 Jun/20/20
Description: AMAT 3700-90284 O RING 100.96 I/D X5.33 VITON *** 4 PACK ***
capitolareatech NEW - $19.95 0 Dec/20/16 Jun/20/20
Description: AMAT 3700-01167 ORING ID 3.100 CSD .210 VITON 75DURO BLK *** 4 PACK ***
capitolareatech NEW - $95.00 0 Dec/20/16 Jul/27/18
Description: AMAT 0090-70015 SMC NVZ3243 - ASSEMBLY 4 WAY DOUBLE FOR SLIT
allforsale555 NEW - $499.00 1 Dec/23/16 Jan/03/18
Description: APPLIED MATERIALS 3400-01084 FLEXLN ASSY 1/2'' ID FST X FEL L-39'' LOT OF (4)
benta09 NEW - $100.00 0 Dec/28/16 Jan/27/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
ab-international NEW - $37.57 0 Jan/03/17 Jan/13/17
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
ab-international Used - $183.16 0 Jan/03/17 Jan/13/17
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
alvin1462 NEW - $888.00 2 Jan/04/17 Jul/10/17
Description: APPLIED MATERIALS AMAT 0190-28951 KVM SWITCH, 4 PC INPUT, 3 USER LOCATION NEW
20041014625pm Used - $1,795.00 0 Jan/07/17 Mar/14/17
Description: Lam Research 854-011153-001 & 002 Set Of 4 Lead Screws Gap Drive Assembly
spsglobal NEW - $1.00 0 Jan/10/17 Apr/23/18
Description: AMAT APPLIED MATERIALS 3880-01022 WASHER,LKG SPLIT #4 .025 NEW
conquer_2011 Used - $1,160.00 0 Jan/13/17 Feb/12/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
actionsystems Used - $135.00 1 Jan/20/17 Sep/07/17
Description: Qty 4 Applied Materials Universal Vacuum Gauge 0190-26769 w/ Sensors 0190-26769
visionsemi NEW - $950.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT TC ASSEMBLY THERMOCOUPLE 1310-50000 NEW LOT OF 4
csi.usa NEW - $45.00 0 Feb/02/17 Dec/29/17
Description: NOVELLUS 15-108174-00 CUP,SCREW COVER LOT OF 4
ssplasma NEW - $445.00 0 Feb/03/17 Mar/01/17
Description: Applied Materials Relay Interface 4 Chambers 0130-35062 Rev P2
ab-international Used - $183.16 0 Feb/05/17 Feb/15/17
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
ab-international NEW - $37.57 0 Feb/05/17 Feb/15/17
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
benta09 NEW - $100.00 0 Feb/08/17 Mar/10/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
conquer_2011 Used - $1,020.80 0 Feb/12/17 Mar/14/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
usedeqsales Used - $152.17 1 Feb/17/17 Feb/23/17
Description: VAT 80642-R1 Leaf Spring Bearing Lam Research 754-092082-002 Lot of 4 New
usedeqsales NEW - $152.17 1 Feb/17/17 Mar/09/17
Description: Novellus Systems 15-113064-00 Nozzel Injector Speed CVD Reseller Lot of 4 New
alan266153 Scrap, for parts - $2,000.00 0 Feb/23/17 Mar/25/17
Description: AMAT 0010-70149 & 0010-70264 Centura / Endura HP Robot Mag Drives ( 4 ) total
usedeqsales NEW - $252.17 0 Feb/27/17 Jul/27/20
Description: AMAT Applied Materials 0040-96830 3mm Button Cathode Reseller Lot of 4 New Surpl
kenyaman66 NEW - $50.00 0 Feb/28/17 Apr/13/17
Description: Hytron 4060-00075 K1S block, AMAT / Applied Materials 220-32208-000, Rev 4
ab-international Used - $183.16 0 Mar/05/17 Mar/15/17
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
ab-international NEW - $37.57 0 Mar/05/17 Mar/15/17
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
allforsale555 NEW - $399.00 1 Mar/07/17 Mar/07/17
Description: AMAT 0040-77515 DDF3 TRVL HSG (LOT OF 4)
benta09 NEW - $100.00 0 Mar/11/17 Apr/10/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
conquer_2011 Used - $1,160.00 0 Mar/14/17 Apr/13/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
bobsgoodies Used - $650.00 1 Mar/16/17 Mar/19/17
Description: AMAT 0010-76675 ASSY, PVD 3-WAY VALVE SUPPLY (POS 2,4,D), SST Applied Materials
j316gallery Used - $3,055.25 0 Mar/28/17 Nov/02/20
Description: 8120 APPLIED MATERIAL KVM SWITCH, 4 PC INPUT, 3 USER LOCATION OUTPUT, 0190-37616
bobsgoodies2 Used - $45.00 0 Mar/29/17 Mar/31/22
Description: AMAT 1010-01223 Lamp Bulb Halogen 24V 1000Hr USHIO JC 24V-20W G4 (Lot of 4)
visionsemi NEW - $350.00 1 Mar/30/17 Apr/21/17
Description: VAT 80642-R1 Leaf Spring Bearing Lam Research 754-092082-002 Lot of 4 New
levmucciacciar0 Used - $950.00 1 Apr/02/17 May/03/17
Description: Applied Materials 0190-12182 CARD MOTION DELTA TAU 4 SERVO/STEPPER AX
biggbsurplus NEW - $499.50 0 Apr/02/17 May/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
alan266153 Scrap, for parts - $1,500.00 1 Apr/04/17 Apr/05/17
Description: AMAT 0010-70149 & 0010-70264 Centura / Endura HP Robot Mag Drives ( 4 ) total
bobsgoodies Used - $145.00 3 Apr/06/17 Mar/01/18
Description: AMAT 0140-09664 SW WATER FLOW SWITCH 1/4FNPT Proteus 100SS24 .4 GPM
conquer_2011 Used - $1,160.00 0 Apr/13/17 May/13/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
ssplasma Used - $445.00 0 Apr/20/17 Jun/15/23
Description: Applied Materials Relay Interface 4 Chambers AMAT Part# 0130-35062 Rev P2
benta09 NEW - $100.00 0 Apr/29/17 May/29/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
ab-international Used - $183.16 0 Apr/30/17 May/10/17
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
ab-international NEW - $37.57 0 Apr/30/17 May/10/17
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
biggbsurplus NEW - $499.50 0 May/03/17 Jun/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
conquer_2011 Used - $1,160.00 0 May/13/17 Jun/12/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
biggbsurplus NEW - $499.50 0 Jun/02/17 Jul/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
valincorporation NEW - $65.00 0 Jun/01/17 Jul/01/17
Description: AMAT 0020-45368 Component of ASSY, CHAMBER 300MM eMAX AP 0010-16373 REV 4
benta09 NEW - $100.00 0 Jun/08/17 Jul/08/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
used1eqsales Used - $4,008.14 0 Jun/08/17 Mar/27/18
Description: AMAT 0010-22568 CPI-VMO Chamber Source 4 Rev 001 AMAT Endura 300mm used working
used1eqsales NEW - $1,205.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0021-43797 Shield Lower Rev 4 new surplus
conquer_2011 Used - $816.00 0 Jun/12/17 Jul/12/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
outback6stk Scrap, for parts - $100.00 1 Jun/12/17 Jun/19/17
Description: V140347 Lot (4) Bodine Electric 24A4BEPM-3F Gear Motors AMAT# 1080-01241
j316gallery Used - $500.00 0 Jun/13/17 Dec/07/17
Description: 8768 APPLIED MATERIAL CABLE ASSY CHAMBER 4 INTCNT 50FT (15.24M) 0150-21231
athomemarket NEW - $99.99 0 Jun/15/17 Jun/22/17
Description: (Lot of 4) NEW AMAT 3400-02034 Bellows 2"x18" Flex Hose NW50 Stainless
outback6stk Scrap, for parts - $100.00 1 Jun/20/17 Jun/27/17
Description: V140684 Lot of 4 Bodine Electric 24A4BEPM-3F Gear Motors AMAT# 1080-01241
ab-international NEW - $37.57 0 Jun/21/17 Jul/01/17
Description: Lot of 4 New Amat Applied Materials 0150-04414 Cable Assy, NF3 Pressure Intlk
outback6stk Scrap, for parts - $100.00 1 Jun/22/17 Sep/13/17
Description: V140798 Lot (4) Bodine Electric 24A4BEPM-3F Gear Motors AMAT# 1080-01241
athomemarket NEW - $99.99 1 Jun/23/17 Jul/30/17
Description: (Lot of 4) NEW AMAT 3400-02034 Bellows 2"x18" Flex Hose NW50 Stainless
athomemarket NEW - $69.99 0 Jun/25/17 Oct/17/18
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
testeqe NEW - $499.99 0 Jun/28/17 Aug/27/17
Description: 4: NEW AMAT Applied Materials 4020-00008 Disposable Filter .2µm Pore Kit
testeqe NEW - $34.99 0 Jun/28/17 Aug/27/17
Description: 4: NEW AMAT Applied Materials 3700-01416 Viton ID 13.975 O-Ring Oring Kit
testeqe NEW - $34.99 0 Jun/28/17 Aug/27/17
Description: 4: NEW AMAT Applied Materials 3700-01378 Viton ID 14.975 O-Ring Oring Kit
testeqe NEW - $24.99 0 Jun/28/17 Aug/27/17
Description: 4: NEW AMAT Applied Materials 3700-02986 .61ID .10CSD O-Ring Oring Kit
testeqe NEW - $499.99 0 Jun/28/17 Aug/27/17
Description: 4: NEW AMAT Applied Materials PN: 3870-03063 2-Way 100 PSIG Diaphragm Valve Kit
testeqe NEW - $199.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT/Applied Materials PN: 1410-01513 Watlow Heater Jacket 30 Mil 5 V, 4 W
testeqe NEW - $124.99 0 Jun/28/17 Aug/27/17
Description: Qty. 4: NEW AMAT/Applied Materials PN: 0020-00927 Viewport, Glass (Load) Window
testeqe NEW - $399.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT PN: 0195-00042 110C Zone 4 Heater Top Level Assy, Producer SE Line
testeqe Used - $543.34 0 Jun/28/17 Aug/27/17
Description: AMAT PN: 0190-27649 ConnectPort TS 4 Ethernet-Serial 4-Port Digi Switch Applied
tdindustrial Used - $695.00 6 Jun/29/17 Feb/16/18
Description: AMAT Current Driver, 0010-40118, 4 Sorensen DCS 40-25M37 Power Supplies, As-Is
biggbsurplus NEW - $499.50 0 Jul/03/17 Aug/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
athomemarket NEW - $20.00 1 Jul/04/17 Jul/07/18
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
valincorporation NEW - $65.00 0 Jul/05/17 Aug/04/17
Description: AMAT 0020-45368 Component of ASSY, CHAMBER 300MM eMAX AP 0010-16373 REV 4
sammy_etek NEW - $1,420.00 2 Jul/05/17 Jul/24/17
Description: 0190-05731, AMAT, DNP-VME-4 4 CHANNEL DEVICENET
conquer_2011 Used - $960.00 0 Jul/12/17 Aug/11/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
j316gallery Used - $89.00 0 Jul/16/17 Jun/24/21
Description: 8997 LAM RESEARCH 4 PORT ELEAL VLV + MANF ASSY W/ INDICATORS 853-800722-003
benta09 NEW - $100.00 0 Jul/26/17 Aug/25/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
be4049 Used - $2,500.00 0 Jul/26/17 Jan/23/20
Description: 0010-76000 AMAT ROBOT 4, 5, 6 INCH ASSEMBLY DRIVE P5000
ntsurplus302 NEW - $35.00 0 Jul/31/17 Feb/01/19
Description: 3196 4 Applied Materials 0680-00400 Loto Device For Supplemental Circuit Protect
vizvik16 NEW - $100.00 0 Aug/01/17 Oct/28/19
Description: AMAT 0015-01137 REV 002 SPRING 19 FL .084 ID .120 OD SST LOT OF 4
biggbsurplus NEW - $499.50 0 Aug/02/17 Sep/01/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
valincorporation NEW - $65.00 0 Aug/04/17 Jul/25/19
Description: AMAT 0020-45368 Component of ASSY, CHAMBER 300MM eMAX AP 0010-16373 REV 4
conquer_2011 Used - $960.00 0 Aug/11/17 Sep/10/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
bobsgoodies2 Used - $100.00 0 Aug/16/17 Mar/31/22
Description: AMAT 0021-77703 BRKT, GRIPPER, W.B. RIGHT Applied Materials Bracket (Lot of 4)
testeqe NEW - $499.99 0 Aug/29/17 Nov/29/19
Description: 4: NEW AMAT Applied Materials PN: 3870-03063 2-Way 100 PSIG Diaphragm Valve Kit
testeqe NEW - $34.99 1 Aug/29/17 May/07/18
Description: 4: NEW AMAT Applied Materials 3700-01416 Viton ID 13.975 O-Ring Oring Kit
testeqe NEW - $34.99 1 Aug/29/17 Jan/22/19
Description: 4: NEW AMAT Applied Materials 3700-01378 Viton ID 14.975 O-Ring Oring Kit
testeqe Used - $543.34 1 Aug/29/17 Mar/10/20
Description: AMAT PN: 0190-27649 ConnectPort TS 4 Ethernet-Serial 4-Port Digi Switch Applied
biggbsurplus NEW - $499.50 0 Sep/01/17 Oct/01/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
allforsale555 Used - $499.00 1 Sep/06/17 Feb/04/18
Description: AMAT, Applied Materials, plasma detect ultima clean PCB 0100-18035 ( lot of 4 )
asmtk Used - $1,000.00 1 Sep/11/17 Aug/20/21
Description: Applied Materials 0226-31128 ASSY VERSION 4 SIGNAL LAMP PCB AMAT
conquer_2011 Used - $336.00 0 Sep/10/17 Sep/21/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
visionsemi NEW - $45.00 1 Sep/11/17 Dec/01/17
Description: LAM RESEARCH CLAMP BOLT COVER 713-011585-004 PACK OF 4
biggbsurplus NEW - $499.50 0 Oct/01/17 Oct/31/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
dcdirecttech Used - $259.95 0 Oct/20/17 Oct/27/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $184.95 0 Oct/20/17 Oct/27/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
spsglobal Used - $1,300.00 1 Oct/26/17 Dec/13/17
Description: AMAT APPLIED MATERIALS 3870-02287 VALVE GATE VAC PNEU DN100 4 ALUM USED
spsglobal Used - $20.00 0 Oct/31/17 Mar/03/22
Description: 342-0202// AMAT APPLIED 0020-75745 SHIELD TERM BLOCK 4 POS. 7/16 NEW
j316gallery Used - $699.04 0 Oct/31/17 Aug/27/21
Description: 9819 APPLIED MATERIALS HEATER (4 NOTCH), 0190-21797, 0040-22802 0020-25478
allforsale555 Scrap, for parts - $499.00 1 Nov/01/17 May/08/18
Description: APPLIED MATERIAL ANALOG I/O BOARD ASSY 0100-00825 (1) 0100-20100 (3)( lot of 4 )
vizko2017 Used - $2,000.00 0 Nov/03/17 Oct/24/19
Description: AMAT Applied Materials 0010-22567 CPI-VMO Chamber Source 4
biggbsurplus NEW - $499.50 1 Nov/03/17 Nov/17/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
dcdirecttech Used - $249.95 0 Nov/04/17 Nov/11/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $174.95 0 Nov/04/17 Nov/11/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
j316gallery NEW - $95.00 1 Nov/08/17 Nov/14/18
Description: 10069 APPLIED MATERIAL ASSEMBLY 4 WAY DOUBLE FOR SLIT (NEW) 0090-70015
j316gallery NEW - $75.00 0 Nov/08/17 Dec/16/21
Description: 10067 APPLIED MATERIALS ASSY, 4 WAY-SINGLE VALVE (NEW) 0090-20043
j316gallery NEW - $160.00 0 Nov/08/17 Jan/08/22
Description: 10063 APPLIED MATERIALS HTR JACKET ZONE 4 ITEM8 200MM PROD NEW 1410-00309
j316gallery Used - $100.00 0 Nov/08/17 Jan/08/22
Description: 10010 APPLIED MATERIALS HTR JACKET ZONE 4 ITEM 11 200MM PRODUCER 1410-00312
j316gallery NEW - $100.00 0 Nov/09/17 Jan/09/22
Description: 10093 APPLIED MATERIALS HEATER JKT, ZONE 4, ITEM 6, 200MM PROD (NEW) 1410-00307
dcdirecttech Used - $164.95 0 Nov/12/17 Nov/19/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $234.95 0 Nov/12/17 Nov/19/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
electronicpals NEW - $149.00 0 Nov/12/17 Apr/13/19
Description: AMAT Applied Materials 0041-24855 Lot Of 4 New
j316gallery NEW - $120.00 0 Nov/16/17 Jan/16/22
Description: 9861 APPLIED MATERIALS HEATER JKT, 12V, 6W, ZONE 4, 200MM PROD (NEW) 1410-00304
j316gallery NEW - $120.00 0 Nov/16/17 Jan/16/22
Description: 9860 APPLIED MATERIALS HEATER JKT, 16V, 9W, ZONE 4, 200MM PROD (NEW) 1410-00302
j316gallery NEW - $150.00 0 Nov/16/17 Jan/16/22
Description: 9863 APPLIED MATERIALS HEATER JKT, 16V, 9W, ZONE 4, 200MM PROD (NEW) 1410-00311
dcdirecttech Used - $224.95 0 Nov/22/17 Nov/29/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $149.95 0 Nov/22/17 Nov/29/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $124.95 0 Dec/09/17 Dec/16/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $184.95 0 Dec/09/17 Dec/16/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
midwestsemi Used - $28.00 0 Dec/09/17 Mar/03/22
Description: LOT OF 4 NEW 3320-01177 PARKER 50-2V-2LP VCR FITTING GASKET FREESHIPSAMEDAY
bobsgoodies2 Used - $30.00 0 Dec/17/17 Mar/31/22
Description: AMAT 0910-01128 FUSE FAST ACTING 4 A 250V 5X20 MM GLASS (Lot of 5 fuses)
usedeqsales NEW - $50.00 0 Dec/20/17 Jan/02/18
Description: APPLIED MATERIALS 3420-90056 Insulating Beads No. 4 Cylinder
capitolareatech NEW - $1,400.00 0 Dec/21/17 May/02/18
Description: AMAT 0100-35062 PCB Assembly, Relay Interface 4 Chambers
dcdirecttech Used - $174.95 0 Dec/26/17 Jan/02/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $114.95 0 Dec/26/17 Jan/02/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $104.95 0 Jan/03/18 Jan/10/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $164.95 0 Jan/03/18 Jan/10/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
spsglobal Used - $800.00 0 Jan/08/18 Mar/03/22
Description: 104-0401// AMAT APPLIED 4060-00231 MANF 4 STATION W/PASS THRU, 3/4 PAR-FLA NEW
dcdirecttech Used - $99.95 0 Jan/13/18 Jan/20/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $154.95 0 Jan/13/18 Jan/20/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $149.95 0 Jan/20/18 Jan/27/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $84.95 0 Jan/20/18 Jan/27/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
allforsale555 Scrap, for parts - $399.00 1 Jan/21/18 May/26/20
Description: AMAT 0200-00247 RING, CAPTURE, 195MM SNNF CERAMIC , DPS ( lot of 4 )
spsglobal Used - $400.00 0 Jan/21/18 Mar/03/22
Description: 129-0601// AMAT APPLIED 0010-09103 (#4) CABLE STAND ALONE MONITOR USED
allforsale555 NEW - $799.00 1 Jan/26/18 Jan/30/19
Description: APPLIED MATERIALS (AMAT) 0020-61315 ROT ASSY ( LOT OF 4 )
dcdirecttech Used - $134.95 0 Jan/28/18 Feb/04/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $74.95 0 Jan/28/18 Feb/04/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
usedsemiequipment NEW - $3,175.00 0 Jan/30/18 Apr/06/20
Description: LAM ADIO 4 Board, PN: 810-017031-004
allforsale555 Used - $499.00 0 Feb/03/18 Jul/29/21
Description: APPLIED MATERIALS (AMAT) 0110-09244 ( lot of 4 )
dcdirecttech Used - $64.95 0 Feb/04/18 Feb/11/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $124.95 0 Feb/04/18 Feb/11/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
bobsgoodies2 Used - $24.50 1 Feb/10/18 Mar/31/22
Description: AMAT 0910-01393 Gould Shawmut ATQR4 4 Amp Trap 600VAC Time Delay Fuse (Lot of 6
bobsgoodies2 Used - $25.00 1 Feb/10/18 Mar/31/22
Description: AMAT 0910-01018 LITTLEFUSE 251004 PICO FUSE 4 AMP (Lot of 50)
dcdirecttech Used - $54.95 0 Feb/12/18 Feb/19/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $109.95 0 Feb/12/18 Feb/19/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
capitolareatech NEW - $595.00 0 Feb/16/18 Aug/09/19
Description: Applied Materials (AMAT) 0200-09121 SHIELD 4,5,6 BW
ab-international Used - $66.53 0 Feb/17/18 Mar/03/22
Description: Lot of 4 Amat Applied Materials 0150-04414 Cable Assy NF3 Pressure Intlk Prod 6
ab-international Used - $144.91 0 Feb/18/18 Mar/03/22
Description: Lot of 4 AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB RevA
dcdirecttech Used - $49.95 0 Feb/19/18 Feb/26/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $99.95 0 Feb/19/18 Feb/26/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $84.95 0 Feb/27/18 Mar/06/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $39.95 0 Feb/27/18 Mar/06/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
noam-tech Used - $3,200.00 0 Mar/07/18 Jul/23/20
Description: Vavle, 0040-23168 / Rev 06 / Assy Manifold Water Pipes with 4 Valves / Chamber
dcdirecttech Used - $29.95 0 Mar/07/18 Mar/14/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
dcdirecttech Used - $74.95 0 Mar/07/18 Mar/14/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
ab-international Used - $125.00 0 Mar/10/18 Mar/20/18
Description: Lot of 4 AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB RevA
usedeqsales Used - $3,504.14 4 Mar/16/18 Sep/24/21
Description: AMAT Applied Materials 0010-22569 PVD Chamber SOURCE 4 CPI-VMO Rev. 003 As-Is
usedeqsales Used - $1,752.07 2 Mar/16/18 Oct/14/21
Description: AMAT Applied Materials 0010-22568 PVD Chamber SOURCE 4 CPI-VMO Rev. 003 Endura
dcdirecttech Used - $59.95 0 Mar/17/18 Mar/24/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
capitolareatech NEW - $135.00 0 Mar/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-09789 VALVE WELDMENT, FINAL VALVE, VER 4 TEOS with
dcdirecttech Used - $19.95 0 Mar/17/18 Mar/24/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #4
usedeqsales Used - $2,254.07 1 Mar/19/18 Oct/14/21
Description: AMAT Applied Materials 0010-22568 PVD Chamber SOURCE 4 CPI-VMO Rev. 001 Endura
farmoninc NEW - $750.00 0 Mar/19/18 Mar/11/20
Description: 4 AMAT 0200-09933 Pin, Wafer Lift, Heater, 409659
capitolareatech Used - $225.00 0 Mar/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0020-24771 COUPLER 4 BAR LINKAGE SHUTTER LINKAGE; BEARI
capitolareatech Used - $95.00 1 Mar/24/18 Mar/24/20
Description: Applied Materials (AMAT) 0610-00050 Blank Off ASA Fixed Flange 7.5" 4 Hole Asa75
dcdirecttech Used - $49.95 0 Mar/24/18 Mar/31/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
spsglobal Used - $3,500.00 1 Mar/28/18 Jan/24/19
Description: 310-0201// AMAT APPLIED 0190-01398 OZONE CONTROLLER 4 CHANNEL RS232 (API) USED
dcdirecttech Used - $39.95 0 Mar/31/18 Apr/07/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
dcdirecttech Used - $29.95 0 Apr/07/18 Apr/14/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #4
keykorea Used - $100.00 0 Apr/15/18 Jun/16/20
Description: AMAT 0140-01881 CABLE, SENSOR-CONTROL, BLKHD 4, SCRUBBER, USED
bobsgoodies Used - $2,500.00 0 Apr/23/18 Jun/05/18
Description: AMAT 0190-36376 High Voltage Power Supply 4.8kV Astex AGL AG1111 (Lot of 4)
midwestsemi Used - $13.80 1 Apr/23/18 May/12/23
Description: LOT 4 NEW AMAT 3300-03028 SMC KQH01-34S FTG TBG CONN 1/8T X 1/8MNPT SHIPSAMEDAY
bobsgoodies NEW - $545.00 1 Apr/24/18 Sep/14/18
Description: AMAT 0010-76087 WAFER VALVE ASSY CH 2,4,& D Applied Materials 5500 PVD MAINFRAME
ab-international Used - $200.00 0 Apr/27/18 May/07/18
Description: Lot of 4 AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB RevA
davidlively1 Used - $246.00 2 Apr/30/18 Jul/18/19
Description: WOODHEAD SST DNP-PCI-4 V1.1.2, AMAT 0190-15756, PCI 4 PORT INTERFACE BOARD
j316gallery Used - $611.05 2 May/09/18 Dec/15/20
Description: 10870 APPLIED MATERIAL CONNECTPORT TS 4 ETHERNET-SERIAL PORT D/S 0190-27649
athomemarket Used - $128.00 1 May/11/18 May/18/18
Description: Applied Materials 0010-76000 / Robot 4, 5, 6, Inch Assembly Drive-Missing Parts
capitolareatech NEW - $1,595.00 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0100-70020 ASSY VERSION 4 SIGNAL LAMP PCB
catalystparts Used - $20.00 1 May/16/18 Jan/04/24
Description: AMAT Applied Materials 0150-76647 Wire Jumper 4 Pin Connector EMO
gigabitpartsolutions NEW - $33.00 0 May/16/18 Sep/03/19
Description: Bearing LAM RESEARCH (LAM) 746-000218-001 PKG 4 Flng 1/2 od SST GAP assy
gigabitpartsolutions NEW - $33.00 0 May/16/18 Jun/27/24
Description: Bearing Timken NAT-411 LAM Reasearch (LAM) 746-001125-001 PKG 4 Thrust 1/4id GAP
capitolareatech NEW - $329.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0022-77251 Collar 4 Slurry Dispense Arm
capitolareatech NEW - $29.95 0 May/20/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-39370 BRACKET,LOCKOUT VALVES (4) UGP MCVD
usedeqsales Used - $606.18 0 Jun/27/18 Mar/03/22
Description: AMAT Applied Materials 0020-42262 Gas Manifold with Mixer Lot of 4 Refurbished
maxisemi1349 NEW - $495.00 0 Jul/01/18 Jul/31/18
Description: 0020-30085 PUMPING PLATE 4,5,6" NITRIDE GIANT GAP
katiil3 NEW - $59.00 0 Jul/05/18 Oct/23/21
Description: Applied Materials (AMAT) 0020-10704 SEAL,THROTTLE VALVE,TEOS ( lot of 4 )
katiil3 Used - $279.00 0 Jul/05/18 Oct/23/21
Description: Applied materials 3400-01098 / 0190-00694 / 1400-01027 / 0140-20113 ( lot of 4 )
brad2000 Refurbished - $2,295.00 0 Jul/20/18 Aug/15/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
maxisemi1349 NEW - $495.00 0 Aug/01/18 Aug/08/18
Description: 0020-30085 PUMPING PLATE 4,5,6" NITRIDE GIANT GAP
usedeqsales Used - $508.18 0 Aug/06/18 Mar/03/22
Description: AMAT Applied Materials 0150-21030 Mainframe Cable CH 4 Heater New
usedeqsales Used - $508.18 0 Aug/07/18 Mar/03/22
Description: AMAT Applied Materials 0150-21029 CH 4 Bakeout Cable New
maxisemi1349 NEW - $495.00 0 Aug/08/18 Sep/07/18
Description: 0020-30085 PUMPING PLATE 4,5,6" NITRIDE GIANT GAP
yericomfg NEW - $20.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; Wire, Jumper 4 Pin Conn, EMO; 0150-76647
yericomfg NEW - $30.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; 0680-01848, SUPPL PROTEC CUR-LIMIT 1P 240VAC 4, Cuttler Hamme
spsglobal Used - $10.00 0 Aug/14/18 Jun/30/22
Description: 323-0202// AMAT APPLIED 3700-02007 RING ID .206 CSD .103 KALREZ 4 NEW
20041014625pm Refurbished - $2,495.00 0 Aug/15/18 Sep/14/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
svcheck Used - $154.56 0 Aug/24/18 Oct/08/20
Description: AMAT APPLIED MATERIALS 0200-03264 150MM NCSR Outer Ring Lot of 4
kc.dak NEW - $499.99 1 Aug/29/18 Oct/28/20
Description: AMAT 0190-37616 KVM SWITCH, 4 PC INPUT, 3 USER LOCATION OUTPUT, TOUCHSCREEN, NEW
katiil3 NEW - $99.00 1 Sep/05/18 Sep/05/19
Description: AMAT 0010-33289 Rev 001 ( lot of 4 )
maxisemi1349 NEW - $495.00 0 Sep/08/18 Oct/08/18
Description: 0020-30085 PUMPING PLATE 4,5,6" NITRIDE GIANT GAP
falcor88 NEW - $9.99 0 Sep/10/18 Oct/10/18
Description: Lot of 4 AMAT Applied Materials 0060-02044 Warning Moving Parts Keep Away Labels
spsglobal Used - $80.00 0 Sep/10/18 Dec/22/21
Description: 343-0203// AMAT APPLIED 0090-20043 ASSY, 4 WAY-SINGLE VALVE NEW
20041014625pm Refurbished - $2,495.00 0 Sep/14/18 Oct/09/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
cubit001 Used - $7,000.00 0 Sep/18/18 Dec/18/22
Description: AMAT 0760-01034 4 Port Rotary union
ciscorpor Used - $5,000.00 0 Sep/21/18 Oct/30/18
Description: INUSA SCI PLUS 0190-35874, 4 Channel AMAT Controller
j316gallery Used - $250.00 0 Sep/24/18 Jan/02/19
Description: 11729 APPLIED MATERIAL CABLE NETWORK TERM I/F FOR ON-BRD CRYO 4,12.1M 0620-01255
prism_electronics5 Used - $425.00 0 Sep/25/18 Aug/26/22
Description: APPLIED MATERIALS AMAT 0150-20731 CABLE ASSY SYS LAMP 4 CO
farmoninc NEW - $25.00 0 Sep/26/18 May/23/21
Description: 4 AMAT 3320-01164 GAKT VCR 1/2 NI Contoured RNTR, 450207
farmoninc NEW - $25.00 1 Sep/27/18 Jan/09/19
Description: 4 AMAT 0100-09042 PCB Assy Opto Switch, 450237
bigg.logistics101 Used - $999.99 1 Oct/01/18 Jan/07/22
Description: LAM RESEARCH TRANSPORT MULTIPLEXER ALLIANCE 4 710-492005-001
maxisemi1349 NEW - $495.00 0 Oct/08/18 Nov/07/18
Description: 0020-30085 PUMPING PLATE 4,5,6" NITRIDE GIANT GAP
20041014625pm Refurbished - $1,995.00 0 Oct/09/18 Nov/01/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
falcor88 NEW - $9.99 0 Oct/11/18 Nov/10/18
Description: Lot of 4 AMAT Applied Materials 0060-02044 Warning Moving Parts Keep Away Labels
prism_electronics10 Used - $14.00 0 Oct/16/18 Mar/16/22
Description: AMAT 0150-20143 Applied Materials Cable Assy Wire Jumper 4 Pin
intek22 NEW - $50.00 0 Oct/17/18 May/11/20
Description: Lot of 4 * New LAM Research Focus Ring - 716-080600-001 - SPCL 150 MM ESC 384T
athomemarket NEW - $59.99 0 Oct/17/18 Jan/15/20
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
starbit11 Used - $1,500.00 1 Oct/18/18 Oct/20/18
Description: Motion Engineering A013-4008 REV 5 MEI Opto_VMA rev 4 1007-0016 AMAT 0190-14502
katiil3 Used - $899.00 1 Oct/24/18 Jul/21/19
Description: APPLIED MATERIAL VALVE ISOLATION 90DEG ELBOW NW-40 0190-00879 ( lot of 4 )
bobsgoodies2 Used - $58.00 0 Nov/01/18 Mar/31/22
Description: 4 Furon Union FMP450, AMAT 3300-04267 FTGTBG UNION STR 1/4T 2.37L
20041014625pm Refurbished - $1,995.00 0 Nov/01/18 Dec/01/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
maxisemi1349 Used - $220.00 1 Oct/27/18 Dec/21/22
Description: 15-302578-00 SPRING, SNUBBER, APC, lot of 4
maxisemi1349 NEW - $495.00 0 Nov/07/18 Dec/07/18
Description: 0020-30085 PUMPING PLATE 4,5,6" NITRIDE GIANT GAP
allforsale555 NEW - $129.00 0 Nov/09/18 Jul/29/21
Description: AMAT 3300-02133 FTG QDISC STEM 1/2T SST SLK ( lot of 4 )
maxisemi1349 NEW - $1,250.00 0 Nov/15/18 Dec/15/18
Description: 0020-03673 CLAMP RING, 4, POLY, VERSION 3.0
bobsgoodies2 Used - $75.00 0 Nov/19/18 Mar/31/22
Description: 4 APPLIED MATERIALS AMAT 3300-01051 FTG TBG CONN 1/2T X 3/8 MNPT SST CAJON
maxisemi1349 NEW - $275.00 0 Nov/20/18 Dec/20/18
Description: 15-032502-00 PIN, WAFER LIFT, lot of 4
20041014625pm Refurbished - $1,395.00 0 Dec/01/18 Dec/19/18
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
tanya.kub Used - $3,570.00 0 Dec/04/18 Dec/10/18
Description: SMC INOI-7134-33, 4 CHANNEL D.NET UPA, C-Side APPLIED MATERIALS 0190-31159
tanya.kub NEW - $4,570.00 0 Dec/04/18 Dec/10/18
Description: SMC INOI-7134-33, 4 CHANNEL D.NET UPA, C-Side APPLIED MATERIALS 0190-31159
tanya.kub NEW - $4,570.00 0 Dec/04/18 Dec/10/18
Description: SMC INOI-7134-31-2, 4 CHANNEL DNET UPA A-SIDE APPLIED MATERIALS 0190-31157
maxisemi1349 NEW - $495.00 0 Dec/07/18 Jan/06/19
Description: 0020-30085 PUMPING PLATE 4,5,6" NITRIDE GIANT GAP
zindchau15 NEW - $1,770.00 2 Dec/13/18 Mar/15/19
Description: SMC INOI-7134-31-2, 4 CHANNEL DNET UPA A-SIDE APPLIED MATERIALS 0190-31157
zindchau15 Used - $1,470.00 1 Dec/13/18 Dec/30/19
Description: SMC INOI-7134-33, 4 CHANNEL D.NET UPA, C-Side APPLIED MATERIALS 0190-31159
zindchau15 Used - $1,570.00 3 Dec/13/18 Oct/23/21
Description: SMC INOI-7134-33, 4 CHANNEL D.NET UPA, C-Side APPLIED MATERIALS 0190-31159
falcor88 NEW - $9.99 0 Dec/14/18 Jan/13/19
Description: Lot of 4 AMAT Applied Materials 0060-02044 Warning Moving Parts Keep Away Labels
maxisemi1349 NEW - $1,250.00 0 Dec/15/18 Jan/14/19
Description: 0020-03673 CLAMP RING, 4, POLY, VERSION 3.0
20041014625pm Refurbished - $1,395.00 0 Dec/19/18 Jan/01/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $1,195.00 0 Jan/01/19 Jan/31/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
zindchau15 NEW - $180.00 0 Jan/03/19 Oct/23/21
Description: APPLIED MATERIALS LOT OF 4 0140-14336 HARNESS ASSY CONTOUR
zindchau15 NEW - $170.00 1 Jan/03/19 May/02/19
Description: APPLIED MATERIALS LOT OF 4 0010-57159 CLAMP ASSY POLISHING HEAD UNIVERSAL
zindchau15 NEW - $100.00 0 Jan/03/19 Oct/23/21
Description: APPLIED MATERIALS LOT OF 4 0720-08446 CONN ADAPTER Y DNET DROP FSM FKM FKM
maxisemi1349 NEW - $495.00 0 Jan/06/19 Feb/05/19
Description: 0020-30085 PUMPING PLATE 4,5,6" NITRIDE GIANT GAP
zindchau15 NEW - $150.00 0 Jan/07/19 Oct/23/21
Description: APPLIED MATERIALS 3320-01165 PARKER 4 GVGR-N GASKET VCR 1/4 NI CONTOURED RTNR
zindchau15 NEW - $70.00 0 Jan/09/19 Oct/23/21
Description: SMC LQ3T3A-SR AMAT 3300-12677 FTG TBG UNION RUN TEE SPACE SAVER LOT OF 4
zindchau15 NEW - $90.00 0 Jan/09/19 Oct/23/21
Description: APPLIED MATERIALS 0020-16860 STOP ANTI-ROTATION UPA ROTARY UNION, LOT OF 4
sacramento_liquidators NEW - $44.29 0 Jan/09/19 Feb/08/19
Description: Applied Materials Parker Seal 3700-01176 x4 4 Packs
gurujones5 NEW - $15.88 1 Jan/12/19 May/17/19
Description: 4x Bussmann FNQ-R-1 Fuse CC-Tron Time Delay 600 Volts AMAT 0910-01188 Lot of 4
maxisemi1349 NEW - $275.00 0 Jan/14/19 Feb/13/19
Description: 15-032502-00 PIN, WAFER LIFT, lot of 4
falcor88 NEW - $9.99 0 Jan/14/19 Feb/13/19
Description: Lot of 4 AMAT Applied Materials 0060-02044 Warning Moving Parts Keep Away Labels
metkorea Used - $178.00 0 Jan/18/19 Oct/29/21
Description: Lam Research Pcb Kvm 6Ports 4 Users 810-104580-004 Jabm12310471 810104580004 Jab
maxisemi1349 NEW - $1,250.00 0 Jan/18/19 Feb/17/19
Description: 0020-03673 CLAMP RING, 4, POLY, VERSION 3.0
ab-international Used - $114.84 0 Jan/20/19 Jan/30/19
Description: Lot of 4 AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB RevA
vizvik16 NEW - $190.00 1 Jan/22/19 Nov/15/21
Description: Applied Materials 0190-60394 dnet light tower, 4 light cover (ragb) w/clr daug
getspares.com_sparesllc09 Used - $9,500.26 0 Jan/22/19 Aug/19/22
Description: 0010-54317/ ASSY 0041-31311 4 ZONE V2 FIXED FULL SYM CENTURA CENTRIS DPS2S/ AMAT
vizvik16 NEW - $70.00 1 Jan/23/19 Mar/22/22
Description: Applied Materials 0020-16725 POST ADO ALIGNMENT 300MM VANTAGE FI LOT OF 4
20041014625pm Refurbished - $1,195.00 0 Jan/31/19 Mar/02/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
vizvik16 Used - $90.00 1 Feb/02/19 Feb/03/19
Description: CUTLER-HAMMER 13101RS1334, 4 In DIFFUSE, SERIES A3 Applied Materials 0090-01396
rtsemisurplus Used - $749.99 0 Feb/07/19 Feb/07/23
Description: Applied Materials 0100-14002 4 Chan RS232 Isolator, 0130-14002, REV B
rtsemisurplus Used - $749.99 0 Feb/07/19 Feb/07/23
Description: Applied Materials 0100-14002 4 Chan RS232 Isolator, 0130-14002
palzamani NEW - $60.00 1 Feb/10/19 Apr/30/20
Description: AMAT 3300-03451, Swagelok SS-PB68-TA8, Lot Of 4
maxisemi1349 NEW - $85.00 0 Feb/13/19 Mar/15/19
Description: 15-032502-00 PIN, WAFER LIFT, lot of 4
falcor88 NEW - $9.99 0 Feb/15/19 Mar/17/19
Description: Lot of 4 AMAT Applied Materials 0060-02044 Warning Moving Parts Keep Away Labels
20041014625pm Refurbished - $995.00 0 Mar/12/19 Mar/25/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
powersell007 Used - $39,999.00 1 Mar/16/19 Jul/31/19
Description: APPLIED MATERIALS 0041-75950 ASSEMBLY ESC BONDING, FULL SYM 4 ZONE V2 0041-83493
jfplcs Used - $450.00 0 Mar/18/19 Apr/17/19
Description: Applied Material PCI 4 Port Interface Board 0190-15756
usedeqsales Used - $403.19 0 Mar/18/19 May/18/22
Description: AMAT Applied Materials 0021-08970 Nickel Lock Ring Reseller Lot of 4 Refurbished
falcor88 NEW - $9.99 1 Mar/20/19 May/09/19
Description: Lot of 4 AMAT Applied Materials 0060-02044 Warning Moving Parts Keep Away Labels
20041014625pm Refurbished - $995.00 0 Mar/25/19 Apr/14/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
atxdeals4u Used - $299.99 0 Apr/01/19 Oct/19/19
Description: AMAT Applied Materials 0190-27649 ConnectPort TS 4 Ethernet USB 4-Port Switch
getspares.com_sparesllc09 Used - $3,704.91 0 Apr/01/19 Nov/30/22
Description: 0240-28838 / KIT, NK 4 ROLLER PLUNGER 85-3708-0001-02 / APPLIED MATERIALS AMAT
maxisemi1349 Used - $495.00 1 Apr/06/19 Mar/20/22
Description: 0020-30085 PUMPING PLATE 4,5,6" NITRIDE GIANT GAP
bobsgoodies NEW - $175.00 1 Apr/10/19 Dec/17/19
Description: APPLIED MATERIALS 0020-02680 GUIDE RETAINER, LIFT PIN (Lot of 4)
maxisemi1349 NEW - $1,250.00 0 Apr/17/19 Jul/17/19
Description: 0020-03673 CLAMP RING, 4, POLY, VERSION 3.0
getspares.com_sparesllc09 Used - $4,500.82 0 Apr/22/19 Jan/23/23
Description: 0010-09256 / GAS BOX 4,5,6 TEOS / APPLIED MATERIALS AMAT
gigabitpartsolutions NEW - $137.50 1 Apr/25/19 May/09/19
Description: OEM Part Applied Materials (AMAT) 0200-09071 PKG 4 LIFTING PIN 200MM
commando-eight NEW - $119.00 5 May/01/19 Mar/19/21
Description: Lam research Wafer Lifter Assy,Tall, .031 Flexible 4 Pin, P/N 715-009161-001
cosplity Used - $3,900.00 0 May/09/19 Aug/25/20
Description: AMAT 0010-77846 4 SLURRY DISPENSE ARM, MIRRA CMP
20041014625pm Used - $595.00 0 May/06/19 May/13/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
happy_1 Used - $100.00 1 May/11/19 Feb/22/21
Description: AMAT 0226-31128 (ASSY VERSION 4 SIGNAL LAMP PCB) USED CONDITION
20041014625pm Used - $995.00 0 May/13/19 Jun/01/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
bt_store1 Used - $95.00 0 May/17/19 Jul/15/21
Description: AMAT 0020-24771 COUPLER 4 BAR LINKAGE SHUTTER LINKAGE
20041014625pm Used - $995.00 0 Jun/01/19 Jul/07/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
j316gallery NEW - $130.42 0 Jun/10/19 Dec/06/22
Description: 10069 APPLIED MATERIALS ASSEMBLY 4 WAY DOUBLE FOR SLIT (NEW) 0090-70015
bt_store1 Used - $38.00 0 Jun/18/19 Jul/15/21
Description: AMAT 0020-05667 BRKT 4 PNEUMATIC VALVES ULTIMA HDP CVD
zindchau15 NEW - $50.00 0 Jul/02/19 Oct/23/21
Description: Applied Materials (AMAT) 3700-02362 ORING LOT OF 4
zindchau15 NEW - $90.00 0 Jul/02/19 Oct/23/21
Description: Applied Materials 3700-02454 ORING ID 14.975 CSD .210 VITON 75 DURO LOT OF 4
zindchau15 NEW - $110.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 3700-01378 ORING ID 14.975 CSD .210 VITON 75 DURO BLK LOT OF 4
svcstore Used - $179.99 0 Jul/02/19 Sep/16/19
Description: LAM Research 810-707056-002 Rev.E1 TM Node 3,4 I/O Motherboard Assembly Unit
zindchau15 NEW - $110.00 0 Jul/03/19 Oct/23/21
Description: APPLIED MATERIALS 3700-07747 O-RING ID 19.955 CSD .210 VITON 75 BLACK LOT OF 4
dom0808 Used - $5,390.00 0 Jul/03/19 Feb/11/22
Description: Lam Research Asembly Endeffector 4 Blade EFEM 853-134929-001
zindchau15 NEW - $100.00 0 Jul/05/19 Oct/23/21
Description: Applied Materials 0190-60394 dnet light tower, 4 light cover (ragb) w
20041014625pm Used - $875.00 0 Jul/07/19 Jul/23/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
zindchau15 NEW - $50.00 0 Jul/10/19 Oct/23/21
Description: Applied Materials 0270-05722 Shield Lifting Nut Adapter Lot of 4
svcstore Used - $179.99 0 Jul/11/19 Sep/16/19
Description: LAM Research 810-707056-003 Rev.A TM Node 3,4 I/O Motherboard Assembly
svcstore Used - $179.99 0 Jul/12/19 Sep/16/19
Description: LAM Research 810-707056-003 Rev.E1 TM Node 3,4 I/O Motherboard Assembly Unit
fslab1103 NEW - $2,880.00 0 Jul/10/19 Jul/21/19
Description: Applied Materials AMAT 3870-01574, Nupro 6LV-D1V333P-AB, Lot Of 4
smartelektronikgmbh Used - $200.00 0 Jul/17/19 Nov/10/20
Description: 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
bt_store1 Used - $15.00 4 Jul/17/19 Aug/17/20
Description: AMAT 1000-01034 KNOBPLSTC 4 PRONG
20041014625pm Used - $875.00 0 Jul/23/19 Jul/26/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
powersell007 Used - $599.00 0 Jul/23/19 Nov/23/23
Description: APPLIED MATERIALS 0200-02291 WINDOW, ENDPOINT, 300MM, IA AMAT *LOT OF 4 UNITS*
fslab1103 NEW - $2,880.00 0 Jul/23/19 Aug/02/19
Description: Applied Materials AMAT 3870-01574, Nupro 6LV-D1V333P-AB, Lot Of 4
smartelektronikgmbh Used - $650.00 1 Jul/23/19 Jan/30/20
Description: ASSY, PEDESTAL LIFT 4 & 5, 02-047200-03
j316gallery Used - $1,596.19 0 Jul/30/19 Mar/19/23
Description: 14511 APPLIED MATERIALS 4 CHANNEL D.NET UPA, C-SIDE, INOI-7134-33 0190-31159
20041014625pm Used - $875.00 0 Jul/26/19 Aug/05/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
roundtable1 NEW - $299.00 0 Jul/25/19 Aug/02/22
Description: Applied Materials 0190-23275 Heater Jacket, Zone 4, Gasline 2.5L, 30
dom0808 Used - $185.90 0 Aug/04/19 Feb/11/22
Description: Lam Research ASSY,VI PROBE,TCCT MTCH,KIYO 4 853-800838-011
20041014625pm Used - $875.00 0 Aug/05/19 Aug/07/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
spsglobal Used - $60.00 1 Aug/05/19 Jul/10/21
Description: 141-0501// AMAT APPLIED 0150-20584 CABLE ASSY LOFT CH 3 4 C& D NEW
roundtable1 NEW - $189.00 0 Aug/02/19 Jun/22/21
Description: APPLIED MATERIALS (AMAT) 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
roundtable1 NEW - $149.00 1 Aug/02/19 Aug/02/20
Description: Applied Materials. 3870-02506 Valve Assy Pneu EV 4 Pos 24VDC 1/4T X
svcstore Used - $449.99 0 Aug/01/19 Sep/16/19
Description: LAM Research 810-707059-001 Rev.E3 PM Node 4 I/O Motherboard PCB Assembly Panel
maxisemi1349 NEW - $40.00 1 Aug/05/19 Apr/29/20
Description: 0020-10762 BUSHING,SUSCEPTOR SUPPORT,CERAMIC,4,5,6", lot of 4
20041014625pm Used - $875.00 0 Aug/07/19 Aug/11/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Used - $450.00 0 Aug/11/19 Aug/12/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
zindchau15 NEW - $60.00 0 Aug/13/19 Oct/23/21
Description: AMAT APPLIED MATERIALS 0150-23041 CABLE ASSY HEATER DMA MFC 300MM LOT OF 4
20041014625pm Used - $895.00 0 Aug/12/19 Nov/03/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech Used - $2.54 0 Aug/23/19 Sep/06/19
Description: Applied Materials AMAT 0015-09139 SPRING 4 BELLEVILLE WASHER ANODIZED
capitolareatech Used - $2.95 0 Aug/23/19 Dec/05/19
Description: Applied Materials AMAT 0015-09067 WASHER 4 SPRING NI PLAT
auctionrus NEW - $4.00 1 Aug/27/19 May/18/21
Description: 4 AMAT 3630-01129 RTNR Ring, .500 HSG SST Light Duty, 451820
dom0808 Used - $2,409.00 0 Aug/27/19 Feb/11/22
Description: Lam Research 61-465138-00 CNTRLR,FLEX,EIOC 4,Q STRATA,VXL
dom0808 Used - $1,925.00 0 Aug/28/19 Feb/11/22
Description: Lam Research ALD OXIDE EIOC 4 853-178357-004
capitolareatech Used - $99.95 0 Aug/29/19 Nov/18/19
Description: Applied Materials (AMAT) 0090-18012 ASSY VALVE 4 WAY
spsglobal Used - $100.00 0 Sep/04/19 Oct/12/23
Description: 141-0603// AMAT APPLIED 0140-12298 HARNESS TBU1 TO CBU2, 3, 4 REFLEXION NEW
capitolareatech Used - $895.95 0 Sep/05/19 Sep/26/19
Description: Applied Materials (AMAT) 0195-00057 160C ZONE 4 TOP LEVEL ASSY/THERMO2     
capitolareatech Used - $900.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0010-13320 P5000 ROBOT DRIVE 4 5 6 missing a servo motor
capitolareatech Used - $500.00 0 Sep/05/19 Sep/26/19
Description: Applied Materials AMAT 0010-13320 P5000 ROBOT DRIVE 4 5 6 Parts unit
capitolareatech Used - $65.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-76382 BRACKET EMO SWITCH WITH SWITCH 4 PACK
capitolareatech Used - $95.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-30320 Ring Focusing 4
capitolareatech Used - $117.87 0 Sep/05/19 Sep/26/19
Description: Applied Materials AMAT 0150-97368 Cable Assembly Trans CH MF Dir Driver RSLVR 4
svcstore Used - $161.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707056-002 Rev.E1 TM Node 3,4 I/O Motherboard Assembly Unit
smartelektronikgmbh Used - $999.00 1 Sep/18/19 Jun/09/21
Description: Applied Materials (AMAT) 0100-70020 ASSY VERSION 4 SIGNAL LAMP PCB
visionsemi NEW - $10.00 0 Sep/18/19 Feb/22/21
Description: APPLIED MATERIALS AMAT WASHER 3/16X3/4 OD ZP STL 3880-90155 PACK OF 4
visionsemi NEW - $150.00 0 Sep/18/19 Jan/25/22
Description: AMAT INSERT ROUND PIN ALIGNMENT ADAPTER .91 HOLE D 0020-90741 PACK OF 4
visionsemi NEW - $50.00 0 Sep/18/19 Jan/25/22
Description: APPLIED MATERIALS CAP HD HEX SKT 3/8-16 THD X 1LG ANSI/ASTM B18 3690-01582 PCK 4
visionsemi NEW - $15.00 0 Sep/18/19 Jan/20/21
Description: APPLIED MATERIALS AMAT SCR CAP SKT HD8-32X318L HEX SKT 3690-02040 PACK OF 4
visionsemi NEW - $40.00 0 Sep/18/19 Dec/18/19
Description: APPLIED MATERIALS AMAT FTG TBG PLUG 1/4T ONE-TOUCH 3300-06439 PACK OF 4
svcstore Used - $161.99 0 Sep/18/19 Dec/27/22
Description: LAM Research 810-707056-003 Rev.E1 TM Node 3,4 I/O Motherboard Assembly Unit
svcstore Used - $161.99 0 Sep/18/19 Dec/27/22
Description: LAM Research 810-707056-003 Rev.A TM Node 3,4 I/O Motherboard Assembly
svcstore Used - $404.99 0 Sep/18/19 Sep/08/22
Description: LAM Research 810-707059-001 Rev.E3 PM Node 4 I/O Motherboard PCB Assembly Panel
pdcsystems Used - $250.00 0 Sep/24/19 Jun/07/20
Description: Applied Material (AMAT) 0190-27649 ConnectPort TS 4 Ethernet-Serial 4-Port Digit
spsglobal Used - $40.00 0 Sep/25/19 Sep/08/22
Description: 142-0502// AMAT APPLIED 0150-09019 ASSY, FLT CABLE, CHMBR INT D 4 USED
zindchau15 Used - $300.00 1 Sep/29/19 Sep/30/20
Description: WOODHEAD SST DNP-PCI-4 V1.1.2, AMAT 0190-15756, PCI 4 PORT INTERFACE BOARD
rtxparts Used - $4,400.00 0 Sep/30/19 Mar/04/20
Description: Novellus CVD DEGAS ASSY QUAD 4 CHANNEL 02-103445-00
pdcsystems Used - $9,450.00 0 Oct/02/19 Jun/07/20
Description: APPLIED MATERIALS (AMAT) 0040-85475 REV 4 251-M3523 300mm Ceramic heater
pdcsystems NEW - $42.00 0 Oct/06/19 Jun/07/20
Description: Applied Materials ( AMAT) 3300-07823 FTG SEAL-LOK PLUG 1" ORFS, STEEL (Lot of 4)
pdcsystems Used - $150.00 0 Oct/07/19 Jun/07/20
Description: Applied Materials 0190-24947 LAMP, 4 PACK, FUSED RADIANCE
dom0808 Used - $181.50 0 Oct/11/19 Feb/11/22
Description: Lam Research Cable 853-177891-003 CA,DC PWR, EIOC 4, VXT, ALD OX
ntnm NEW - $12.00 0 Oct/14/19 Sep/08/22
Description: NEW LOT OF 4 PROMS LAM RESEARCH 855-010409-007 LAM ASSY, CPU PROG EPROM VER H
dom0808 Used - $469.50 0 Oct/28/19 Feb/11/22
Description: Lam Research MANF PNEU 4 STATION 772-800722-008 SMC P/N SS5YJ3-DUK02255
20041014625pm Used - $795.00 0 Nov/03/19 Dec/01/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
christyhtx Used - $200.00 0 Nov/04/19 Nov/07/19
Description: Applied Materials 0190-27649 CONNECTPORT TS 4 ETHERNET-SERIAL PORT D/S
xl-t_com Used - $500.00 0 Nov/07/19 May/21/21
Description: Lot Of 4 Applied Materials 0150-76186 EMC Comp. Controller Pump Cable Assy
storemanager-2009 NEW - $550.00 1 Nov/12/19 Mar/19/20
Description: NOVELLUS 60-133099-00 INSERT, VAT 4” GATE VALVE, VAT P/N 94842-R1
christyhtx Used - $200.00 1 Nov/18/19 Oct/28/20
Description: Applied Materials 0190-27649 CONNECTPORT TS 4 ETHERNET-SERIAL PORT D/S
cosplity Used - $1,900.00 0 Nov/19/19 Sep/19/22
Description: AMAT 0010-14716 MANIFOLD ASSY, RR 4 PORT UPA REFLEXION
usedeqsales Used - $4,011.19 0 Nov/19/19 Sep/30/21
Description: AMAT Applied Materials 0195-05598 EMAG 4 Channel Power System MAG05-25041-007 Cu
excessdealer NEW - $499.00 2 Nov/21/19 Oct/16/20
Description: Lam Research 61-465138-00 CNTRLR,FLEX,EIOC 4,Q STRATA,VXL
getspares.com_sparesllc09 Used - $1,095.00 0 Nov/26/19 Sep/12/24
Description: 0090-00139 / ELEC ASSY WB ENDURA 1,2,4 & D LTESC / APPLIED MATERIALS AMAT
20041014625pm Used - $795.00 0 Dec/01/19 Dec/20/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
partskorea1 Used - $1,199.00 1 Dec/03/19 Feb/23/20
Description: LAM 61-465138-00 EIOC 4
usedeqsales Used - $5,012.19 0 Dec/03/19 Nov/08/21
Description: AMAT Applied Materials 0010-22569 PVD Chamber SOURCE 4 CPI-VMO Copper Cu Working
capitolareatech Used - $10.17 0 Dec/06/19 Dec/14/19
Description: Applied Materials AMAT 0150-20584 CABLE ASSY LOFT CH 3 4 C D
capitolareatech Used - $29.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0150-00081 AFC 4 RIBBON CABLE
maxisemi1349 NEW - $130.00 1 Dec/07/19 Sep/04/20
Description: 0020-09843 SLEEVE WAFER LIFT PIN, lot of 4
getspares.com_sparesllc09 Used - $132.11 0 Dec/13/19 Sep/19/24
Description: 0660-01514 / CARD APC ANALOG INPUT 4 CHANNEL 5V / AMAT
esprprts Scrap, for parts - $1,850.00 1 Dec/15/19 Dec/19/19
Description: APPLIED MATERIALS P5000 VIDEO CONTROLLER BOARD 0100-00793 REV 4
nce1234 NEW - $299.95 8 Dec/20/19 May/23/23
Description: 0021-33691 MEMBRANE WAFER 8.00" TITAN HEAD, NEW OEM REV 4 APPLIED MATERIALS
20041014625pm Used - $795.00 0 Dec/20/19 Dec/24/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech Used - $139.95 1 Dec/21/19 Jun/21/20
Description: Applied Materials (AMAT) 0190-32766 HEATER JACK INPUT MANIFOLDS ZONE 4 PRODUCER
capitolareatech Used - $924.95 0 Dec/22/19 Jun/22/20
Description: Applied Materials (AMAT) 0020-27100 CLAMP, 150mm 4 PAD
20041014625pm Used - $99.00 0 Dec/24/19 Dec/31/19
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
roundtable1 NEW - $299.00 0 Jan/02/20 Dec/10/21
Description: Applied Materials JIG CAL WAFER MAPPER 200/300 FE-PRODUCER 0270-35352 Lot Of 4
20041014625pm Refurbished - $1,395.00 0 Jan/03/20 Jan/12/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech NEW - $11,995.00 0 Jan/04/20 Aug/13/20
Description: Applied Materials (AMAT) 0090-36567 ASSY, RF GEN RACK, 4 CH, DPA, CENTURA
capitolareatech NEW - $695.95 0 Jan/04/20 Aug/13/20
Description: Applied Materials AMAT 0050-10331 TUBE WLDMNT MNFLD 4 VLV LFT
pdcsystems NEW - $45.00 0 Jan/05/20 Jun/07/20
Description: Applied Material (AMAT) 3300-03764 FTG TBG CAP 1"00 SST (PKG 4)
sigmasurplus Used - $216.15 1 Jan/06/20 Aug/13/20
Description: Applied Materials 0190-09957 Mainframe Interface Board Rev. 4
getspares.com_sparesllc09 Used - $40.68 0 Jan/06/20 Oct/03/24
Description: 766-094127-001 / VLVE, 3 POS, EXH CTR, 4 PORT, SMC / LAM
capitolareatech NEW - $189.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials AMAT 0200-09063 RING COVERING SPUTTER 4
20041014625pm Refurbished - $1,395.00 0 Jan/12/20 Jan/22/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech NEW - $16.95 0 Jan/15/20 Aug/13/20
Description: Applied Materials (AMAT) 0060-21189 LABEL SET ( PACK OF 4)
athomemarket NEW - $59.99 0 Jan/15/20 Mar/16/21
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
20041014625pm Refurbished - $1,395.00 0 Jan/22/20 Feb/03/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
getspares.com_sparesllc09 Used - $5,000.03 1 Jan/22/20 Apr/01/24
Description: 0010-76000 / ROBOT 4, 5, 6 INCH ASSEMBLY DRIVE P5000 (AS/IS) / AMAT
sigmasurplus NEW - $349.95 1 Jan/24/20 Oct/28/20
Description: Applied Materials 0190-27649 ConnectPort TS 4 Ethernet Serial Digi Switch
capitolareatech Used - $134.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-00559 SHIELD, SIDE 4
20041014625pm Refurbished - $1,395.00 0 Feb/03/20 Feb/16/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
techse11 NEW - $50.00 2 Feb/07/20 Aug/05/20
Description: AMAT 0090-20134 Assy. Valve 4 way. SMC Solenoid Valve NVJ3143Y 24VDC
katiil3 Used - $399.00 1 Feb/08/20 Nov/08/20
Description: Applied materials 0140-09445 Harness Asyy Backplane to AC Drawer com Lot of 4
usedeqsales Used - $502.20 1 Feb/14/20 Aug/26/20
Description: AMAT Applied Materials 0050-10002 RPS Valve 6LV-D1V122P-AB Lot of 4 P5000 Spare
20041014625pm Refurbished - $895.00 0 Feb/16/20 Feb/20/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $895.00 0 Feb/20/20 Mar/02/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech Used - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials (AMAT) 1290-01276 TERM  BLOCK 4 TERM 10-16 AWG 
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 713-034965-001 LAM PAD R CONF 010THK 300MM Rev D Lot of 4
dy-global NEW - $1,499.90 0 Feb/22/20 Nov/11/20
Description: LAM RESEARCH NNB 61-358683-00 REV.B FE-HD 1 MACH 4 HDLR G6 SEM-I-378=8F21
pdcsystems NEW - $10.00 0 Feb/24/20 Jun/07/20
Description: APPLIED MATERIALS 3320-01165 PARKER 4 GVGR-N GASKET VCR 1/4 NI CONTOURED RTNR
capitolareatech NEW - $9.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 1270-01301 SWITCH VACUUM SPDT 4 HG
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: AMAT 3370-01039 HDL RND 38 DIA 10-32 THD 4 L 13 TALL
capitolareatech NEW - $8.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 3460-01008 MKR WIRE 4 TEN CARDS EQUAL 2 PACK
capitolareatech NEW - $9.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 3780-02394 CYL AIR 10MMBORE 4 STRK SGL ACT SPR E
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 3370-01029 HDL RND 4 LOG 2 HIGH SST 12THK 516-18THD
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Novellus 34-158908-00 CONTACTOR AUX CONTACT 4 NO
20041014625pm Refurbished - $895.00 0 Mar/02/20 Mar/03/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
j316gallery Used - $58.16 0 Mar/04/20 Feb/17/22
Description: 1393 APPLIED MATERIALS ASSY 4 WAY DOUBLE FOR SLIT 24V DC NVZ3243-5LOZ 0090-70015
getspares.com_sparesllc09 Used - $289.07 0 Mar/05/20 Sep/07/21
Description: 0150-21342 / CHAMBER 4 INTERCONNECT EMC COMPLIANTY (SEALED) / APPLIED MATERIALS
cosplity Used - $1,900.00 0 Mar/06/20 Sep/07/22
Description: AMAT 0010-14702 MANIFOLD ASSY ZONE-2, 4 PORT UPA 300MM
j316gallery NEW - $814.25 0 Mar/10/20 Feb/17/22
Description: 15566 LAM RESEARCH ELECTRODE,LOWER,8" ,4 PIN(NEW) 715-007469-002
cjcollective2012 Used - $50.00 0 Mar/17/20 Feb/04/22
Description: Applied Materials 0190-54239 Rev. 4 Line Heater Jacket w/ WCSH-0240-0000
metrology123 Used - $179.00 1 Apr/01/20 Jul/19/20
Description: Applied Materials 0190-11452 CDG/Pi 2.2…8.68Volt (lot of 4)
cjcollective2012 Used - $50.00 0 Mar/31/20 Feb/04/22
Description: (4) Applied Materials AMAT 0190-54244 Rev 3 Heater Sleeves
simt8005 Used - $35,000.00 0 Apr/06/20 Sep/05/22
Description: AMAT 0010-54065 ASSY, 4 ZONE V2 FIXED F
powersell007 Used - $9,999.00 1 Apr/13/20 Apr/13/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
lwltech NEW - $90.00 0 Apr/29/20 Jul/22/20
Description: Applied Materials AMAT THROTTLE VALVE SEAL, 0020-30338, LOT OF 4 , NOS
wfeng0308 NEW - $60.00 0 May/07/20 Jul/11/20
Description: 4 ea LAM 746-000219-001 Bearing
dgold32 Used - $299.99 1 May/15/20 Feb/15/23
Description: Lot of 4 Applied Materials 3870-01331 Nor-Cal NW 50 In-Line Pneumatic Valve
redrockranch NEW - $3,500.00 0 May/19/20 Jun/24/20
Description: Koll Morgen AMAT PN 0190-03554 Mag Driver ±50A ±300V 5-10 KHz 4 Available to Buy
atxdeals4u Used - $1,000.00 1 Jun/11/20 Jul/06/20
Description: Novellus Digital Dynamics 02-286113-00 79-10098-00 REV C ANNEAL SBR XT HDSIOC 4
20041014625pm Refurbished - $1,595.00 0 Jun/17/20 Jun/26/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
pdcsystems Used - $9,450.00 0 Jun/18/20 Mar/16/21
Description: APPLIED MATERIALS (AMAT) 0040-85475 REV 4 251-M3523 300mm Ceramic heater Rev 4
expertsurplus NEW - $175.00 2 Jun/22/20 May/19/21
Description: AMAT, 0050-08618, Adapter, Baratron with Shutter @ 2 & 4 MI, New
20041014625pm Refurbished - $1,595.00 0 Jun/26/20 Jul/05/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
pdcsystems Used - $250.00 1 Jun/26/20 Oct/28/20
Description: Applied Material (AMAT) 0190-27649 ConnectPort TS 4 Ethernet-Serial 4-Port Digit
pdcsystems NEW - $45.00 0 Jun/27/20 Mar/18/21
Description: Applied Material (AMAT) 3300-03764 FTG TBG CAP 1"00 SST (PKG 4)
pdcsystems NEW - $35.00 0 Jun/27/20 Mar/18/21
Description: Applied Material 3300-07823 FTQ SEAL-LOK PLUG 1' ORF8 STEEL (PKG 4)
pdcsystems NEW - $42.00 0 Jun/27/20 Mar/18/21
Description: Applied Materials ( AMAT) 3300-07823 FTG SEAL-LOK PLUG 1" ORFS, STEEL (Lot of 4)
pdcsystems NEW - $10.00 0 Jun/30/20 Mar/18/21
Description: APPLIED MATERIALS 3320-01165 PARKER 4 GVGR-N GASKET VCR 1/4 NI CONTOURED RTNR
maxisemi1349 Used - $450.00 2 Jul/02/20 Mar/28/24
Description: 770-092608-001 LIFT CYLINDER, 4 PIN
20041014625pm Refurbished - $1,595.00 0 Jul/05/20 Jul/10/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
atxdeals4u Used - $1,000.00 1 Jul/08/20 Jul/08/20
Description: Novellus Digital Dynamics 02-286113-00 79-10098-00 REV C ANNEAL SBR XT HDSIOC 4
20041014625pm Refurbished - $1,595.00 0 Jul/10/20 Jul/14/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
auctionrus NEW - $100.00 0 Jul/10/20 Oct/05/20
Description: 8 AMAT 0020-10728 Ceramic Shield Clamp, 4,5,6" TEOS, 453051
auctionrus NEW - $13.00 0 Jul/10/20 Oct/05/20
Description: AMAT 0020-10730 Ceramic Shield Clamp, 4, 5, 6" TEOS, 453050
auctionrus NEW - $100.00 0 Jul/10/20 Oct/05/20
Description: 8 AMAT 0020-10728 Ceramic Shield Clamp, 4,5,6" TEOS, 453053
auctionrus NEW - $100.00 0 Jul/10/20 Oct/05/20
Description: 8 AMAT 0020-10728 Ceramic Shield Clamp, 4,5,6" TEOS, 453052
20041014625pm Refurbished - $1,595.00 0 Jul/14/20 Jul/20/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $1,595.00 0 Jul/20/20 Jul/28/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
racertrek Used - $1,000.00 0 Jul/20/20 Jul/30/20
Description: Applied Materials Top Zone IR Lamp Sensor Ref 0130-14014 Assy 0100-1401 4
noam-tech Used - $4,035.00 2 Jul/23/20 Sep/23/22
Description: 0040-23168 Vavle, 0040-23168 / Rev 4B / Assy Manifold Water Pipes with 4 Valves
20041014625pm Refurbished - $1,595.00 0 Jul/28/20 Aug/12/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
racertrek Used - $49.99 0 Jul/30/20 Aug/09/20
Description: Applied Materials Top Zone IR Lamp Sensor Ref 0130-14014 Assy 0100-1401 4
20041014625pm Refurbished - $895.00 0 Aug/12/20 Aug/19/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
racertrek Used - $9.99 0 Aug/12/20 Aug/22/20
Description: Applied Materials Top Zone IR Lamp Sensor Ref 0130-14014 Assy 0100-1401 4
capitolareatech NEW - $135.95 0 Aug/14/20 Jul/03/24
Description: Applied Materials (AMAT) 0050-09789 VALVE WELDMENT, FINAL VALVE, VER 4 TEOS with
capitolareatech Used - $1,595.00 1 Aug/14/20 Dec/14/21
Description: Applied Materials (AMAT) 0100-70020 ASSY VERSION 4 SIGNAL LAMP PCB
capitolareatech NEW - $225.00 0 Aug/15/20 Apr/14/21
Description: Novellus 15-118380-00 Ring, Retaining, 4 Piece, Thin
capitolareatech Used - $12.95 0 Aug/19/20 Sep/30/24
Description: Applied Materials (AMAT) 3690-03110 1/4-20 X 4 1/4 SCREW CAP
20041014625pm Refurbished - $895.00 0 Aug/19/20 Aug/31/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech NEW - $431.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0150-21342 Cable, Assy. Chamber 4 Interconnect
capitolareatech Used - $17.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 3830-01014 LABEL (PACK OF 4)
racertrek Used - $9.99 0 Aug/26/20 Sep/05/20
Description: Applied Materials Top Zone IR Lamp Sensor Ref 0130-14014 Assy 0100-1401 4
capitolareatech Used - $249.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-09419 WELDMENT, 4 LINE FITTING
20041014625pm Refurbished - $895.00 0 Sep/03/20 Sep/10/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
getspares.com_sparesllc09 Used - $1,895.82 1 Sep/03/20 Dec/15/21
Description: 02-047200-03 / ASSY,PED LIFT 4 & 5 / NOVELLUS
racertrek Used - $9.99 0 Sep/07/20 Sep/17/20
Description: Applied Materials Top Zone IR Lamp Sensor Ref 0130-14014 Assy 0100-1401 4
katiil3 Used - $999.00 0 Sep/10/20 Oct/23/21
Description: Applied materials 0100-01957 PCB, PED-BIAS PVD Personality Lot of 4
20041014625pm Refurbished - $895.00 0 Sep/10/20 Sep/13/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
capitolareatech Used - $89.95 0 Sep/11/20 Sep/30/24
Description: Applied Materials 0610-00050 Blank Off ASA Fixed Flange 7.5" 4 Hole
20041014625pm Refurbished - $895.00 0 Sep/13/20 Sep/16/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $895.00 0 Sep/16/20 Sep/27/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $895.00 0 Sep/27/20 Oct/01/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
racertrek Used - $9.99 0 Sep/29/20 Apr/11/21
Description: Applied Materials Top Zone IR Lamp Sensor Ref 0130-14014 Assy 0100-1401 4
20041014625pm Refurbished - $895.00 0 Oct/01/20 Oct/11/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
20041014625pm Refurbished - $895.00 1 Oct/11/20 Oct/14/20
Description: Lam Research 854-011153-001 & 854-011153-002 Lead Screws For A Gap Drive Set 4
bobsgoodies2 NEW - $99.00 0 Oct/13/20 Mar/31/22
Description: (Lot of 4) AMAT 3700-01087 SEAL CTR RING ASSY NW40 W/VITON "O" RING SST
kkdoota Used - $82.78 1 Oct/14/20 Aug/02/21
Description: LAM RESEARCH FILTER ASSEMBLY 796-099422-001 LOT OF 4 (ITEM#15007/39)
expertsurplus Used - $250.00 1 Oct/15/20 Feb/02/22
Description: AMAT, ADAPTER, BARATRON W/B SHUTTER @ 2 & 4 MI, 0050-08618, New
maxisemi1349 NEW - $40.00 1 Oct/15/20 Apr/06/21
Description: 0200-09242 PLUG, DC, GRAPHITE, CR VERSION, POLY, lot of 4
j316gallery Used - $150.00 0 Oct/18/20 Nov/24/21
Description: 21346 APPLIED MATERIALS CABLE ASSY GAS PANEL 4 STICK DNET MANIFO 0150-12543
maxisemi1349 Used - $1,800.00 1 Oct/19/20 May/13/22
Description: 17-130766-00 CONTACT WAFER 196MM, lot of 4
consulteam Used - $224.95 1 Oct/20/20 Dec/10/20
Description: WOODHEAD SST DNP-PCI-4 V1.1.2, AMAT 0190-15756, PCI 4 PORT INTERFACE BOARD, 1 EA
j316gallery Used - $555.50 0 Nov/02/20 May/26/22
Description: 8120 APPLIED MATERIALS KVM SWITCH 4 PC INPUT 3 USER 0190-37616
metrology123 Used - $949.00 0 Nov/02/20 May/26/22
Description: Novellus HDSIOC 0 WET FRONT END WFE 02-178673-00 rev 4
bestoneshop-korea Used - $749.99 0 Nov/04/20 May/26/22
Description: SST SST-DNP-CPCI-3U-4-NC DeviceNet Scanner AMAT 0190-07908 REV 002 4 Channel
bestoneshop-korea Used - $249.99 1 Nov/05/20 Apr/24/22
Description: AMAT 0190-02748 REV 003 Flex Scanner Transition Module Board #4
tdindustrial NEW - $17.50 0 Nov/09/20 Nov/19/21
Description: AMAT Part No: 0015-00391 MOD PURCH PART THRD INSERT, REAR, LCF CARDCAGE Qty 4
capitolareatech Used - $179.95 0 Nov/10/20 Sep/30/24
Description: Applied Materials (AMAT) 0050-41604 WELDMT,LINE 4,BASIC PANEL FUJIKIN
autoquip7 NEW - $635.00 0 Nov/23/20 Jul/25/22
Description: 0100-90124, AMAT, PWBA 4 PHASE STEP.CONTRL; APPLIED MATERIAL (AMAT)
industrialgaragesales NEW - $70.00 0 Dec/18/20 Oct/04/22
Description: AMAT APPLIED MATERIALS KAYDON KA020XP4 3060-01068 BALL BEARING 4 POINT 777
getspares.com_sparesllc09 Used - $274.68 1 Dec/23/20 Jan/13/21
Description: 0200-09224 / ADAPTER RING GIANT GAP NITRIDE 4,5, & 6" / APPLIED MATERIALS AMAT
lagpat Used - $12,000.00 0 Jan/12/21 Mar/12/24
Description: APPLIED MATERIALS 0040-85475 REV 4 251-M11407 300mm Rev 4
david2van NEW - $43.76 2 Jan/23/21 May/18/21
Description: LAM 742-093181-002 GSKT RF Shielding .125c/s 20' 5 Strips 4' Long
auctionrus Used - $25.00 1 Jan/25/21 Feb/03/23
Description: 4 AMAT 3700-01578 O-Ring, .484 ID x .139 CSD, Kalrez 4079 75 Duro, 100031
getspares.com_sparesllc09 Used - $474.03 1 Feb/01/21 Jun/22/22
Description: 0200-09224 / ADAPTER RING GIANT GAP NITRIDE 4,5, & 6" / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $880.17 1 Feb/02/21 Jan/20/22
Description: 0020-10727 / COVER TOP 4 IN 5IN 6IN TEOS / APPLIED MATERIALS AMAT
powersell007 Used - $12,999.00 1 Feb/03/21 Apr/03/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
ym3116-fmz6ox NEW - $4.50 9 Feb/09/21 Apr/17/23
Description: AMAT 3700-02448 O-RING, 4 X 9, V0747
getspares.com_sparesllc09 Used - $320.56 1 Feb/10/21 Oct/21/21
Description: 0090-00547 / ASSY, ELEC WB CH ENDURA 1, 2, 4 D / APPLIED MATERIALS AMAT
kincardine222 Used - $300.00 1 Feb/28/21 Oct/31/21
Description: LOT OF 4 Vexta UDK5214NW 5-Phase Stepping Driver AMAT 0090-00569
farmoninc NEW - $75.00 0 Mar/04/21 Sep/16/21
Description: 4 Clippard EV-3-24 Valve, W6", AMAT 0190-09016, 100406
atxdeals4u Used - $800.00 1 Mar/15/21 Jun/08/21
Description: Digital Dynamics Novellus 61-358683-00 MACH IV EIOC FE-HD 1 MACH 4 HDLR G6 Rev A
athomemarket NEW - $59.99 1 Mar/16/21 Jul/14/23
Description: (Lot of 4) NEW Applied Materials/AMAT 0227-12300 Stainless Steel VCR Weldments
banyanteam NEW - $28.50 1 Mar/17/21 Jun/04/21
Description: Applied Materials 3780-01273 AMAT Customline Gas Spring 1" Stroke 4 lbs
epmstuff Used - $600.00 6 Mar/26/21 Jan/16/22
Description: 715-011622-101 Lam Research 4 Pin
powersell007 Used - $3,799.00 0 Mar/31/21 Nov/30/23
Description: APPLIED MATERIALS 0041-31311 0041-45179 4 ZONE V2 FULL SYM CENTURA CENTRIS DPS2S
xl-t_com Used - $150.00 0 Apr/02/21 Oct/19/21
Description: APPLIED MATERIALS - 0050-09419 - WELDMENT, MANIFOLD, SINGLE VALVE, 4 LINE
part2go Used - $120.00 1 Apr/11/21 Nov/11/21
Description: Applied Materials MKS CDN491R Board AS01491-0-4 0190-34282 Rev 4
usedeqsales Used - $804.21 0 Apr/20/21 May/18/23
Description: AMAT Applied Materials 0020-79091 8" Wafer Ring Sensor Head Lot of 4 Working
metkorea Used - $387.00 0 Apr/22/21 Nov/15/21
Description: Lam Research Esc Htr Filter 4 Channel 810-063892-001 Experdited Shipping
sgcequipment NEW - $55.00 0 May/06/21 May/18/23
Description: Applied Materials (AMAT) 3300-07823 FTG SEAL-LOK PLUG 1" ORF8 STEEL (PKG 4)
sgcequipment NEW - $55.00 0 May/06/21 May/18/23
Description: Applied Materials (AMAT) 3300-03764 FTG TBG CAP 1' 0D SST (PKG 4)
farmoninc Used - $3,900.00 0 May/10/21 May/18/23
Description: AMAT 0010-20157 Heater No. 4 Lift Assy, 0010-20138 TC Amp, SMC Cylinder, 100845
sgcequipment NEW - $55.00 0 May/11/21 May/18/23
Description: Applied Materials (AMAT) 3300-07823 FTG SEAL-LOK PLUG 1" ORF8 STEEL (PKG 4)
banyanteam NEW - $18.50 0 May/12/21 Apr/20/23
Description: Novellus 04-707941-01 Contact Strip RF Rev C (Pack Of 4)
maxisemi1349 Used - $108.00 1 May/13/21 Feb/23/22
Description: 0030-09036 SEAL,FINGER HOLE, lot of 4
expertsurplus NEW - $65.00 3 May/17/21 May/25/21
Description: AMAT, 4 Way Single Valve Assy, 0090-20043, New
maxisemi1349 NEW - $4,950.00 0 May/19/21 Aug/02/21
Description: 17-458650-00 CONTACT,200MM,0.95TP,N5, lot of 4
maxisemi1349 NEW - $4,950.00 0 May/19/21 Aug/01/22
Description: 17-431656-00 CONTACT,300MM,0.55MM TP, SBR-XT, lot of 4
usedeqsales Used - $506.21 0 Jun/01/21 Mar/25/23
Description: AMAT Applied Materials 0020-75120 8.00" Titan Head Wafer Membrane Lot of 4 New
jinwhah2032 Used - $2,400.00 0 Jun/16/21 Jun/24/21
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
j316gallery Used - $180.45 0 Jun/21/21 Jun/27/23
Description: 7114 APPLIED MATERIALS PCB 4 CHANNEL DEVICENET SCANNER 0190-34512
jinwhah2032 Used - $2,232.00 0 Jun/24/21 Jul/13/21
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
farmoninc NEW - $100.00 0 Jun/29/21 Dec/19/22
Description: 4 AMAT 0020-01568 Block, Mag Stop, 101428
farmoninc NEW - $120.00 0 Jul/03/21 Dec/22/22
Description: 4 AMAT 3700-90015 O Ring #346, 101523
united-test-and-power Used - $800.00 0 Jul/03/21 Oct/11/21
Description: LOT OF 4 Advanced Energy Pinnacle Power Supply. 12kW Dual Channel 6kW per chan
capitolareatech NEW - $289.95 1 Jul/03/21 Mar/02/22
Description: Applied Materials (AMAT) 3930-01043 TEMP CNTRL DIGITAL 4 DIG 1/16 DIN SERIES
capitolareatech Used - $29.95 0 Jul/03/21 Jan/02/23
Description: Applied Materials (AMAT) 0020-39370 BRACKET,LOCKOUT VALVES (4) UGP MCVD
farmoninc NEW - $150.00 0 Jul/06/21 Jun/30/22
Description: 4 AMAT 0035-00017 Cap, 101628
farmoninc NEW - $50.00 0 Jul/10/21 May/04/23
Description: 2 AMAT 3300-05057 FTG TBG Branch-Y 4MMT X 4 MMT X 4MMT, 101732
jinwhah2032 Used - $2,016.00 0 Jul/13/21 Jul/27/21
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
visionsemi Used - $170.00 1 Jul/28/21 Oct/24/23
Description: APPLIED MATERIALS AMAT PEC LINE EXHAUST MAIN FRAME 1" 4 WAY 0040-20344
ntc_tech Used - $1,499.99 0 Jul/28/21 Jul/26/21
Description: CTI-Cryogenic On-Board 4 Cryopump w/ CTI-Cryogenics Module 8113036G001 - AS IS
ntc_tech Used - $1,399.99 0 Jul/28/21 Jul/26/21
Description: CTI-Cryogenic On-Board 4 Cryopump w/ CTI-Cryogenics Roughing Valve - AS IS
jinwhah2032 Used - $2,400.00 0 Jul/28/21 Aug/09/21
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
j316gallery Used - $320.00 0 Aug/05/21 Aug/17/22
Description: 25804 APPLIED MATERIALS 4 WAY DIA VLV, 42600726, 944AOPLPNCSTS 0050-52171
j316gallery Used - $350.00 0 Aug/05/21 Dec/05/21
Description: 25822 LAM RESEARCH 4 WAY VALVE ASSY W/ 3X DIAPHRAGM VALVE 839-034095-001
jinwhah2032 Used - $2,400.00 0 Aug/09/21 Aug/26/21
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
usedeqsales Used - $708.21 0 Aug/09/21 Apr/02/23
Description: Novellus Systems 38-029576-00 Bifurcated Fiber Optic Cable Reseller Lot of 4 New
capitolareatech Used - $1,899.95 0 Aug/15/21 May/26/22
Description: Applied Materials (AMAT) 0020-03691 CLAMP RING, 4, DF
katiil3 Used - $99.00 0 Aug/22/21 Oct/23/21
Description: Applied Materials AMAT 3300-02133 FTG QDISC STEM 1/2T SST SLK Lot of 4
j316gallery Used - $3,800.00 1 Aug/22/21 Feb/17/22
Description: 25949 APPLIED MATERIALS PCB ASSY MOTION CTRL VS4 4 AXIS W/ENC,PCBVS4B 0190-03503
katiil3 Used - $1,899.00 0 Aug/26/21 Oct/23/21
Description: Digital Dynamics Novellus 02-281593-00 HDSIOC 1 C3 UV-CURE Rev a Firmware Ver. 4
jinwhah2032 Used - $2,400.00 0 Aug/27/21 Jan/02/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
surplusgizmos Used - $499.00 0 Sep/07/21 Nov/09/21
Description: Novellus Lid Lift Controller 02-124151-00 Rev 4 (Logosol 910050001)
katiil3 Used - $199.00 0 Sep/07/21 Oct/23/21
Description: Applied Materials AMAT FAB. 0110-09244 Rev. 1 Lot of 4
buggybusters NEW - $11,592.60 1 Sep/07/21 Sep/16/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
j316gallery Used - $5,999.00 0 Sep/10/21 Sep/10/21
Description: 26149 APPLIED MATERIALS 4 FINGER 6" HEATER W/ TC, 0040-22035 0190-20026
j316gallery Used - $3,999.00 0 Sep/10/21 Sep/10/21
Description: 26151 APPLIED MATERIALS 4 FINGER 6" HEATER W/ TC (PARTS) 0190-20026
getspares.com_sparesllc09 Used - $283.18 0 Sep/10/21 Aug/31/23
Description: 0020-09996 / SHIM RING, 4, OXIDE / APPLIED MATERIALS AMAT
myriadindustrial NEW - $34.99 1 Sep/12/21 Sep/24/21
Description: Lot of 4 Applied Materials 1/4VCR FEM HEX B Tubing Cap Fittings 3300-02269 SST
j316gallery Used - $1,100.00 1 Sep/17/21 Sep/30/21
Description: 10870 APPLIED MATERIALS CONNECTPORT TS 4 ETHERNET-SERIAL PORT D/S 0190-27649
katiil3 Used - $99.00 0 Sep/20/21 Oct/23/21
Description: Applied Materials AMAT 0620-07077 6P6C MODULAR CORD 1-1(REVERSED),25 FT Qty 4
farmoninc Used - $195.00 0 Sep/20/21 Jul/17/24
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102479
farmoninc Used - $195.00 0 Sep/20/21 Jul/11/24
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102477
farmoninc NEW - $295.00 0 Sep/22/21 Sep/24/23
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102497
farmoninc NEW - $295.00 0 Sep/22/21 Sep/24/23
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102493
farmoninc NEW - $295.00 0 Sep/22/21 Sep/24/23
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102503
farmoninc NEW - $295.00 0 Sep/22/21 Sep/24/23
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102518
farmoninc Used - $295.00 0 Sep/22/21 Mar/02/23
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102530
farmoninc NEW - $295.00 0 Sep/22/21 Mar/16/23
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, Swagelok 6LV-BNBW4, 102538
farmoninc Used - $295.00 0 Sep/22/21 Sep/24/23
Description: AMAT 0050-09789 Weldment, Final Valve, VER 4 TEOS, NUPRO 6LV-BNBW4, 102546
j316gallery Used - $1,500.00 0 Sep/30/21 Feb/11/22
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
maxisemi1349 Used - $2,400.00 0 Oct/03/21 Aug/25/22
Description: 17-312259-00 CONTACT,1MM,APC,N6,300MM, lot of 4
katiil3 Used - $599.00 0 Oct/08/21 Oct/23/21
Description: Applied Materials AMAT 0020-47330 Clamp, Drive 6 Port Spindle LK Reflexion Qyt 4
katiil3 NEW - $199.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0140-14336 HARNESS Assy CONTOUR UPA POWER REFLEXION Qty 4
katiil3 Used - $149.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0140-01796 CABLE, 4 "B" SLURRY FLOW MONITORS TO SLU
katiil3 Used - $199.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0021-83964 CLAMP, BBX DUAL SPRAY BAR, DESICA CLEANE Qty 4
capitolareatech Used - $4,999.95 0 Oct/20/21 Oct/12/23
Description: Applied Materials (AMAT) 0040-09286 CHAMBER 4,5,6" CVD REPCS 0040-09001,
capitolareatech Used - $79.95 0 Oct/21/21 May/10/23
Description: Applied Materials (AMAT) 0020-09288 Mounting plate c/w 4 x 20A & 4
getspares.com_sparesllc09 NEW - $320.56 1 Oct/22/21 Mar/31/22
Description: 0090-00547 / ASSY, ELEC WB CH ENDURA 1, 2, 4 D / APPLIED MATERIALS AMAT
metkorea Used - $178.00 0 Oct/29/21 Nov/16/21
Description: Lam Research Pcb Kvm 6Ports 4 Users 810-104580-004 Jabm12310471 810104580004 Jab
modelkits4u Used - $3,872.80 0 Oct/31/21 Nov/10/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
katiil3 Used - $599.00 1 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0020-47330 Clamp, Drive 6 Port Spindle LK Reflexion Qyt 4
katiil3 Used - $999.00 0 Nov/09/21 Mar/09/22
Description: Applied materials 0100-01957 PCB, PED-BIAS PVD Personality Lot of 4
katiil3 Used - $1,899.00 0 Nov/09/21 Mar/09/22
Description: Digital Dynamics Novellus 02-281593-00 HDSIOC 1 C3 UV-CURE Rev a Firmware Ver. 4
katiil3 Used - $199.00 0 Nov/10/21 Aug/15/23
Description: Applied Materials AMAT FAB. 0110-09244 Rev. 1 Lot of 4
katiil3 NEW - $59.00 1 Nov/10/21 Oct/10/22
Description: Applied Materials (AMAT) 0020-10704 SEAL,THROTTLE VALVE,TEOS ( lot of 4 )
katiil3 Used - $99.00 1 Nov/10/21 Oct/10/23
Description: Applied Materials AMAT 3300-02133 FTG QDISC STEM 1/2T SST SLK Lot of 4
spalding_fasteners Used - $3,000.00 0 Nov/11/21 Nov/21/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
maxisemi1349 NEW - $4,950.00 0 Nov/11/21 Aug/01/22
Description: 714-174553-001 CONTACT,300MM,0.95TP, N5,DN , lot of 4
surplusgizmos Used - $499.00 0 Nov/12/21 Dec/12/21
Description: Novellus Lid Lift Controller 02-124151-00 Rev 4 (Logosol 910050001)
lartonlivery Used - $1.00 0 Nov/15/21 Nov/25/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
metkorea Used - $387.00 0 Nov/15/21 Jun/16/22
Description: Lam Research Esc Htr Filter 4 Channel 810-063892-001 Experdited Shipping
metkorea Used - $178.00 0 Nov/16/21 Mar/03/22
Description: Lam Research Pcb Kvm 6Ports 4 Users 810-104580-004 Jabm12310471 810104580004 Jab
katiil3 Used - $5,999.00 1 Nov/16/21 Nov/16/22
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
forestfundamentals Used - $1.00 0 Nov/18/21 Nov/28/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
iluvltd Used - $2,000.00 0 Nov/18/21 Nov/28/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
retrostarlondon Used - $3,000.00 0 Nov/19/21 Nov/29/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
whybee-adventure Used - $3,000.00 0 Nov/20/21 Nov/27/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
tiswas Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
bookbinder2010 Used - $1.00 0 Nov/23/21 Dec/03/21
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
usedeqsales Used - $7,011.21 0 Nov/29/21 Mar/03/22
Description: AE Advanced Energy MDX Power Supply Lot of 4 MDX-10K MDX-20K Untested As-Is
zindchau15 Used - $1,570.00 2 Dec/01/21 Jul/01/22
Description: SMC INOI-7134-33, 4 CHANNEL D.NET UPA, C-Side APPLIED MATERIALS 0190-31159
gteprimo Used - $573.49 0 Dec/05/21 Dec/08/22
Description: Applied Materials PWB 12-406152-03 REV 4 FAB 0110-00280 E2 0190-01381 Inkl. MwSt
gteprimo Used - $573.49 0 Dec/05/21 Dec/08/22
Description: Applied Materials PWB 12-406152-03 REV 4 FAB 0110-00280 E2 0190-01381 Inkl. MwSt
spsglobal Used - $1,200.00 0 Dec/14/21 Feb/10/22
Description: 333-0401// AMAT APPLIED 0020-20164 (#4) COVER, INSULATOR SOURCE 11.30 [ASIS]
surplusgizmos Used - $499.00 0 Dec/14/21 Jan/14/22
Description: Novellus Lid Lift Controller 02-124151-00 Rev 4 (Logosol 910050001)
dgold32 Used - $349.99 1 Dec/28/21 Mar/04/22
Description: Applied Materials AMAT 0190-27649 ConnectPort TS 4
jinwhah2032 Used - $2,400.00 0 Jan/03/22 Jan/25/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
pnw-shop5 Used - $3,000.00 0 Jan/11/22 Jan/11/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
baseoftree1 Used - $4,204.30 0 Jan/11/22 Jan/11/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
egg.n.chips.clothing Used - $16.85 0 Jan/14/22 Jan/14/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
ledlightingsolution Used - $16.85 0 Jan/14/22 Jan/14/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
fulib_21 Used - $1.00 0 Jan/15/22 Jan/15/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
farmoninc Used - $750.00 0 Jan/20/22 Jun/15/23
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 103309
visionsemi NEW - $50.00 0 Jan/24/22 May/26/22
Description: 3090-01104 APPLIED MATERIALS AMAT SILVER PLATE HEX BOLT SET OF 4 Hex 5/16-18x 5
getspares.com_sparesllc09 Used - $880.17 1 Jan/24/22 Feb/08/22
Description: 0020-10727 / COVER TOP 4 IN 5IN 6IN TEOS / APPLIED MATERIALS AMAT
jinwhah2032 Used - $2,400.00 0 Jan/25/22 Feb/17/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
farmoninc Used - $750.00 0 Feb/03/22 Aug/31/23
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 103458
farmoninc Used - $750.00 0 Feb/03/22 Aug/31/23
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 103457
semitechsol NEW - $5,000.00 0 Feb/08/22 Jul/01/22
Description: 0100-70020 Assembly Version 4, Signal Lamp PCB
spsglobal Used - $3,500.00 0 Feb/09/22 Oct/06/22
Description: 000-0000// AMAT APPLIED 0010-70067 (#4) HEAT EXCHANGER ASSY ALUM ETCH [ASIS]
usedeqsales Used - $902.21 0 Feb/11/22 Aug/25/22
Description: AMAT Applied Materials 0015-09380 Base Mounting Screw Lot of 4 New Surplus
jinwhah2032 Used - $2,400.00 0 Feb/17/22 Feb/21/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
jinwhah2032 Used - $2,400.00 0 Feb/21/22 Mar/14/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
metkorea Used - $192.24 0 Mar/03/22 Mar/08/23
Description: Lam Research Pcb Kvm 6Ports 4 Users 810-104580-004 Jabm12310471 810104580004 Jab
j316gallery Used - $4,468.00 1 Mar/07/22 Mar/07/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
j316gallery Used - $1,500.00 0 Mar/07/22 Sep/07/22
Description: 10870 APPLIED MATERIALS CONNECTPORT TS 4 ETHERNET-SERIAL PORT D/S 0190-27649
katiil3 Used - $1,899.00 0 Mar/10/22 May/02/23
Description: Digital Dynamics Novellus 02-281593-00 HDSIOC 1 C3 UV-CURE Rev a Firmware Ver. 4
jinwhah2032 Used - $2,400.00 0 Mar/14/22 Mar/23/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
jinwhah2032 Used - $2,400.00 0 Mar/23/22 Apr/04/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
dom0808 Used - $5,390.00 0 Apr/04/22 Apr/10/22
Description: Lam Research Asembly Endeffector 4 Blade EFEM 853-134929-001
dom0808 Used - $185.90 0 Apr/04/22 Apr/10/22
Description: Lam Research ASSY,VI PROBE,TCCT MTCH,KIYO 4 853-800838-011
jinwhah2032 Used - $2,160.00 0 Apr/05/22 Apr/11/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
jinwhah2032 Used - $2,400.00 0 Apr/12/22 Apr/19/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
mmhfcom NEW - $1,750.95 0 Apr/13/22 Jun/21/22
Description: AMAT Mirra Wet Robot 4 Point Ball Radial Bearing 0190-77135 (OEM) *NEW*
avro66 Used - $1,995.00 0 Apr/14/22 May/13/22
Description: AMAT Applied Materials 0020-10727 TEOS cover 4, 5, 6 inch
spsglobal Used - $80.00 0 Apr/20/22 Jun/15/23
Description: 352-0502// AMAT APPLIED 0090-20043 (#1) ASSY, 4 WAY-SINGLE VALVE [NEW]
jinwhah2032 Used - $2,400.00 0 Apr/20/22 May/01/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
j316gallery Used - $1,000.00 1 Apr/20/22 May/26/22
Description: 27789 NOVELLUS PCB, ILDS, INTLK, 4 HTR, NS CONS, C3VCTR 03-401081-00
bestoneshop-korea Used - $249.99 1 Apr/24/22 May/10/22
Description: AMAT 0190-02748 REV 003 Flex Scanner Transition Module Board #4
cosplity Used - $1,900.00 0 Apr/25/22 Sep/25/22
Description: AMAT 0010-14702 MANIFOLD ASSY ZONE-2, 4 PORT UPA 300MM
j316gallery Used - $25,000.00 0 Apr/26/22 Jul/19/22
Description: 27809 APPLIED MATERIALS INUSA OZONE CTLR, 4 CH RS232 19 RA, 0190-35874 SCI-PLUS
jinwhah2032 Used - $2,400.00 0 May/01/22 May/09/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
visionsemi Used - $10,000.00 2 May/03/22 Mar/03/23
Description: APPLIED MATERIALS 0190-10841 EMAG 4 CHANNEL POWER SYSTEM
j316gallery Used - $730.00 0 May/04/22 Jan/04/23
Description: 27814 ADVANCED ENERGY PCB, PARAMOUNT MF USER 4 IF BD 23020164-A
j316gallery Used - $730.00 0 May/04/22 Jan/04/23
Description: 27813 ADVANCED ENERGY PCB, PARAMOUNT MF USER 4 IF BD 23020164-A
rolx1234 Used - $250.00 1 May/05/22 May/12/23
Description: Lam Research 515-031765-001 Tool, Alignment, 4 Pin Lifter Bellow
jinwhah2032 Used - $2,400.00 0 May/09/22 Jun/14/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
avro66 Used - $1,995.00 0 May/13/22 Sep/13/22
Description: AMAT Applied Materials 0020-10727 TEOS cover 4, 5, 6 inch
vizvik16 NEW - $90.00 2 May/15/22 Jun/05/22
Description: 33PCS Applied Materials 3320-01165 GASKET VCR 1/4 NI CONTOURED PARKER 4 GVGR-N
dgold32 Used - $1,299.99 1 May/18/22 May/18/22
Description: DIGITAL DYNAMICS Anneal SBR XT HDSIOC 4 Lam Research 02-286113-00 CONTROLLER
vizvik16 NEW - $40.00 1 May/19/22 Sep/13/22
Description: Applied Materials 0190-60394 DNET LIGHT TOWER 4 LIGHT COVER (RAGB) W
vizvik16 NEW - $97.00 1 May/30/22 Jun/05/22
Description: 42PCS Applied Materials 3320-01165 GASKET VCR 1/4 NI CONTOURED PARKER 4 GVGR-N
cosplity Used - $45,000.00 0 May/30/22 Nov/25/22
Description: AMAT 0010-77296 MIRRA TITAN I HEAD W/ 0010-23601 CLAMP, LOT OF 4
vizvik16 NEW - $90.00 1 Jun/06/22 Apr/02/23
Description: 33PCS Applied Materials 3320-01165 GASKET VCR 1/4 NI CONTOURED PARKER 4 GVGR-N
farmoninc Used - $1,200.00 0 Jun/07/22 Nov/30/23
Description: 4 AMAT 0200-18073 Tube Sapphire Microwave Applicator, HDP-CVD CHAMBER, 106338
jinwhah2032 Used - $2,400.00 0 Jun/15/22 Jun/19/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
surplusgizmos Used - $499.00 0 Jun/15/22 Jul/15/22
Description: Novellus Lid Lift Controller 02-124151-00 Rev 4 (Logosol 910050001)
farmoninc Used - $250.00 1 Jun/20/22 Jul/20/22
Description: 4 CTI-Cryogenics Purge Valve 8112360 40-80PSI, 106975
jinwhah2032 Used - $2,400.00 0 Jun/21/22 Jun/29/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
mmhfcom NEW - $1,480.43 1 Jun/21/22 Jul/10/23
Description: AMAT Mirra Wet Robot 4 Point Ball Radial Bearing 0190-77135 (OEM) *NEW*
jinwhah2032 Used - $2,400.00 0 Jun/29/22 Jul/06/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
usedeqsales Used - $403.19 1 Jun/30/22 Apr/18/23
Description: AMAT Applied Materials 0020-33810 Outer Blocker DPS-Poly Kit Lot of 4 New
sgcequipment NEW - $55.00 0 Jul/01/22 Feb/14/24
Description: Applied Materials/AMAT 3300-07823 FTG SEAL-LOK PLUG 1" ORFS STEEL (LOT OF 4)
sgcequipment Used - $85.00 0 Jul/01/22 Aug/18/22
Description: Applied Materials/AMAT 3700-02303 2.175 CSD .103 VITON BR O-RING (LOT OF 4)
maxisemi1349 Used - $1,800.00 1 Jul/01/22 Dec/19/22
Description: 17-130766-00 CONTACT WAFER 196MM, lot of 4
jinwhah2032 Used - $2,400.00 0 Jul/07/22 Jul/21/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
visionsemi Used - $1,746.49 0 Jul/11/22 May/29/23
Description: Applied Materials 0190-35216 Rev A Processus Chambre Interface Bd 0190-35054 4
visionsemi Used - $1,755.10 0 Jul/11/22 May/29/23
Description: APPLIED MATERIALS 0190-35216 REV A PROCESS CHAMBER INTERFACE BD 0190-35054 REV 4
farmoninc NEW - $360.00 0 Jul/14/22 Apr/20/23
Description: 4 AMAT 0200-10074 Cleaned Lift Fingers Chuck, 109048
mmcgolfer NEW - $14.99 1 Jul/19/22 Jul/26/22
Description: 4PCS Applied Materials 3320-01165 GASKET VCR 1/4 NI CONTOURED PARKER 4 GVGR-N
mmcgolfer NEW - $24.99 0 Jul/19/22 Oct/15/22
Description: 4 Applied Materials AMAT Chemraz O- Ring, 3700-01711
j316gallery Used - $350.00 0 Jul/20/22 May/18/23
Description: 29042 ADVANCED ENERGY PCB, NAVIGATOR HEX-MOTOR CTRL 4 (PARTS) 23020432-B
jinwhah2032 Used - $2,400.00 0 Jul/21/22 Aug/01/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
farmoninc NEW - $200.00 0 Jul/21/22 Mar/09/23
Description: 4 AMAT 0020-75627 INSERT, CLAMP, HOOP LIFT, 300MM DEGAS, 109119
mmcgolfer NEW - $49.99 0 Jul/25/22 Oct/15/22
Description: 4 Applied Materials 3700-01035 O Ring ID .424 CSD .103
mmcgolfer NEW - $7.50 0 Jul/27/22 Oct/15/22
Description: 4 APPLIED MATERIALS 3880-01025 WASR LKG SPLIT #10 .3340D X .200ID X.047THK SST
mmcgolfer NEW - $12.50 0 Jul/27/22 Oct/15/22
Description: 4 AMAT 3690-01092 10-32 X 3/8L HEX SKT SST, 109021
farmoninc Used - $750.00 0 Jul/29/22 Mar/02/23
Description: AMAT 0021-06097 CLAMP RING, 8", SEMI NOTCH, 4 ALIGMENT T, 108555
getspares.com_sparesllc09 Used - $188.68 1 Aug/01/22 May/02/23
Description: 3870-02506 / VALVE ASSY PNEU EV 4 POS 24VDC 1-4T / APPLIED MATERIALS
jinwhah2032 Used - $2,400.00 0 Aug/01/22 Aug/07/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
mmcgolfer NEW - $59.99 0 Aug/03/22 Oct/15/22
Description: Pack of 4 18214 APPLIED MATERIALS BRG BALL 7/8OD x 3/8ID x 7/32W 0190-75039
excessdealer Used - $500.00 1 Aug/04/22 Nov/30/22
Description: SIOC WTS-HV SIOC 0 NOVELLUS 02-123962-00 REV. 4
jinwhah2032 Used - $2,160.00 0 Aug/08/22 Aug/24/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
mmcgolfer NEW - $37.50 0 Aug/09/22 Oct/15/22
Description: Bag of 4 350-0203 AMAT APPLIED 0020-30000 CLAMP,PIN ADJ, WB [NEW]
buylowauctionzz Used - $999.00 1 Aug/14/22 Nov/04/22
Description: CTI-Cryogenics SC Helium Cold Head Compressor 8032224 4 Hours
liquiditech Used - $900.00 0 Aug/15/22 May/09/23
Description: SMC Slit Valve Pneumatic Cylinder 3020-00077 AMAT 0242-34890 #4
mraglide Used - $400.00 1 Aug/21/22 Aug/22/22
Description: Nikon Lot of 4 x 4S991-143 also ref PS8-120G
jinwhah2032 Used - $2,400.00 0 Aug/24/22 Aug/29/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
j316gallery Used - $183.66 0 Aug/26/22 Jan/21/24
Description: 29101 LAM RESEARCH 4 PORT ELEAL VLV + MANF ASSY W/ INDICATORS 853-800722-003
jinwhah2032 Used - $2,400.00 0 Aug/30/22 Sep/28/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
mmcgolfer NEW - $29.99 0 Sep/08/22 Oct/15/22
Description: AMAT 3690-03793 SCR CAP SKT HD 4-40 X 3/4L HEX SKT NYL LOT OF 4, NEW
ayodotechproducts NEW - $98.00 0 Sep/11/22 Oct/04/23
Description: Lot of 4 NEW Lam Research 839-070842-004 ASSY STUD SOCKET
avro66 Used - $1,995.00 0 Sep/14/22 Nov/14/22
Description: AMAT Applied Materials 0020-10727 TEOS cover 4, 5, 6 inch
sgcequipment Used - $900.00 0 Sep/14/22 Mar/18/24
Description: Applied Materials/AMAT 0100-90870 PCB PWBA 4 PHASE STEPPER
sgcequipment Used - $35.00 0 Sep/14/22 Mar/13/24
Description: Applied Materials/AMAT 3880-01022 WASHER LKG SPLIT #4 .203OD X .120ID X .02
jinwhah2032 Used - $2,400.00 0 Sep/28/22 Oct/03/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
eisale1535 Used - $3,645.00 0 Sep/29/22 Nov/29/22
Description: MALEMA FLOW CONTROLLER MFC-8000-T2104-052-P-001 Rev 4 AMAT 0190-14383 Rev 001
jinwhah2032 Used - $2,400.00 1 Oct/04/22 Oct/12/22
Description: ✅[3649] AE AERA ADVANCED ENERGY AS50HA SI (OC2H5) 4 600SCCM /🚀DHL shipping
usedeqsales Used - $1,510.22 1 Oct/05/22 Dec/01/22
Description: MKS Instruments AS01496-AB-4 PCB Card CDN496R AMAT 0190-34055 Lot of 4 Working
maxisemi1349 Used - $3,300.00 0 Oct/07/22 Jun/29/23
Description: 17-356435-00 CONTACT,1MM,APC,N6, lot of 4
mmabr1999 Used - $2,695.00 0 Oct/09/22 May/03/23
Description: 0190-24854 APPLIED MATERIALS/MKS AMAT REV1.8 G4 PLUS 4 -PORT UPA 300 MM REFL
pyreneescollections Used - $16.57 0 Oct/11/22 Oct/11/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
pyreneescollections Used - $16.61 0 Oct/11/22 Oct/11/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
mmcgolfer NEW - $24.99 0 Oct/15/22 Oct/30/22
Description: 4 AMAT 3690-01092 10-32 X 3/8L HEX SKT SST, 109021
mmcgolfer NEW - $49.99 0 Oct/15/22 Oct/30/22
Description: 4 Applied Materials 3700-01035 O Ring ID .424 CSD .103
mmcgolfer NEW - $74.99 0 Oct/15/22 Oct/30/22
Description: Bag of 4 350-0203 AMAT APPLIED 0020-30000 CLAMP,PIN ADJ, WB [NEW]
mmcgolfer NEW - $7.50 0 Oct/15/22 Oct/30/22
Description: 4 APPLIED MATERIALS 3880-01025 WASR LKG SPLIT #10 .3340D X .200ID X.047THK SST
mmcgolfer NEW - $29.99 0 Oct/15/22 Oct/30/22
Description: AMAT 3690-03793 SCR CAP SKT HD 4-40 X 3/4L HEX SKT NYL LOT OF 4, NEW
mmcgolfer NEW - $59.99 0 Oct/15/22 Oct/30/22
Description: Pack of 4 18214 APPLIED MATERIALS BRG BALL 7/8OD x 3/8ID x 7/32W 0190-75039
filateliadesimoni Used - $16.57 0 Oct/22/22 Oct/22/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
recortitos Used - $16.57 0 Oct/24/22 Oct/24/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
recortitos Used - $16.61 0 Oct/24/22 Oct/24/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
alvin1462 Used - $3,333.00 0 Oct/24/22 Mar/26/23
Description: Applied Materials AMAT 0010-47862 , SMC INOI-7134-33 , 4 Port DNET UPA MODULE
powersell007 Used - $12,999.00 1 Oct/27/22 May/24/23
Description: APPLIED MATERIALS 0041-75950 0041-48310 CHUCK ESC BONDING FULL SYM 4 ZONE V2
napoleon155 Used - $16.57 0 Oct/29/22 Oct/29/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
napoleon155 Used - $16.61 0 Oct/29/22 Oct/29/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
mmcgolfer NEW - $18.74 0 Oct/30/22 Nov/12/22
Description: 4 AMAT 3690-01092 10-32 X 3/8L HEX SKT SST, 109021
mmcgolfer NEW - $37.49 0 Oct/30/22 Nov/12/22
Description: 4 Applied Materials 3700-01035 O Ring ID .424 CSD .103
mmcgolfer NEW - $56.24 0 Oct/30/22 Nov/12/22
Description: Bag of 4 350-0203 AMAT APPLIED 0020-30000 CLAMP,PIN ADJ, WB [NEW]
mmcgolfer NEW - $44.99 0 Oct/30/22 Nov/12/22
Description: Pack of 4 18214 APPLIED MATERIALS BRG BALL 7/8OD x 3/8ID x 7/32W 0190-75039
mmcgolfer NEW - $5.62 0 Oct/30/22 Nov/12/22
Description: 4 APPLIED MATERIALS 3880-01025 WASR LKG SPLIT #10 .3340D X .200ID X.047THK SST
mmcgolfer NEW - $22.49 0 Oct/30/22 Nov/12/22
Description: AMAT 3690-03793 SCR CAP SKT HD 4-40 X 3/4L HEX SKT NYL LOT OF 4, NEW
mmcgolfer NEW - $12.50 1 Nov/12/22 Dec/27/22
Description: 4 AMAT 3690-01092 10-32 X 3/8L HEX SKT SST, 109021
mmcgolfer NEW - $29.99 1 Nov/12/22 Dec/27/22
Description: Pack of 4 18214 APPLIED MATERIALS BRG BALL 7/8OD x 3/8ID x 7/32W 0190-75039
mmcgolfer NEW - $24.99 1 Nov/12/22 Dec/27/22
Description: 4 Applied Materials 3700-01035 O Ring ID .424 CSD .103
mmcgolfer NEW - $74.99 0 Nov/12/22 Nov/30/22
Description: Bag of 4 350-0203 AMAT APPLIED 0020-30000 CLAMP,PIN ADJ, WB [NEW]
mmcgolfer NEW - $7.50 0 Nov/12/22 Nov/30/22
Description: 4 APPLIED MATERIALS 3880-01025 WASR LKG SPLIT #10 .3340D X .200ID X.047THK SST
mmcgolfer NEW - $15.00 1 Nov/12/22 Dec/27/22
Description: AMAT 3690-03793 SCR CAP SKT HD 4-40 X 3/4L HEX SKT NYL LOT OF 4, NEW
avro66 Used - $1,995.00 0 Nov/16/22 Dec/15/22
Description: AMAT Applied Materials 0020-10727 TEOS cover 4, 5, 6 inch
europlantsvivai Used - $4.57 0 Nov/19/22 Nov/19/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
europlantsvivai Used - $4.61 0 Nov/19/22 Nov/19/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
j316gallery Used - $3,348.90 0 Nov/21/22 Sep/17/24
Description: 31311 LAM RESEARCH CONTROLLER ASSY, EIOC 4 685-283373-001
farmoninc NEW - $295.00 0 Nov/29/22 Dec/22/22
Description: 0140-02748 HARNESS ASSY 4 PRESS XDCR GP 300MM, 111869
voltapaginanet Used - $3.57 0 Nov/29/22 Nov/30/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
voltapaginanet Used - $3.61 0 Nov/29/22 Nov/30/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
comwaysind Used - $146.28 0 Nov/30/22 Feb/29/24
Description: AMAT 3400-01001 Hose Connector 1/4ID x 1/4TA Applied Materials Lot of 4 New
079gabriel2005 Used - $16.61 0 Dec/05/22 Dec/05/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
079gabriel2005 Used - $16.57 0 Dec/05/22 Dec/05/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
mmcgolfer NEW - $7.50 1 Dec/07/22 Dec/27/22
Description: 4 APPLIED MATERIALS 3880-01025 WASR LKG SPLIT #10 .3340D X .200ID X.047THK SST
fma16000 Used - $16.61 0 Dec/08/22 Dec/08/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
fma16000 Used - $16.57 0 Dec/08/22 Dec/08/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
mmcgolfer NEW - $37.50 1 Dec/08/22 Dec/27/22
Description: Bag of 4 350-0203 AMAT APPLIED 0020-30000 CLAMP,PIN ADJ, WB [NEW]
xenop Used - $1,275.00 1 Dec/14/22 Dec/28/22
Description: Advanced Energy Pinnacle Remote Mini Panel / 3152327-002A 4 Mo Wrty
avro66 Used - $1,995.00 0 Dec/15/22 Mar/14/23
Description: AMAT Applied Materials 0020-10727 TEOS cover 4, 5, 6 inch
giftwearonline Used - $16.61 0 Dec/18/22 Dec/18/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
giftwearonline Used - $16.57 0 Dec/18/22 Dec/18/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
giftwearonline Used - $15.99 0 Dec/18/22 Dec/18/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
giftwearonline Used - $16.08 0 Dec/18/22 Dec/18/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
giftwearonline Used - $12.49 0 Dec/18/22 Dec/18/22
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
giftwearonline Used - $17.13 0 Dec/18/22 Dec/18/22
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
napo12 Used - $16.57 0 Dec/19/22 Dec/19/22
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
napo12 Used - $16.61 0 Dec/19/22 Dec/19/22
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
20041014625pm Used - $3,295.00 0 Dec/20/22 Jan/20/23
Description: Lam Research P/N: 853-012261-001 / INNER GATE ASSEMBLY Set Of 4 Units
simt8005 Used - $35,000.00 0 Dec/27/22 May/18/23
Description: AMAT 0010-54065 ASSY, 4 ZONE V2 FIXED F
mmcgolfer NEW - $49.99 1 Dec/27/22 Dec/28/22
Description: 4 AMAT 3700-01711 O-RING 4.23 x .139 402800
usedeqsales Used - $8,050.86 0 Jan/06/23 Jun/01/23
Description: Kollmorgen MAG05-25041-007 EMAG 4 Channel Power System AMAT 0195-05598 Working
onestopbuys Used - $12.49 0 Jan/12/23 Jan/12/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
onestopbuys Used - $15.99 0 Jan/12/23 Jan/12/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
onestopbuys Used - $16.08 0 Jan/12/23 Jan/12/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
onestopbuys Used - $17.13 0 Jan/12/23 Jan/12/23
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
mppatrick Used - $16.57 0 Jan/14/23 Jan/14/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
mppatrick Used - $16.61 0 Jan/14/23 Jan/14/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
surplustechmart Used - $148.50 1 Jan/17/23 Mar/19/23
Description: Applied Materials AMAT 0190-60394 DNET LIGHT TOWER 4 LIGHT COVER
farmoninc NEW - $765.00 1 Jan/19/23 Sep/07/23
Description: 4 AMAT 0150-09616 SPAN IPS 122 Type 1, 8-30VDC, 12W Cable Pressure Switch,113642
20041014625pm Used - $1,600.00 1 Jan/20/23 Feb/16/23
Description: Lam Research P/N: 853-012261-001 / INNER GATE ASSEMBLY Set Of 4 Units
xenop Used - $3,275.00 0 Jan/23/23 Dec/24/23
Description: Advanced Energy Sparc-le V Pulsing Power Supply#7 M/N 3152330-013 w/ 4 Mo. Wrty
getspares.com_sparesllc09 Used - $10,000.70 0 Feb/02/23 Mar/16/23
Description: 0010-23334 / SYSTEM 411931-PJ-ECH3 SOURCE 4 CPI VMO CHAMBER LID PVD/ AMAT
bajasrule NEW - $125.00 0 Feb/04/23 Mar/09/23
Description: Lot Of 4 Abrasive Tech Pad Conditioner AMAT 0190-77281 Infinity S3410044N
global-audio-store Used - $16.61 0 Feb/09/23 Feb/09/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
global-audio-store Used - $16.57 0 Feb/09/23 Feb/09/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
zartons Used - $16.57 0 Feb/10/23 Feb/10/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
zartons Used - $16.61 0 Feb/10/23 Feb/10/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
sgcequipment Used - $60.00 0 Feb/14/23 Nov/28/23
Description: Applied Materials/AMAT 4 Foot Reinforced Hose PN: 3400-90004
johnp1225 Used - $149.99 0 Feb/16/23 May/11/23
Description: Set of 4 APPLIED MATERIALS RTP LAMP BULB,RADIANCE 0190-38517
visionsemi Used - $11,000.00 0 Feb/16/23 Aug/15/24
Description: AMAT APPLIED MATERIALS 0195-05597 EMAG 4 CHANNEL POWER SUPPLY MAG05-25041-006
20041014625pm Used - $1,600.00 0 Feb/16/23 Feb/16/23
Description: Lam Research P/N: 853-012261-001 / INNER GATE ASSEMBLY Set Of 4 Units
jtechsemi Used - $20,000.00 0 Feb/23/23 Apr/03/23
Description: lot of 4 Applied Materials 0190-20098 resonator assy
rolx1234 Used - $890.00 1 Feb/24/23 Apr/26/23
Description: Lam Research TCP AutoTune PCB p/n Assy. 810-015987-001 Rev. 4
avoneverywhere Used - $12.49 0 Feb/26/23 Feb/27/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
avoneverywhere Used - $15.99 0 Feb/26/23 Feb/27/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
avoneverywhere Used - $16.08 0 Feb/26/23 Feb/27/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
eleganthairextensions Used - $16.57 0 Feb/26/23 Feb/26/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
eleganthairextensions Used - $16.61 0 Feb/26/23 Feb/26/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
avoneverywhere Used - $17.13 0 Feb/26/23 Feb/27/23
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
j316gallery Used - $1,600.00 0 Feb/28/23 Mar/27/23
Description: 10870 APPLIED MATERIALS CONNECTPORT TS 4 ETHERNET-SERIAL PORT D/S 0190-27649
ledamoiseau Used - $16.57 0 Mar/05/23 Mar/06/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
ledamoiseau Used - $16.61 0 Mar/05/23 Mar/06/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
ledamoiseau Used - $12.49 0 Mar/05/23 Mar/05/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
ledamoiseau Used - $15.99 0 Mar/05/23 Mar/05/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
ledamoiseau Used - $16.08 0 Mar/05/23 Mar/05/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
ledamoiseau Used - $17.13 0 Mar/05/23 Mar/05/23
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
farmoninc Used - $1,650.00 0 Mar/08/23 Jun/01/23
Description: AMAT 0020-03691 CLAMP RING, 4, DF, 114875
papyrus10 Used - $16.61 0 Mar/12/23 Mar/13/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
papyrus10 Used - $16.57 0 Mar/12/23 Mar/13/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
papyrus10 Used - $12.49 0 Mar/12/23 Mar/13/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
papyrus10 Used - $15.99 0 Mar/12/23 Mar/13/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
papyrus10 Used - $16.08 0 Mar/12/23 Mar/13/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
papyrus10 Used - $17.13 0 Mar/12/23 Mar/13/23
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
man7183 Used - $16.57 0 Mar/13/23 Mar/13/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
man7183 Used - $16.61 0 Mar/13/23 Mar/13/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
avro66 Used - $1,995.00 0 Mar/15/23 Apr/14/23
Description: AMAT Applied Materials 0020-10727 TEOS cover 4, 5, 6 inch
punki64 Used - $16.57 0 Mar/20/23 Mar/20/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
punki64 Used - $16.61 0 Mar/20/23 Mar/20/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
ghis6969 Used - $16.57 0 Mar/25/23 Mar/25/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
ghis6969 Used - $16.61 0 Mar/25/23 Mar/25/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
en.avant.les.histoires Used - $3,599.00 0 Mar/25/23 Mar/25/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
en.avant.les.histoires Used - $5,040.00 0 Mar/25/23 Mar/25/23
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
fb78 Used - $1.53 0 Mar/26/23 Mar/26/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
fb78 Used - $1.44 0 Mar/26/23 Mar/26/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
alvin1462 Used - $3,333.00 1 Mar/29/23 May/07/23
Description: Applied Materials AMAT 0190-75531 , SMC , 4 Port DNET UPA MODULE
farmoninc NEW - $100.00 0 Mar/29/23 May/18/23
Description: 4 AMAT 0020-76473 BRACKET GEN RACK FLOOR MOUNT, 104572
rodagon88 Used - $16.61 0 Apr/01/23 Apr/01/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
rodagon88 Used - $16.57 0 Apr/01/23 Apr/01/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
bo19531 Used - $8.73 0 Apr/02/23 Apr/03/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
bo19531 Used - $5.37 0 Apr/02/23 Apr/03/23
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
bo19531 Used - $8.61 0 Apr/02/23 Apr/03/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
bo19531 Used - $8.57 0 Apr/02/23 Apr/03/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
getspares.com_sparesllc09 NEW - $459.56 0 Apr/04/23 Jun/04/23
Description: 03-343116-04 / LAM RF 4 CABLE AE17221-04 / LAM RESEARCH CORPORATION
semitec-69 Used - $2,700.00 0 Apr/06/23 Apr/07/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
semitec-69 Used - $2,430.00 0 Apr/08/23 May/04/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
avro66 Used - $1,200.00 0 Apr/18/23 Jul/17/23
Description: AMAT Applied Materials 0020-10727 TEOS cover 4, 5, 6 inch
usedeqsales Used - $1,504.23 1 Apr/25/23 Oct/02/24
Description: Vicor WP5-77567 Power Supply MegaPAC AMAT 1140-01576 Reseller Lot of 4 Working
yiannisshop NEW - $20.00 0 Apr/30/23 Aug/25/23
Description: 50 Fuses AMAT 0910-01018 littelfuse 251004 4 AMP $27 free shipping
yiannisshop NEW - $20.00 5 Apr/30/23 Aug/25/23
Description: 10 Fuses AMAT 0910-01393 littelfuse ATQR4 4 Amp $20 free shipping
semixicon Used - $78,500.00 0 May/03/23 May/10/23
Description: BRAND NEW APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000
alvin1462 Used - $55,555.00 1 May/04/23 May/05/23
Description: AMAT CENTURA 0010-54810 , 300MM VHP ROBOT , E COOLED 0040-03661 , 2 , 3 & 4
johnp1225 Used - $149.99 0 May/11/23 Oct/01/23
Description: Set of 4 APPLIED MATERIALS RTP LAMP BULB,RADIANCE 0190-38517
alvin1462 Used - $55,555.00 0 May/24/23 Jul/07/23
Description: AMAT CENTURA 0010-54810 , 300MM VHP ROBOT , E COOLED 0040-03661 , 2 , 3 & 4
mmabr1999 NEW - $2,500.00 1 May/25/23 Jul/23/24
Description: APPLIED MATERIALS/MKS , 0190- 24854 Plus 4-PORT UPA 300 MM REFL
ssarah712 Used - $12.49 0 May/28/23 May/29/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
ssarah712 Used - $15.99 0 May/28/23 May/29/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
ssarah712 Used - $16.08 0 May/28/23 May/29/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
aincoln0 Used - $16.57 0 May/28/23 May/28/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
aincoln0 Used - $16.61 0 May/28/23 May/28/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
ssarah712 Used - $17.13 0 May/28/23 May/29/23
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
farmoninc NEW - $80.00 1 May/31/23 Sep/19/23
Description: LOTS OF 4 AMAT 0021-08113 VDPLATE, COVER, ADAPTOR SIP-CU, 118616
generalbonaparte Used - $1.97 0 Jun/04/23 Jun/04/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
generalbonaparte Used - $3.27 0 Jun/04/23 Jun/04/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
generalbonaparte Used - $5.39 0 Jun/04/23 Jun/04/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
generalbonaparte Used - $5.30 0 Jun/04/23 Jun/04/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
generalbonaparte Used - $1.93 0 Jun/04/23 Jun/04/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
generalbonaparte Used - $7.91 0 Jun/04/23 Jun/04/23
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
hhulo Used - $16.57 0 Jun/11/23 Jun/11/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
hhulo Used - $16.61 0 Jun/11/23 Jun/11/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
getspares.com_sparesllc09 NEW - $459.56 1 Jun/14/23 Mar/14/24
Description: 03-343116-04 / LAM RF 4 CABLE AE17221-04 / LAM RESEARCH CORPORATION
outletmp3 Used - $16.57 0 Jun/24/23 Jun/24/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING FULL SYM 4 ZONE V2 0041-48310 75950
outletmp3 Used - $16.61 0 Jun/24/23 Jun/24/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
outletmp3 Used - $12.49 0 Jun/24/23 Jun/24/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
outletmp3 Used - $15.99 0 Jun/24/23 Jun/24/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
outletmp3 Used - $16.08 0 Jun/24/23 Jun/24/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B
outletmp3 Used - $17.13 0 Jun/24/23 Jun/24/23
Description: AE Advanced Energy 4 units of APEX 3013 M N 3156113-011 B
onestopbuy2014 Used - $12.49 0 Jul/08/23 Jul/08/23
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
onestopbuy2014 Used - $15.99 0 Jul/08/23 Jul/08/23
Description: APPLIED MATERIALS 6000-81206B ESC BONDING, FULL SYM 4 ZONE V2 0041-48310, 75950
onestopbuy2014 Used - $16.08 0 Jul/08/23 Jul/08/23
Description: APPLIED MATERIALS 0041-75950 CHUCK ESC BONDING FULL SYM 4 ZONE V2 6000-81206B