[click to login]
WSEMI


TAGS > 300mm amat

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
nissiglobal
[view on eBay]
Used 4
in stock
$39.49
Description: Applied Materials 0021-08324 ADAPTER, BLOCK WATER 3/8NPT SIP 300MM AMAT
powersell007
[view on eBay]
Used 1
in stock
$899.00
Description: APPLIED MATERIALS 0021-80963 EDGE SHIELD, XT PEDESTAL, CLEAN CHAMBER 300MM AMAT
todd1455
[view on eBay]
NEW 1
in stock
$350.00
Description: Applied Materials 0021-45918 Rev.03 Carrier Ring Titan 7 300mm AMAT 00214591 New
powersell007
[view on eBay]
Used 1
in stock
$1,499.00
Description: APPLIED MATERIALS 0020-47727 COVER RING PVD CLEAN TUNGSTEN (W) 300MM AMAT
powersell007
[view on eBay]
Used 1
in stock
$899.00
Description: APPLIED MATERIALS 0020-87982 OUTER ROLLING SEAL CLAMP 300MM AMAT *UNUSED*
todd1455
[view on eBay]
NEW 2
in stock
$169.00
Description: Applied Materials 0021-87710 Guide Drive Roller 1.5mm THK MEG Tank 300mm AMAT
powersell007
[view on eBay]
Used 1
in stock
$2,799.00
Description: APPLIED MATERIALS 0040-42026 PLATE, TOP, BLANK OFF, BASE RING, 300MM AMAT
powersell007
[view on eBay]
Used 1
in stock
$1,299.00
Description: APPLIED MATERIALS 0200-08627 QUARTZ RING, CLEAN CHAMBER 300MM AMAT
powersell007
[view on eBay]
Used 1
in stock
$999.00
Description: APPLIED MATERIALS 0021-41429 AI SILICON ALLOY, SHUTTER, 300MM AMAT *UNUSED*
powersell007
[view on eBay]
Used 1
in stock
$5,999.00
Description: APPLIED MATERIALS 0010-43083 SHADOW LIFT ASSY, TSV 300MM AMAT *UNUSED*
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0021-52193 ALUMINUM BLOCKER DD3584 SACVD PRODUCER 300MM AMAT
powersell007
[view on eBay]
Used 1
in stock
$2,299.00
Description: PRAXAIR MRCFCU0025437 Cu 99.999% 17"x 0.750" ENDURA 300mm AMAT 0190-37278 TARGET
powersell007
[view on eBay]
Used 1
in stock
$999.00
Description: APPLIED MATERIALS 0200-13285 TRANSFERABLE SHUTTER RING, CLEAN, 300MM AMAT
usedeqsales
[view on eBay]
Used 2
in stock
$1,010.20
Description: AMAT Applied Materials 0021-18485 Cover Ring 300mm AMAT Centura Refurbished
powersell007
[view on eBay]
Used 1
in stock
$1,299.00
Description: APPLIED MATERIALS 0190-43706 CABLE ASSY 7/16(M) R/A TO N(M STR ENDURA 300mm AMAT
powersell007
[view on eBay]
Used 2
in stock
$1,199.00
Description: APPLIED MATERIALS 0021-26565 DISK SHUTTER ESC HOT AL CENTER PIN BLUE 300MM AMAT
dgold32
[view on eBay]
Used 1
in stock
$699.99
Description: Applied Materials 0200-06862 Ceramic Isolator Lid top 300MM AMAT
powersell007
[view on eBay]
Used 1
in stock
$1,499.00
Description: APPLIED MATERIALS 0200-07965 SINGLE RING DPS II 300MM AMAT *CLEANED*
powersell007
[view on eBay]
Used 1
in stock
$3,199.00
Description: APPLIED MATERIALS 0041-43374 CAP, INJECT, 2 PORT, ROW EPI, 300MM AMAT
powersell007
[view on eBay]
Used 1
in stock
$2,999.00
Description: APPLIED MATERIALS 0200-01427 ISOLATOR 300MM AMAT *UNUSED, SEALED*
powersell007
[view on eBay]
Used 2
in stock
$1,999.00
Description: APPLIED MATERIALS 0022-34231 DISK, SHUTTER, BB, 300MM AMAT *UNUSED*
powersell007
[view on eBay]
Used 1
in stock
$2,999.00
Description: APPLIED MATERIALS 0041-84695 LINK 1, UPPER 7, 2 DEGREE OFFSET CR 300MM AMAT -NEW
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0021-07586 RING MIDDLE 300MM AMAT *UNUSED*
equipplus
[view on eBay]
Used 2
in stock
$599.00
Description: Applied Material 0100-03108 Rev03 Next Gen Gas Panel Pcb,EPI 300mm,AMAT,US_,8200
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0010-62782 ASSEMBLY SPD SLIT VALVE VITON LLK 300MM AMAT UNUSED
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0020-45766 PARTICLE RING, RPK HYBRID, 300MM AMAT *UNUSED*
powersell007
[view on eBay]
Used 1
in stock
$1,199.00
Description: AMAT 0022-41612 DISK, SHUTTER, ESC, CENTER PIN, AL ARC SPRAY ENDURA 2 300MM AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$350.82
Description: 0041-03513 / CAP ALD TAN LID 300MM / AMAT
powersell007
[view on eBay]
Used 1
in stock
$12,999.00
Description: APPLIED MATERIALS 0040-79912 LINER CHAMBER 300MM AMAT
liquiditech
[view on eBay]
Used 1
in stock
$2,000.00
Description: APPLIED MATERIALS 0200-13834 ISOLATOR FEOL SMALL RF 300MM AMAT

This tag has been viewed 6 times

Most recent views:

Taiwan (Province of China) Friday, Oct/18/2024 at 1:27 am CST
Malaysia Friday, Oct/18/2024 at 1:24 am CST
United States of America Friday, Oct/18/2024 at 1:22 am CST
United States of America Friday, Oct/18/2024 at 1:22 am CST
China Friday, Oct/18/2024 at 1:20 am CST
United States of America Friday, Oct/18/2024 at 1:19 am CST
Singapore Friday, Oct/18/2024 at 1:19 am CST
China Friday, Oct/18/2024 at 1:17 am CST
Taiwan (Province of China) Friday, Oct/18/2024 at 1:16 am CST
United States of America Friday, Oct/18/2024 at 1:16 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
bobsgoodies Used - $1,550.00 0 Oct/21/13 Oct/21/13
Description: NEWPORT KENSINGTON 35-3700-1425-08 WAFER ROBOT 300mm AMAT 0190-01827
bobsgoodies Used - $1,550.00 0 Oct/21/13 Jun/17/14
Description: KENSINGTON 35-3700-1425-06 WAFER ROBOT 300mm AMAT 0190-01827 00-941-02
bobsgoodies Used - $1,550.00 0 Oct/21/13 Jun/17/14
Description: NEWPORT KENSINGTON 35-3700-1425-06 WAFER ROBOT 300mm AMAT 0190-01827
bobsgoodies Used - $1,550.00 1 Oct/21/13 Feb/13/14
Description: NEWPORT KENSINGTON 35-3700-1425-08 WAFER ROBOT 300mm AMAT 0190-01827
bobsgoodies Used - $1,550.00 0 Oct/21/13 Jun/17/14
Description: Kensington 25-3700-1425-06 WAFER ROBOT 300mm AMAT 0190-01827 00-942-02T
bobsgoodies NEW - $325.00 0 Jan/22/14 Jun/17/14
Description: Applied Materials 1310-00017 TC Assy Overtemp Dual Circuit For 300MM AMAT
craigbred NEW - $830.00 0 Mar/17/14 Apr/16/14
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer
oka1298 NEW - $42.49 0 Mar/17/14 Jun/04/16
Description: Applied Materials 0021-08324 ADAPTER, BLOCK WATER 3/8NPT SIP 300MM AMAT
craigbred NEW - $830.00 0 May/02/14 Jun/01/14
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer
used1eqsales Used - $3,505.14 2 May/15/14 Jun/17/15
Description: AMAT 0010-28715 Aluminum Nitride Heater Dual Zone ALD High K 300mm AMAT Endura
usedeqsales Used - $1,001.15 0 Jan/05/15 May/01/15
Description: Deublin 20212-200 Rotary Union 300mm AMAT 0190-13851 Used Working
bobsgoodies Used - $775.00 1 Feb/05/15 Jan/18/17
Description: NEWPORT KENSINGTON 25-3700-1425-06 WAFER ROBOT 300mm AMAT 0190-01827
powersell007 Used - $1,199.00 0 Feb/26/15 Mar/02/23
Description: APPLIED MATERIALS 0040-61258 REV 005 PLATE PUMPING 300MM AMAT *NEW with CERT*
port33serg Used - $650.00 1 Mar/18/15 Mar/18/15
Description: NSK Servo Driver ELA-B014CG2-04 ROBOT 300mm AMAT 0190-25916
used1eqsales Used - $406.15 0 Jun/25/15 Nov/22/15
Description: Festo 13015611 Megasonic Box 300mm AMAT 0010-05311 Used Working
capitolareatech Refurbished - $6,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-53718 ESC 300mm , AMAT 0040-53718 and 0040-8066
asmtk Used - $4,500.00 0 Feb/12/16 Mar/19/20
Description: APPLIED MATERIALS 0010-26180 HE RF MATCH, BIAS W/ FILTER PCB, 300MM AMAT
yericomfg NEW - $2,000.00 0 Aug/22/16 Aug/08/18
Description: Sentran; 91155, ASSY, Scale, 30lb., Desica 300mm, AMAT 1040-00229
powersell007 NEW - $399.00 1 Oct/07/16 Nov/18/16
Description: APPLIED MATERIALS 0200-11115 REV 03 TUBE LINER, MKS AX7670 RPS APC 300MM AMAT
powersell007 Used - $1,899.00 0 Nov/03/16 Dec/03/23
Description: NEW APPLIED MATERIALS 0200-09232 QUARTZ RING CLEAN CHAMBER 300MM AMAT w/ CERT
powersell007 NEW - $299.00 1 Nov/04/16 Jan/13/17
Description: APPLIED MATERIALS 0020-75465 HOOP UNIVERSAL, 300MM AMAT *NEW SURPLUS*
powersell007 Used - $899.00 0 Jan/31/17 Nov/30/23
Description: APPLIED MATERIALS 0200-09236 CERAMIC DEPOSITION RING, CLEAN CHAMBER 300MM AMAT
eastsemi Refurbished - $50,100.00 0 Mar/27/17 Oct/13/20
Description: AMAT 0010-36738, 300mm AMAT Producer Ceramic Heater
eisale1535 Refurbished - $15,589.00 0 May/07/17 Nov/28/18
Description: Applied Material 300mm AMAT ESC Assy 0010-33416 rev 5
debbiwilbe0 Used - $400.00 0 May/18/17 Jul/17/17
Description: AMAT RF Match PEALD 300mm AMAT 0041-07023
gemrkim1109 Used - $35,000.00 0 Aug/31/17 Feb/16/23
Description: 300mm AMAT Endura 0010-42030 Pedestal DTESC MT / 0200-02936 DTESC E-Chuck
asmtk Used - $1,800.00 0 Oct/10/17 Oct/01/21
Description: Applied Materials 0140-08229 ASSY, SWITCH, DIW FLOW, INPUT LDM, 300MM AMAT
asmtk NEW - $330.00 0 Oct/16/17 Oct/01/21
Description: Applied Materials 0050-61652 TUBING APR300 RGLTR W/2 NUTS, CMP 300MM AMAT
powersell007 Used - $3,699.00 1 Dec/18/17 Apr/30/18
Description: APPLIED MATERIALS 0010-29963 CONTROLLER CCM ENABLER CS MKS-TENTA 300mm AMAT
powersell007 Used - $1,299.00 0 Feb/09/18 Mar/03/22
Description: APPLIED MATERIALS 0021-45617 TITANIUM PEDESTAL 300MM AMAT
asmtk Used - $900.00 0 Feb/20/18 Oct/01/21
Description: Applied Materials 0200-01258 WINDOW QRTZ, DOOR, ECP ANNEAL 300MM AMAT
powersell007 Used - $499.00 1 Feb/21/18 Dec/05/19
Description: APPLIED MATERIALS 0021-41429 AISi TRANSFERABLE SHUTTER 300MM AMAT
powersell007 Used - $499.00 0 Feb/22/18 Mar/03/22
Description: APPLIED MATERIALS 0021-41429 AI SILICON ALLOY SHUTTER 300MM AMAT *CLEANED*
powersell007 Used - $459.00 1 Mar/15/18 Sep/15/21
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
2016rocky Used - $1.88 0 Mar/25/18 Nov/20/18
Description: SATCON P.S CONTROLLER 300MM AMAT 0190-12925
powersell007 Used - $3,699.00 0 May/16/18 Aug/17/21
Description: APPLIED MATERIALS 0010-29963 CONTROLLER CCM ENABLER CS MKS-TENTA 300mm AMAT
powersell007 Used - $1,499.00 0 Jul/05/18 Jun/30/22
Description: APPLIED MATERIALS 0021-81461 UPPER SHIELD, CLEAN CHAMBER, 300MM AMAT RECYCLED
asmtk NEW - $1,500.00 0 Jul/10/18 Oct/01/21
Description: Applied Materials 0200-05495 CERAMIC INSERT, CIP2 LINER ,HART 300MM AMAT
powersell007 Used - $1,899.00 0 Jul/23/18 Jun/30/22
Description: APPLIED MATERIALS 0041-13898 FACEPLATE, WC, 23MIL CH, SACVD 300MM AMAT *CLEANED*
powersell007 Used - $799.00 0 Jul/23/18 Jun/30/22
Description: APPLIED MATERIALS 0020-44453 BLOCKER PLATE, TEOS 300MM AMAT *UNUSED, SEALED*
yericomfg NEW - $2,000.00 0 Aug/08/18 Aug/27/19
Description: Sentran; 91155, ASSY, Scale, 30lb., Desica 300mm, AMAT 1040-00229
powersell007 Used - $1,999.00 0 Nov/01/18 Jun/21/21
Description: APPLIED MATERIALS 0040-82011 PUMPING PLATE, 300MM AMAT w CERT *UNUSED, SEALED*
powersell007 Used - $249.00 1 Jan/22/19 Nov/22/20
Description: APPLIED MATERIALS 0100-02126 PCB ASSY ENDURA 300MM AMAT
eisale1535 Refurbished - $15,589.00 0 Jan/26/19 Mar/27/19
Description: Applied Material 300mm AMAT ESC Assy 0010-33416 rev 5
powersell007 NEW - $1,099.00 1 Mar/18/19 Feb/18/21
Description: APPLIED MATERIALS 0200-36399 SHIELD, TOP CERAMIC, TXZ 300MM AMAT w CERT *UNUSED*
asmtk NEW - $300.00 0 Mar/28/19 Oct/01/21
Description: Applied Materials 0020-54763 COVER LCF FIBER OPTICS ENDURA2 300MM AMAT
eisale1535 Refurbished - $15,589.00 0 Apr/07/19 Apr/17/20
Description: Applied Material 300mm AMAT ESC Assy 0010-33416 rev 5
lpriverhouse-2 NEW - $399.00 0 May/09/19 May/16/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
lpriverhouse-2 NEW - $369.47 0 May/16/19 May/23/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
asmtk NEW - $350.00 0 May/21/19 Oct/01/21
Description: Applied Materials 0020-64355 ESC,INTERFACE 300MM AMAT
asmtk NEW - $60.00 0 May/21/19 Oct/01/21
Description: Applied Materials 0021-55674 LOT OF 20 FRONT PANEL,CH INTKS 300MM AMAT
asmtk NEW - $300.00 0 May/21/19 Oct/01/21
Description: Applied Materials 0040-80301 BLOCK SUPPORT BARATRON 300MM AMAT
lpriverhouse-2 NEW - $369.47 0 May/23/19 May/30/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
lpriverhouse-2 NEW - $329.47 0 May/30/19 Jun/06/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
lpriverhouse-2 NEW - $329.47 0 Jun/06/19 Jun/13/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
lpriverhouse-2 NEW - $299.47 0 Jun/13/19 Jun/20/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
lpriverhouse-2 NEW - $299.47 0 Jun/20/19 Jun/27/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
lpriverhouse-2 NEW - $299.47 0 Jun/27/19 Jul/04/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
lpriverhouse-2 NEW - $279.47 0 Jul/04/19 Jul/11/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
powersell007 Used - $299.00 0 Jul/17/19 Nov/29/22
Description: APPLIED MATERIALS 0200-00934 VIEWPORT QUARTZ DEGAS PREHEAT 300MM AMAT
zindchau15 Used - $740.00 2 Aug/02/19 Oct/23/21
Description: APPLIED MATERIALS 0200-36399 SHIELD, TOP CERAMIC, TXZ 300MM AMAT, CLEANED
powersell007 Used - $2,999.00 1 Aug/06/19 Oct/06/22
Description: SMC INOI-7134-31-2 4-PORT DNET UPA MODULAR A-SIDE 300MM AMAT 0190-31157
zindchau15 Used - $1,490.00 0 Aug/21/19 Oct/23/21
Description: APPLIED MATERIALS 0021-34728 BLOCKER, PLATE, SICONI, 300MM AMAT USED CLEANED
alameda_auction Used - $950.00 1 Sep/09/19 Apr/30/24
Description: LAM Research 839-274673-001 ESC Electrostatic Wafer Chuck Heater 300mm AMAT Assy
powersell007 Refurbished - $1,099.00 0 Oct/03/19 Jul/24/20
Description: APPLIED MATERIALS 0035-00193 SHIELD, BEADBLAST, SSt, STD PVD, 300mm AMAT CLEANED
timssurplus Used - $595.00 0 Oct/15/19 Oct/01/21
Description: AMAT RF Match PEALD 300MM AMAT 0010-29210
powersell007 Used - $4,999.00 1 Apr/02/20 May/02/22
Description: APPLIED MATERIALS 0010-42741 ASSY, HIGH EFF. RF MATCH-BIAS 300MM AMAT 0021-21229
powersell007 Used - $899.00 0 Apr/13/20 Dec/13/23
Description: APPLIED MATERIALS 0020-47727 COVER RING PVD CLEAN TUNGSTEN (W) ENDURA 300MM AMAT
powersell007 Used - $1,299.00 0 May/18/20 Feb/16/23
Description: APPLIED MATERIALS 0020-19258 PEDESTAL TITANIUM 300MM AMAT *UNUSED, SEALED*
powersell007 NEW - $1,199.00 2 Jun/16/20 Apr/05/21
Description: APPLIED MATERIALS 0021-26565 DISK SHUTTER ESC HOT AL CENTER PIN BLUE 300MM AMAT
powersell007 Used - $299.00 0 Jul/13/20 Nov/01/21
Description: APPLIED MATERIALS 0270-05812 DEGAS GAS SPRING COMPRESSION TOOL, 300MM AMAT
powersell007 Used - $2,499.00 1 Oct/07/20 Sep/07/21
Description: APPLIED MATERIALS 0040-62781 ADAPTER CHAMBER, ENDURA XP ROBOT, 300MM AMAT
powersell007 Used - $8,999.00 0 Jan/12/21 Apr/03/23
Description: APPLIED MATERIALS 0010-42741 ASSY, HIGH EFF. RF MATCH-BIAS 300MM AMAT
powersell007 Used - $799.00 1 Jan/20/21 Dec/20/21
Description: APPLIED MATERIALS 0021-19312 PLATE BLOCKER TXZ 300MM AMAT *UNUSED*
techshop7777 Used - $1,100.00 1 Jun/29/21 May/05/22
Description: XP Power 100948-03 DC POWER SUPPLY 800W ETCH 300MM AMAT 0190-16537
labmax-com NEW - $598.80 1 Jul/19/21 Apr/18/23
Description: APPLIED MATERIALS 0022-17732 Blocker Plate 300mm AMAT NEW SEALED
gemrkim1109 Used - $5,999.00 0 Sep/07/21 Jan/09/22
Description: 300mm AMAT DPS II CATHODE 0010-17126 / Free International Shipping
gemrkim1109 Used - $5,899.00 0 Sep/07/21 Oct/25/23
Description: 300mm AMAT DPS II 0040-33215 ESC / Free Expedited Shipping
semiconusa Used - $15,999.00 0 Apr/13/22 Mar/16/23
Description: KAWASAKI ROBOT, 30C65E-A001 CONTROLLER 300MM, AMAT 0190-17831
visionsemi Used - $20,000.00 0 Apr/25/22 Feb/28/23
Description: APPLIED MATERIALS 300MM AMAT 0010-42030 HEATER PEDESTAL 0041-06180 USED
usedeqsales Used - $605.22 0 May/16/22 Dec/18/23
Description: Nor-Cal Products 3870-01331 Pneumatic Angle Valve 300mm AMAT Producer Working
alamedaauction Used - $949.54 0 Jul/09/22 Sep/29/22
Description: LAM Research 839-274673-001 ESC Electrostatic Wafer Chuck Heater 300mm AMAT Assy
powersell007 Used - $2,999.00 0 Aug/16/22 Oct/06/22
Description: APPLIED MATERIALS 0021-95245 RING, COVER, TI, IMPULSE, 300MM AMAT *UNUSED*
rtxparts Used - $4,200.00 0 Mar/31/23 Oct/09/23
Description: Applied Materials Spindle Assy 300MM AMAT 0010-77307
rtxparts Used - $700.00 0 Apr/03/23 Oct/09/23
Description: Applied Materials Disc Shutter 300MM AMAT 0021-15657
powersell007 Used - $12,999.00 0 Apr/11/23 May/03/23
Description: APPLIED MATERIALS 0040-87219 LINER CHAMBER CENTURA E-MAX CT+ 300MM AMAT
powersell007 Used - $2,999.00 0 May/11/23 May/15/23
Description: APPLIED MATERIALS 0010-34370 ASSY ACTUATOR SPD SLIT VALVE 300MM AMAT *UNUSED*