[click to login]
WSEMI


TAGS > box

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0150-76626 cable assembly, MFCA to interlock junction box, 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: NEW AMAT 0010-13806 AC power box assy orienter OCR
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0140-10286 harness RF INTLK FILTER BOX DPS
grandbirdnet
[view on eBay]
NEW 1
in stock
$7,900.00
Description: AMAT 0010-70768 ASSY,GAS BOX,UNIV. SILANE W/VITON ORINGS, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0022-77364 COVER, EXHAUST SENSOR BOX, NEW
farmoninc
[view on eBay]
Used 8
in stock
$7,900.00
Description: AMAT 0010-03372 WxZ Heater Assembly, 8 inch, New in Box and papers
farmoninc
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03369 WxZ Heater Assembly, 8 inch, New in Box with parpers
farmoninc
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03332 WxZ Heater Assembly, 8 inch, new in Box and papers
farmoninc
[view on eBay]
Used 2
in stock
$7,900.00
Description: AMAT 0010-03371 WxZ Heater Assembly, 8 inch, New in Box and papers
grandbirdnet
[view on eBay]
Used 5
in stock
$720.00
Description: AMAT 0010-10371 ASSY, SENSOR BOX, HIGH EXHAUST, 300MM ME, WORKING
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: NEW AMAT 0020-83799 Blanking plate top box S.Pipe
grandbirdnet
[view on eBay]
Used 2
in stock
$75.00
Description: AMAT 0140-78297 CABLE, PWR, PAD COND 2, LOT BOX MIIRA-BL, WORKING
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0090-55161 UPS BOX Type A, AMJ STRD, 325730
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0041-02032 BRACKET MOUNTING EXTENDER WITH LOTO BOX 200MM, NEW
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0020-13055 TOP COVER GAS BOX RIGHT (CHAMBER IN POS)
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: AMAT 0010-20773 AC BOX ENCLOSURE ASSY
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0020-13129 Cover Plate, Gas Box, Centura PVD
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0020-87209 BOX, MOD, MTR DRV PWR J/BOX
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-76018 5000 Cleanroom Ergo Pneumatics Box 0010-70415
bms-semicon
[view on eBay]
Used 1
in stock
$399.90
Description: LAM RESEARCH 810-707022-001 GAS BOX EXPANSION PCB
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0190-13235 Spec DWG, Interlock Juntion Box, 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0021-14771 PANEL SHIELD, PCB CHAMBER PCB ELECT, BOX,
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0021-07773 Bar, Buss, Ground/Neutral, TXZ Gas Box 3
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0040-42664 BOX RF CONNECTOR DTCU
bigg.logistics101
[view on eBay]
Used 1
in stock
$299.99
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID 200MM PRODUCER 0040-50344 REV 002
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0021-77633 Switch Box, Right
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0021-35712 BOX SELECTOR SWITCH, DOME LIFT, DPS
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0020-38715 Block, MTG, NUPRO Valve, FAC Box, DPS
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-43073 Cover, CBI AC Box 200MM Radiance
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0140-03216 Cable Assembly, Filter Box, Conn HT DPS
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-95590 Source SUPPR'ION Clamping Box
grandbirdnet
[view on eBay]
Used 1
in stock
$11,000.00
Description: AMAT 0195-04792 LCWE/PNEUMATIC BOX ASSEMBLY/300MM REFLEXION LK , USED
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0020-38725 Slide, Female, RF Match, Fac Box, DPS
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-22385 Cable AC Box To Wafer Detect 2 PCB
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-95590 Source Suppression Clamping Box 399392
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0020-07626 BRACKET, LID, SOURCE BOX, 30MM, R1 , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0100-89003 PCB ASSEMBLY, PROCESS MODULE GAS PLATTER, SEALED IN BOX
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-01903 CABLE ASSY, INTERFACE, AC BOX, MCA + I/L
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: AMAT 0140-02464 H/A, AC POWER, SECONDARY AC BOX TO FI, 300
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-42111 COVER AC BOX OZONATOR, 399717
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-42111 COVER AC BOX OZONATOR, 399716
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-42111 COVER AC BOX OZONATOR, 399715
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-13052 TOP COVER, GAS BOX LEFT, CHAMBER IN POS, 399720
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-13052 TOP COVER, GAS BOX LEFT
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-42111 COVER AC BOX OZONATOR, 399718
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-13052 TOP COVER, GAS BOX LEFT, CHAMBER IN POS, 399762
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0021-23329 SHIELD, CHAMBER SIP II 200MM AMAT *NEW IN BOX*
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-09733, 0150-09901 ENCLOSURE AC BOX OZONATOR, CABLE , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$17,000.00
Description: AMAT 0195-01644 LCWE/PNEUMATIC BOX ASSEMBLY/300MM , USED
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0021-07370 Mixer Gas Box Lid BWCVD 402044
farmoninc
[view on eBay]
NEW 3
in stock
$350.00
Description: AMAT 0150-09302 Cable Driver to Boom Box A3-AY 402049
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-03331 Harness Assembly, Heater AC Box, 300mm ENDUR 402101
farmoninc
[view on eBay]
Used 1
in stock
$275.00
Description: LAM 853-15982-001 Alignment Box 402241
auctionrus
[view on eBay]
Used 5
in stock
$200.00
Description: AMAT 0020-31566 PLATE, LEFT, CONTROLLER BOX, ESC 3842 PAR Farmon ID 406002
auctionrus
[view on eBay]
NEW 1
in stock
$1,312.50
Description: AMAT 0020-34381 GAS BOX, EXZ 407128
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: 3 AMAT 0150-09301 CABLE DRIVER TO BOOM BOX A2-A2. 407287
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-70062 HARNESS ASSEMBLY AC BOX. 407301
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0010-77858 LOTO BREAKER BOX ASSEMBLY , USED
auctionrus
[view on eBay]
NEW 1
in stock
$1,425.00
Description: AMAT 0090-91609 Splitter Box X13AB, 409382
auctionrus
[view on eBay]
NEW 1
in stock
$79.00
Description: AMAT 0040-42181 Cover, Upper WTR Box, ANNL Chamber, 300M, 409383
auctionrus
[view on eBay]
NEW 1
in stock
$85.00
Description: AMAT 0040-42181 Cover, Upper WTR Box, ANNL Chamber, 300MM, 409493
auctionrus
[view on eBay]
NEW 1
in stock
$85.00
Description: AMAT 0040-42181 Cover, Upper WTR Box, ANNL Chamber, 300MM, 409648
auctionrus
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0040-03597 DP Box Lower Half, 411122
auctionrus
[view on eBay]
NEW 3
in stock
$125.00
Description: AMAT 0140-18065 HARN ASSY, SOURCE CONDITIONING BOX, LOWE. 411205, 411206, 411207
auctionrus
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0140-76863 H/A, EMO INTERCONNECT PWR/ELEC BOX, 300. 411141
bms-semicon
[view on eBay]
Used 1
in stock
$699.90
Description: LAM GAS BOX I/O AND INTERLOCK BOARD 810-707054-001 REV E3
auctionrus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-02407, Harness Assembly, Power Box Interface, 300MM R, K Tron. 413419
auctionrus
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT 0150-22385 Rev.P1, Cable, AC Box to Wafer Detect 2 PCB, Assembly. 413472
auctionrus
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0150-21925 Cable, Control Box To GR1 Signals, Harness, 413517
auctionrus
[view on eBay]
NEW 1
in stock
$15.00
Description: AMAT 0190-77360, Cable, Assembly, RS232 Controller Box. 413626
auctionrus
[view on eBay]
NEW 1
in stock
$412.50
Description: AMAT 0140-03216 Cable Assembly, Filter Box Connector HP DPS, 413680
auctionrus
[view on eBay]
NEW 1
in stock
$395.00
Description: AMAT 0150-10763 Cable Assembly, Slurry Analog Out -O J- Box JD, 413677
auctionrus
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0140-36607 Harness POS C, I/C Box, EMXP 413790
auctionrus
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0140-36608 Harness POS D, I/C Box, EMXP 413789
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0150-21926, Cable, Harness, Control Box to GR2 Signals. 413906
auctionrus
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT 0140-09254, Harness, Cable, Box, Fan Power. 412694
auctionrus
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0150-08783 Cable Assembly, Combiner Box Inplace Interlock 412700
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-01871, Cable, Harness Assembly, 300MM MF AC Dist Box, Intel. 414093
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0150-21926, A502273, Cable, Harness, Control Box to GR2 Signals. 414053
auctionrus
[view on eBay]
NEW 3
in stock
$175.00
Description: AMAT 0020-00069 Box, Connector, Recess Endpoint, DPS Poly 414097
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0150-02452, Cable, Harness, Dual Mag Driver, To CH Interface Box. 414064
auctionrus
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT 0140-09254, Cable, Harness, Box Fan Power. 414071
auctionrus
[view on eBay]
NEW 6
in stock
$250.00
Description: AMAT 0140-21628 H/R Smoke Sensor, System AC Box System AC 414143
auctionrus
[view on eBay]
Used 6
in stock
$175.00
Description: AMAT 0150-76824 Cable Assembly, MCA2 AC Box, HTESC, Harness, 414294
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-01871, Cable, Harness Assembly, 300MM MF AC Dist Box, Inte. 414548
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-01871 Harness Assembly, 300MM MF AC Dist Box, Interface 414659
auctionrus
[view on eBay]
NEW 2
in stock
$95.00
Description: AMAT 0140-01871 Harness Assembly, 300MM MF AC Dist Box, Interface 414657
ecomicron
[view on eBay]
NEW 1
in stock
$500.00
Description: 0200-20218, AMAT, BASE, RF COIL, IMP RF MATCH BOX
auctionrus
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0150-09317, Harness, Cable to Boom Box GND - GND 96". 329029
auctionrus
[view on eBay]
NEW 1
in stock
$60.00
Description: AMAT, 0150-08783, Cable Assy, Combiner Box, 328826
ecomicron
[view on eBay]
NEW 1
in stock
$600.00
Description: 0140-00413, AMAT, HARNESS ASSY, INTERLOCKS, CVD GAS BOX
ecomicron
[view on eBay]
Used 1
in stock
$600.00
Description: 0140-00413, AMAT, HARNESS ASSY, INTERLOCKS, CVD GAS BOX W/
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$478.50
Description: RF Systems (AMAT) 0090-03857 FILTER BOX Signal
bornalliancecom
[view on eBay]
Used 1
in stock
$6,995.00
Description: Applied Materials 0010-09806 Gas Box, WSI 150 MM AMAT
bornalliancecom
[view on eBay]
NEW 7
in stock
$595.00
Description: Applied Materials 0010-10045 Pump Stack Htr. AC Box, Assy. AMAT Etch
bornalliancecom
[view on eBay]
Used 1
in stock
$7,500.00
Description: Applied Materials 0010-09940 Gas Box, WSI CVD AMAT
j316gallery
[view on eBay]
NEW 5
in stock
$161.27
Description: 5045 APPLIED MATERIALS HARNESS ASSY FILTER BOX POWER 0140-14621
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: Novellus 02-172867-00 Air Manifold Box Cover, 03-116024-00, 02-172867-00, 415771
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: Novellus 02-147563-00 Air Manifold Box Cover, 03-152126-00, 02-147563-00, 415772
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0150-21925, Cable, Control Box to GR1 Signals. 415313
bornalliancecom
[view on eBay]
Used 1
in stock
$695.00
Description: Applied Materials 0010-70415 Pneumatic Logic Box AMAT Precision 5000
j316gallery
[view on eBay]
Used 1
in stock
$545.29
Description: 2441 APPLIED MATERIALS ASSY RF FILTER BOX CERAMIC HEATER 0010-34855 0090-04947
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0150-35475 Rev.P1, Cable Assy, Light Tower, SW Box to Operator Assy. 417577
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0015-09206 Interconnect Cable, Box Heater Control B, 417657
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0020-04264 Gas Box Adapter Remote, 417709
farmoninc
[view on eBay]
NEW 1
in stock
$725.00
Description: AMAT 0020-11229 Cover, CB Chamber AC Box Safety, 417761
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0020-04264 Gas Box Adapter Remote, 417889
farmoninc
[view on eBay]
NEW 1
in stock
$225.00
Description: AMAT 0190-00609, Logic Box, LL Pump Manual Start Switch. 417949
farmoninc
[view on eBay]
NEW 2
in stock
$185.00
Description: AMAT 0021-21322, Anodic Tech, Clamp, RF Water Box, Argon, Tube IMP - CU. 418006
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0020-04264 Rev.B, Gas Box Adapter Remote. 418069
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0020-30966, Bracket, Water Box Pump Side, Phase 3 Oxide. 418087
farmoninc
[view on eBay]
NEW 1
in stock
$585.00
Description: AMAT 0020-18452 Rev.A, Strip 2, Side Coil, Match Box, HDPCVD, Rev3. 418085
farmoninc
[view on eBay]
NEW 1
in stock
$245.00
Description: AMAT 0020-28343 Rev.PA , Plate, TC Box, New Degas. 418091
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0090-02592 Elect Assy, Gas Box Flow SW (H2), AUTOFLOW FS6804-898, 418174
farmoninc
[view on eBay]
Used 1
in stock
$425.00
Description: AMAT 0020-30971 Plate, RF Match Box, Phase 3 Oxide, 418183
farmoninc
[view on eBay]
Used 1
in stock
$245.00
Description: AMAT 0020-37635 Rev.P2, RDT-2302, Box Connectors Mount Floor. 418396
farmoninc
[view on eBay]
NEW 1
in stock
$12,500.00
Description: AMAT 9010-00739 Focus Clamping Box Assy, 9010-00739ITL, 0090-91422ITL, 418523
farmoninc
[view on eBay]
NEW 5
in stock
$795.00
Description: AMAT 0010-09733 Rev.A, Assembly, Clear Cover, Remote AC Box. 418616
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0020-13055 Rev.002, Top Cover Gas Box Right (Chamber in POS). 419052
farmoninc
[view on eBay]
Used 1
in stock
$35.00
Description: AMAT 0140-20816, Harness Assembly FA/RGA Box CH INTFC INTC. 419411
farmoninc
[view on eBay]
Used 1
in stock
$245.00
Description: AMAT 0020-28343 Rev.PA, Plate, TC Box, New DEGAS. 419412
farmoninc
[view on eBay]
Used 2
in stock
$795.00
Description: AMAT 0020-37293, Bracket Mounting 5KVA XFMR, REM AC Box. 419524
j316gallery
[view on eBay]
Used 1
in stock
$878.91
Description: 7564 APPLIED MATERIALS GAS BOX, UNIVERSAL LI, ALIGNMENT HOLE 0040-32073
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-31035, Manifold Input BWCVD, Gas Box, CVD Chamber. 419770
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-10740, Manifold Out WB, Gas Box, Chamber, 0020-10740-A-LEO. 419774
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-10740, Manifold Out WB, Gas Box, Chamber, 0020-10740-A-LEO. 419773
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-10740, Manifold Out WB, Gas Box, Chamber, 0020-10740-A-LEO. 419772
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-10740, Manifold Out WB, Gas Box, Chamber, 0020-10740-A-LEO. 419771
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: LAM 673-092355-006, Term, STP, TB1, AC INP Box, CF. Phoenix Contact. 419787
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0035-00069, Base, RF Filter Box, 300mm DPS II, 0035-00068. 419944
bornalliancecom
[view on eBay]
Used 1
in stock
$4,950.00
Description: Applied Materials 0010-10055 Gas Box, WXZ AMAT CVD
farmoninc
[view on eBay]
Used 1
in stock
$5,000.00
Description: AMAT 0010-09940, Assembly 8" WSI Gas Box, Feedthru, Top Lid, CVD, P5000. 420107
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0150-21927 Cable, Control Box to SW Box Relays, 420918
systasemi
[view on eBay]
Used 3
in stock
$350.00
Description: Novellus 02-111301-00, Gas Box Distribution, Board
bigg.logistics101
[view on eBay]
Used 2
in stock
$599.99
Description: APPLIED MATERIALS GAS BOX DXZ 0020-34446
farmoninc
[view on eBay]
Used 1
in stock
$15.00
Description: 2 AMAT 0021-22274 Stopper, Ampoule Clamp, Cuxz IMS Gas Box, 422800
dvkelectronics
[view on eBay]
Used 1
in stock
$110.00
Description: NOVELLUS 03-8133549-00 REV A SCH 76-133549-00 CHEMICAL REQUEST RELAY BOX BD
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0150-21928 Cable, Control Box to SW Box Contractors, 423355
j316gallery
[view on eBay]
Used 3
in stock
$231.76
Description: 8764 APPLIED MATERIALS SKIN FRONT AC BOX PRODUCER S 0021-08343
bornalliancecom
[view on eBay]
Used 2
in stock
$5,195.00
Description: Applied Materials 0010-09936 CONN,HV,MODULE ASSY,RF BOX, ESC AMAT Etch
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 140-0201// AMAT APPLIED 0010-09256 CVD GAS BOX USED
j316gallery
[view on eBay]
Used 4
in stock
$526.82
Description: 9052 LAM RESEARCH PCB GAS BOX EXPANSION 810-707022-001
bornalliancecom
[view on eBay]
Used 5
in stock
$1,795.00
Description: Applied Materials 3930-01042 CNTRL Temp Box L-H TMP 340MC/T, AMAT Etch
grandbirdnet
[view on eBay]
NEW 6
in stock
$1,800.00
Description: AMAT 0040-03349 COOLING WATER BOX 200MM TXZ, NEW
spsglobal
[view on eBay]
Used 3
in stock
$250.00
Description: 341-0101// AMAT APPLIED 0040-35496 PLATE, RF BOX USED
spsglobal
[view on eBay]
Used 3
in stock
$450.00
Description: 341-0101// AMAT APPLIED 0040-35496 PLATE, RF BOX NEW
spsglobal
[view on eBay]
Used 7
in stock
$300.00
Description: 341-0101// AMAT APPLIED 0020-04038 PLATE, RF MATCH BOX USED
testeqe
[view on eBay]
NEW 6
in stock
$49.99
Description: NEW AMAT PN: 0150-14746 Cable Assy, CBL AC Box Adapter Producer SE Assembly
testeqe
[view on eBay]
NEW 4
in stock
$2,249.99
Description: NEW Novellus PN: 61-389405-00 15-Channel Pneumatic Gas Box Assembly Festo
plccenter
[view on eBay]
Used 5
in stock
$365.00
Description: APPLIED MATERIALS 1220-01016 / 122001016 (NEW IN BOX)
midwestsemi
[view on eBay]
Used 2
in stock
$205.71
Description: NEW AMAT 0190-16372 REV 04 DeviceNet Passive Drop Box BES-9321-02D SHIPSAMEDAY
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0040-03349 Cooling Water Box, 200mm, TXZ, 424017
bornalliancecom
[view on eBay]
Used 4
in stock
$1,795.00
Description: Applied Materials 3930-01066 Controller-TC Temp Box, Leybold AG 200.81.080
j316gallery
[view on eBay]
NEW 1
in stock
$11,866.30
Description: 10228 APPLIED MATERIALS GAS BOX, COOLING, 200MM TI-XZ (NEW) 0040-39034
spsglobal
[view on eBay]
Used 1
in stock
$4,500.00
Description: 349-0401// AMAT APPLIED 0010-01922 ASSY, BIASED ELECTRODE AC BOX USED
usedeqsales
[view on eBay]
Used 14
in stock
$1,504.14
Description: AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Used Working
midwestsemi
[view on eBay]
Used 1
in stock
$1,006.25
Description: 0010-52680 REV 02 APPLIED MATERIALS 0040-88041 REV 002 OUTER RF FILTER BOX ASSY
midwestsemi
[view on eBay]
Used 1
in stock
$1,006.25
Description: 0010-34530 REV 02 APPLIED MATERIALS 0040-88041 REV 002 INNER RF FILTER BOX ASSY
soldtou1
[view on eBay]
Used 220
in stock
$26.79
Description: Turck PKG 4Z-1-PSG 4/S760/S771 U0944-02 Picofast Cord PKG4Z1PSG4 NEW OPEN BOX
farmoninc
[view on eBay]
NEW 1
in stock
$795.00
Description: AMAT 0010-09733, Clear Cover Remote AC Box Assembly, 417241
gigabitpartsolutions
[view on eBay]
NEW 2
in stock
$16.50
Description: Applied Materials (AMAT) 0041-05453 Support Left RF Filter Box
gigabitpartsolutions
[view on eBay]
NEW 4
in stock
$16.50
Description: Applied Materials (AMAT) 0041-05454 Support Right RF Filter Box
usedeqsales
[view on eBay]
Used 2
in stock
$306.18
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution Board PCB Rev. 004 Used
j316gallery
[view on eBay]
Used 2
in stock
$2,966.56
Description: 11007 APPLIED MATERIALS RF FILTER BOX ASSY 0090-07707
j316gallery
[view on eBay]
Used 1
in stock
$239.21
Description: 4976 APPLIED MATERIALS CHAMBER B BRACKET, AC BOX, PRODUCER SE (NEW) 0040-53415
j316gallery
[view on eBay]
Used 4
in stock
$4,953.72
Description: 11793 LAM RESEARCH PCB, A4 GAS BOX INTERFACE, VMIVME MODEL 5530S 810-077433-002
bigg.logistics101
[view on eBay]
Used 1
in stock
$5,999.99
Description: APPLIED MATERIALS CVD/BW 5000 GAS BOX 0010-09247
partskorea1
[view on eBay]
Used 1
in stock
$89,999.00
Description: Lam Research P/N 846-077848-858 JETSTREAM OPT/MSTGi GAS BOX
usedeqsales
[view on eBay]
Used 2
in stock
$1,011.18
Description: AMAT Applied Materials 0040-35875 Gas Box Universal Lid 12939900-282 Refurbished
grandbirdnet
[view on eBay]
Used 1
in stock
$6,500.00
Description: AMAT 0190-18390 LOWER ELECTRONIC BOX DIRECT LDM, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$5,000.00
Description: AMAT 0010-32410 12-AXIS MOTION CONTROL BOX, POPLAR.CMP, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-09940 ASSY 8" GAS BOX WSI, USED
ntsurplus302
[view on eBay]
Used 1
in stock
$2,300.00
Description: 4418 Applied Materials 0090-00011 AC Box Assy. 60HZ
ntsurplus302
[view on eBay]
Used 1
in stock
$2,300.00
Description: 4465 Applied Materials P/N: 0090-00011 AC Box Assy.
ntsurplus302
[view on eBay]
Used 1
in stock
$250.00
Description: 4448 Applied Materials P/N: 0010-00174 Assy. Reducer Box
partskorea1
[view on eBay]
Used 1
in stock
$29,999.00
Description: LAM 571-065780-702 ALL IN 1 GAS BOX
dnd_surplus
[view on eBay]
Used 2
in stock
$800.00
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
dnd_surplus
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
dnd_surplus
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
j316gallery
[view on eBay]
Used 1
in stock
$2,859.83
Description: 14212 APPLIED MATERIALS RF FILTER BOX ASSY, PRODUCER SE W/ 0150-06867 0090-04736
grandbirdnet
[view on eBay]
Used 1
in stock
$5,000.00
Description: AMAT 0190-11203 CHAWBER AC DISTRIBUTION BOX PRODUCER ETCH, USED
sfwish
[view on eBay]
Used 1
in stock
$379.97
Description: NEW LAM 853-800749-014 Rev.B RF MODULE *OPEN BOX!*
j316gallery
[view on eBay]
NEW 1
in stock
$832.92
Description: 5015 APPLIED MATERIALS JUNCTION BOX, RETRO REPLACE, PRODUCER SE (NEW) 0190-09209
usedeqsales
[view on eBay]
Used 3
in stock
$905.19
Description: Lam Research 810-707022-001 Gas Box Expansion Board PCB Continuum Working Spare
dgold32
[view on eBay]
Used 1
in stock
$199.99
Description: LAM RESEARCH TCU DI WATER HEATER BOX 853-024651-003 rev. G
plccenter
[view on eBay]
Used 5
in stock
$14.00
Description: LAM RESEARCH 853-494037-001 / 853494037001 (NEW NO BOX)
plccenter
[view on eBay]
Used 1
in stock
$18.00
Description: LAM RESEARCH 766-090815-001 / 766090815001 (NEW NO BOX)
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0021-20371 Blankoff, N-Connector B101 Heater Water Box, 451433
bigg.logistics101
[view on eBay]
Used 1
in stock
$4,999.99
Description: APPLIED MATERIALS 8" CVD CHAMBER WSI GAX BOX 0010-09940
farmoninc
[view on eBay]
NEW 12
in stock
$150.00
Description: AMAT 0021-04304 Bushing Gas Box 200MM Producer, 451466
spsglobal
[view on eBay]
Used 4
in stock
$140.00
Description: 344-0502// AMAT APPLIED 0090-20309 HARNESS, 4 MONITOR REMOTE SW BOX INTCNT NEW
novusferro
[view on eBay]
Used 1
in stock
$549.00
Description: XP Power 102320-01 Applied Materials AMAT 0195-14725 Control Box
j316gallery
[view on eBay]
Used 1
in stock
$189.66
Description: 14750 APPLIED MATERIALS MANIFOLD, INLET, GAS BOX 0020-42187
j316gallery
[view on eBay]
Used 1
in stock
$507.48
Description: 14760 APPLIED MATERIALS 5200 CVD WC WXZ GAS BOX, 0020-30511 0240-14203
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0021-04873 Cover Pyrometer Box Circuit, 451534
roundtable1
[view on eBay]
Used 1
in stock
$99.00
Description: Amat 0090-02289 ,10 Port Block Interlock Gas Box 300mm
j316gallery
[view on eBay]
Used 1
in stock
$1,394.00
Description: 15074 APPLIED MATERIALS AC BOX ASSY 50-HZ, MODEL 8300D 0090-00012
usedeqsales
[view on eBay]
Used 3
in stock
$508.19
Description: AMAT Applied Materials 0190-22157 Robot Teach Box Rev. 002 Reflexion 300mm Spare
catalystparts
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT Applied Materials 0040-50965 Water Box Enclosure 300mm DE
usedeqsales
[view on eBay]
Used 1
in stock
$309.19
Description: AMAT Applied Materials Robot Teach Box 0140-02984 0140-02986 0140-03084 Set of 3
usedeqsales
[view on eBay]
Used 2
in stock
$809.19
Description: AMAT Applied Materials 0190-22157 Robot Teach Box Kit 0040-34505 Reflexion New
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 142-0601// AMAT APPLIED 0150-36047 C/A RF CABLE INTLK, MATCH BOX, NEW
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 142-0602// AMAT APPLIED 0150-21150 CABLE ASSY UPS I/F BOX CB1-5 NEW
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 142-0602// AMAT APPLIED 0150-20711 CABLE ASSY AC POWER BOX INTERF NEW
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 142-0701// AMAT APPLIED 0140-09748 HARNESS, POWER DIST. CONTROL BOX NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,406.10
Description: 0040-09095 / GAS BOX,WCVD / APPLIED MATERIALS AMAT
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0503// AMAT APPLIED 0140-20410 HARNESS ASSY,RES. METER BOX NEW
grandbirdnet
[view on eBay]
Used 2
in stock
$6,800.00
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM, USED
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 127-0501// AMAT APPLIED 0010-02631 ASSY AC BOX AMAT 0 W/O NEUTRAL USED
j316gallery
[view on eBay]
Used 1
in stock
$635.00
Description: 16276 APPLIED MATERIALS CERAMIC HTR RF FILTER BOX 0010-34855
j316gallery
[view on eBay]
Used 2
in stock
$2,554.09
Description: 16268 APPLIED MATERIALS CERAMIC HTR RF FILTER BOX 0090-08690
j316gallery
[view on eBay]
Used 1
in stock
$635.00
Description: 16280 APPLIED MATERIALS ASSY, RF FILTER BOX PRODUCER SE 0010-35530 0090-04947
dr.dantom
[view on eBay]
Used 1
in stock
$180.00
Description: Applied Materials 0140-78505 Cable CIRCUIT BREAKER BOX
dr.dantom
[view on eBay]
Used 4
in stock
$250.00
Description: Applied Materials GAS BOX DISTRIBUTION 0100-00567 SCHEM REF 0130-00567 Board
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 347-0302// AMAT APPLIED 0020-39915 GROMMET,HOLE 1.125DIA SLD BOX NEW
j316gallery
[view on eBay]
Used 1
in stock
$279.12
Description: 1203 BLACK BOX ABC SWITCH W/ AMAT PN: 0190-70103 724-746-5500
partskorea1
[view on eBay]
Used 1
in stock
$3,999.00
Description: Lam Research 810-073479-005 Jetstream Gas Box MB
j316gallery
[view on eBay]
NEW 4
in stock
$118.97
Description: 16885 NOVELLUS C2 GAS BOX VALVE SUPPORT BRACKET (NEW) 17-026431-00
j316gallery
[view on eBay]
NEW 1
in stock
$473.34
Description: 17422 APPLIED MATERIALS BOX DB9 TRI-PORT CHX PASS-THRU (NEW) 0010-37128
jdandasc111
[view on eBay]
Used 1
in stock
$150.00
Description: Hitachi K8-022604-24 Gas Flow Control Box AMAT P/N: 0190-33364
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0090-08418 BOX, NEW
j316gallery
[view on eBay]
NEW 1
in stock
$318.53
Description: 18235 APPLIED MATERIALS BOX, TC COVER (NEW) 0020-04608
j316gallery
[view on eBay]
Used 1
in stock
$425.46
Description: 18312 LAM RESEARCH CABLE ASSY, HARN, RF BOX GAP CNTRL, 60CM 853-017411-004
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-78042 CNTRL BOX BLKHD SRD , USED
plccenter
[view on eBay]
Used 1
in stock
$264.00
Description: APPLIED MATERIALS 0100-20065 / 010020065 (NEW NO BOX)
adelrick123
[view on eBay]
Used 1
in stock
$185.00
Description: Amat 0010-35662 Assy AC BOX ,AC Dist 120vac DPS/R2
comwaysind
[view on eBay]
Used 1
in stock
$3,289.60
Description: Applied Material 0090-04736 Rev:002 RF Filter Box 9199-14 Rev: B AMAT SMT Spare
comwaysind
[view on eBay]
Used 1
in stock
$3,284.60
Description: Applied Material 0090-04736 Rev. 001 9199-14 Rev. A RF Filter Box AMAT
gophersales
[view on eBay]
Used 1
in stock
$230.00
Description: AMAT Applied Materials 0240-27927 B101 Water Box Kit
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,118.96
Description: 0010-00174 / THROTTLE VALVE REDUCER BOX ASSY / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$933.00
Description: 0020-10589 / PLATE, GAS BOX WB / APPLIED MATERIAL AMAT
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 326-0301 AMAT APPLIED 0020-22584 BOX, RESISTIVITY METER,DOT TWO [USED]
j316gallery
[view on eBay]
Used 1
in stock
$147.22
Description: 19677 APPLIED MATERIALS COVER HTR AC POWER BOX 0020-20914
t-n-auctions
[view on eBay]
Used 6
in stock
$499.99
Description: Applied Materials 300MM SPD SLIT VALVE DOOR p/n 0040-84391 New opened box
ntsurplus302
[view on eBay]
Used 2
in stock
$640.00
Description: 5475 Applied Materials 0190-09209 Junction Box, Retro Replace, Producer
j316gallery
[view on eBay]
Used 4
in stock
$133.83
Description: 19775 APPLIED MATERIALS BRACKET, 3-BLOCK VALVE CAJON, GAS BOX 31 0021-39009
j316gallery
[view on eBay]
Used 4
in stock
$120.44
Description: 19776 APPLIED MATERIALS BRACKET, 6 POSITION CAJON ,GAS BOX 31 0021-39007
j316gallery
[view on eBay]
Used 2
in stock
$294.50
Description: 19777 APPLIED MATERIALS BRACKET, 7 POSITION CAJON, GAS BOX 31 0021-39839
noam-tech
[view on eBay]
Used 1
in stock
$520.00
Description: 0010-42974 Control, Box Power / With R100U-15
visionsemi
[view on eBay]
Used 2
in stock
$3,000.00
Description: LAM RESEARCH MACK IV EIOC CONTROLLER BOX 785-179350-002 REV B
capitolareatech
[view on eBay]
NEW 1
in stock
$150.95
Description: Applied Materials (AMAT) 0020-37587 COVER,EXHAUST GAS BOX,MXP CENTURA
capitolareatech
[view on eBay]
NEW 1
in stock
$1,574.95
Description: AMAT 0020-34446 GAS BOX, DXZ
capitolareatech
[view on eBay]
NEW 3
in stock
$225.95
Description: AMAT 0020-34381 Gas Box, EXZ
capitolareatech
[view on eBay]
NEW 1
in stock
$596.95
Description: AMAT 0040-03597 DP BOX LOWER HALF
capitolareatech
[view on eBay]
NEW 2
in stock
$122.95
Description: AMAT 0140-10285 HRNS,HV INTLK FILTER BOX,DPS
capitolareatech
[view on eBay]
NEW 1
in stock
$296.95
Description: Applied Materials (AMAT) 0020-28343 PLATE TC BOX NEW DEGAS
capitolareatech
[view on eBay]
Used 1
in stock
$1,795.95
Description: Applied Materials (AMAT) 0090-00011 AC BOX ASSEMBLY
capitolareatech
[view on eBay]
Used 6
in stock
$296.95
Description: Applied Materials (AMAT) 0010-00174 ASSY, REDUCER BOX
capitolareatech
[view on eBay]
NEW 2
in stock
$382.95
Description: LAM 715-015092-001 BLOCK THREADED (FEED-THRU BOX)
capitolareatech
[view on eBay]
NEW 1
in stock
$124.95
Description: LAM 853-034153-001 Assembly Cable RF from Sens Box to Upper TCP, 551096056; Appr
visionsemi
[view on eBay]
Used 1
in stock
$3,000.00
Description: APPLIED MATERIALS PEDESTAL INTEGRATION BOX 0010-24655
capitolareatech
[view on eBay]
Used 1
in stock
$499.95
Description: LAM RESEARCH (LAM) 853-017817-040 Assy, Ca, EMO AC Cont. Box 40 Ft.
capitolareatech
[view on eBay]
NEW 1
in stock
$1,782.95
Description: Applied Materials (AMAT) 0140-36600 Harness, POS A I/C BOX, EMXP+ 5000
capitolareatech
[view on eBay]
Used 1
in stock
$2,694.95
Description: Applied Materials (AMAT) 0010-70008 HEAT EXCHANGER AC BOX ASSY
capitolareatech
[view on eBay]
Used 1
in stock
$404.95
Description: Applied Materials (AMAT) 0150-76351 CABLE, ASSY OUTLET BOX 120VAC CENTURA 
abcatlegacyparts
[view on eBay]
Used 3
in stock
$279.90
Description: lam research 853-801876-004 Etcher Controller Box USA Stock
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 123-0202// AMAT APPLIED 0020-25686 COVER HEATER CONTROL BOX [USED]
j316gallery
[view on eBay]
NEW 31
in stock
$1,645.25
Description: 21035 APPLIED MATERIALS PLATE, GAS BOX, SACVD (NEW) 0020-30673
capitolareatech
[view on eBay]
Used 2
in stock
$94.95
Description: Applied Materials 0150-09206 Box Heater Control Board Interc Cable Assy
capitolareatech
[view on eBay]
Used 1
in stock
$256.95
Description: Applied Materials 0150-35475 Cable, Assy. Light Tower, SW Box to Operator
comwaysind
[view on eBay]
Used 1
in stock
$32,890.00
Description: Lam Research Jetstream MZI Gas Box 150PSIG 846-077848-797
dy-global
[view on eBay]
Used 1
in stock
$399.95
Description: Lam Research NNB Coil Box 714-016061-003 REV.B Class C 1001660 SEM-I-65=9A2D
j316gallery
[view on eBay]
NEW 16
in stock
$133.83
Description: 20903 APPLIED MATERIALS CABLE ASSY ECAT IN -HTR CTRL BOX PROD (NEW) 0150-32881
visionsemi
[view on eBay]
Used 1
in stock
$350.00
Description: APPLIED MATERIALS AMAT ASSEMBLY INDICATOR BOX P/N 0090-03323 REV A
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,014.94
Description: 0010-76155 / OBS ASSY DC PWR SUPPLY BOX W/FUSE PRECISION 5000 / AMAT
capitolareatech
[view on eBay]
Used 3
in stock
$249.95
Description: Applied Materials (AMAT) 0020-09566 MTG BOX, HT EXCH INTERFC BD
usedparts08
[view on eBay]
Used 4
in stock
$539.00
Description: Lam Reasearch Etcher Controller Box 853-801876-015 free ship
powersell007
[view on eBay]
Used 1
in stock
$2,999.00
Description: APPLIED MATERIALS 0041-80885 WATER BOX, VOLTA W, 300MM CVD/ALD AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$1,512.20
Description: AMAT Applied Materials 0190-33364 Gas Flow Control Box Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,012.19
Description: AMAT Applied Materials HDP 300mm Remote Dist. Box 0100-00444 0100-89006 Centura
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,729.83
Description: 0010-20218 / GAS BOX #2 ASSY / APPLIED MATERIALS AMAT
visionsemi
[view on eBay]
Used 1
in stock
$1,000.00
Description: APPLIED MATERIALS AMAT SWITCH CONTROL BOX 0041-35318
best4industrie
[view on eBay]
Used 2
in stock
$49.08
Description: Act 0100-71172 Amat Applied Material Pca LED Assy 40K Power Box
j316gallery
[view on eBay]
Used 1
in stock
$4,571.41
Description: 23401 APPLIED MATERIALS ASSY GAS BOX 150MM WSI W/O COVER, 5000 CVD 0010-09806
j316gallery
[view on eBay]
Used 1
in stock
$4,812.01
Description: 23402 APPLIED MATERIALS ASSY GAS BOX 150MM WSI, 5000 CVD, 0020-31035 0010-09806
benad24
[view on eBay]
Used 7
in stock
$166.65
Description: AMAT Applied Materials AKT 0100-71229 Assy CPU Board 40ka Cvd AC Power Box
plccenter
[view on eBay]
Used 5
in stock
$76.00
Description: APPLIED MATERIALS 0190-72794 / 019072794 (NEW IN BOX)
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 350-0402// AMAT APPLIED 0020-18551 BRACKET, SOURCE COND BOX, INTERLOCK SWIT NEW
visionsemi
[view on eBay]
Used 1
in stock
$500.00
Description: APPLIED MATERIALS AMAT CERAMIC RF HEATER FILTER BOX ASSEMBLY 0010-34855 REV 02
sfwish
[view on eBay]
Used 2
in stock
$2,790.00
Description: NEW Applied Materials/AMAT 0021-61344 300mm Blocker Plate *NO BOX!*
sfwish
[view on eBay]
Used 2
in stock
$479.97
Description: NEW AMAT 0041-08215 RPS HOUSING RPS VALVE 300MM PRODUCER SE *NO BOX!*
banyanteam
[view on eBay]
Used 1
in stock
$4,500.00
Description: Varian 00-671684-01 Used RF Match Box Novellus 0067168401 Setech 07027507
sgcequipment
[view on eBay]
Used 1
in stock
$2,285.00
Description: Applied Materials (AMAT) 0090-04736 RF Filter Box Producer SE Assembly REV 002
visionsemi
[view on eBay]
Used 6
in stock
$125.00
Description: APPLIED MATERIALS AMAT DEVICE NET PASSIVE DROP BOX 0190-16372 REV 04
novusferro
[view on eBay]
Used 1
in stock
$299.00
Description: Applied Materials AMAT 0021-18725 Blocker Plate, Low K, Gas Box Mounted, 300mm
j316gallery
[view on eBay]
Used 1
in stock
$1,924.80
Description: 24838 LAM RESEARCH PCB, 007 IGS GAS BOX MB 810-073479-003
farmoninc
[view on eBay]
NEW 3
in stock
$95.00
Description: AMAT 0020-01046 Shim Gear Box Long, 100948
j316gallery
[view on eBay]
Used 1
in stock
$1,934.42
Description: 25594 APPLIED MATERIALS TEMPERATURE CONTROL BOX W/ WATLOW SERIES 965 0140-21732
j316gallery
[view on eBay]
Used 1
in stock
$2,577.95
Description: 25588 APPLIED MATERIALS PCB LIQUID INJECTION GAS BOX INTERLOCK CVD 0100-20411
spsglobal
[view on eBay]
Used 2
in stock
$580.00
Description: 115-0401// AMAT APPLIED 0010-21665 ASSY, AC BOX, ASYST SMIF, W/B LL [USED]
ntsurplus302
[view on eBay]
Used 1
in stock
$800.00
Description: 5545 CTI-Cryogenics 8135240G001 On-Board Splitter Box @ Tool
ntsurplus302
[view on eBay]
Used 1
in stock
$950.00
Description: 5543 CTI-Cryogenics 8135240G001 On-Board Splitter Box @ Tool
j316gallery
[view on eBay]
NEW 1
in stock
$541.35
Description: 23275 CTI-CRYOGENICS ON-BD, PWR JCT BOC, SPLITTER BOX COMP (NEW) 8112444G002
dgold32
[view on eBay]
Used 1
in stock
$68.99
Description: HELIX CTI-CRYOGENICS SPLITTER BOX COMPRESSOR 8112444G002
ntsurplus302
[view on eBay]
Used 1
in stock
$500.00
Description: 5609 Applied Materials 0010-07540 Assy, Water Box, 300 MM SLT ESC
ntsurplus302
[view on eBay]
Used 1
in stock
$500.00
Description: 5610 Applied Materials 0010-07540 Assy, Water Box, 300 MM SLT ESC
farmoninc
[view on eBay]
NEW 1
in stock
$595.00
Description: AMAT 0100-20263 PCB, MCA + RF AC Box Interlock, 327255
capitolareatech
[view on eBay]
Used 1
in stock
$749.95
Description: Applied Materials (AMAT) 0140-09531 HARNESS, HOT BOX BUNDLE, TEOS INTLK
capitolareatech
[view on eBay]
Used 1
in stock
$829.95
Description: Applied Materials (AMAT) 0100-09094 PCB ASSY TEOS BOX HEATER CONTROL
capitolareatech
[view on eBay]
Used 3
in stock
$399.95
Description: Applied Materials (AMAT) 0020-09074 COVER BOX
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-20914 Cover Heater AC Power Box, Curtis F1300AA10 RFI Filter, 101660
usedeqsales
[view on eBay]
Used 1
in stock
$603.60
Description: AMAT Applied Materials 0010-38963 Magnet Power Box EMXP+ Precision 5000 New
techshop7777
[view on eBay]
NEW 1
in stock
$970.00
Description: AMAT GAS BOX ENP LID Assembly 0041-83006
j316gallery
[view on eBay]
Used 2
in stock
$985.38
Description: 25712 APPLIED MATERIALS RF TUNER BOX ASSY, 0041-11053, 0041-13618 0010-37393
j316gallery
[view on eBay]
Used 8
in stock
$1,755.92
Description: 25720 APPLIED MATERIALS RF BOX ASSY 0090-05030
usedeqsales
[view on eBay]
Used 1
in stock
$458.21
Description: Lam Research 853-370361-001 Upper RF Sensor Box Cable 6 Foot New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.21
Description: Trazar 62196-001 H/F RF Box Novellus Systems 19-162062-00 OEM Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$608.21
Description: Novellus Systems 02-029392-00 Concept One Upper AC Box Assembly C1 Working Spare
j316gallery
[view on eBay]
Used 6
in stock
$438.36
Description: 26040 APPLIED MATERIALS BLOCKER, LOW K, GAS BOX MOUNTED, 300MM 0021-18725
usedeqsales
[view on eBay]
Used 2
in stock
$609.21
Description: Lam Research 853-004118-002 RF Interface Box 810-00492-003 OEM Refurbished
capitolareatech
[view on eBay]
Used 1
in stock
$795.95
Description: Applied Materials (AMAT) 0020-30509 PLATE, GAS BOX
j316gallery
[view on eBay]
Used 1
in stock
$4,940.70
Description: 26184 APPLIED MATERIALS ASSEMBLY, BIASED ELECTRODE, AC BOX 0010-04561
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$23,141.80
Description: 0010-05478 / ASSY, MONOCHROMATOR CONTROL BOX, 300MM, / APPLIED MATERIALS AMAT
storefarm
[view on eBay]
Used 1
in stock
$298.00
Description: [Used] NOVELLUS / 26-370720-00 / ESC, LF FILTER BOX, INEXT PVD
usedeqsales
[view on eBay]
Used 1
in stock
$1,209.21
Description: Novellus Systems 02-111283-00 Gas Box Distribution PCB AERA WCVD 300 New Surplus
j316gallery
[view on eBay]
Used 1
in stock
$2,298.00
Description: 26229 APPLIED MATERIALS RF FILTER BOX ASSY, PRODUCER SE W/ 0150-19537 0090-04736
j316gallery
[view on eBay]
Used 1
in stock
$1,953.30
Description: 26220 XP POWER CONTROL BOX, AMAT 0195-14725 102320-01
usedeqsales
[view on eBay]
Used 1
in stock
$1,209.21
Description: Novellus Systems 02-029392-00 Concept One Upper AC Box Assembly C1 New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: Novellus Systems 02-111301-00 Gas Box Distribution PCB Speed 300 Rev. 1 Working
j316gallery
[view on eBay]
Used 4
in stock
$1,723.50
Description: 26261 SMC GAS PANEL COMMUNICATION BOX, AMAT 4060-00895 IN587-07-C
plccenter
[view on eBay]
Used 13
in stock
$3,820.40
Description: ADVANCED ENERGY THYRO-PX-2PX-500-495-HF / THYROPX2PX500495HF (NEW IN BOX)
farmoninc
[view on eBay]
Used 1
in stock
$5,000.00
Description: AMAT 0010-09940, Assembly 8" WSI Gas Box, Feedthru, Top Lid, CVD, P5000. 420106
capitolareatech
[view on eBay]
NEW 1
in stock
$3,495.95
Description: Applied Materials (AMAT) 0040-37668 GAS BOX, TXZ ENH COOLING
capitolareatech
[view on eBay]
NEW 1
in stock
$1,499.95
Description: Applied Materials (AMAT) 0200-20346 INSULATOR RF CONN B101 WATER BOX
j316gallery
[view on eBay]
Used 1
in stock
$1,034.10
Description: 26422 LAM RESEARCH PCB A6 GAS BOX I/O INTERLOCK (PARTS) 810-494010-001
j316gallery
[view on eBay]
Used 1
in stock
$804.30
Description: 26411 LAM RESEARCH PCB, 007 IGS GAS BOX MB (PARTS) 810-073479-003
j316gallery
[view on eBay]
Used 1
in stock
$919.20
Description: 26412 LAM RESEARCH PCB, JETSTREAM GAS BOX MB (PARTS) 810-073479-005
capitolareatech
[view on eBay]
NEW 6
in stock
$89.95
Description: Applied Materials (AMAT) 0020-36345 CONNECTING STRAP 188A REMOTE AC BOX
katiil3
[view on eBay]
Used 1
in stock
$149.00
Description: Applied materials 0140-01786 Cable LCWE ARM Sensors to LCWE Box,MIR
allforsale555
[view on eBay]
Used 1
in stock
$299.00
Description: Applied Materials AMAT 0140-13063 Rev. 004 HARNESS Assy AC PWR FOR PS BOX
ntc_tech
[view on eBay]
Used 1
in stock
$187.99
Description: Applied Materials 0010-09063 5000 CVD Susceptor Cal Box
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-05347 Used RF Cover, Heater Box AC, 102944
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0010-09246 MINI CONTROLLER BOX P5000, THERMAL ELECTRIC DRIVER, 103125
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0020-09879 CHAMBER LID AMAT 0040-09091 GAS BOX AMAT 0010-09257 P5000,103131
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT P5000 CHAMBER LID, 0040-09091 GAS BOX, 0010-09257 5000 CVD GAS BOX, 103133
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0020-09879 CHAMBER LID P5000, 0040-09091 GAS BOX, 0010-09257 5000, 103395
automotiveapple
[view on eBay]
Used 1
in stock
$3,571.43
Description: As-Is LAM Research 810-073479-002 IGS GAS BOX MB
automotiveapple
[view on eBay]
Used 4
in stock
$3,570.00
Description: As-Is LAM Research VME BOX 853-800085-015
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-03804 Gas Box Feedthrough, Lid, PECVD, 103494
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-03804 Gas Box Feedthrough, Lid, PECVD, 103493
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-03804 Gas Box Feedthrough, Lid, PECVD, 103492
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-03804 Gas Box Feedthrough, Lid, PECVD, 103491
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: LAM 853-004118-002 RF INTERFACE BOX, 103511
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$6,512.03
Description: 0010-22162 / ASSY, WATER BOX, BESC / APPLIED MATERIALS AMAT
automotiveapple
[view on eBay]
NEW 2
in stock
$357.14
Description: NEW AMAT CONNECTOR, PEEK, RF FILTER BOX, E-CHUCK 0021-46402
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-05347 Used RF Cover, Heater Box AC,103716
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT Heater Box Cover, 839-156740-001 B, 839-156738-001 REV B, 103725
katiil3
[view on eBay]
Used 1
in stock
$399.00
Description: Applied materials 0040-50344 Gas box chamber lid 200MM PMD Producer
katiil3
[view on eBay]
Used 1
in stock
$299.00
Description: Applied materials / AMAT 0150-18134 Cable Assy Source box to
media-xpert
[view on eBay]
Used 1
in stock
$2,000.00
Description: Nikon 4S587-172 Switch Box TC-SW NSR-S306C DUV Scanning System Used Working
automotiveapple
[view on eBay]
Used 3
in stock
$714.29
Description: As-Is NOVELLUS GAS BOX DIST, BROOKS D-SUB 02-057958-00
j316gallery
[view on eBay]
Used 1
in stock
$9,811.73
Description: 27996 APPLIED MATERIALS POWER BOX FOR ENDURA II, 0170-02348, 200-400A 0060-03611
auctionrus
[view on eBay]
Used 1
in stock
$2,625.00
Description: AMAT 0021-09760 GAS BOX AMO,DXZ P5000, 104124
mjr692
[view on eBay]
Used 1
in stock
$99.99
Description: AE ADVANCED ENERGY 2189-000 LM-1.25K RF MATCH BOX FREE SHIP AS IS
j316gallery
[view on eBay]
Used 2
in stock
$443.67
Description: 8097 LAM RESEARCH SYS INTLK, 300MM, CTRL BOX, 714-802323-003 810-800031-300
usedeqsales
[view on eBay]
Used 2
in stock
$5,003.22
Description: Sony 4S050-716 Power Supply Box BZ-T25 Laserscale LC_BD_POW Nikon NSR FX-301F
usedeqsales
[view on eBay]
Used 2
in stock
$5,003.22
Description: Sony 4S085-291 AMP Box of BZ-T25 for Laserscale LC_BX_LS3 Nikon NSR FX-601F
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0010-09239 LAMP MODULE ELECTRICAL CONNECYION, P5000, BOOM BOX, 104226
j316gallery
[view on eBay]
Used 1
in stock
$7,358.80
Description: 27900 APPLIED MATERIALS PCB, H/V DC GENERATOR COMBINER BOX 0100-02121
zoro
[view on eBay]
Used 1
in stock
$629.30
Description: AMAT 0010-33918 / ENABLER POWER BREAKER BOX FOR MAIN POWER/SOURCE/PROCESS KIT
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT P5000 CHAMBER LID, AMAT 0040-09091 GAS BOX, AMAT 0010-09257, 103980
farmoninc
[view on eBay]
NEW 1
in stock
$17,500.00
Description: AMAT 0010-04561 ASSEMBLY, BIASED ELECTRODE, AC BOX, 103990
j316gallery
[view on eBay]
Used 2
in stock
$979.94
Description: 27797 LAM RESEARCH SYS INTLK, 300MM, CTRL BOX FOR LAM 2300 KIYO3X 810-800031-400
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0040-00022 WELDMENT WATER BOX, CU SZ ESC CHILLER, 105202
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-10135 P5000 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX, 105218
farmoninc
[view on eBay]
NEW 3
in stock
$2,500.00
Description: AMAT 0100-20411 PCB Assembly, Liquid Injection Gas Box I, 327240
all-of-cpu
[view on eBay]
Used 1
in stock
$67.50
Description: AMAT 0190-16372 REV 03 DeviceNet Passive Drop Box #
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 320-0501// AMAT APPLIED 0010-75480 ASSY, AC BOX, AMAT-0,HEAT EXCHANGER [ASIS]
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0090-01907 ASSY, REMOTE LIGHT TOWER BUZZER SWITCH BOX, 105289
plccenter
[view on eBay]
Used 1
in stock
$684.00
Description: LAM RESEARCH 853-001583-016 / 853001583016 (NEW IN BOX)
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$8,999.26
Description: 0195-10755 / CONTROL BOX 2 CHAMBER BMA ADVANTAGE GT-XP UPG101896-P1 / AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$250.03
Description: 0195-12002 / CONTROL BOX YAMATAKE SENSING LOG-1534 REV001 / AMAT
cosplity
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 3970-00030 GEAR BOX W/ MOUNT, PULLEY, FLANGE
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0010-00174, Throttle Valve Reducer Box Assembly, 106237
j316gallery
[view on eBay]
Used 3
in stock
$1,115.88
Description: 28282 APPLIED MATERIALS INFRARED THERMOMETER COMM BOX, RAYMI3COMM 0190-46835
farmoninc
[view on eBay]
NEW 1
in stock
$7,500.00
Description: AMAT 0240-02185 BIASED ELECTRODE WATER BOX KIT AMAT 0010-22162 ASSY, 106334
toro.industrial.surplus
[view on eBay]
Used 1
in stock
$1,500.00
Description: Applied Materials (AMAT) 0090-00011 AC BOX ASSEMBLY
sfwish
[view on eBay]
Used 1
in stock
$7,900.00
Description: Applied Materials/AMAT 0041-45868 LID SIDE 1, UPPER SSWLL *OPEN BOX! - NO BOX!*
ntsurplus302
[view on eBay]
Used 2
in stock
$900.00
Description: 5979 Applied Materials 0010-76533 Assy, 50A RECPT BOX CENTURA LAMP MOD
ntsurplus302
[view on eBay]
Used 5
in stock
$900.00
Description: 5981 Applied Materials 0010-76706 Assy, 50A RECPT BOX CENTURA LAMP MOD
ntsurplus302
[view on eBay]
Used 1
in stock
$1,200.00
Description: 5980 Applied Materials 0010-76533 Assy, 50A RECPT BOX CENTURA LAMP MOD
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$245.68
Description: 03-138114-00 / ASSY,RF FILTER BOX,ILDS,RETRO / NOVELLUS
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09094 PCB ASSY TEOS BOX HEATER CONTROL, 107694
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09094 PCB ASSY TEOS BOX HEATER CONTROL, 107711
automotiveapple
[view on eBay]
Used 1
in stock
$4,761.90
Description: As-Is NOVELLUS SESIOC IXT WTS HV 0 GAS BOX 02-266868-00
visionsemi
[view on eBay]
Used 2
in stock
$2,500.00
Description: AMAT RF SWITCH BOX ASSEMBLY 0040-86077 REV 002 0020-53102 REV 001 SW CONTROLS
visionsemi
[view on eBay]
Used 2
in stock
$2,570.41
Description: AMAT RF SWITCH BOX ASSEMBLY 0040-86077 REV 002 0020-53102 REV 001 SW CONTROLS
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-32023 GAS BOX LID (HEX), 108408
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0040-09095 GAS BOX,WCVD, 108410
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0040-09095 GAS BOX,WCVD, 108409
liquiditech
[view on eBay]
Used 1
in stock
$171.00
Description: Lot of 2 0022-11426 Diffuser Single Hole Center Flow Gas Box Frontier
grandbirdnet
[view on eBay]
Used 2
in stock
$7,900.00
Description: AMAT 0010-77681 ASSY, ELECTRONIC BOX, SYSTEM, LOWER, WORKING
j316gallery
[view on eBay]
Used 1
in stock
$153.66
Description: 29052 APPLIED MATERIALS GAS LINE, GAS BOX INLET, POS 2 TO 7 0050-24774
grandbirdnet
[view on eBay]
Used 2
in stock
$4,500.00
Description: AMAT 0190-00312 MAGMOTOR CONTROLLER BOX 200MM 71-100-1856 , USED
adelrick123
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0041-28245 DC Filter Box Adapter
usraj-9fiaknj2
[view on eBay]
Used 1
in stock
$995.00
Description: APPLIED MATERIALS, AMAT 0100-13011 PCB, RF MATCH CONTROL NEW, OPEN BOX
abcatlegacyparts
[view on eBay]
Used 1
in stock
$99.99
Description: KOGANEI NIKON 4B024-508 PNEUMATIC CONTROLLER CONTROL BOX
soldtou1
[view on eBay]
Used 1
in stock
$149.99
Description: Turck PSG 3-4/S760/S1055 U-890-83 0140-11654 Applied Materials New Open Box
visionsemi
[view on eBay]
NEW 1
in stock
$120.00
Description: APPLIED MATERIALS AMAT HARNESS ASSY GAS BOX FILTER SIGNAL PRODUCER 0140-18285
grandbirdnet
[view on eBay]
Used 2
in stock
$650.00
Description: AMAT 0190-09209 JUNCTION BOX, RETRO REPLACE, PRODUCER SE, USED
bestoneshop-korea
[view on eBay]
Used 1
in stock
$1,599.99
Description: AE Advanced Energy 3155017-002 Matching Box /w Cables
grandbirdnet
[view on eBay]
NEW 2
in stock
$2,900.00
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, NEW
excessdealer
[view on eBay]
NEW 1
in stock
$300.00
Description: 11679 NOVELLUS PCB, GAS DISTRIBUTION BOX 02-057958-00
sfwish
[view on eBay]
Used 2
in stock
$390.00
Description: Applied Materials 0041-08712 Side Reflector DSS+ F6AC HF Producer SE *OPEN BOX!*
sfwish
[view on eBay]
Used 1
in stock
$390.00
Description: Applied Materials 0041-08734 Side Reflector DSS+ F6AC HF Producer SE *OPEN BOX!*
cosplity
[view on eBay]
NEW 1
in stock
$900.00
Description: AMAT 0150-02894 CABLE ASSY POWER FROM AC BOX TO CLEANER
discountcdtoolsdvd
[view on eBay]
Used 2
in stock
$149.99
Description: Advanced Energy MH100 Power Supply MH100/303P/07 Open Box
albsemicon
[view on eBay]
NEW 2
in stock
$899.99
Description: 15-032014-01 /QTZ WINDOW, ETCH RESISTANT, FUSED SI / NOVELLUS new in box
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0040-76018 5000 Cleanroom Ergo Pneumatics Box, 110555
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-70415 P5000 Cleanroom Ergo Pneumatics Box 0010-70418, 110583
farmoninc
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0040-70415 P5000 Cleanroom Ergo Pneumatics Box 0010-70418, 110582
farmoninc
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0040-70415 P5000 Cleanroom Ergo Pneumatics Box 0010-70418, 110581
allenbradley-auto
[view on eBay]
NEW 2
in stock
$6,500.00
Description: ONE NEW AMAT 0100-35117
allenbradley-auto
[view on eBay]
NEW 1
in stock
$3,900.00
Description: ONE NEW Nor-Cal 3870-06249 BUTTERFLY Valve
allenbradley-auto
[view on eBay]
NEW 1
in stock
$6,240.00
Description: ONE NEW AMAT 0040-86543 HT SWLL Bellow 1M
farmoninc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0010-10436 ASSY, R2 AC DISTRIBUTION BOX, ETCH CHAMBER, REV C, 110721
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0100-00731 BOX INTERLOCKS INTERCONNECT VACUUM PUMP REMOTE GAS, 110811
j316gallery
[view on eBay]
Used 1
in stock
$1,096.90
Description: 30009 APPLIED MATERIALS INFRARED THERMOMETER COMM BOX, RAYMI3COMM 0190-46837
j316gallery
[view on eBay]
Used 3
in stock
$1,096.90
Description: 30011 APPLIED MATERIALS INFRARED THERMOMETER COMM BOX, RAYMID10LTCB3 0190-17458
j316gallery
[view on eBay]
Used 2
in stock
$800.00
Description: 30008 APPLIED MATERIALS INFRARED THERMOMETER COMM BOX, RAYMI3COMM 0190-46835
j316gallery
[view on eBay]
Used 1
in stock
$593.66
Description: 29545 APPLIED MATERIALS RF FILTER BOX ASSY, PRODUCER SE (PARTS) 0090-04736
vizvik16
[view on eBay]
NEW 3
in stock
$55.00
Description: APPLIED MATERIALS 0090-06950 ASSY PS, PNEUMATIC BOX, PLATENS, REFLEXION
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0020-37133 TRANSFORMER BOX 50VA, 110927
new-trade-inc
[view on eBay]
Used 5
in stock
$1,913.70
Description: 1PCS AMAT 0040-09323 GAS BOX Free FedEx or EMS 90-days Warranty
songlcd
[view on eBay]
Used 5
in stock
$1,704.10
Description: 1PCS AMAT 0040-09323 GAS BOX 90days warranty via DHL or FedEx
visionsemi
[view on eBay]
Used 2
in stock
$800.00
Description: AMAT 0020-09074 RF MATCH COVER BOX APPLIED MATERIALS
farmoninc
[view on eBay]
Used 1
in stock
$1,750.00
Description: AMAT 0010-10436 ASSY, R2 AC DISTRIBUTION BOX, ETCH CHAMBER, REV A, 111227
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0010-10997, P5000 AC Distribution box Assembly, 0150-10321, Rev A, 111322
farmoninc
[view on eBay]
NEW 1
in stock
$12,500.00
Description: AMAT 3930-90060 Wafer Loader Contoller Pneumatic Box, 111333
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 175-0201 AMAT 0190-25278 CH AC DIST BOX DUAL HTR, ADVANTEDGE TSUBASA0 [USED]
visionsemi
[view on eBay]
Used 1
in stock
$5,000.00
Description: LAM RESEARCH 853-044013-325 VME BOX 2300 KIYO C SERIES 208VAC 50/60 HZ
usedeqsales
[view on eBay]
Used 1
in stock
$1,511.22
Description: AMAT Applied Materials 0040-09095 XCVD Gas Box Lid Assembly Working Surplus
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$6,500.00
Description: 5000 MK 2 / AC BOX, 0020-09629, 0040-70062 / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0140-03216 Cable Assembly, Filter Box, Conn HT DPS, 111756
surplustechmart
[view on eBay]
Used 1
in stock
$3,150.00
Description: AMAT Applied Materials 0041-45919 CHAMBER ASSEMBLY MAINEFRAME AC BOX P4 3000MM
spsglobal
[view on eBay]
Used 2
in stock
$3,000.00
Description: 130-0101 AMAT APPLIED 0010-13439 MCA 2 TC AMP BOX [ASIS]
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0020-37133 TRANSFORMER BOX 50VA, 111848
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-32073 P5000 DXL Gas Box Lid (Hex), 112084
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0010-76543 Heat Exchanger AC BOX, 112117
j316gallery
[view on eBay]
Used 1
in stock
$699.00
Description: 30473 APPLIED MATERIALS PCB ASSY, BOX HTR CTRL, TEOS HTR (PARTS) 0100-09094
j316gallery
[view on eBay]
Used 3
in stock
$550.00
Description: 30496 LAM RESEARCH PCB, A6 GAS BOX I/O INTERLOCK (PARTS) 810-494010-001
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0040-32583 CARD BOX COVER, SERIPLEX, 112318
excessdealer
[view on eBay]
Used 2
in stock
$400.00
Description: Novellus Systems 03-118125-00 Gas Box Breakout Board
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,119.20
Description: 0021-18725 / BLOCKER, LOW K, GAS BOX MOUNTED, 300MM P /APPLIED MATERIALS AMAT
gteprimo
[view on eBay]
Used 1
in stock
$225.21
Description: AMAT Applied 0100-00567 0130-00567 Gas Box Distribution PCB Endura 300 inkl MwSt
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,250.56
Description: 0190-26721 / AC DIST BOX ETCH 300MM DPN CHAMBER / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,198.03
Description: 810-073479-005 /JET STREAM GAS BOX MB MAIN BOARD 710-073479-005 /LAM RESEARCH CO
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$7,848.00
Description: 0010-01975 /ASSY, SOURCE CONDITIONING BOX /APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,760.00
Description: 0041-62587 / GAS BOX, CHAMBER LID, CENTER FLOW /APPLIED MATERIALS AMAT
k-semi
[view on eBay]
Used 1
in stock
$6,000.00
Description: COMET, Lam Research, 13.56MHz 3000W Matching Box, Lam: 27-409095-00
k-semi
[view on eBay]
Used 1
in stock
$6,000.00
Description: COMET, Lam Research Altus Ice Chamber, 2500W Matching Box, Lam: 666-192400-001
amat-sparescom
[view on eBay]
Used 1
in stock
$5,995.00
Description: Applied Materials 0010-09790 Gas Box, Assy. Com. Silane 100-150 MM AMAT CVD
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-32073 P5000 CVD chamber Gas Box Lid, 112758
roundtable1
[view on eBay]
NEW 1
in stock
$119.99
Description: Applied Material AMAT 0090-09035 Fan Assy Toes Box REV B Ebmpapst 4312 12V
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0620-02678 CABLE 2M BOX INTCONN EXH SW U, 112854
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$285.26
Description: 0040-02661 /BRACKET,FILTER BOX LATCH ASSY,HT DPS /APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0010-00482 P5000 Etch, AC Outlet Box, 113018
farmoninc
[view on eBay]
NEW 1
in stock
$14,500.00
Description: AMAT 0240-97038 .IT ,xR80/120 D.P Box, 113056
j316gallery
[view on eBay]
Used 8
in stock
$469.68
Description: 10346 APPLIED MATERIALS 5000 CVD 8'' WSI GAS BOX 0010-09940
powersell007
[view on eBay]
Used 1
in stock
$1,499.00
Description: NOVELLUS 02-157910-00 ASSY, ETHERNET BOX
spsglobal
[view on eBay]
Used 2
in stock
$3,500.00
Description: 130-0101 AMAT APPLIED 0010-13439 MCA 2 TC AMP BOX [USED]
surplustechmart
[view on eBay]
Used 1
in stock
$202.50
Description: Applied Materials 0150-42110 CABLE ASSY 75FT EMO REMOTE AC BOX TO HEAT EXCH GERS
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$25,000.00
Description: 571-065780-702 /ALL IN ONE GAS BOX /4490,B71230 / LAM RESEARCH
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0040-09095 GAS BOX, WCVD, 113897
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0040-09095 GAS BOX, WCVD, 113898
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0040-09095 GAS BOX, WCVD, 113896
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,475.56
Description: 0010-09256 / GAS BOX 4,5,6 TEOS / APPLIED MATERIALS AMAT
sx-space
[view on eBay]
Used 5
in stock
$1,913.80
Description: 1PCS AMAT 0040-09323 GAS BOX 100% tested by DHL or FedEx
farmoninc
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0020-28445 ADV 101 Ti/TiN KIT UPPER SHIELD BOX 1, 114070
farmoninc
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0020-28445 ADV 101 Ti/TiN KIT UPPER SHIELD BOX 1, 114069
katiil3
[view on eBay]
Used 1
in stock
$119.00
Description: Applied Materials 0240-24284 Kit Edwards Pump Control Box
techequipsales
[view on eBay]
Used 1
in stock
$2,500.00
Description: LAM Research 846-077848-725 All In One Gas Box *non-working*
eisale1535
[view on eBay]
Used 1
in stock
$12,546.00
Description: AMAT 0195-01636 MIRRA PLATEN PNEUMATIC BOX Assy
j316gallery
[view on eBay]
Used 1
in stock
$8,398.95
Description: 32052 APPLIED MATERIALS ASSY, ELECTRONIC BOX, SRD, LOWER 0010-77680
j316gallery
[view on eBay]
Used 2
in stock
$7,999.00
Description: 32051 APPLIED MATERIALS ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM 0010-03151
eisale1535
[view on eBay]
Used 1
in stock
$6,534.00
Description: AMAT 0010-77681 ASSY ELECTRONIC Control BOX SYSTEM, LOWER
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,100.98
Description: 0040-53688 / GAS BOX, REMOTE CLEAN, PRODUCER SE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$925.56
Description: 02-451607-00 / NOVELLUS ASSY, AC DISCONNECT SWITCH BOX, EFEM (PLATFORM) / LAM
grandbirdnet
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT 0021-87695 HUB, IDLER, BRUSH BOX, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,218.91
Description: 0040-63457 / WATER BOX, TXZ 200MM C I P / APPLIED MATERIALS AMAT
visionsemi
[view on eBay]
Used 1
in stock
$1,000.00
Description: APPLIED MATERIALS 0100-01783 INTERLOCK PCB CMP 0100-01878 BRUSH BOX INTERLOCK
farmoninc
[view on eBay]
NEW 4
in stock
$220.00
Description: AMAT 0040-22804 BRACKET RIGHT MATCH BOX SUPPORT, 114885
grandbirdnet
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT 0021-53853 HUB IDLER BRUSH BOX, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,050.68
Description: 0010-07540 / ASSY, WATER BOX, 300MM SLT ESC / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0021-22373 Cover, HV IND Control Box, 115045
j316gallery
[view on eBay]
Used 1
in stock
$999.00
Description: 32416 APPLIED MATERIALS RF BOX ASSY (PARTS) 0090-05030
cosplity
[view on eBay]
Used 1
in stock
$3,000.00
Description: NOVELLUS 02-266868-00 SESIOC IXT WTS HV 0 GAS BOX VER 4.72
cosplity
[view on eBay]
NEW 1
in stock
$3,800.00
Description: AMAT 0010-42371 ASSEMBLY, RF FILTER BOX, ONE COIL, W/AC
j316gallery
[view on eBay]
Used 1
in stock
$6,825.00
Description: 32506 LAM RESEARCH VME BOX, FLEX FX SERIES W/ V7668A 853-042958-228
j316gallery
[view on eBay]
Used 1
in stock
$3,000.00
Description: 32518 NOVELLUS SESIOC ITX WTS HV 0 GAS BOX 02-266868-00
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,300.00
Description: 810-073479-003 / PCB 007 IGS GAS BOX MB / LAM RESEARCH
metrology123
[view on eBay]
Used 1
in stock
$2,038.98
Description: Lam research JETSTREAM GAS BOX MB 810-073479-005 rev B #
metrology123
[view on eBay]
Used 1
in stock
$2,038.98
Description: Lam research JETSTREAM GAS BOX MB 810-073479-005 rev B
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0040-31980 GAS BOX EC WXZ REV 003 TIL-296-1781, 104799
farmoninc
[view on eBay]
Used 1
in stock
$995.00
Description: AMAT 0100-01429 PCB ASSEMBLY D.C. POWER SUPPLY JUNCTION BOX, 104410
cosplity
[view on eBay]
Used 1
in stock
$2,900.00
Description: LAM RESEARCH 853-800087-406 POWER BOX ASSY
jtechsemi
[view on eBay]
Used 1
in stock
$2,000.00
Description: Applied Materials 0010-75484 ASSY, SPILL SENSOR BOX AMAT
sfwish
[view on eBay]
Used 1
in stock
$49,990.00
Description: Applied Materials (AMAT) RF MATCH, VOR 0010-31277 Rev. 002 *OPEN BOX!*
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$9,479.73
Description: 0040-08494 / WATER BOX, TXZ 300MM CVD / APPLIED MATERIAL AMAT
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT CHAMBER LID P5000 AMAT 0020-10135 AMP DELTA TEOS GAS MANIFOLD BOX, 115142
j316gallery
[view on eBay]
Used 1
in stock
$1,991.20
Description: 24839 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005
plccenter
[view on eBay]
Used 6
in stock
$152.00
Description: APPLIED MATERIALS 0020-18498 / 002018498 (NEW IN BOX)
j316gallery
[view on eBay]
Used 1
in stock
$2,122.30
Description: 27090 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005
usedeqsales
[view on eBay]
Used 1
in stock
$1,804.23
Description: Lam Research 853-033766-004 RF Sensor Coupler Box Manufacture Refurbished
lqzhome
[view on eBay]
NEW 3
in stock
$7,127.56
Description: AMAT 0100-35117 Interconnect New in box Fast shipping#DHL or FedEx
farmoninc
[view on eBay]
Used 1
in stock
$490.00
Description: AMAT 0040-35496 RF MATCH ESC HV MODULE BRACKET SUPPORT, PLATE, RF BOX, 115386
part-supplier
[view on eBay]
Used 1
in stock
$7,359.14
Description: AMAT DPS CONDUIT HOUSING BOX COVER ASSY / 0021-09620
katiil3
[view on eBay]
Used 2
in stock
$2,499.00
Description: AMAT Applied Materials 0041-45919 CHAMBER ASSEMBLY MAINEFRAME AC BOX P4 300MM.
farmoninc
[view on eBay]
Used 1
in stock
$3,950.00
Description: Novellus 685-017705-003 AC Remote Control Box (For Dry PU), Rainbow, 115510
powersell007
[view on eBay]
Used 1
in stock
$6,299.00
Description: COMET 20031426 AMAT 0190-34492 RF AUTOMATCH PVD PEDESTAL VARIABLE POS CAP BOX S
i-s-m-e
[view on eBay]
Used 1
in stock
$12,784.20
Description: NEW LAM RESEARCH 853-209398-004 OPEN BOX
cosplity
[view on eBay]
NEW 1
in stock
$5,500.00
Description: AMAT 0010-14580 ASSEMBLY, WATER BOX 300MM SLT ESC
supplyrak
[view on eBay]
Used 1
in stock
$599.99
Description: novellus 04-709189-01 nos surplus no box
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-06913 CONTROL BOX , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0195-13443 FCS VAP CNTRL I/O BOX , USED
katiil3
[view on eBay]
Used 1
in stock
$149.00
Description: Applied Materials 0040-50534 COVER AC POWER BOX DEGAS
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$404.77
Description: 810-033834-001 / GAS BOX POWER INHIBIT BOARD / LAM RESEARCH
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0010-10449 Etch Water Box, 115972
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0010-10449 Etch Water Box, 115971
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 3840-01050 Tape Box Seal 2 In Wide .0026 Thick White, 117008
plccenter
[view on eBay]
Used 11
in stock
$164.00
Description: APPLIED MATERIALS 0020-18497 / 002018497 (NEW NO BOX)
plccenter
[view on eBay]
Used 5
in stock
$495.00
Description: NOVELLUS 03-322049-00 / 0332204900 (NEW NO BOX)
equipplus
[view on eBay]
Used 1
in stock
$349.00
Description: Novellus 02-111301-00 Rev A Gas Box Distribution,Speed 300 Board,Used,US*8465
farmoninc
[view on eBay]
Used 2
in stock
$1,500.00
Description: AMAT 0040-32073 P5000 CVD CHAMBER, GAS BOX LID (Hex), 500109888, 117347
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0010-09328 P5000 TEOS, TEOS AMPULE FOR HOT BOX ON BOARD TEOS, 117410
jtechsemi
[view on eBay]
Used 1
in stock
$15,000.00
Description: Applied Materials 0090-05029 DC DISTRIBUTION BOX AMAT
farmoninc
[view on eBay]
Used 1
in stock
$325.00
Description: RF POWER PRODUCTS 7921302010 AMAT 0900-01053 FLTR LOW-PASS BOX, 117582
farmoninc
[view on eBay]
NEW 1
in stock
$325.00
Description: RF POWER PRODUCTS 7921302010 AMAT 0900-01053 FLTR LOW-PASS BOX, 117622
filling-parts
[view on eBay]
Used 1
in stock
$3,343.99
Description: New in box ADVANCED ENERGY 1P400-280HF controller
filling-parts
[view on eBay]
Used 1
in stock
$4,250.00
Description: New in box ADVANCED ENERGY 1P400-110H-ASM controller
visionsemi
[view on eBay]
Used 2
in stock
$3,500.00
Description: NOVELLUS 61-358682-00 MACH IV EIOC CONTROLLER BOX
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0010-36165 A.C., POSER DISTRIBUTION BOX, EPLIS, 117837
jtechsemi
[view on eBay]
Used 1
in stock
$3,000.00
Description: Applied Materials 0190-19215 MAINFRAME AC DIST BOX, PRODUCER E AMAT
jtechsemi
[view on eBay]
Used 1
in stock
$8,500.00
Description: Applied Materials 0010-20525 6INCH PCII RF MATCH BOX AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: 3 AMAT 0190-76147 OBS, SPEC LOGIC BOX, LL PUMP MANUAL START SWITCH, 118349
j316gallery
[view on eBay]
Used 1
in stock
$4,200.00
Description: 32810 APPLIED MATERIALS GAS PANEL COMMUNICATION BOX ASSY 0190-16176
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,225.56
Description: 0010-09247 / CHAMBER LID 0010-09950, GAS BOX ASSY 0020-10190 / APPLIED MATERIALS
farmoninc
[view on eBay]
NEW 1
in stock
$230.00
Description: AMAT 0020-04608 BOX, TC COVER, Applied Materials Component, 118445
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0140-03154 HARN ASSY, AC POWER TO BCR BOX, 118626
yiannisshop
[view on eBay]
Used 1
in stock
$288.00
Description: AMAT 0100-00470 Schematic 0130-00470 PCB MOTION CONTROL ECP new no box 3 availab
oneclickwarehouse
[view on eBay]
Used 1
in stock
$3,499.99
Description: AMAT Applied Materials 0010-42371 ASSEMBLY, RF FILTER BOX, ONE COIL, W/AC
farmoninc
[view on eBay]
NEW 3
in stock
$300.00
Description: AMAT 0150-22654 CABLE ASSY, PCIIE HV/RF FILTER BOX, 118767
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,149.00
Description: 0010-10371 / ASSY, SENSOR BOX, HIGH EXHAUST, 300MM ME / APPLIED MATERIALS AMAT
katiil3
[view on eBay]
Used 1
in stock
$249.00
Description: Applied materials 0242-31881 KIT, REMOTE SWITCH BOX, 75FT OPTION, 300MM ENDURA
katiil3
[view on eBay]
Used 1
in stock
$349.00
Description: Applied materisl AMAT 3300-04678 New open box qty 3
katiil3
[view on eBay]
Used 1
in stock
$499.00
Description: Applied materials AMAT 0022-39078 Panel Bracket, OBIS CRYO Controller open box
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-09199 Harness Assy, AC Box Interim, 119140
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: AMAT 0620-01261 CABLE, INTERCONNECT 40FT. 15PIN CONN, FOR DIAG BOX, 119318
farmoninc
[view on eBay]
Used 1
in stock
$6,995.00
Description: Novellus 02-270229-00 LPB Local Power Box C2SEQ-S, 118975
alvin1462
[view on eBay]
Used 1
in stock
$6,888.00
Description: AMAT 0010-62937 Multi Cathode Power Splitter Box
goldenstateelectronics
[view on eBay]
Used 1
in stock
$1,650.00
Description: AMAT 3930-01042 CONTROL Temperature Box 859 20, A 95 11 00204 LEYBOLD, AMAT Etch
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: AMAT 0620-01261 CABLE, INTERCONNECT 40FT. 15PIN CONN, FOR DIAG BOX, 119330
farmoninc
[view on eBay]
NEW 1
in stock
$1,300.00
Description: AMAT 0620-01260 Cable Interconnect 40FT 37PIN Conn For Diag Box, 119347
farmoninc
[view on eBay]
NEW 1
in stock
$1,300.00
Description: AMAT 0620-01260 Cable Interconnect 40FT 37PIN Conn For Diag Box, 119345
farmoninc
[view on eBay]
NEW 2
in stock
$1,100.00
Description: AMAT 0620-01261 CABLE, INTERCONNECT 40FT. 15PIN CONN, FOR DIAG BOX, 119327
farmoninc
[view on eBay]
NEW 2
in stock
$1,300.00
Description: AMAT 0620-01260 Cable Interconnect 40FT 37PIN Conn For Diag Box, 119379
i-s-m-e
[view on eBay]
Used 1
in stock
$478.12
Description: AMAT TEACH BOX 0190-10710 REV. 002 Kawasaki 300424 💯✅🔐
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$206.66
Description: 0020-31425 / LID, MIXER GAS BOX, BWCVD / APPLIED MATERIALS AMAT
katiil3
[view on eBay]
Used 1
in stock
$1,999.00
Description: AMAT Applied Materials 0041-45919 CHAMBER ASSEMBLY MAINEFRAME AC BOX P4 300MM
cosplity
[view on eBay]
NEW 3
in stock
$400.00
Description: AMAT 0150-29326 CABLE ASSY FOR DESICA GAS BOX LIQUID FLOW
cosplity
[view on eBay]
NEW 1
in stock
$2,900.00
Description: AMAT 0041-62587 GAS BOX, CHAMBER LID, CENTER FLOW
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: Lam Research 853-017163-001 RF Switch Box 853-017163-001-G-RFDFT, 120101
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-10482, MAC BOARD, Fluid BOARD, BOX, TEMP SENSOR, 233-3045-03, 120161
katiil3
[view on eBay]
Used 1
in stock
$2,899.00
Description: LAM RESEARCH 853-800087-406 POWER BOX ASSY
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: Lam Research 853-017163-001-D-C117 RF Switch Box 853-017163-001, 120498
automationlink44
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT Applied Materials 0100-09094 TOES BOX HEATER CONTROL Board PCB Rev. J P5000
plccenter
[view on eBay]
Used 1
in stock
$2,145.00
Description: ADVANCED ENERGY 3152353-108 / 3152353108 (NEW NO BOX)
farmoninc
[view on eBay]
NEW 2
in stock
$675.00
Description: AMAT 0010-76116 Assy, 208V AC Outlet Box, Centura, 120811
farmoninc
[view on eBay]
Used 2
in stock
$3,850.00
Description: AMAT 0040-32259 Gas Box, 200mm, 121198
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: LOT OF 3 AMAT 0020-38980 COVER, CONDUIT EXTENSION BOX RTP, 27-9910323100, 121505
farmoninc
[view on eBay]
Used 1
in stock
$1,550.00
Description: AMAT 3930-01042 CONTROL TEMP BOX 859 20, A 95 11, L-H TMP 340MC/T, Etch, 121750

This tag has been viewed 3 times

Most recent views:

Taiwan (Province of China) Wednesday, May/08/2024 at 9:27 pm CST
Korea (Republic of) Wednesday, May/08/2024 at 9:27 pm CST
United States of America Wednesday, May/08/2024 at 9:23 pm CST
United States of America Wednesday, May/08/2024 at 9:19 pm CST
United States of America Wednesday, May/08/2024 at 9:17 pm CST
Taiwan (Province of China) Wednesday, May/08/2024 at 9:16 pm CST
United States of America Wednesday, May/08/2024 at 9:14 pm CST
United States of America Wednesday, May/08/2024 at 9:13 pm CST
Korea (Republic of) Wednesday, May/08/2024 at 9:09 pm CST
United States of America Wednesday, May/08/2024 at 9:09 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
ptb-sales Used - $875.00 0 Aug/24/12 Sep/03/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
yayais2012 Used - $1,650.00 0 Sep/01/12 Oct/01/12
Description: NIKON NRS 4S008-034 WLAIR KOGANEI SP1212W 4K191-928 Pneumatic Box
ptb-sales Used - $875.00 0 Sep/04/12 Sep/14/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
turkeymakesmetired-2008 Scrap, for parts - $199.99 0 Sep/13/12 Nov/03/16
Description: Lam Research Communication Box 853-017620-001
ptb-sales Used - $875.00 0 Sep/17/12 Sep/27/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
visionsemi NEW - $376.00 1 Oct/04/12 Oct/05/12
Description: AMAT APPLIED MATERIALS SMC PNEUMATIC BOX 0040-75141 0190-20124
usedeqsales Used - $3,002.12 0 Feb/22/12 Jan/13/16
Description: AMAT Applied Materials 0290-76088 P5000 Remote AC Box Rev.V working
redlinemerch NEW - $895.00 1 Nov/24/12 Dec/18/12
Description: AMAT Applied Materials Micro-Match RF Match network box 8300 , 0010-00298
ptb-sales Used - $875.00 0 Nov/26/12 Dec/06/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Dec/06/12 Dec/16/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
avagohc Used - $1,500.00 0 Nov/18/10 Mar/21/13
Description: LAM Research Gas Box, Part # 853-490626-200-E8-C221
mmmarch67 NEW - $1,490.00 0 Nov/18/10 Feb/06/22
Description: 810-494010-001 Lam Research A6 Gas Box I/O Interlock PCB NEW!!
usedeqsales Used - $403.12 1 Mar/13/12 Feb/14/20
Description: Lam Research 4420 RF Switch Box 853-017163-001-D-3710 Used Working
usedeqsales Used - $282.18 1 Mar/14/12 Oct/06/13
Description: Lam Research 4420 RF Sense Box Autotune 853-025944-001-1-C221 Used Working
nihilneo NEW - $67.50 0 Jul/21/10 Jun/05/13
Description: (box of 500) Teccor littelfuse S4006FS21 SCR semiconduc
nihilneo NEW - $55.00 0 Jul/21/10 Jul/04/16
Description: (box of 400) Teccor littelfuse S4006FS21 SCR semicondu
svcstore Used - $738.99 0 Dec/04/12 Dec/07/12
Description: Terra Universal 1689-00-1 Acrylic Plastic Dry 4-Port Glove Box +1684-01 Air Lock
svcstore Used - $183.99 0 Dec/17/12 Dec/20/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Dec/17/12 Dec/27/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
fa-parts Used - $500.00 1 Dec/13/12 Dec/13/19
Description: NOVELLUS 02-054128-00 7/8 CHANNEL GAS BOX INTLK FAB 27-054128-00,SCH 76-054128-0
yayais2012 Used - $180.00 0 Dec/12/12 Jan/11/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
systasemi Used - $300.00 0 Dec/04/12 Jan/03/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Dec/04/12 Jan/03/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $500.00 0 Dec/04/12 Jan/03/13
Description: PEDESTAL RFSL-3 / 27-041212-00 / ION-SRC / Switch Box Assy / NOVELLUS PARTS
visionsemi NEW - $999.00 1 Dec/17/12 Dec/17/12
Description: AMAT APPLIED MATERIALS 0020-20914 COVER HTR AC POWER BOX
visionsemi NEW - $900.00 1 Dec/17/12 Dec/17/12
Description: AMAT APPLIED MATERIALS 0020-20913 BOX HEATER AC POWER
svcstore Used - $44.99 0 Dec/18/12 Dec/21/12
Description: Cascade Controls/Novellus Plating Controller Box Assy
usedeqsales Used - $3,507.78 1 Dec/11/12 Dec/18/12
Description: AMAT Quantum Leap 9010-00049 Gas Box 0010-99158 good working
tdindustrial Used - $17.50 0 Dec/19/12 Jan/21/20
Description: Dentech Fiberop Transceiver Box Model #: DT-FMF020
buckideal NEW - $75.00 0 Dec/19/12 Jan/18/13
Description: Aro Fluid Power E212PD 4way Valve. No box. Unused from old stock. B-0009
jkcinc2005 Used - $36.00 0 Dec/20/12 Dec/27/12
Description: Heinemann Breakers in Applied Materials Circuit Breaker box 01-81914-00
svcstore Used - $183.99 0 Dec/20/12 Dec/23/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $263.99 0 Dec/21/12 Jan/20/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
bobsgoodies NEW - $65.00 0 Dec/22/12 Jan/21/13
Description: AMAT 0140-38100 Power Cable AC Junction Box Inner Lamps Harting Connector
recycledgoods.com Used - $2,495.00 0 Dec/22/12 Jan/21/13
Description: Atcor CRD-1210 SS Wafer Cassette Box Washer with Holders
svcstore Used - $183.99 0 Dec/23/12 Dec/26/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $183.99 0 Dec/26/12 Dec/29/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Dec/27/12 Jan/06/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $183.99 0 Dec/29/12 Jan/01/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $183.99 0 Jan/02/13 Jan/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
capitolareatech NEW - $55.00 0 Jan/04/13 Jun/03/13
Description: AMAT 0140-14621 HARNESS ASSY,FILTER BOX POWER INTERLOCK
capitolareatech NEW - $22.31 0 Jan/04/13 Sep/26/14
Description: AMAT 150-14746 CABLE,ASSY AC BOX ADAPTOR PRODICER SE; 0150-14746 REV 002
capitolareatech NEW - $125.00 0 Jan/04/13 Jun/03/13
Description: AMAT 140-14621 HARNESS ASSY,FILTER BOX POWER INTERLOCK 0140-14621
svcstore Used - $183.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
dashhound02 NEW - $39.99 1 Dec/29/12 Jan/05/13
Description: Lot of 12 MKS/HPS NW16 S/V SEAL, CTRING ASSY NW-16-CR-SV KF 16 New In Box!!!
systasemi Used - $300.00 0 Jan/06/13 Feb/05/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $500.00 0 Jan/06/13 Feb/05/13
Description: PEDESTAL RFSL-3 / 27-041212-00 / ION-SRC / Switch Box Assy / NOVELLUS PARTS
systasemi Used - $300.00 0 Jan/06/13 Feb/05/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
ptb-sales Used - $875.00 0 Jan/07/13 Jan/17/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
tdindustrial NEW - $149.00 1 Jan/09/13 Mar/16/15
Description: AMAT 0680-01215 AC Box Cable CB Mag Therm 3P 240VAC 30A Bolt-on QOB Type
prism_electronics6 NEW - $400.00 1 Jan/09/13 Dec/11/19
Description: NEW Lam Research IGS Motherboard DMFC 810-020494-992 Rev A - Original Box
buckideal NEW - $40.00 1 Dec/19/12 Jan/09/13
Description: Aro Fluid Power E212FS Valve Foot Pedal.No box unused from old stock. B-0009
usedeqsales Used - $1,001.13 0 Jan/10/13 May/01/24
Description: AMAT Applied Materials 0090-91409 Beamline Interlock Box Rev. A Used
svcstore Used - $169.99 0 Jan/10/13 Jan/13/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
bobsgoodies NEW - $19.50 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0140-10071 Harness, Switch Interlock Filter Box
bobsgoodies NEW - $185.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-00609 Logic Box, LL Pump Manual Start Switch
bobsgoodies NEW - $625.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (Box of 10)
bobsgoodies NEW - $30.00 0 Jan/11/13 Feb/10/13
Description: AMAT 3700-01947 "O"Ring Gland Viton .250ID Square C/S, 4 QO-VI (Box of 20)
yayais2012 Used - $180.00 0 Jan/12/13 Feb/11/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
electronicswest NEW - $1,000.00 0 Jan/14/13 Jan/21/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
6105moss NEW - $1,850.00 1 Jan/05/13 Jan/12/13
Description: TREBOR M50DS01000A0 PUMP, AK4476, 3/4"X1 IN FLARE; NEW IN ORIGINAL BOX
systasemi Used - $200.00 5 Jan/06/13 Jan/11/13
Description: Helix CTI-CRYOGENICS Splitter Box PWR JUNCTION BOX , 8112444G002
prism_electronics6 Used - $399.99 1 Jan/14/13 Sep/15/14
Description: Lam Research 716-031052-002 Window, Quartz w/ Original Box
svcstore Used - $169.99 0 Jan/14/13 Jan/17/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $46.99 0 Jan/11/13 Jan/14/13
Description: Empak Crystalpak HS200-02 200mm Wafer Carrier 25-Slot Cassette Shipping Box
usedeqsales Used - $5,011.12 0 Jan/15/13 Jan/17/13
Description: AMAT Quantum Leap 9010-00049 Gas Box 0010-99158 good working
svo413 NEW - $400.00 0 Jan/16/13 Jan/23/13
Description: AMAT P/N 0040-03349 Cooling Water Box 200 MM TXZ
svcstore Used - $169.99 0 Jan/17/13 Jan/20/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
megawavz Used - $205.00 0 Jan/18/13 Feb/17/13
Description: Applied Materials AMAT Suppression Box 0090-90346 For Power Supply series 600
bobsgoodies NEW - $457.00 0 Jan/18/13 Feb/17/13
Description: Vexta PK569AUA 5-Phase Stepping NIB (Box of 5)
ptb-sales Used - $875.00 0 Jan/18/13 Jan/28/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
buckideal NEW - $75.00 0 Jan/18/13 Feb/17/13
Description: Aro Fluid Power E212PD 4way Valve. No box. Unused from old stock. B-0009
hdsemispares Used - $5.00 0 Jan/18/13 Jan/28/13
Description: AMAT ASSY, UPA DC DISTRIBUTION BOX, CONTOUR 3 P/N 0010-27688
svcstore Used - $169.99 0 Jan/20/13 Jan/23/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
electronicswest NEW - $900.00 0 Jan/21/13 Jan/28/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
athomemarket Used - $263.99 0 Jan/21/13 Feb/20/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
capitolareatech NEW - $4.72 0 Sep/29/11 May/06/13
Description: NOVELLUS 16-00104-00 ELB, GAS BOX EXHAUST
svcstore Used - $46.99 0 Jan/21/13 Jan/24/13
Description: Empak Crystalpak HS200-02 200mm Wafer Carrier 25-Slot Cassette Shipping Box
recycledgoods.com Used - $2,495.00 0 Jan/21/13 Feb/20/13
Description: Atcor CRD-1210 SS Wafer Cassette Box Washer with Holders
electro-glas NEW - $125.00 1 Dec/17/12 Jan/20/13
Description: Cyberoptics semiconductor laser sensor EX-43Q in original box
usedeqsales Used - $1,205.12 4 May/02/12 Jul/12/13
Description: Applied Materials AMAT Mirra Mesa Pneumatic Box 0010-77682 Used Working
bobsgoodies NEW - $65.00 0 Jan/22/13 Feb/21/13
Description: AMAT 0140-38100 Power Cable AC Junction Box Inner Lamps Harting Connector
shadow15b NEW - $200.00 2 Dec/09/11 Jul/22/13
Description: MYKROLIS WAFERGARD SEMICONDUCTOR GAS FILTER WGMXMSRR2 - 1/4" VCR - NEW IN BOX
svcstore Used - $169.99 0 Jan/23/13 Jan/26/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
farmoninc Used - $5,500.00 0 Jan/24/13 Jul/04/14
Description: ADTEC AX1000, 1000 watt 13.56Mhz RF Generator in OEM box, Novellus 27-177567-00
svcstore Used - $169.99 0 Jan/26/13 Jan/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Jan/28/13 Feb/07/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
electronicswest NEW - $750.00 0 Jan/29/13 Feb/05/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
svcstore Used - $169.99 0 Jan/29/13 Feb/01/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
gesemiconductor NEW - $480.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1042-219-01 Panel Door Gas Box Rear
gesemiconductor NEW - $320.00 0 Jan/31/13 Nov/17/15
Description: New ASM 16-195361-01 Door Power Distribution Box
gesemiconductor NEW - $640.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1042-220-01 Panel Door Gas Box Side
esoteric_specialties NEW - $575.00 0 Jan/30/13 Feb/09/13
Description: Electroglas / Probe Specialists FET Power Board DAR 566875-00 New in the box!
carlangas2002 NEW - $80.00 0 Jan/30/13 Mar/01/13
Description: NEW IN BOX OMRON E2K-C25MF1 CAPACITIVE PROXIMITY SWITCH SENSOR E2KC25MF1
svcstore Used - $169.99 0 Feb/01/13 Feb/04/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
capitolareatech NEW - $350.00 2 Apr/02/12 Feb/01/13
Description: ULVAC 1022296 BOX, CONTACT, RF
esolutions1 NEW - $35.10 1 Feb/02/13 Nov/22/13
Description: Applied Materials 0680-01215 AC Box Cable CB Mag Therm 3P 240VAC 30A Bolt-on QO
mindsofcreation Used - $107.50 1 Jan/26/13 Feb/02/13
Description: Box Lot of 51 Swagelok Fittings 3/16 tube x 1/8 Male Pipe SS-300-1-2BT - NOS
esolutions1 NEW - $26.99 0 Feb/03/13 Dec/21/14
Description: Applied Materials AMAT 0040-22804 BRACKET, RIGHT MATCH BOX SUPPORT
svcstore Used - $169.99 0 Feb/04/13 Feb/07/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
electronicswest NEW - $500.00 0 Feb/05/13 Feb/12/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
capitolareatech NEW - $8.67 0 Feb/05/13 Sep/28/14
Description: LAM RESEARCH 716-015098-001 INSULATOR ELBOW LOWER MATCH BOX
esolutions1 NEW - $854.05 1 Feb/05/13 Aug/30/14
Description: Applied Materials AMAT 3870-05195 VAT SERVICE BOX 2 NEW
ptb-sales Used - $875.00 0 Feb/07/13 Feb/17/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
farmoninc NEW - $7,500.00 0 Feb/07/13 Jun/07/13
Description: Advanced Energy 3150272-005, RF Match Box, Mercury 2013, Novellus 19-111025-00
bobsgoodies NEW - $95.00 0 Feb/11/13 Mar/07/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
bobsgoodies NEW - $19.50 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0140-10071 Harness, Switch Interlock Filter Box
bobsgoodies NEW - $625.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (Box of 10)
bobsgoodies NEW - $30.00 0 Feb/11/13 Mar/13/13
Description: AMAT 3700-01947 "O"Ring Gland Viton .250ID Square C/S, 4 QO-VI (Box of 20)
yayais2012 Used - $180.00 0 Feb/11/13 Mar/13/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
bobsgoodies NEW - $19.97 5 Feb/12/13 Feb/16/13
Description: MasterFlex Neoprene Food Tubing 1/4" ID 50" Long New In Box AMAT 3860-01596
systasemi Used - $500.00 0 Feb/13/13 Mar/15/13
Description: PEDESTAL RFSL-3 / 27-041212-00 / ION-SRC / Switch Box Assy / NOVELLUS PARTS
systasemi Used - $300.00 0 Feb/13/13 Mar/15/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Feb/13/13 Mar/15/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
bobsgoodies NEW - $19.97 1 Feb/13/13 Mar/14/13
Description: MasterFlex Neoprene Food Tubing 06402-17 50" Long New In Box AMAT 3860-01381
electronicswest NEW - $400.00 0 Feb/13/13 Feb/20/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
farmoninc NEW - $3,500.00 0 Feb/13/13 Mar/24/21
Description: NEW Novellus 02-259216-00 Assembly, plating control box, conan, R02-259216-00
svcstore Used - $156.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
hdsemispares Used - $300.00 0 Feb/15/13 Feb/22/13
Description: AMAT Facilities Water Box 0190-22820
great!steam NEW - $295.00 1 Feb/15/13 Feb/22/13
Description: Applied Materials AMAT Throttle Valve and Reducer Box p/n 0010-09035 & 0010-0911
hdsemispares Used - $200.00 0 Feb/15/13 Mar/17/13
Description: AMAT ASSY, UPA DC DISTRIBUTION BOX, CONTOUR 3 P/N 0010-27688
svcstore Used - $156.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Feb/18/13 Feb/28/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $156.99 0 Feb/19/13 Feb/22/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
electronicswest NEW - $300.00 0 Feb/21/13 Feb/26/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
bobsgoodies NEW - $65.00 0 Feb/22/13 Mar/18/13
Description: AMAT 0140-38100 Power Cable AC Junction Box Inner Lamps Harting Connector
athomemarket Used - $263.99 0 Feb/20/13 Mar/22/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
svcstore Used - $156.99 0 Feb/22/13 Feb/25/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $156.99 0 Feb/25/13 Feb/28/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
electronicswest NEW - $300.00 0 Feb/26/13 Mar/08/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
hdsemispares Used - $300.00 5 Feb/27/13 Jan/14/16
Description: AMAT Facilities Water Box 0190-22820
ptb-sales Used - $875.00 0 Feb/28/13 Mar/10/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $156.99 0 Feb/28/13 Mar/03/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $156.99 0 Mar/03/13 Mar/06/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $156.99 0 Mar/06/13 Mar/09/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
bobsgoodies NEW - $95.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
svcstore Used - $156.99 0 Mar/10/13 Mar/13/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Mar/11/13 Mar/21/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
bobsgoodies NEW - $19.50 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0140-10071 Harness, Switch Interlock Filter Box
bobsgoodies NEW - $625.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (Box of 10)
bobsgoodies NEW - $30.00 0 Mar/13/13 Apr/12/13
Description: AMAT 3700-01947 "O"Ring Gland Viton .250ID Square C/S, 4 QO-VI (Box of 20)
bobsgoodies NEW - $21.97 1 Mar/15/13 Mar/22/13
Description: MasterFlex Neoprene Food Tubing 06402-17 50" Long New In Box AMAT 3860-01381
systasemi Used - $300.00 0 Mar/15/13 Apr/14/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Mar/15/13 Apr/14/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $500.00 0 Mar/15/13 Apr/14/13
Description: PEDESTAL RFSL-3 / 27-041212-00 / ION-SRC / Switch Box Assy / NOVELLUS PARTS
yayais2012 Used - $180.00 0 Mar/14/13 Apr/13/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
bobsgoodies NEW - $65.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0140-38100 Power Cable AC Junction Box Inner Lamps Harting Connector
svcstore Used - $144.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
capitolareatech NEW - $187.50 0 Mar/19/13 Sep/10/14
Description: Applied Materials (AMAT) 0227-42992 BRACKET, SINGLE VALVE SLD BOX,PLATE MOUNTING
capitolareatech NEW - $150.00 0 Mar/19/13 May/31/13
Description: APPLIED MATERIALS (AMAT) 4020-01192 FILTER,GAS BOX CORROSIVE
ptb-sales Used - $875.00 0 Mar/21/13 Mar/31/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $144.99 0 Mar/21/13 Mar/24/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $263.99 0 Mar/23/13 Apr/22/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
svcstore Used - $144.99 0 Mar/24/13 Mar/27/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $209.99 0 Mar/27/13 Apr/03/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $144.99 0 Mar/27/13 Mar/30/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $144.99 0 Mar/30/13 Apr/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Apr/01/13 Apr/11/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
hdsemispares Used - $200.00 2 Apr/01/13 Oct/02/15
Description: AMAT ASSY, UPA DC DISTRIBUTION BOX, CONTOUR 3 P/N 0010-27688
svcstore Used - $144.99 0 Apr/02/13 Apr/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $209.99 0 Apr/04/13 May/04/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $144.99 0 Apr/05/13 Apr/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
bobsgoodies NEW - $95.00 0 Apr/08/13 May/08/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
electronicswest NEW - $250.00 1 Apr/08/13 Apr/08/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
athomemarket Used - $4,465.99 0 Apr/08/13 Apr/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $144.99 0 Apr/08/13 Apr/11/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Apr/11/13 Apr/21/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $144.99 0 Apr/11/13 Apr/14/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $3,999.99 0 Apr/15/13 Apr/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
bobsgoodies NEW - $185.00 0 Apr/12/13 May/12/13
Description: Applied Materials 0190-00609 Logic Box, LL Pump Manual Start Switch
yayais2012 Used - $180.00 0 Apr/15/13 May/15/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
systasemi Used - $300.00 0 Apr/14/13 May/14/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $500.00 0 Apr/14/13 May/14/13
Description: PEDESTAL RFSL-3 / 27-041212-00 / ION-SRC / Switch Box Assy / NOVELLUS PARTS
systasemi Used - $300.00 0 Apr/14/13 May/14/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
bobsgoodies NEW - $65.00 0 Apr/17/13 May/17/13
Description: AMAT 0140-38100 Power Cable AC Junction Box Inner Lamps Harting Connector
athomemarket Used - $4,465.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $133.99 0 Apr/17/13 Apr/20/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $133.99 0 Apr/20/13 Apr/23/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Apr/22/13 May/02/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket Used - $263.99 0 Apr/22/13 May/22/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
athomemarket Used - $3,999.99 0 Apr/23/13 May/23/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $133.99 0 Apr/23/13 Apr/26/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $133.99 0 Apr/26/13 Apr/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $133.99 0 Apr/29/13 May/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
dr.fantom Used - $2,500.00 1 May/01/13 Sep/18/13
Description: Applied Materials Motion CTRN BOX CPI-VMO 300MM - 0190-25030 0190-13990
svcstore Used - $133.99 0 May/02/13 May/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
visionsemi Used - $49.99 0 May/03/13 May/08/13
Description: AMAT APPLIED MATERIALS 0190-35420 BOX PURGE HTF CHAMBER EPI
ptb-sales Used - $875.00 0 May/03/13 May/13/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $133.99 0 May/05/13 May/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $209.99 0 May/04/13 Jun/03/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
nationwidehytech NEW - $31,200.00 0 May/05/13 Sep/02/13
Description: LAM Research 853-024401-100 Gas Box NEW
athomemarket Used - $3,999.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,299.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
carlangas2002 NEW - $72.50 1 May/04/13 May/07/13
Description: NEW IN BOX OMRON E2K-C25MF1 CAPACITIVE PROXIMITY SWITCH SENSOR E2KC25MF1
capitolareatech Used - $225.00 8 Jan/04/13 May/07/13
Description: ASYST Technologies 9700224102 9700-2241-02 CONTROL BOX ASYST
svcstore Used - $133.99 0 May/08/13 May/11/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
bobsgoodies NEW - $95.00 0 May/10/13 May/17/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
svcstore Used - $122.99 0 May/16/13 May/19/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $3,999.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,299.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
yayais2012 Used - $180.00 0 May/16/13 Jun/15/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
systasemi Used - $300.00 0 May/15/13 Jun/14/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $500.00 0 May/15/13 Jun/14/13
Description: PEDESTAL RFSL-3 / 27-041212-00 / ION-SRC / Switch Box Assy / NOVELLUS PARTS
systasemi Used - $300.00 0 May/15/13 Jun/14/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
bobsgoodies NEW - $95.00 1 May/17/13 Jun/12/13
Description: Applied Materials 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10
bobsgoodies NEW - $185.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0190-00609 Logic Box, LL Pump Manual Start Switch
athomemarket Used - $4,465.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
disco.sue1952 Used - $399.99 0 May/18/13 Sep/27/21
Description: Applied Materials Heater, 0010-03559 New In Box.
svcstore Used - $122.99 0 May/19/13 May/22/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $263.99 0 May/22/13 Jun/21/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
svcstore Used - $122.99 0 May/22/13 May/25/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $3,999.99 0 May/23/13 Jun/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
ptb-sales Used - $875.00 0 May/24/13 Jun/03/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $122.99 0 May/26/13 May/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
chriswebber16 NEW - $799.99 0 May/27/13 Jun/26/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
svcstore Used - $122.99 0 May/29/13 Jun/01/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $122.99 0 Jun/01/13 Jun/04/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $209.99 0 Jun/03/13 Jul/03/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $122.99 0 Jun/04/13 Jun/07/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Jun/05/13 Jun/15/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
bobsgoodies NEW - $625.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1010-01058 Philips 13136v Quartz Lamp 4600w 400v (Box of 10)
bobsgoodies NEW - $19.50 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0140-10071 Harness, Switch Interlock Filter Box
svcstore Used - $122.99 0 Jun/07/13 Jun/10/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $122.99 0 Jun/10/13 Jun/13/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $3,999.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,299.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $112.99 0 Jun/14/13 Jun/17/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
systasemi Used - $300.00 0 Jun/16/13 Jul/16/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $500.00 0 Jun/16/13 Jul/16/13
Description: PEDESTAL RFSL-3 / 27-041212-00 / ION-SRC / Switch Box Assy / NOVELLUS PARTS
systasemi Used - $300.00 0 Jun/16/13 Jul/16/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
ptb-sales Used - $875.00 0 Jun/17/13 Jun/27/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket Used - $4,465.99 0 Jun/17/13 Jul/17/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $112.99 0 Jun/17/13 Jun/20/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
yayais2012 Used - $180.00 0 Jun/18/13 Jul/18/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
svcstore Used - $112.99 0 Jun/20/13 Jun/23/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $263.99 0 Jun/21/13 Jul/21/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
athomemarket Used - $3,999.99 0 Jun/22/13 Jul/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $112.99 0 Jun/23/13 Jun/26/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $112.99 0 Jun/26/13 Jun/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Jun/27/13 Jul/07/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $112.99 0 Jun/29/13 Jul/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $112.99 0 Jul/02/13 Jul/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $188.99 0 Jul/03/13 Aug/02/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $112.99 0 Jul/05/13 Jul/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
chriswebber16 NEW - $499.99 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
dr.fantom Used - $250.00 0 Jul/08/13 Feb/12/15
Description: Applied Materials GAS BOX DISTRIBUTION 0100-00567 SCHEM REF 0130-00567 Board
ptb-sales Used - $875.00 0 Jul/08/13 Jul/18/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket Used - $4,019.39 0 Jul/17/13 Aug/16/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $103.99 0 Jul/15/13 Jul/18/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Jul/18/13 Jul/28/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
yayais2012 Used - $180.00 0 Jul/18/13 Aug/17/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
systasemi Used - $300.00 0 Jul/17/13 Aug/16/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Jul/17/13 Aug/16/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $500.00 0 Jul/17/13 Aug/16/13
Description: PEDESTAL RFSL-3 / 27-041212-00 / ION-SRC / Switch Box Assy / NOVELLUS PARTS
svcstore Used - $103.99 0 Jul/18/13 Jul/21/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 02-266868-00 SESIOC 0 IXT HV Gas Box Firmware Ver 4.72 with Warranty
athomemarket Used - $237.59 0 Jul/21/13 Aug/20/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
athomemarket Used - $3,599.99 0 Jul/22/13 Aug/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $103.99 0 Jul/22/13 Jul/25/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $103.99 0 Jul/25/13 Jul/28/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
hot!cardz NEW - $11,500.00 0 Jul/26/13 Aug/05/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $16,000.00 0 Jul/28/13 Jul/29/13
Description: AMAT 0040-32905 ESC / Pedestal / echuck Applied Materials * OEM * New In Box
svcstore Used - $103.99 0 Jul/28/13 Jul/31/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Jul/29/13 Aug/08/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
imca00 Scrap, for parts - $1,550.00 0 Jul/29/13 Aug/03/13
Description: Applied Materials AMAT Vectra IMP Source Box for PVD Chamber p/n: 0010-13622
capitolareatech NEW - $1,912.28 3 Jul/30/13 Aug/02/13
Description: AMAT 0100-01160 PCB ASSY G3 CLAMPING BOX
svcstore Used - $103.99 0 Jul/31/13 Aug/03/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $1,999.99 0 Jul/31/13 Aug/03/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $188.99 0 Aug/02/13 Sep/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $103.99 0 Aug/03/13 Aug/06/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $1,999.99 0 Aug/03/13 Aug/06/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 Scrap, for parts - $1,550.00 1 Aug/04/13 Aug/09/13
Description: Applied Materials AMAT Vectra IMP Source Box for PVD Chamber p/n: 0010-13622
svcstore Used - $103.99 0 Aug/06/13 Aug/09/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $1,999.99 0 Aug/06/13 Aug/09/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
hot!cardz NEW - $11,500.00 0 Aug/08/13 Aug/18/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
ptb-sales Used - $875.00 0 Aug/08/13 Aug/18/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
farmoninc NEW - $500.00 0 Aug/09/13 May/04/17
Description: AMAT 0150-21928 cable control bulk to sw box contactors
svcstore Used - $103.99 0 Aug/09/13 Aug/12/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $1,999.99 0 Aug/09/13 Aug/12/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
chriswebber16 NEW - $499.99 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
farmoninc NEW - $600.00 0 Aug/12/13 Jan/23/17
Description: 2 new AMAT 0150-21927 cable control box to sw box relays
svcstore Used - $1,999.99 0 Aug/12/13 Aug/15/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $2,969.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,599.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $95.99 0 Aug/15/13 Aug/18/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $1,999.99 0 Aug/15/13 Aug/18/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,019.39 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
yayais2012 Used - $180.00 0 Aug/17/13 Sep/16/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
systasemi Used - $500.00 0 Aug/18/13 Sep/17/13
Description: PEDESTAL RFSL-3 / 27-041212-00 / ION-SRC / Switch Box Assy / NOVELLUS PARTS
systasemi Used - $300.00 0 Aug/18/13 Sep/17/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Aug/18/13 Sep/17/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
svcstore Used - $95.99 0 Aug/18/13 Aug/21/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $1,999.99 0 Aug/18/13 Aug/21/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Aug/19/13 Aug/29/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
hot!cardz NEW - $11,500.00 0 Aug/19/13 Aug/29/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket Used - $237.59 0 Aug/20/13 Sep/19/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
bruce135 Used - $299.00 0 Aug/21/13 Sep/20/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
svcstore Used - $95.99 0 Aug/21/13 Aug/24/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $1,999.99 0 Aug/21/13 Aug/24/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,599.99 0 Aug/22/13 Sep/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $95.99 0 Aug/27/13 Aug/30/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $875.00 0 Aug/29/13 Sep/08/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
convertechs4 Used - $199.99 0 Aug/28/13 Sep/27/13
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A
hot!cardz NEW - $11,500.00 0 Aug/29/13 Sep/08/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
svcstore Used - $95.99 1 Aug/30/13 Sep/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $1,999.99 0 Aug/30/13 Sep/02/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $188.99 0 Sep/01/13 Oct/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $1,999.99 0 Sep/05/13 Sep/08/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Sep/08/13 Sep/11/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
hot!cardz NEW - $11,500.00 0 Sep/09/13 Sep/19/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
ptb-sales Used - $875.00 0 Sep/09/13 Sep/19/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $1,999.99 0 Sep/11/13 Sep/14/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
chriswebber16 NEW - $399.99 0 Sep/12/13 Oct/12/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
athomemarket Used - $3,599.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,969.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $1,999.99 0 Sep/14/13 Sep/17/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,019.39 0 Sep/15/13 Oct/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
yayais2012 Used - $180.00 0 Sep/16/13 Oct/16/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
capitolareatech NEW - $487.97 0 Sep/17/13 Feb/24/14
Description: LAM 853-017163-202 ASSY,RF SW BOX, W/RLY
svcstore Used - $1,999.99 0 Sep/17/13 Sep/20/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
capitolareatech Used - $275.65 0 Sep/18/13 Feb/24/14
Description: AMAT 0090-03857 FILTER BOX Signal
athomemarket Used - $237.59 0 Sep/19/13 Oct/19/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
ptb-sales Used - $875.00 0 Sep/20/13 Sep/30/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $1,999.99 0 Sep/20/13 Sep/23/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Sep/21/13 Oct/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
systasemi Used - $300.00 0 Sep/22/13 Oct/22/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Sep/22/13 Oct/22/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
dr.fantom Used - $2,500.00 1 Sep/23/13 Dec/07/14
Description: Applied Materials Motion CTRN BOX CPI-VMO 300MM - 0190-25030 0190-13990
svcstore Used - $1,999.99 0 Sep/23/13 Sep/26/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bruce135 Used - $299.00 0 Sep/24/13 Oct/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
hot!cardz NEW - $11,500.00 0 Sep/24/13 Oct/04/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
wideerp01 Refurbished - $699.00 0 Sep/25/13 Oct/02/13
Description: APPLIED MATERIALS BOX,GAS AMO,DXZ P500 0021-09760
ultra_clean_warehouse NEW - $109.00 20 Sep/25/13 Dec/23/13
Description: APPLIED MATERIALS AMAT 0040-75779 SWING ARM LEFT BRUSH BOX CLEAN MODULE - Rev 05
ultra_clean_warehouse NEW - $119.10 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-75780 SWING ARM RIGHT BRUSH BOX CLN - Rev 004
wideerp01 NEW - $99.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS SKIN FRONT AC BOX ,PRODUCER 0021-08343
jammin928 NEW - $350.00 0 Sep/27/13 Oct/27/13
Description: Lam Research SMC Pnuematic manifold 853-021435-001 New Gas box control
jammin928 Refurbished - $300.00 0 Sep/28/13 Oct/28/13
Description: Lam Research PN 853-017163-101 Rev 3 50 turn RF sense box Working
jammin928 Used - $3,500.00 0 Sep/28/13 Oct/28/13
Description: Lam Research Lower Electrode PN 715-011665-008 match box, 4pin lifter Hex plate
convertechs4 Used - $189.99 0 Sep/29/13 Oct/29/13
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A
ptb-sales Used - $875.00 0 Sep/30/13 Oct/10/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
supertechshop NEW - $750.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
svcstore Used - $1,999.99 0 Sep/30/13 Oct/03/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
prism_electronics3 Used - $299.99 1 Oct/01/13 Oct/18/19
Description: NOVELLUS 02-259216-00 REV B PLATING CONTROL BOX
athomemarket Used - $179.99 0 Oct/02/13 Nov/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $1,999.99 0 Oct/03/13 Oct/06/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
wideerp01 Refurbished - $300.00 0 Oct/05/13 Oct/12/13
Description: APPLIED MATERIALS BOX,GAS AMO,DXZ P500 0021-09760
svcstore Used - $1,999.99 0 Oct/06/13 Oct/09/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
jygdiy1976 Used - $990.00 0 Oct/07/13 Oct/14/13
Description: APPLIED MATERIALS HV FILTER BOX 0010-93076 0021-09622
jygdiy1976 Used - $590.00 0 Oct/07/13 Oct/14/13
Description: APPLIED MATERIALS HV FILTER BOX 0010-93076 0100-35394 PCB BORAD
prism_electronics7 Used - $129.99 0 Oct/07/13 Jul/27/22
Description: Novellus 839-170150-100 Rev 1 Weldment Ext. Exit L/L Unit Gas Box
prism_electronics3 Used - $264.99 0 Oct/08/13 Aug/23/22
Description: LAM RESEARCH 810-707022-001 GAS BOX EXPANSION CIRCUIT BOARD "Brand New"
imca00 NEW - $499.00 0 Oct/08/13 Oct/13/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
sparepartssolution Used - $1,000.00 2 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09928 CONTROLLER BOX ASSY, ESC USED
sparepartssolution Used - $1,000.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09497 ASSY LID GAS BOX WSI USED
sparepartssolution Used - $2,500.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-02631 ASSY AC BOX AMAT 0 W/O NEUTRAL USED
hot!cardz NEW - $11,500.00 0 Oct/10/13 Oct/20/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
ptb-sales Used - $875.00 0 Oct/11/13 Oct/21/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $1,999.99 0 Oct/11/13 Oct/14/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
sparepartssolution Used - $900.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-18159 INTERLOCK SWITCH BOX, BIAS MATCH, HDPCVD USED
sparepartssolution Used - $2,000.00 0 Oct/12/13 Oct/09/14
Description: AMAT APPLIED MATERIALS 0010-13650 AC BOX, HTESC, P1 USED
sparepartssolution Used - $3,800.00 0 Oct/12/13 Apr/17/14
Description: AMAT APPLIED MATERIALS 0010-12168 AC BOX 200MM SLT ESC ASSEMBLY USED
athomemarket Used - $3,299.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,999.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
keykorea NEW - $9,000.00 0 Oct/14/13 Oct/21/13
Description: AMAT 0010-01183 ASSY, FILTER BOX, HT CATHODE, DPS, NEW
visionsemi Used - $355.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
visionsemi NEW - $35.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0140-10098 Distrib Box Harness
visionsemi NEW - $400.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 714-032017-003 COVER REM UPPER MATCH BOX F/G
athomemarket Used - $4,465.99 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,999.99 0 Oct/15/13 Oct/18/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Oct/18/13 Oct/21/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
yayais2012 Used - $180.00 0 Oct/17/13 Nov/16/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
athomemarket Used - $263.99 0 Oct/19/13 Nov/18/13
Description: CTI Power Junction Box 8033332G001 AMAT 3620-90100
imca00 NEW - $499.00 0 Oct/20/13 Oct/25/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
xsysengineering Used - $350.00 0 Oct/20/13 Oct/27/13
Description: AMAT Helium Supply MKS Controller box 0010-01830
ptb-sales Used - $875.00 0 Oct/21/13 Oct/31/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket Used - $3,999.99 0 Oct/21/13 Nov/20/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,999.99 0 Oct/21/13 Oct/24/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bruce135 Used - $299.00 0 Oct/25/13 Nov/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
wideerp01 NEW - $99.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS SKIN FRONT AC BOX ,PRODUCER 0021-08343
svcstore Used - $1,999.99 0 Oct/24/13 Oct/27/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
systasemi Used - $300.00 0 Oct/22/13 Nov/21/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Oct/22/13 Nov/21/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
chriswebber16 NEW - $399.99 0 Oct/27/13 Nov/25/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
keykorea NEW - $9,000.00 0 Oct/28/13 Dec/12/13
Description: AMAT 0010-01183 ASSY, FILTER BOX, HT CATHODE, DPS, NEW
visionsemi Used - $355.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
visionsemi NEW - $35.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials AMAT 0140-10098 Distrib Box Harness
visionsemi NEW - $400.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 714-032017-003 COVER REM UPPER MATCH BOX F/G
imca00 NEW - $499.00 0 Oct/28/13 Nov/07/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
hot!cardz NEW - $11,500.00 0 Oct/28/13 Nov/07/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
convertechs4 Used - $180.49 0 Oct/29/13 Nov/28/13
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A
svcstore Used - $1,999.99 0 Oct/30/13 Nov/02/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Oct/31/13 Nov/10/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
keykorea NEW - $6,200.00 0 Oct/31/13 Apr/11/18
Description: AMAT 0190-18386 ASS'Y ELCETRONIC BOX SYSTEM LOWER, BRUSH, NEW
athomemarket Used - $99.99 0 Nov/01/13 Dec/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $1,999.99 0 Nov/02/13 Nov/05/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
tdindustrial NEW - $19.95 32 Nov/04/13 Feb/24/14
Description: AMAT Bulb 2P-Tungston, 1010-01088 1KW, Clear, T-8, 1500Hr, New in Box, Sealed
usedeqsales Used - $311.13 0 Nov/04/13 May/05/15
Description: AMAT Applied Materials 9321-02 DeviceNet Passive Drop Box 0190-16372 Lot of 3
supertechshop NEW - $750.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
svcstore Used - $1,999.99 0 Nov/05/13 Nov/08/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
sparepartssolution Used - $2,500.00 0 Nov/07/13 Mar/04/15
Description: AMAT 0040-13567 0240-27037 0010-13593 0040-13569 0010-13438 WATER BOX USED
sparepartssolution Used - $2,500.00 0 Nov/07/13 Aug/15/14
Description: AMAT 0040-23549 0050-75732 0010-13647 0040-23547 0090-01432 WATER BOX USED
svcstore Used - $1,999.99 0 Nov/08/13 Nov/11/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,299.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,999.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,465.99 0 Nov/14/13 Dec/14/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,999.99 0 Nov/11/13 Nov/14/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
hot!cardz NEW - $11,500.00 0 Nov/11/13 Nov/21/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
svcstore Used - $1,999.99 0 Nov/14/13 Nov/17/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 NEW - $550.00 0 Nov/22/13 Nov/01/14
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
svcstore Used - $1,999.99 0 Nov/20/13 Nov/23/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
hot!cardz NEW - $11,500.00 0 Nov/21/13 Dec/01/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
yayais2012 Used - $180.00 0 Nov/16/13 Dec/16/13
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
svcstore Used - $1,999.99 0 Nov/23/13 Nov/26/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
chriswebber16 NEW - $399.99 0 Nov/25/13 Dec/25/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
bruce135 Used - $239.00 0 Nov/25/13 Dec/25/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
systasemi Used - $300.00 0 Nov/25/13 Dec/25/13
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Nov/25/13 Dec/25/13
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
svcstore Used - $1,999.99 0 Nov/27/13 Nov/30/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
convertechs4 Used - $171.47 0 Nov/29/13 Dec/29/13
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A
svcstore Used - $1,999.99 0 Nov/30/13 Dec/03/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $99.99 0 Dec/01/13 Dec/31/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
ptb-sales Used - $875.00 0 Dec/02/13 Dec/12/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
grandbirdnet Used - $5,900.00 2 Dec/03/13 Aug/03/22
Description: AMAT 0010-77681 ASSY, ELECTRONIC BOX, SYSTEM, LOWER, WORKING
keykorea Used - $3,800.00 1 Dec/03/13 Nov/21/19
Description: AMAT 0010-77680 ASSY, ELECTRONIC BOX, SRD, LOWER, WORKING
keykorea Used - $3,800.00 0 Dec/03/13 Apr/11/18
Description: AMAT 0010-23326 ASSY, ELECTRONIC BOX, MEGASONIC L.E, WORKING
keykorea Used - $3,800.00 0 Dec/03/13 Jan/14/16
Description: AMAT 0010-03151 ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM, WORKING
keykorea Used - $3,800.00 3 Dec/03/13 Jan/21/19
Description: AMAT 0010-77682 ASSY, ELECTRONIC BOX, MEGASONIC, LOWER, WORKING
hot!cardz NEW - $11,500.00 0 Dec/03/13 Dec/13/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
svcstore Used - $1,999.99 0 Dec/03/13 Dec/06/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
farmoninc NEW - $250.00 1 Dec/04/13 Jan/23/14
Description: NEW AMAT 0100-20263 PCB, MCA RF AC BOX INTERLOCK
capitolareatech Used - $750.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0010-09257 Precision 5000 CVD 8" WSI Gas Box
supertechshop NEW - $750.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
svcstore Used - $1,999.99 0 Dec/06/13 Dec/09/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Dec/09/13 Dec/12/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
capitolareatech NEW - $71.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-34381 Gas Box, EXZ
athomemarket Used - $3,331.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,037.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
usedeqsales NEW - $812.13 3 Dec/12/13 May/04/15
Description: Astech ATL-100RA Low Frequency Matching Box AMAT 1110-01006 AE 3150086-003 New
svcstore Used - $1,999.99 0 Dec/12/13 Dec/15/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Dec/13/13 Dec/23/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
hot!cardz NEW - $11,500.00 0 Dec/13/13 Dec/23/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
used1eqsales Used - $705.15 0 Dec/13/13 May/26/17
Description: AMAT TPDU Monitor 0100-90492 Circuit Board AMAT Quantum X Beamline Control Box
used1eqsales Used - $811.13 1 Dec/13/13 Oct/23/14
Description: AMAT Gap Servo 0100-00991 Circuit Board AMAT Quantum X Beamline Control Box
athomemarket Used - $4,508.99 0 Dec/14/13 Jan/13/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,999.99 0 Dec/15/13 Dec/18/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
yayais2012 Used - $180.00 0 Dec/16/13 Jan/15/14
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
athomemarket Used - $4,037.99 0 Dec/20/13 Jan/19/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,999.99 0 Dec/18/13 Dec/21/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Dec/21/13 Dec/24/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
chriswebber16 NEW - $399.99 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
bruce135 Used - $239.00 0 Dec/26/13 Jan/25/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
ptb-sales Used - $875.00 0 Dec/26/13 Jan/05/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $1,999.99 0 Dec/27/13 Dec/30/13
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
convertechs4 Used - $162.90 0 Dec/29/13 Jan/28/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A
pohyh Used - $1,000.00 1 Dec/30/13 Jan/17/14
Description: 1046 AMAT ENDURA MODULE MFG IF BOX 0010-21154
pohyh Used - $1,999.00 0 Dec/30/13 Jul/13/20
Description: 1208 AMAT HV FILTER BOX ASSY 0010-13713 REV 001
visionsemi Used - $355.00 0 Dec/30/13 May/26/17
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
svcstore Used - $1,999.99 0 Dec/30/13 Jan/02/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,999.99 0 Jan/02/14 Jan/05/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
visionsemi NEW - $400.00 0 Jan/02/14 Jun/20/16
Description: LAM RESEARCH 714-032017-003 COVER REM UPPER MATCH BOX F/G
systasemi Used - $300.00 0 Jan/01/14 Jan/31/14
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Jan/01/14 Jan/31/14
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
usedeqsales Used - $351.14 1 Jan/03/14 Mar/24/23
Description: Kinetics KM405618 Gas Flow Box AMAT 0240-97138 Millipore FC2900 Used Working
dvkelectronics Used - $99.00 0 Jan/03/14 Feb/02/14
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
svcstore Used - $1,999.99 0 Jan/05/14 Jan/08/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Jan/06/14 Jan/16/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
hot!cardz NEW - $11,500.00 0 Jan/07/14 Jan/17/14
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
athomemarket Used - $4,508.99 0 Jan/13/14 Feb/12/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
ultra_clean_warehouse NEW - $109.00 0 Jan/13/14 Feb/04/14
Description: SWING ARM LEFT BRUSH BOX CLEAN MODULE REV 05 - 0040-75779 APPLIED MATERIALS AMAT
athomemarket Used - $3,331.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,037.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $1,999.99 0 Jan/12/14 Jan/15/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
keykorea Used - $3,800.00 0 Jan/15/14 Mar/12/18
Description: AMAT 0190-10735 ASSY,LOWER ELECTRONIC BOX,BRUSH 2,2 CHEM, WORKING
dr.fantom Used - $450.00 0 Jan/15/14 Feb/12/15
Description: Festo / Applied Materials / AMAT 0010-05311 Megasonic Box 300MM W/6EP1-331-1SH01
svcstore Used - $1,999.99 0 Jan/15/14 Jan/18/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $109.99 0 Jan/16/14 Jan/23/14
Description: Digital Dynamics Novellus 02-354551-00 E-HDSIOC C3 Altus 0 Interface Connect Box
yayais2012 Used - $180.00 0 Jan/15/14 Feb/14/14
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
svcstore Used - $1,999.99 0 Jan/18/14 Jan/21/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 Jan/19/14 Feb/18/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
usedeqsales Used - $10,000.60 1 Jan/20/14 Oct/12/15
Description: Lam Research 685-495112-900 AC Power Box RPM A6-L9 Used Working
jabedow NEW - $500.00 1 Jan/20/14 Jan/27/14
Description: AMAT APPLIED MATERIALS 0100-20100 ANALOG I/O PCB NEW OUT OF BOX
svcstore Used - $1,999.99 0 Jan/21/14 Jan/24/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bobsgoodies NEW - $75.00 0 Jan/22/14 Jun/17/14
Description: AMAT 0020-39364 BKT Manual Valve 1.6" SLD Box Centura Bracket (lot of 7 brackets
athomemarket Used - $99.99 0 Jan/24/14 Jan/31/14
Description: Digital Dynamics Novellus 02-354551-00 E-HDSIOC C3 Altus 0 Interface Connect Box
prism_electronics6 Used - $344.96 0 Jan/24/14 Mar/24/22
Description: Lam Research 853-017152-019 Rev. B, ASSY, EMO BOX, FR, GF with Emergency Stop
chriswebber16 NEW - $399.99 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
usedeqsales NEW - $601.14 1 Jan/27/14 Nov/07/14
Description: AMAT Applied Materials 0240-30104 Delta TEOS Or Delta Nitride Gas Box Kit New
ptb-sales Used - $875.00 0 Jan/27/14 Feb/06/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
used1eqsales Used - $1,350.51 2 Jan/28/14 Apr/08/15
Description: AMAT 0010-31663 Rev. 02 Endura Pneumatic Control Box AMAT Endura 300mm working
bruce135 Used - $219.00 0 Jan/28/14 Feb/27/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
convertechs4 Used - $154.76 0 Jan/29/14 Feb/07/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A
athomemarket Used - $101.99 0 Jan/30/14 Mar/01/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
dvkelectronics Used - $99.00 0 Feb/02/14 Mar/04/14
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
athomemarket Used - $99.99 0 Feb/03/14 Mar/05/14
Description: Digital Dynamics Novellus 02-354551-00 E-HDSIOC C3 Altus 0 Interface Connect Box
systasemi Used - $300.00 0 Feb/05/14 Mar/07/14
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Feb/05/14 Mar/07/14
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
ptb-sales Used - $875.00 0 Feb/06/14 Feb/16/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
usedeqsales Used - $502.14 0 Feb/06/14 Nov/16/20
Description: Hitachi K8-022604-23 Gas Flow Control Box AMAT 0190-33364 Used Working
stifflersequipmentllc Used - $1,815.00 0 Feb/07/14 Dec/01/15
Description: NOVELLUS 03-255517-04 REV B INTERLOCK BOARD 26-255517-00 REVA GAS BOX
farmoninc Used - $490.00 1 Feb/08/14 Jun/17/14
Description: 2 AMAT 3930-01042 Leybold Turbo Pump Tem Box 85920
athomemarket Used - $3,331.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,037.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,508.99 0 Feb/12/14 Mar/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $1,999.99 0 Feb/12/14 Feb/15/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 NEW - $12,500.00 0 Feb/13/14 Feb/18/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
supertechshop NEW - $750.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
athomemarket Used - $4,037.99 0 Feb/18/14 Mar/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
imca00 NEW - $12,500.00 0 Feb/18/14 Feb/23/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore Used - $1,999.99 0 Feb/18/14 Feb/21/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
empire1138 Scrap, for parts - $750.00 0 Feb/15/14 Feb/22/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
yayais2012 Used - $180.00 0 Feb/15/14 Mar/17/14
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
farmoninc NEW - $350.00 1 Feb/21/14 Dec/30/19
Description: AMAT 0040-32050 Back Panel, Control Box, Degasser
svcstore Used - $1,999.99 0 Feb/21/14 Feb/24/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
empire1138 Scrap, for parts - $500.00 0 Feb/22/14 Mar/01/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
imca00 NEW - $12,500.00 0 Feb/23/14 Feb/28/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
convertechs4 Used - $154.99 0 Feb/24/14 Mar/06/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $1,999.99 0 Feb/24/14 Feb/27/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
chriswebber16 NEW - $399.99 0 Feb/25/14 Mar/27/14
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
dr.fantom Used - $1,200.00 1 Feb/26/14 Jul/11/14
Description: AMAT Applied Materials 0010-77682 ASSY ELECTRONIC Box Pneumatic
farmoninc NEW - $750.00 0 Feb/26/14 Dec/26/21
Description: NEW AMAT 0190-35503 Cart, SST, AMDA PC, 19 inch Rack, 48 inch High, CVD, in BOX
svcstore Used - $1,999.99 0 Feb/27/14 Mar/02/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bruce135 Used - $219.00 0 Feb/28/14 Mar/30/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
imca00 NEW - $12,500.00 0 Feb/28/14 Mar/05/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
empire1138 Scrap, for parts - $500.00 0 Mar/08/14 Mar/15/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
systasemi Used - $300.00 0 Mar/09/14 Apr/08/14
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Mar/09/14 Apr/08/14
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
convertechs4 Used - $144.99 0 Mar/06/14 Mar/16/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
athomemarket Used - $4,037.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,331.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,508.99 0 Mar/15/14 Apr/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
craigbred NEW - $830.00 0 Mar/17/14 Apr/16/14
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer
yayais2012 Used - $180.00 0 Mar/17/14 Apr/16/14
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
convertechs4 Used - $134.99 0 Mar/17/14 Mar/27/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
athomemarket Used - $4,037.99 0 Mar/21/14 Apr/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
ptb-sales Used - $875.00 0 Mar/21/14 Mar/31/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $1,499.99 0 Mar/20/14 Mar/23/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
prism_electronics7 Used - $48.99 0 Mar/17/14 Jun/29/22
Description: Lam Research 853-044268-002 Cable Rev. D AC Box P4 1002685-0635
svcstore Used - $1,499.99 0 Mar/23/14 Mar/26/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
dr.fantom Used - $1,200.00 1 Mar/24/14 Dec/08/14
Description: MKS/AMAT/AirTronics 0195-01644 DIGITAL LCWE / PNEUMATIC BOX ASSEMBLY 300
svcstore Used - $1,499.99 0 Mar/26/14 Mar/29/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
convertechs4 Used - $124.99 0 Mar/27/14 Apr/06/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
empire1138 Scrap, for parts - $1,000.00 0 Mar/28/14 Apr/27/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
svcstore Used - $1,499.99 0 Mar/29/14 Apr/01/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Mar/31/14 Apr/10/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
used1eqsales Used - $705.15 0 Apr/01/14 May/26/17
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working
bruce135 Used - $197.10 0 Apr/01/14 May/01/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
athomemarket Used - $101.99 0 Apr/01/14 May/01/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
wideerp01 NEW - $500.00 0 Apr/01/14 Jul/14/17
Description: Lam Research Transport AC Power Box 853-492500-001
svcstore Used - $1,499.99 0 Apr/01/14 Apr/04/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
dvkelectronics Used - $99.00 0 Apr/01/14 May/01/14
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
imca00 NEW - $12,500.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore Used - $1,499.99 0 Apr/04/14 Apr/07/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $99.99 0 Apr/05/14 May/05/14
Description: Digital Dynamics Novellus 02-354551-00 E-HDSIOC C3 Altus 0 Interface Connect Box
imca00 NEW - $12,500.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
convertechs4 Used - $114.99 0 Apr/07/14 Apr/17/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $1,499.99 0 Apr/07/14 Apr/10/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $1,504.14 0 Apr/08/14 May/26/17
Description: AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Control Rack
usedeqsales Scrap, for parts - $1,404.14 0 Apr/08/14 May/01/15
Description: Daihen RMN-50N4 Dual Frequency RF Match Box AMAT 0190-19174 As-Is
systasemi Used - $300.00 0 Apr/08/14 May/08/14
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD
systasemi Used - $300.00 0 Apr/08/14 May/08/14
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD
grandbirdnet Used - $3,800.00 0 Apr/09/14 Apr/12/18
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM, WORKING
keykorea Used - $3,000.00 0 Apr/09/14 Dec/18/16
Description: AMAT 0190-10085 ASSY, ELECTRONIC BOX,2 CHEM BRUSH 2 DIRE, WORKING
keykorea Used - $1,500.00 0 Apr/09/14 Oct/26/16
Description: AMAT 0010-77557 FACILITY INTER CONTROL BOX, WORKING
usedeqsales Refurbished - $2,804.14 0 Apr/09/14 May/01/15
Description: Daihen RMN-50N1 Dual Bais RF Match Box AMAT 0190-15322 Refurbished
usedeqsales NEW - $3,504.14 0 Apr/09/14 May/01/15
Description: Daihen RMN-50N4 Dual Frequency RF Match Box AMAT 0190-19174 New
athomemarket Used - $3,331.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,037.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
imca00 NEW - $12,500.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
capitolareatech NEW - $638.88 2 Apr/11/14 Jul/03/14
Description: Applied Materials (AMAT) 0041-04323 Gas Box Silane, Bypassing 200MM
usedeqsales Used - $2,204.14 0 Apr/11/14 May/01/15
Description: Daihen RMN-50N6 Dual Frequency RF Match Box AMAT 0190-25187 Used Working
svcstore Used - $1,499.99 0 Apr/10/14 Apr/13/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,508.99 0 Apr/14/14 May/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
farmoninc Used - $1,100.00 1 Apr/14/14 May/27/15
Description: AMAT 0040-22818 AC BOX B101 WATER BOX
svcstore Used - $1,499.99 0 Apr/14/14 Apr/17/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
usedeqsales Used - $2,004.14 0 Apr/16/14 May/01/15
Description: Daihen AMN-30H RF Match Box AMAT 0190-15323 Used Working
farmoninc NEW - $500.00 2 Apr/16/14 Oct/02/15
Description: AMAT 0190-77289 Notch Finder Controller Box 153-18500-00
usedeqsales Used - $2,004.14 0 Apr/16/14 May/01/15
Description: Comet 20045812 RF Match Box AMAT 0190-38179 Used Working
yayais2012 Used - $180.00 0 Apr/16/14 May/16/14
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
imca00 NEW - $12,500.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
farmoninc NEW - $750.00 0 Apr/17/14 Apr/29/22
Description: AMAT 0100-20411 PCB Assembly, Liquid Injection Gas Box I
farmoninc NEW - $495.00 0 Apr/17/14 Jun/28/21
Description: NEW AMAT 0100-20263 PCB, MCA+ RF AC BOX INTERLOCK
farmoninc Used - $350.00 1 Apr/17/14 Aug/25/15
Description: AMAT 0020-30509 Plate, Gas Box
supertechshop NEW - $750.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
convertechs4 Used - $104.99 0 Apr/17/14 Apr/27/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $1,499.99 0 Apr/17/14 Apr/20/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,037.99 0 Apr/20/14 May/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $1,499.99 0 Apr/20/14 Apr/23/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Apr/21/14 May/01/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
farmoninc NEW - $450.00 0 Apr/21/14 Apr/29/14
Description: AMAT 0090-91059 ITL Fuse & Thermistor Box, W/L Door , 0090-91059ITL
svcstore Used - $1,499.99 0 Apr/23/14 Apr/26/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 Apr/26/14 Apr/29/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
keykorea Used - $6,000.00 0 Apr/28/14 Nov/13/18
Description: AMAT 0240-43874 KIT, PLATEN DRIVE MTR- 200MM WITH 3970-00030 GEAR BOX, USED
empire1138 Scrap, for parts - $1,000.00 0 Apr/27/14 May/27/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
convertechs4 Used - $99.99 0 Apr/28/14 May/08/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
velocity-semiconductor-equipment NEW - $650.63 0 Apr/28/14 May/28/14
Description: LAM RESEARCH (LAM) ASSYRF SW BOX W/RLY 853-017163-202
imca00 NEW - $12,500.00 0 Apr/29/14 May/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore Used - $1,499.99 0 Apr/29/14 May/02/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $101.99 0 May/01/14 May/31/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
ptb-sales Used - $875.00 0 May/01/14 May/11/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
dvkelectronics Used - $99.00 0 May/01/14 May/31/14
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
usedeqsales NEW - $305.14 3 May/02/14 May/03/14
Description: AMAT Applied Materials 0051-00743 IPA Exhaust Gas Box Reflexion GT New
craigbred NEW - $830.00 0 May/02/14 Jun/01/14
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer
svcstore Used - $1,499.99 0 May/02/14 May/05/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 NEW - $12,500.00 0 May/04/14 May/09/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
used1eqsales NEW - $252.57 1 May/15/14 Jul/26/14
Description: AMAT Applied Materials 0240-03303 Subzero Chiller ESC Water Box Endura 300mm
svcstore Used - $1,499.99 0 May/16/14 May/19/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
capitolareatech NEW - $243.44 0 May/09/14 Jun/12/14
Description: Lam Research (LAM) 853-330281-002 Assembly, RF Sen Box, UPR Match---not in ori
yayais2012 Used - $180.00 0 May/17/14 Jun/16/14
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
farmoninc NEW - $350.00 1 May/19/14 Aug/09/17
Description: NEW AMAT 0021-13156 Panel, Right Side Bottom CVD gas box
convertechs4 Used - $89.99 0 May/19/14 May/29/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $1,499.99 0 May/19/14 May/22/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
supertechshop NEW - $750.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
athomemarket Used - $4,037.99 0 May/20/14 Jun/19/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
ptb-sales Used - $875.00 0 May/22/14 Jun/01/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $1,499.99 0 May/23/14 May/26/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $1,499.99 0 May/26/14 May/29/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
xsysengineering Used - $120.00 0 May/26/14 May/30/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
empire1138 Scrap, for parts - $1,000.00 0 May/27/14 Jun/26/14
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001
velocity-semiconductor-equipment NEW - $650.63 0 May/28/14 Jun/27/14
Description: LAM RESEARCH (LAM) ASSYRF SW BOX W/RLY 853-017163-202
convertechs4 Used - $84.99 0 May/29/14 Jun/08/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $1,499.99 0 May/29/14 Jun/01/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 NEW - $12,500.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
xsysengineering Used - $120.00 0 May/30/14 Jun/06/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
grandbirdnet NEW - $2,200.00 0 May/30/14 Jun/01/23
Description: AMAT 0051-00743 IPA EXHAUST GAS BOX REFLEXION GT , NEW
waste-not-recycling NEW - $19.99 0 Jul/30/14 Aug/06/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
fablogic NEW - $7,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials CVD 0010-09940 Gas Box, WSI (NEW) AMAT
fablogic Used - $6,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-09806 Gas Box, WSI 150 MM (USED) AMAT
athomemarket Used - $99.99 0 Jul/30/14 Aug/29/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $1,499.99 0 Jul/29/14 Aug/01/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
velocity-semiconductor-equipment NEW - $553.04 0 Jul/27/14 Aug/26/14
Description: LAM RESEARCH (LAM) ASSYRF SW BOX W/RLY 853-017163-202
hunted_one Used - $1,375.00 0 Jul/11/14 May/04/15
Description: 810-017075-003 Lam Research Gas Box Interlock PCB
dvkelectronics Used - $99.00 0 Jul/30/14 Aug/29/14
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
convertechs4 Used - $54.99 0 Jul/31/14 Aug/10/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
fablogic NEW - $500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-10045 Pump Stack Htr. AC Box, Assy. (NEW) AMAT Etch
waste-not-recycling NEW - $699.99 0 Aug/01/14 Aug/08/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
svcstore Used - $1,499.99 0 Aug/01/14 Aug/04/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 NEW - $12,500.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Used - $99.99 1 Aug/03/14 Aug/14/14
Description: Digital Dynamics Novellus 02-354551-00 E-HDSIOC C3 Altus 0 Interface Connect Box
ptb-sales Used - $875.00 0 Aug/04/14 Aug/14/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
usedeqsales Used - $408.14 3 Aug/04/14 Jan/23/15
Description: Astech ATL-100RA Low Frequency Matching Box AMAT 1110-01006 AE 3150086-003 Used
xsysengineering Used - $120.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
svcstore Used - $1,499.99 0 Aug/04/14 Aug/07/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
waste-not-recycling NEW - $29.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
supertechshop NEW - $750.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
imca00 NEW - $12,500.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
usedeqsales NEW - $1,008.14 0 Aug/15/14 May/04/15
Description: AMAT Applied Materials 300750-4512-660017 Pulsed Integration Box 0010-49945 New
xsysengineering Used - $120.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
rfsurplus123 Used - $500.00 1 Aug/13/14 Sep/13/14
Description: Applied Materials AMAT ESC Remote Box Assembly 0010-14507 Used Working
convertechs4 Used - $49.99 0 Aug/11/14 Aug/21/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
yayais2012 Used - $180.00 0 Aug/16/14 Sep/15/14
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
athomemarket Used - $4,037.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
xsysengineering Used - $120.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
farmoninc NEW - $350.00 0 Aug/21/14 Dec/13/22
Description: AMAT 0010-20814 Assembly, Neslab & Cryo Comp Hardwire Box, 0020-22505 0020-22506
convertechs4 Used - $46.99 0 Aug/22/14 Sep/01/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
farmoninc NEW - $1,500.00 0 Aug/22/14 Aug/03/15
Description: AMAT 0010-05775 Assembly, Lower Electronic Box, Brush 1. DIR
fast_sell_4u Refurbished - $4,000.00 0 Aug/22/14 Sep/21/14
Description: Applied Materials AMAT 0010-09961 Rev. C 5000 DELTA/SACVD Nitride 4-6" Gas Box
ptb-sales Used - $875.00 0 Aug/24/14 Sep/03/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $999.99 0 Aug/25/14 Aug/28/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
usedeqsales Used - $2,008.14 0 Aug/26/14 May/01/15
Description: Comet 20045812 RF Match Box AMAT 0190-39689 Used Working
velocity-semiconductor-equipment NEW - $650.63 0 Aug/26/14 Sep/25/14
Description: LAM RESEARCH (LAM) ASSYRF SW BOX W/RLY 853-017163-202
svcstore Used - $999.99 0 Aug/28/14 Aug/31/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $99.99 0 Aug/29/14 Sep/28/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
dvkelectronics Used - $99.00 0 Aug/29/14 Sep/28/14
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
svcstore Used - $999.99 0 Aug/31/14 Sep/03/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
xsysengineering Used - $120.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
visionsemi Used - $2,900.00 0 Sep/02/14 May/15/15
Description: APPLIED MATERIALS AMAT ENDURA PEDESTAL INTEGRATION BOX 0010-28071
convertechs4 Used - $43.99 0 Sep/02/14 Sep/12/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $999.99 0 Sep/03/14 Sep/06/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Sep/04/14 Sep/14/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
waste-not-recycling NEW - $29.99 0 Sep/06/14 Oct/06/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
svcstore Used - $999.99 0 Sep/06/14 Sep/09/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
waste-not-recycling NEW - $499.99 0 Sep/08/14 Oct/08/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
athomemarket Used - $84.99 0 Sep/09/14 Oct/09/14
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
usedeqsales Used - $309.14 0 Sep/09/14 May/05/15
Description: AMAT Applied Materials 0090-50741 Rear Interlock Control Box Centura-HDP Used
athomemarket Used - $4,037.99 0 Sep/09/14 Oct/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
supertechshop NEW - $750.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
usedeqsales Used - $1,609.14 0 Sep/10/14 May/01/15
Description: AMAT Applied Materials 0190-38275 Lamp Driver Box Assembly Used Working
athomemarket Used - $3,331.99 0 Sep/10/14 Oct/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
dvkelectronics Used - $99.00 0 Sep/28/14 Oct/28/14
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
convertechs4 Used - $34.99 0 Oct/04/14 Oct/14/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
imca00 NEW - $7,450.00 0 Oct/03/14 Oct/10/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore Used - $999.99 0 Oct/03/14 Oct/06/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
capitolareatech NEW - $15.06 0 Sep/27/14 Dec/26/14
Description: LAM RESEARCH (LAM) 853-017423-002 CABLE COAX RF MATCH BOX ASSY
svcstore Used - $999.99 0 Oct/09/14 Oct/12/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
waste-not-recycling NEW - $399.99 0 Oct/09/14 Nov/08/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
athomemarket Used - $4,037.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,331.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $4,508.99 0 Oct/12/14 Nov/11/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $999.99 0 Oct/12/14 Oct/15/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
katsu9202408 NEW - $2,445.95 0 Dec/02/14 Sep/17/17
Description: Comet Ag Flamatt Lam research 0010-42741 REV002 New Without Box
dvkelectronics Used - $99.00 0 Nov/27/14 Dec/27/14
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
convertechs4 Used - $21.99 0 Dec/04/14 Dec/14/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $999.99 0 Dec/02/14 Dec/05/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $1,252.14 0 Dec/04/14 May/26/17
Description: AMAT 9010-02266 Celerity Gas Card N2 AMAT Quantum X Gas Box working
used1eqsales Used - $1,252.14 0 Dec/04/14 May/26/17
Description: AMAT 9010-01382 Celerity Gas Card SiF4 AMAT Quantum X Gas Box working
used1eqsales Used - $1,252.14 0 Dec/04/14 May/26/17
Description: AMAT 9010-02276 Celerity Gas Card CO2 AMAT Quantum X Gas Box working
grandbirdnet NEW - $250.00 0 Dec/03/14 Mar/07/22
Description: AMAT 0150-17234 C/A AC BOT TO WATLOW BOX, NEW
used1eqsales Used - $365.46 0 Dec/05/14 Dec/12/14
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Rev B Gas Box used works
used1eqsales Used - $365.46 0 Dec/05/14 Dec/12/14
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Rev A Gas Box used works
used1eqsales Used - $1,002.57 1 Dec/05/14 Oct/28/15
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev B Quantum X Gas Box used working
used1eqsales Used - $1,002.57 1 Dec/05/14 Sep/27/16
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev A Quantum X Gas Box used working
used1eqsales Used - $455.46 0 Dec/05/14 Apr/09/15
Description: AMAT 9090-00976 Arc Control DSP PCB Revision B Quantum X Gas Box used working
waste-not-recycling NEW - $299.99 0 Nov/10/14 Dec/10/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
svcstore Used - $999.99 0 Dec/05/14 Dec/08/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
spire-tec_sales Used - $6,000.00 0 Dec/08/14 Jan/07/15
Description: 0010-22176 B101 Chiller AC H2O Box Assy
capitolareatech NEW - $45.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 0150-00392 CABLE ASSY,FILTER BOX INTERCONNECT,HT DP
athomemarket Used - $86.99 0 Dec/08/14 Jan/07/15
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $999.99 0 Dec/08/14 Dec/11/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/08/14 Dec/11/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
athomemarket Used - $4,039.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,333.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
ptb-sales Used - $875.00 0 Dec/11/14 Dec/21/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
waste-not-recycling NEW - $299.99 0 Dec/11/14 Jan/10/15
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
athomemarket Used - $4,510.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $999.99 0 Dec/11/14 Dec/14/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/11/14 Dec/14/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
keykorea NEW - $1,600.00 4 Oct/07/14 Dec/05/14
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW
ustechno7 Used - $144.99 1 Nov/22/14 Nov/23/14
Description: Applied Amat 0040-61266 GAS BOX, SIN, DXZ DCVD
svcstore Used - $999.99 0 Dec/14/14 Dec/17/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/14/14 Dec/21/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
convertechs4 Used - $19.99 0 Dec/15/14 Dec/25/14
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
gigabitpartsolutions NEW - $5,000.00 0 Dec/16/14 Jul/24/15
Description: Upgrade Kit BCVD ESC BOX w/one coil RF Filter Producer SE/GT 0242-50267 AMAT
bruce135 Used - $149.00 0 Dec/17/14 Jan/16/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
supertechshop NEW - $99.00 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials Outer Clamp 0020-79085 AMAT Sealed in Box
powersell007 NEW - $199.00 2 Dec/17/14 Jan/06/17
Description: APPLIED MATERIALS 0021-20495 HEAT SHIELD,RIGHT HALF,HTHU REV A AMAT *NEW IN BOX*
supertechshop NEW - $750.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
athomemarket Used - $4,039.99 0 Dec/17/14 Jan/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $999.99 0 Dec/17/14 Dec/20/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
gigabitpartsolutions NEW - $4,500.00 0 Dec/19/14 Jul/24/15
Description: Upgrade Kit BCVD ESC BOX w/one coil RF Filter Producer SE/GT 0242-50267 AMAT
svcstore Used - $999.99 0 Dec/20/14 Dec/23/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
yayais2012 Used - $180.00 0 Dec/21/14 Jan/20/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
fast_sell_4u Refurbished - $1,500.00 0 Dec/21/14 Jan/20/15
Description: Applied Materials AMAT 0010-09961 Rev. C 5000 DELTA/SACVD Nitride 4-6" Gas Box
ptb-sales Used - $875.00 0 Dec/22/14 Jan/01/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $999.99 0 Dec/23/14 Dec/26/14
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
convertechs4 Used - $18.99 0 Dec/26/14 Jan/05/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
capitolareatech NEW - $341.05 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-03597 DP BOX LOWER HALF
capitolareatech NEW - $427.34 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-18178 TRAY, PLATE SOURCE BOX OT H20 LEAK
capitolareatech NEW - $101.15 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-32691 BLOCK ELBOW GAS BOX MICROWAVE REMOTE PLA
capitolareatech NEW - $473.57 1 Dec/26/14 Jun/24/15
Description: APPLIED MATERIALS (AMAT) 0140-90207 LOOM ASSEMBLY BLADE BOX
capitolareatech NEW - $50.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-01066 CABLE ASSY., DNET JUMPER BOX, ON BOARD D
capitolareatech NEW - $59.44 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0150-01903 Cable Assembly, Interface, AC Box, MCA+ I
capitolareatech NEW - $105.95 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-02326 CABLE ASSY, EMO CONT TO AC BOX, MIRRA 30
capitolareatech NEW - $85.47 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-90426 CFA CLEAN ROOM REMOTE CTRL BOX
capitolareatech NEW - $22.85 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-04495 BLACK BOX CORP EVNSCT21 CONNector TERMINATO
capitolareatech NEW - $222.05 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-43113 ENCLOSURE BOX H/E TEMP CNTRL
capitolareatech NEW - $86.08 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0227-06543 75 FT OZONATOR TO REMOTE AC BOX
capitolareatech NEW - $225.00 0 Dec/27/14 Jan/26/15
Description: LAM RESEARCH (LAM) 715-015092-001 BLOCK THREADED (FEED-THRU BOX)
dvkelectronics Used - $99.00 0 Dec/27/14 Jan/26/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
capitolareatech NEW - $750.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-00941 CONNECTION BOX ASSEMBLY
capitolareatech NEW - $650.00 0 Dec/28/14 Feb/27/15
Description: LAM RESEARCH (LAM) 853-017163-001 LAM SWITCH BOX RF ASSY; 853-017163-001-F-C5
capitolareatech NEW - $15.06 0 Dec/28/14 Dec/01/15
Description: LAM RESEARCH (LAM) 853-017423-002 CABLE COAX RF MATCH BOX ASSY
athomemarket Used - $101.99 0 Dec/28/14 Jan/27/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
imca00 NEW - $550.00 0 Dec/29/14 May/28/15
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
imca00 NEW - $7,450.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore Used - $999.99 0 Dec/29/14 Jan/01/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bornalliancecom NEW - $7,500.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-09806 Gas Box, Assy. WSI 150 MM (New) AMAT
bornalliancecom NEW - $475.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-10045 Pump Stack Htr. AC Box, Assy. (NEW) AMAT Etch
mpd6996 Used - $250.00 0 Jan/01/15 Jan/26/15
Description: AMAT APPLIED MATERIALS 0010-77682 ASSY ELECTRONICS BOX PNEUMATIC
svcstore Used - $999.99 0 Jan/01/15 Jan/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
trees_for_a_better_tomorrow NEW - $100.00 0 Jan/02/15 Jan/09/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
farmoninc NEW - $250.00 0 Jan/02/15 Mar/02/23
Description: AMAT 0190-08429 Specification Assy, Cable, Smart Box, J1, Nanometrics 8400-1250
trees_for_a_better_tomorrow NEW - $595.00 0 Jan/03/15 Jan/10/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
farmoninc NEW - $150.00 0 Jan/03/15 Mar/02/23
Description: AMAT 0150-07409 CABLE ASSY. GAS BOX OVERTEMP INTCNT, 300M
svcstore Used - $999.99 0 Jan/04/15 Jan/07/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 NEW - $7,450.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
ptb-sales Used - $875.00 0 Jan/05/15 Jan/15/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket Used - $564.99 1 Jan/05/15 Jan/12/15
Description: AMAT/Applied Materials 0040-32171 Gas Panel Safety Interlock Box Producer
convertechs4 Used - $17.99 0 Jan/05/15 Jan/15/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
grandbirdnet Used - $3,800.00 0 Jan/05/15 Mar/07/22
Description: AMAT 0010-76535 ASSY, PNEUMATIC LOGIC BOX TILT CASSETTE , USED
athomemarket Used - $74.99 0 Jan/07/15 Feb/06/15
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $999.99 0 Jan/07/15 Jan/10/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Jan/08/15 Feb/07/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Jan/08/15 Feb/07/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
keykorea NEW - $1,600.00 5 Jan/08/15 Apr/28/17
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW
waste-not-recycling NEW - $299.99 0 Jan/10/15 Feb/09/15
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
athomemarket Used - $4,443.99 0 Jan/10/15 Feb/09/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
bornalliancecom NEW - $7,500.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-09940 Gas Box, WSI CVD (new) AMAT
svcstore Used - $999.99 0 Jan/10/15 Jan/13/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 NEW - $7,450.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
farmoninc Used - $500.00 0 Jan/13/15 Mar/02/23
Description: AMAT 0020-37133 TRANSFORMER BOX 50VA
svcstore Used - $999.99 0 Jan/13/15 Jan/16/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
farmoninc NEW - $300.00 0 Jan/14/15 Mar/02/23
Description: AMAT 0150-21927 cable control box to sw box relays
ptb-sales Used - $875.00 0 Jan/15/15 Jan/25/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
convertechs4 Used - $16.99 0 Jan/15/15 Jan/25/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
farmoninc NEW - $450.00 0 Jan/16/15 Mar/02/23
Description: AMAT 0020-13052 TOP COVER, GAS BOX LEFT, CHAMBER IN POS, 400461
bruce135 Used - $134.10 0 Jan/16/15 Feb/15/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
athomemarket Used - $3,999.99 0 Jan/16/15 Feb/15/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $999.99 0 Jan/16/15 Jan/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
supertechshop NEW - $99.00 1 Jan/19/15 Jan/19/15
Description: NEW Applied Materials Outer Clamp 0020-79085 AMAT Sealed in Box
supertechshop NEW - $750.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
usedeqsales Used - $609.09 1 Sep/08/09 Jan/16/15
Description: Nikon Stepper WY_LSW Switch Box 4S586-952-1 working
svcstore Used - $999.99 0 Jan/19/15 Jan/22/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
yayais2012 Used - $180.00 0 Jan/20/15 Feb/19/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
svcstore Used - $999.99 0 Jan/22/15 Jan/25/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
trees_for_a_better_tomorrow NEW - $595.00 0 Jan/25/15 Feb/04/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
svcstore Used - $999.99 0 Jan/25/15 Jan/28/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
convertechs4 Used - $15.99 0 Jan/26/15 Feb/05/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
ptb-sales Used - $875.00 0 Jan/26/15 Feb/05/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket Used - $538.99 0 Jan/26/15 Feb/25/15
Description: AMAT/Applied Materials 0090-04736 Rev. 001 RF Filter Box Assy. Producer SE
dvkelectronics Used - $99.00 0 Jan/26/15 Feb/25/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
imca00 NEW - $7,450.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Used - $89.99 0 Jan/27/15 Feb/26/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $999.99 0 Jan/28/15 Jan/31/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
powersell007 NEW - $299.00 5 Jan/29/15 Apr/20/17
Description: APPLIED MATERIALS 0190-24845 LAMP REV 04 AMAT (BOX OF 10) *UNUSED IN BOX*
svcstore Used - $999.99 0 Jan/31/15 Feb/03/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 NEW - $7,450.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore Used - $999.99 0 Feb/03/15 Feb/06/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
convertechs4 Used - $14.99 0 Feb/05/15 Feb/15/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
athomemarket Used - $74.99 0 Feb/06/15 Mar/08/15
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
ptb-sales Used - $875.00 0 Feb/06/15 Feb/16/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $999.99 0 Feb/06/15 Feb/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
powersell007 NEW - $859.00 0 Feb/07/15 Nov/23/17
Description: APPLIED MATERIALS 0041-04514 ZONE 1 CLAMP, 300MM TITAN CALYPSO AMAT *NEW IN BOX*
athomemarket Used - $3,999.99 0 Feb/07/15 Mar/09/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Feb/07/15 Mar/09/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow NEW - $595.00 0 Feb/09/15 Feb/16/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
athomemarket Used - $4,443.99 0 Feb/09/15 Mar/11/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
waste-not-recycling NEW - $299.99 1 Feb/09/15 Feb/20/15
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
svcstore Used - $999.99 0 Feb/09/15 Feb/12/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
imca00 NEW - $7,450.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
prism_electronics6 Used - $49.95 1 Feb/12/15 Feb/08/18
Description: Lam Research LED Display for Gas Box Phase II 2, 810-803260-001
gigabitpartsolutions NEW - $275.00 1 Feb/13/15 Jan/28/16
Description: OEM Part LAM RESEARCH (LAM) 853-017163-202 ASSY,RF SW BOX, W/RLY
svcstore Used - $999.99 0 Feb/13/15 Feb/16/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Feb/15/15 Mar/17/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
mpd6996 Used - $200.00 0 Feb/16/15 Feb/19/15
Description: AMAT APPLIED MATERIALS 0010-77682 ASSY ELECTRONICS BOX PNEUMATIC
ptb-sales Used - $875.00 0 Feb/16/15 Feb/26/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
convertechs4 Used - $13.99 0 Feb/16/15 Feb/26/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $999.99 0 Feb/16/15 Feb/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bruce135 Used - $149.00 0 Feb/17/15 Mar/19/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
bobsgoodies Used - $525.00 1 Feb/19/15 May/01/15
Description: Thornton 740-A01 DOT Two Box Resistivity Meter AMAT 0020-22584
svcstore Used - $999.99 0 Feb/19/15 Feb/22/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
yayais2012 Used - $180.00 0 Feb/19/15 Mar/21/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
svcstore Used - $999.99 0 Feb/22/15 Feb/25/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
supertechshop NEW - $750.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
imca00 NEW - $7,450.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Used - $538.99 0 Feb/25/15 Mar/27/15
Description: AMAT/Applied Materials 0090-04736 Rev. 001 RF Filter Box Assy. Producer SE
svcstore Used - $999.99 0 Feb/25/15 Feb/28/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
dvkelectronics Used - $99.00 0 Feb/25/15 Mar/27/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
athomemarket Used - $89.99 0 Feb/26/15 Mar/28/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
convertechs4 Used - $12.99 0 Feb/26/15 Mar/08/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
ptb-sales Used - $875.00 0 Feb/27/15 Mar/09/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $999.99 0 Feb/28/15 Mar/03/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
gigabitpartsolutions Refurbished - $493.90 4 Mar/02/15 Jun/13/16
Description: OEM Part Applied Materails (AMAT) 0090-04310 Assembly RF Filter Box Ceramic Hea
gigabitpartsolutions NEW - $911.90 3 Mar/02/15 Jun/13/16
Description: OEM Part Applied Materails (AMAT) 0090-04947 Assembly RF Filter Box Ceramic Heat
usedeqsales NEW - $406.11 1 Jun/29/11 Mar/02/15
Description: Mykrolis Solaris SCR01 SCR0153E1 Filter Lot of Seven (7) new in box
trees_for_a_better_tomorrow NEW - $595.00 0 Mar/02/15 Mar/09/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
kellansurplus NEW - $25.00 1 Mar/03/15 Apr/24/15
Description: A Single New in Box AMAT 4020-01019 Micron Filter Cartridge
svcstore Used - $999.99 0 Mar/03/15 Mar/06/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $999.99 0 Mar/06/15 Mar/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
datacom2014 Used - $110.00 1 Mar/09/15 Mar/28/22
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board 0130-00567 Applied Materials
csi.usa NEW - $200.00 0 Mar/09/15 Jan/22/18
Description: APPLIED MATERIALS P/N 0150-12117 REV.002 CABLE FOR COMBINER BOX
athomemarket Used - $74.99 0 Mar/09/15 Apr/08/15
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
convertechs4 Used - $11.99 0 Mar/09/15 Mar/19/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
athomemarket Used - $3,999.99 0 Mar/09/15 Apr/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Mar/09/15 Apr/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $999.99 0 Mar/09/15 Mar/12/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Mar/10/15 Mar/20/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
imca00 NEW - $7,450.00 0 Mar/10/15 Mar/17/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Used - $4,443.99 0 Mar/11/15 Apr/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
usedeqsales Used - $1,353.15 0 Mar/12/15 Mar/13/15
Description: AMAT Applied Materials 0010-77681 Pnematic Manifold Box Rev. P04 Used Working
svcstore Used - $999.99 0 Mar/12/15 Mar/15/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
alex_sari Scrap, for parts - $2,999.00 1 Jan/19/15 Mar/13/15
Description: TEL Tokyo Electron, EPD BOX FOR 210, p/n EPD210S
gti-semi Used - $3,600.00 1 Nov/25/14 Mar/12/15
Description: TEL Tokyo Electron, EPD BOX FOR 210, p/n EPD210S
athomemarket Used - $3,999.99 0 Mar/17/15 Apr/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
imca00 NEW - $7,450.00 0 Mar/17/15 Mar/24/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
bruce135 Used - $149.00 0 Mar/19/15 Apr/18/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
convertechs4 Used - $10.99 0 Mar/19/15 Mar/29/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
ptb-sales Used - $875.00 0 Mar/20/15 Mar/30/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
yayais2012 Used - $180.00 0 Mar/21/15 Apr/20/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
bobsgoodies Used - $1,250.00 1 Mar/24/15 Apr/15/16
Description: AMAT 0010-06422 ASSY., 208V TEMP. CNTLR. BOX CH. C OR D Watlow 93BB1CC000GR
imca00 NEW - $7,450.00 1 Mar/24/15 Mar/25/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Used - $538.99 0 Mar/27/15 Apr/02/15
Description: AMAT/Applied Materials 0090-04736 Rev. 001 RF Filter Box Assy. Producer SE
dvkelectronics Used - $99.00 0 Mar/27/15 Apr/26/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
supertechshop NEW - $750.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
athomemarket Used - $89.99 0 Mar/28/15 Apr/27/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
convertechs4 Used - $9.99 0 Mar/30/15 Apr/09/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $899.99 0 Apr/03/15 Apr/06/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Apr/06/15 Apr/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
catalystparts Used - $1,500.00 0 Apr/07/15 Sep/27/16
Description: Applied Materials 0010-70774 TX2 Gas Box Assy
athomemarket Used - $74.99 0 Apr/08/15 May/08/15
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $3,999.99 0 Apr/08/15 May/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Apr/08/15 May/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
convertechs4 Used - $8.99 0 Apr/09/15 Apr/19/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
xl-t_com NEW - $40.00 0 Apr/09/15 Aug/31/16
Description: APPLIED MATERIALS - 0140-09621 - HARNESS, JUMPER, CONTROL BOX
svcstore Used - $899.99 0 Apr/09/15 Apr/12/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,443.99 0 Apr/10/15 May/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $899.99 0 Apr/12/15 Apr/15/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Apr/13/15 Apr/23/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
gigabitpartsolutions Refurbished - $581.90 0 Apr/15/15 Jun/08/16
Description: RF Systems Applied Materails (AMAT) 0090-03857 FILTER BOX Signal
svcstore Used - $899.99 0 Apr/15/15 Apr/18/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 Apr/16/15 May/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
microwavetech Used - $566.99 0 Apr/18/15 May/12/19
Description: Lam Research IGS Gas Box 810-073479-001 Main Board
svcstore Used - $899.99 0 Apr/18/15 Apr/21/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bruce135 Used - $149.00 0 Apr/20/15 May/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
convertechs4 Used - $7.99 0 Apr/20/15 Apr/30/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
yayais2012 Used - $180.00 0 Apr/20/15 May/20/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
farmoninc NEW - $4,500.00 1 Apr/22/15 Jan/18/18
Description: AMAT 0240-14172 Assy, Gas Box, WXZ, 0020-10876, 0020-62142, 0040-09050, 401414
svcstore Used - $899.99 0 Apr/21/15 Apr/24/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
redbarnstuff NEW - $179.99 0 Apr/23/15 May/23/15
Description: ENTEGRIS FLUOROWARE HFC-12F 2 way valve N.C.High flow 3/4" NEW IN BOX
anacapaequipment Used - $100.00 0 Apr/23/15 May/14/15
Description: Gel-Pak Vacuum Release Trays Unused, 2", X416, LOT of 25, Clear Hinged Box
usedeqsales Used - $504.15 1 Apr/23/15 Apr/24/15
Description: AMAT Applied Materials 0010-77680 SRD Lower Electronic Box SMC Used Working
ptb-sales Used - $875.00 0 Apr/23/15 May/03/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
tdindustrial NEW - $1,495.00 1 Apr/21/15 Apr/23/15
Description: KLA-Tencor Assembly, 995-0185-00, 0019620-000 R. AA, 52-1150, New in Box
tdindustrial NEW - $1,495.00 1 Apr/21/15 Apr/23/15
Description: KLA-Tencor Assembly, 97010823-2EM1, New in Box
svcstore Used - $899.99 0 Apr/24/15 Apr/27/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bevemill-s2ycf8rn Used - $450.00 0 Apr/26/15 May/25/15
Description: AMAT APPLIED MATERIALS 0010-77682 LOWER MEGASONIC MANIFOLD ELECTRONIC BOX
dvkelectronics Used - $99.00 0 Apr/26/15 May/26/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
athomemarket Used - $89.99 0 Apr/27/15 May/27/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $899.99 0 Apr/28/15 May/01/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $1,252.14 6 Apr/30/15 Mar/04/17
Description: AMAT 9010-01378 Celerity Gas Card BF3 Quantum X Gas Box used working
prism_electronics2 Used - $7,999.99 0 Apr/30/15 Jun/22/16
Description: Novellus Power Box 01-259346-01 Rev 4 / C2-PDRS / Concept 2 / 2006
convertechs4 Used - $6.99 0 Apr/30/15 May/10/15
Description: Novellus DLCM-LITE Local Power Box B/O Board 02-021383-03 Rev A #114
svcstore Used - $899.99 0 May/01/15 May/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 May/04/15 May/14/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $899.99 0 May/04/15 May/07/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $74.99 0 May/08/15 Jun/07/15
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $899.99 0 May/07/15 May/10/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 May/09/15 Jun/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 May/09/15 Jun/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $899.99 0 May/10/15 May/13/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $4,443.99 0 May/11/15 Jun/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
used1eqsales Used - $4,005.15 1 May/12/15 May/13/15
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura AMAT used sold as is
svcstore Used - $899.99 0 May/13/15 May/16/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $4,005.15 1 May/14/15 May/14/15
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura P500 AMAT used sold as i
ptb-sales Used - $875.00 0 May/14/15 May/24/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $899.99 0 May/16/15 May/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,999.99 0 May/17/15 Jun/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
value-pack NEW - $1,550.00 0 May/19/15 Nov/15/15
Description: AMAT Gas Box, Silance 200mm Producer 0040-02520 2nd New
svcstore Used - $899.99 0 May/19/15 May/22/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
powersell007 Used - $159.00 1 May/20/15 Dec/20/21
Description: APPLIED MATERIALS 0021-21765 SPACER, HEATER, 300MM, PVD AMAT *NEW IN BOX*
bruce135 Used - $149.00 0 May/20/15 Jun/19/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
catalystparts NEW - $200.00 3 May/21/15 Apr/25/18
Description: Applied Materials 0020-96204 Flag Sensors ( One box of 25 )
used1eqsales Used - $805.15 1 May/21/15 May/26/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
svcompucycle NEW - $750.00 0 May/21/15 Jun/20/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
farmoninc NEW - $1,450.00 1 May/22/15 Jun/08/15
Description: AMAT 0010-20714 Assy Gas Box A/C, PCB, Pneumatic, Mounting Plate, 402164
svcstore Used - $899.99 0 May/22/15 May/25/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
yayais2012 Used - $180.00 0 May/23/15 Jun/22/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
dr.dantom Used - $299.00 1 May/25/15 Jul/04/15
Description: CTI-Cryogenics ON-Board SPLTR BOX TOOL 8135240G001 Applied Materials 3620-01455
ptb-sales Used - $875.00 0 May/26/15 Jun/05/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $899.99 0 May/26/15 May/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
dvkelectronics Used - $99.00 0 May/26/15 Jun/25/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
athomemarket Used - $89.99 0 May/28/15 Jun/27/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $899.99 0 May/29/15 Jun/01/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
auctionrus NEW - $495.00 0 May/31/15 Jun/14/16
Description: AMAT 0020-09604 Housing, Gas Box, Manifold, Insulator 402576
auctionrus NEW - $150.00 0 May/31/15 Dec/04/23
Description: Novellus 10-278783-00 Gas Manifold, Connection, Gas Box 402553
yhcet1 Used - $79.99 0 Jun/01/15 Jul/01/15
Description: Novellus 02-265208-00 TEOS Gas Box N2 Line Clean
yhcet1 Used - $299.99 0 Jun/01/15 Jul/01/15
Description: Novellus 02-140507-00 Ampule With Opticle Line In TEOS GAS Box
svcstore Used - $899.99 0 Jun/01/15 Jun/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jun/04/15 Jun/07/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $806.15 0 Jun/05/15 Jun/10/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
visionsemi NEW - $250.00 0 Jun/05/15 Mar/31/16
Description: APPLIED MATERIALS 0140-18285 HARNESS ASSY, RIGHT GAS BOX FILTER SIGNALS AMAT
ptb-sales Used - $875.00 0 Jun/05/15 Jun/15/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket Used - $74.99 0 Jun/07/15 Jul/07/15
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $899.99 0 Jun/07/15 Jun/10/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $3,298.99 0 Jun/08/15 Jul/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,999.99 0 Jun/08/15 Jul/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
auctionrus NEW - $125.00 0 Jun/09/15 Mar/24/16
Description: AMAT 0040-36327 Rev 001 Guard, Box, Housing 405802
athomemarket Used - $4,443.99 0 Jun/10/15 Jul/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $899.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
vipermn Used - $350.00 1 Jun/11/15 Jun/13/15
Description: APPLIED MATERIALS 0010-77682 LOWER MEGASONIC MANIFOLD ELECTRONIC BOX
svcstore Used - $899.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Jun/15/15 Jun/25/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket Used - $3,599.99 0 Jun/16/15 Jul/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $899.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
janjan2520 NEW - $49.99 2 Feb/10/15 Jun/17/15
Description: Swagelok Vertical Flow Sensor, 6L-FV4B-VR4, New in Box
svcstore Used - $899.99 0 Jun/19/15 Jun/22/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcompucycle NEW - $750.00 0 Jun/21/15 Jul/21/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
yayais2012 Used - $180.00 0 Jun/22/15 Jul/22/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
bruce135 Used - $149.00 0 Jun/22/15 Jul/22/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $899.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $406.15 0 Jun/25/15 Nov/22/15
Description: Festo 13015611 Megasonic Box 300mm AMAT 0010-05311 Used Working
dvkelectronics Used - $99.00 0 Jun/25/15 Jul/25/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
svcstore Used - $899.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $67.49 3 Jun/27/15 Aug/07/18
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
dr.dantom Used - $850.00 1 Jun/28/15 Jul/01/15
Description: Applied Materials AMAT 0010-21154 ENDURA Module MFG Interface Box w/ 0100-20059
ptb-sales Used - $875.00 0 Jun/29/15 Jul/09/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $899.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Jul/05/15 Jul/08/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
usedeqsales Used - $507.15 1 Jul/06/15 Dec/22/16
Description: AMAT Applied Materials 0100-35273 TEOS Temperature Control Box PCB Used
athomemarket Used - $67.49 0 Jul/07/15 Nov/04/15
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $1,000.00 0 Jul/08/15 Jun/27/17
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $1,000.00 0 Jul/08/15 Jun/26/17
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore Used - $899.99 0 Jul/08/15 Jul/11/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Jul/09/15 Jul/19/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
rat762 Used - $2,500.00 0 Jul/09/15 Jul/14/15
Description: 0010-77858 APPLIED MATERIALS AMAT MIRRA LOTO BREAKER BOX ASSEMBLY WITH CABLES
athomemarket Used - $900.00 0 Jul/10/15 Jun/28/17
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $899.99 0 Jul/11/15 Jul/14/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
rat762 Used - $2,500.00 0 Jul/14/15 Jul/19/15
Description: 0010-77858 APPLIED MATERIALS AMAT MIRRA LOTO BREAKER BOX ASSEMBLY WITH CABLES
svcstore Used - $899.99 0 Jul/14/15 Jul/17/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $750.00 0 Jul/16/15 Jul/05/17
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore Used - $899.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Jul/20/15 Jul/30/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
bruce135 Used - $149.00 0 Jul/22/15 Aug/21/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
yayais2012 Used - $180.00 0 Jul/23/15 Aug/22/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
dr.dantom Used - $850.00 1 Jul/23/15 Oct/25/15
Description: Applied Materials AMAT 0010-21154 ENDURA Module MFG Interface Box w/ 0100-20059
svcstore Used - $899.99 0 Jul/23/15 Jul/26/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
dvkelectronics Used - $99.00 0 Jul/25/15 Aug/24/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
svcstore Used - $899.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Jul/30/15 Aug/09/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $899.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
trees_for_a_better_tomorrow NEW - $60.00 0 Jul/31/15 Aug/07/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
svcstore Used - $899.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
farmoninc Used - $7,500.00 0 Aug/05/15 Feb/19/20
Description: MKS Astex AX7650 ASTRON ATOMIC FLOURINE GENERATOR, AMAT 0920-01120 OEM BOX,
svcompucycle NEW - $750.00 0 Aug/06/15 Sep/05/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
svcstore Used - $899.99 0 Aug/06/15 Aug/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Aug/09/15 Aug/12/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Aug/10/15 Aug/20/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $899.99 0 Aug/12/15 Aug/15/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $899.99 0 Aug/15/15 Aug/18/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
sparesllc09 Used - $5,300.00 0 Aug/17/15 Nov/15/15
Description: 0010-13650 /AC BOX, HTESC, P1 / APPLIED MATERIALS
svcstore Used - $899.99 0 Aug/18/15 Aug/20/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
used1eqsales Used - $908.15 1 Aug/19/15 Dec/15/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
sparesllc09 Used - $6,800.00 0 Aug/20/15 Nov/18/15
Description: 0010-09959 /ASSY GAS BOX BWCVD/ APPLIED MATERIALS
svcstore Used - $899.99 0 Aug/20/15 Aug/30/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bruce135 Used - $149.00 0 Aug/21/15 Sep/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
ptb-sales Used - $875.00 0 Aug/21/15 Aug/31/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
yayais2012 Used - $180.00 0 Aug/22/15 Sep/21/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
dvkelectronics Used - $99.00 0 Aug/24/15 Sep/23/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
sparesllc09 Used - $10,800.00 0 Aug/26/15 Nov/24/15
Description: 0010-12168 /AC BOX 200MM SLT ESC ASSEMBLY/ APPLIED MATERIALS
svcstore Used - $899.99 0 Aug/30/15 Sep/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Aug/31/15 Sep/10/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
nh7654 NEW - $515.00 0 Sep/04/15 Oct/04/15
Description: New In Box Lam Research Lower Electrode P/N# 715-007469-002
x-pressmicro Used - $199.95 0 Sep/08/15 Nov/07/15
Description: APPLIED MATERIALS INTERLOCK TxZ GAS BOX CARD 0110-20458 VAL-007-3050-02
svcstore Used - $899.99 0 Sep/08/15 Sep/13/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcompucycle NEW - $750.00 0 Sep/09/15 Oct/09/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
ptb-sales Used - $875.00 0 Sep/10/15 Sep/20/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $899.99 0 Sep/14/15 Sep/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $399.99 0 Sep/17/15 Sep/24/15
Description: AMAT/Applied Materials 0010-34855 Ceramic Heater RF Filter Box Assembly 300750
svcstore Used - $899.99 0 Sep/19/15 Sep/24/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bruce135 Used - $149.00 0 Sep/21/15 Oct/21/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
ptb-sales Used - $875.00 0 Sep/21/15 Oct/01/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
yayais2012 Used - $180.00 0 Sep/21/15 Oct/21/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
dvkelectronics Used - $99.00 0 Sep/23/15 Oct/23/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
svcstore Used - $899.99 0 Sep/24/15 Sep/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $399.99 0 Sep/25/15 Nov/24/15
Description: AMAT/Applied Materials 0010-34855 Ceramic Heater RF Filter Box Assembly 300750
svcstore Used - $899.99 0 Sep/29/15 Oct/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
getspares.com_sparesllc09 NEW - $19,000.00 0 Sep/29/15 Nov/17/17
Description: 0010-38909 /CENTURA, ASSY GAS BOX WXZ UWAVE/ APPLIED MATERIALS
ptb-sales Used - $875.00 0 Oct/02/15 Oct/12/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcompucycle NEW - $199.00 0 Oct/02/15 Nov/01/15
Description: NEW AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Mirra CMP / Sealed Box
svcstore Used - $899.99 0 Oct/04/15 Oct/09/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
sparesllc09 Used - $2,850.00 0 Oct/06/15 Nov/05/15
Description: 0040-53688 /GAS BOX, REMOTE CLEAN, PRODUCER SE/ APPLIED MATERIALS
willi-camry NEW - $5,000.00 1 Oct/07/15 Dec/07/15
Description: Applied Materials 0010-03128 ASSY MCA+ AC BOX Applied Materials Amat
bobsgoodies Used - $4,200.00 0 Oct/09/15 Nov/08/15
Description: AMAT 0010-35249 Assy, Transformer Enclosure 208V System 320387-WA Filter Box
svcstore Used - $899.99 0 Oct/09/15 Oct/14/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcompucycle NEW - $750.00 0 Oct/12/15 Nov/11/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
ptb-sales Used - $875.00 0 Oct/12/15 Oct/22/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
supertechshop Used - $2,495.00 0 Oct/14/15 Nov/13/15
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy / Warranty
svcstore Used - $674.99 0 Oct/14/15 Oct/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bobsgoodies Scrap, for parts - $100.00 0 Oct/16/15 Nov/15/15
Description: AMAT 0010-00174 THROTTLE VALVE REDUCER BOX ASSEMBLY
bobsgoodies2 Used - $250.00 0 Oct/16/15 Feb/21/22
Description: AMAT 0010-00174 THROTTLE VALVE REDUCER BOX ASSEMBLY
svcstore Used - $899.99 0 Oct/19/15 Oct/24/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bruce135 Used - $149.00 0 Oct/21/15 Nov/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
yayais2012 Used - $180.00 0 Oct/21/15 Nov/20/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
ptb-sales Used - $875.00 0 Oct/22/15 Nov/01/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
dvkelectronics Used - $99.00 0 Oct/24/15 Nov/23/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
svcstore Used - $899.99 0 Oct/24/15 Oct/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
usedeqsales Used - $130.15 0 Oct/27/15 Nov/26/15
Description: Turck VB 804Z-* Junction Box AMAT 0090-03978 Lot of 3 Used Working
ab-international NEW - $50.00 0 Oct/28/15 Nov/04/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
ptb-sales Used - $875.00 0 Nov/02/15 Nov/12/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
texassemicontech NEW - $1,695.00 0 Nov/02/15 Jun/28/18
Description: Black Box LE675A-R2 Ethernet Workgroup Switch Hub Rev. C - Lam 685-099591-001
svcstore Used - $899.99 0 Oct/30/15 Nov/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
sparesllc09 NEW - $11,970.00 0 Nov/05/15 Apr/16/18
Description: 0010-01388 / LTESC CONTROL BOX ASSY/ APPLIED MATERIALS
ntsurplus302 Used - $390.00 0 Nov/09/15 Apr/16/17
Description: 3337 LAM Research 853-017163-001 Assy. RF Switch Box
ntsurplus302 Used - $340.00 0 Nov/09/15 Dec/09/15
Description: 3338 LAM Research 853-017163-001 Assy. RF Switch Box
ntsurplus302 Used - $200.00 0 Nov/09/15 Apr/16/17
Description: 3851 Novellus 02-021383-01 Rev. B DLCM-Lite Local Power Box B/O Board
svcstore Used - $899.99 0 Nov/09/15 Nov/14/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ptb-sales Used - $875.00 0 Nov/12/15 Nov/22/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svliquidate NEW - $750.00 0 Nov/12/15 Dec/12/15
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
svcstore Used - $899.99 0 Nov/14/15 Nov/19/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
supertechshop Used - $2,495.00 0 Nov/15/15 Dec/15/15
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy / Warranty
hollitronic Used - $1,250.00 1 Nov/18/15 Apr/19/16
Description: Applied Materials 0010-93076 HV Filter Box Assembly Rev. 002
ntsurplus302 Used - $200.00 0 Nov/19/15 Apr/16/17
Description: 3857 Novellus 02-021383-03 Rev. B DLCM-Lite Local Power Box B/O Board
svcstore Used - $899.99 0 Nov/19/15 Nov/24/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
bruce135 Used - $149.00 0 Nov/20/15 Dec/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
yayais2012 Used - $180.00 0 Nov/21/15 Dec/21/15
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
ptb-sales Used - $875.00 0 Nov/23/15 Dec/03/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
dvkelectronics Used - $99.00 0 Nov/24/15 Dec/24/15
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
svcstore Used - $799.99 0 Nov/24/15 Nov/29/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $799.99 0 Nov/29/15 Dec/04/15
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
epitaxialparts NEW - $366.66 0 Dec/02/15 Jun/16/16
Description: Parker Skinner Valve 73222BN63N00N0L111C2 AMAT 824314 3870-01006 SV-8 New In Box
ptb-sales Used - $875.00 0 Dec/03/15 Dec/13/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
svcstore Used - $799.99 0 Dec/04/15 Jan/03/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ab-international NEW - $40.00 0 Dec/06/15 Dec/16/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
ptb-sales Used - $875.00 0 Dec/28/15 Jan/07/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
gigabitpartsolutions NEW - $71.50 0 Dec/22/15 Jun/19/16
Description: RF Systems LAM RESEARCH (LAM) 853-017423-002 CABLE COAX RF MATCH BOX ASSY
dvkelectronics Used - $99.00 0 Dec/25/15 Jan/24/16
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
yayais2012 Used - $180.00 0 Dec/21/15 Jan/20/16
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
nps NEW - $36.51 1 Dec/16/15 Dec/23/15
Description: Box of 10 Hitachi 727685B10 Cutting Wheels 14in x 7/64in 4400rpm 1in Arbor
tdindustrial NEW - $75.00 0 Jan/02/16 Feb/01/20
Description: LAM Alliance Modular Gas Box Integration Drawings & Schematic, 406-240204-013
svcstore Used - $799.99 0 Jan/03/16 Feb/02/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Scrap, for parts - $249.99 0 Jan/03/16 Feb/02/16
Description: AE Advanced Energy 2189-010-A Variable Voltage Ratio Transformer/RF Match Box
kiera512 NEW - $89.00 0 Jan/04/16 Jan/11/16
Description: Millipore Filter # PFFG 01D 8S, 0.2um *NIB* 5 boxes of 3 filters per box
svliquidate NEW - $199.00 0 Jan/06/16 Feb/05/16
Description: NEW AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Mirra CMP / Sealed Box
ptb-sales Used - $875.00 0 Jan/07/16 Jan/17/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
y.t.r2011 NEW - $700.00 0 Jan/09/16 Jun/07/16
Description: AMAT 0190-10734 LOWER ELECTRONIC BOX BB1 FOR 2 CHEM
vbaltazar209 Refurbished - $999.99 1 Jan/10/16 Nov/22/16
Description: Applied Materials AMAT 0010-09961 Universal TEOS DELTA SACVD Gas Box 5000 CVD
usedeqsales Used - $151.16 0 Jan/12/16 Jun/10/18
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Board Used Working
athomemarket Used - $2,799.99 0 Jan/13/16 Jan/20/16
Description: AMAT/Applied Materials 0090-02549 Producer SE Motion I/O Control Box MF Unit
ab-international NEW - $25.00 0 Jan/13/16 Jan/20/16
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
ab-international NEW - $40.00 0 Jan/13/16 Jan/23/16
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
tjtechseller Used - $8,500.00 0 Jan/14/16 Jan/24/16
Description: 0290-09275 Applied Material AMAT P5000 TEOS HOT BOX VERSION 4 ON BOARD TEOS
ptb-sales Used - $875.00 0 Jan/18/16 Jan/28/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
solanotraders Used - $950.00 0 Jan/18/16 Feb/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
bruce135 Used - $149.00 0 Jan/20/16 Feb/19/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
ciarasheltie NEW - $19.99 0 Jan/21/16 Jan/28/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ypspare Used - $180.00 1 Jan/21/16 Apr/24/16
Description: NOVELLUS SYSTEMS DLCM-LITE LOCAL POWER BOX B/O BD. 02-821383-01 REV A
capitolareatech NEW - $158.36 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3330-01090 ORIENTAL MOTOR GFB5G15 GEAR BOX REDUCTION 1
capitolareatech NEW - $77.14 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-03180 ARROW HART 26404 FTG ADPTR 45 DEG BOX TO CO
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-42992 BRACKET, SINGLE VALVE SLD BOX
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-34265 CABLE ASSY, REMOTE FRAME TO UPS BOX INTF
capitolareatech NEW - $86.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-06543 75 FT OZONATOR TO REMOTE AC BOX
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-50110 BLACK BOX CORP EMN25C-0035-MF (AMJ) CABLE R
capitolareatech NEW - $32.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02728 BLACK BOX CBCY95409 CABLE ASSY PS/2 BLKHD-F
capitolareatech NEW - $126.83 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-42182 COVER, LOWER WTR BOX, ANNL CHMBR 300MM
capitolareatech NEW - $101.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-32691 BLOCK ELBOW GAS BOX MICROWAVE REMOTE PLA
capitolareatech NEW - $427.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-18178 TRAY, PLATE SOURCE BOX OT H20 LEAK
capitolareatech NEW - $53.42 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10432 PANEL EXHAUST BOX REAR UPPER
capitolareatech NEW - $93.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10431 PANEL EXHAUST BOX REAR LOWER
capitolareatech NEW - $100.41 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09967 BRACKET, WATER BOX
dvkelectronics Used - $99.00 0 Jan/25/16 Feb/24/16
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
supertechshop Used - $2,495.00 0 Jan/25/16 Feb/24/16
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy / Warranty
svliquidate NEW - $750.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT Ceramic Ring Isolator Multi-Zone Isolation 0200-08713 / In Box Sealed
ptb-sales Used - $875.00 0 Jan/29/16 Feb/08/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
used1eqsales Used - $400.58 0 Jan/29/16 Jun/20/16
Description: AMAT Gap Servo 0100-00991 Circuit Board AMAT Quantum X Beamline Control Box used
auctionrus NEW - $475.00 1 Jan/29/16 Aug/03/18
Description: AMAT 0140-90207 Loom Assembly Blade Box 414125
ciarasheltie NEW - $19.99 0 Feb/02/16 Feb/09/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
tjtechseller Used - $8,000.00 0 Feb/03/16 May/30/17
Description: 0290-09275 Applied Material AMAT P5000 TEOS HOT BOX VERSION 4 ON BOARD TEOS
used1eqsales Scrap, for parts - $376.08 1 Feb/03/16 Sep/23/16
Description: AMAT 9010-01382 Celerity Gas Card SiF4 AMAT Quantum X Gas Box untested as-is
svcstore Used - $799.99 0 Feb/03/16 Mar/04/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svliquidate NEW - $199.00 0 Feb/06/16 Mar/07/16
Description: NEW AMAT Titan Head 200mm/8" Retaining Ring 0041-05327 Mirra CMP / Sealed Box
ptb-sales Used - $875.00 0 Feb/08/16 Feb/18/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
athomemarket Used - $49.99 0 Feb/08/16 Feb/15/16
Description: AMAT/Applied Materials 0010-12720 DeviceNet Junction Box 13-Port Hub J-Box
ciarasheltie NEW - $19.99 0 Feb/09/16 Feb/16/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
sparesllc09 Used - $900.00 0 Feb/10/16 Jul/26/19
Description: 810-073479-005 /JET STREAM GAS BOX MB MAIN BOARD /LAM RESEARCH
capitolareatech NEW - $4,500.00 0 Feb/12/16 Feb/24/16
Description: AMAT 0242-37454 KIT,BASIC GAS BOX,UNIVERSAL CHAMBER
midwestsemi NEW - $99.88 2 Feb/12/16 Feb/17/18
Description: AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box BES-9321-02C SEALED
bruce135 Used - $149.00 0 Feb/19/16 Mar/20/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
solanotraders Used - $950.00 0 Feb/17/16 Mar/18/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
asset_asset Used - $3,996.29 0 Feb/16/16 Oct/24/18
Description: NOVELLUS 02-288245-00 CU,ASSY,BOX,CCHK,50A,300MM
ptb-sales Used - $875.00 0 Feb/22/16 Mar/03/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
ciarasheltie NEW - $19.99 0 Feb/23/16 Mar/01/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
capitolareatech NEW - $1,130.11 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-10159 ASSY ONADA AC BOX
capitolareatech NEW - $145.18 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-06389 NOVA A.C. POWER BOX ASSEMBLY 300MM REFLE
capitolareatech NEW - $86.08 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-37587 COVER,EXHAUST GAS BOX,MXP CENTURA
capitolareatech NEW - $900.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-34446 GAS BOX, DXZ
capitolareatech NEW - $225.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-34381 Gas Box, EXZ
capitolareatech NEW - $126.83 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-42182 COVER, LOWER WTR BOX, ANNL CHMBR 300MM
capitolareatech NEW - $113.24 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-42181 COVER, UPPER WTR BOX, ANNL CHMBR, 300MM
capitolareatech NEW - $1,127.90 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-36600 Harness, POS A I/C BOX, EMXP+ 5000
capitolareatech NEW - $55.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-14621 HARNESS ASSY,FILTER BOX POWER INTERLOCK
capitolareatech NEW - $72.84 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-10286 HRNS,RF INTLK FILTER BOX,DPS
capitolareatech NEW - $70.19 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-10285 HRNS,HV INTLK FILTER BOX,DPS
capitolareatech NEW - $125.00 0 Feb/25/16 Jun/24/16
Description: AMAT 140-14621 HARNESS ASSY,FILTER BOX POWER INTERLOCK 0140-14621
capitolareatech NEW - $77.14 0 Feb/25/16 Jun/24/16
Description: AMAT 3300-03180 FTG ADPTR 45 DEG BOX TO COVER 600VAC 60A CONN
capitolareatech NEW - $225.00 0 Feb/25/16 Jun/24/16
Description: LAM 715-015092-001 BLOCK THREADED (FEED-THRU BOX)
capitolareatech NEW - $750.00 0 Feb/25/16 Jun/24/16
Description: AMAT 9090-00941 CONNECTION BOX ASSEMBLY
capitolareatech NEW - $73.00 0 Feb/25/16 Jun/24/16
Description: LAM 853-034153-001 Assembly Cable RF from Sens Box to Upper TCP, 551096056; Appr
dvkelectronics Used - $99.00 0 Feb/25/16 Mar/26/16
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0150-01066 CABLE ASSY., DNET JUMPER BOX, ON BOARD D
ciarasheltie NEW - $19.99 0 Mar/01/16 Mar/08/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
capitolareatech NEW - $45.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0150-00392 CABLE ASSY,FILTER BOX INTERCONNECT,HT DP
garzarosa Used - $3,000.00 0 Mar/04/16 Mar/24/16
Description: AMAT 0010-11489 W/ OSCR Seasoning REV .002 / SEE PICS / PAPERWORK/ OPEN BOX
ciarasheltie NEW - $19.99 0 Mar/08/16 Mar/16/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
visionsemi NEW - $850.00 0 Mar/09/16 May/26/17
Description: APPLIED MATERIALS AMAT CVD REMOTE GAS BOX KIT 0240-23842 1400-01162 UV SENSOR
svcstore Used - $799.99 0 Mar/11/16 Apr/10/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
capitolareatech NEW - $237.99 0 Mar/16/16 Sep/15/16
Description: AMAT 0020-10866 INSULATOR,PANEL,TOP,HOT BOX
ptb-sales Used - $875.00 0 Mar/18/16 Mar/28/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
solanotraders Used - $950.00 0 Mar/18/16 Apr/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
bruce135 Used - $149.00 0 Mar/21/16 Apr/20/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
garzarosa Scrap, for parts - $1,500.00 0 Mar/24/16 Apr/23/16
Description: AMAT 0010-11489 W/ OSCR Seasoning REV .002 / SEE PICS / PAPERWORK/ OPEN BOX
svcstore Used - $149.99 0 Mar/25/16 Apr/24/16
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
supertechshop Used - $2,495.00 0 Mar/26/16 Apr/25/16
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy / Warranty
ptb-sales Used - $875.00 0 Mar/28/16 Apr/07/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
dvkelectronics Used - $99.00 0 Mar/27/16 Apr/26/16
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
ciarasheltie NEW - $19.99 0 Apr/04/16 Apr/11/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
capitolareatech NEW - $10.00 0 Apr/04/16 Jul/03/16
Description: AMAT 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO
ptb-sales Used - $875.00 0 Apr/08/16 Apr/18/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
buynsell3030 NEW - $95.00 0 Apr/10/16 May/10/16
Description: LAM RESEARCH 853-021814-001 ASSY EXT BOX ISO ETCH
svcstore Used - $799.99 0 Apr/10/16 May/10/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ciarasheltie NEW - $19.99 0 Apr/12/16 Apr/19/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
athomemarket NEW - $599.99 0 Apr/14/16 Apr/21/16
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
gti-semi Used - $800.00 0 Apr/15/16 Oct/15/19
Description: AMAT, BEAMLINE INTERLOCK BOX, p/n 0090-91409 ITL
bobsgoodies2 NEW - $57.00 0 Apr/15/16 Mar/31/22
Description: APPLIED MATERIALS 0020-36345 Connecting Strap 188A Remote AC Box Copper Z Strap
capitolareatech NEW - $9,959.96 0 Apr/17/16 Sep/15/16
Description: AMAT 0190-01599 BOX, IBC DISPENSE BOX, ECP - SF3
ptb-sales Used - $875.00 0 Apr/18/16 Apr/28/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
solanotraders Used - $760.00 0 Apr/18/16 May/18/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $4.95 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-09533 HANDLE, GAS BOX
bruce135 Used - $149.00 0 Apr/20/16 May/20/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
capitolareatech NEW - $12.25 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-39365 Bracket, Manual Valve 0.8" SLD Box CENTURA 52
capitolareatech NEW - $30.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-26993 HINGE, CONTROLLER DRIVER BOX
capitolareatech NEW - $12.15 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-22309 Box Connection Lens Block
capitolareatech NEW - $13.60 0 Apr/21/16 Sep/15/16
Description: LAM RESEARCH 716-015098-001 INSULATOR ELBOW LOWER MATCH BOX
capitolareatech NEW - $15.06 0 Apr/21/16 Sep/15/16
Description: LAM 853-017423-002 CABLE COAX RF MATCH BOX ASSY
visionsemi Used - $2,100.00 0 Apr/21/16 Oct/31/16
Description: AMAT APPLIED MATERIALS 0010-24655 PEDESTAL INTEGRATION BOX
athomemarket NEW - $599.99 0 Apr/22/16 May/09/18
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
ciarasheltie NEW - $19.99 0 Apr/24/16 May/01/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
pic_insit Used - $1,190.00 0 Apr/25/16 May/25/16
Description: AMAT Applied Materials 0010-93076 HV Filter Box Assembly
supertechshop Used - $2,495.00 0 Apr/25/16 May/19/16
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy / Warranty
svliquidate NEW - $595.00 0 Apr/25/16 May/19/16
Description: NEW AMAT 0190-40856 Horiba STEC LF-F404M-A-EVD Liquid FLow Meter ATRP 4g/min Box
capitolareatech NEW - $175.00 0 Apr/27/16 Jun/26/16
Description: XYCARB 0020-99039 AMAT 0020-99039 INSERT DIFF PUMP BOX
dvkelectronics Used - $99.00 0 Apr/27/16 May/27/16
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
ptb-sales Used - $875.00 0 Apr/29/16 May/09/16
Description: Magmotor Controller Box P/N 71-100-1856, 200mm AMAT # 0190-00312
ciarasheltie NEW - $19.99 0 May/01/16 May/08/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
pic_insit Used - $1,200.00 0 May/05/16 Jun/04/16
Description: AMAT Applied Materials 0010-93076 HV Filter Box Assembly
ciarasheltie NEW - $19.99 0 May/09/16 May/16/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
usedeqsales Used - $805.16 0 May/10/16 Sep/27/22
Description: AMAT Applied Materials 0010-09063 2-Axis Susceptor Calibration Display Box New
mtd627 Used - $2,500.00 0 Apr/11/16 May/11/16
Description: SMC Thermocon INR-244-370A TEL Tokyo Electron Photoresist Chemical Box Mark Act
svcstore Used - $799.99 0 May/11/16 Jun/10/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
outback6stk NEW - $250.00 0 May/11/16 May/18/16
Description: Z127224 Yaskawa SGMP-01U3AP08 AC Servo Motor AMAT 0190-77181 - New in Box
ciarasheltie NEW - $19.99 0 May/17/16 May/24/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ecomicron NEW - $600.00 0 May/17/16 Jun/22/23
Description: 0240-07855, AMAT, KIT, EXHAUST SENSOR BOX, 300MM MM MESA,
solanotraders Used - $760.00 0 May/18/16 Jun/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
outback6stk NEW - $300.00 1 May/18/16 Jun/02/16
Description: Z127224 Yaskawa SGMP-01U3AP08 AC Servo Motor AMAT 0190-77181 - New in Box
allpart2016 Scrap, for parts - $1,850.00 0 May/22/16 Jun/04/19
Description: AMAT 0010-76535 ASSY, PNEUMATIC LOGIC BOX TILT CASSETTE SOLD AS-IS
bruce135 Used - $149.00 0 May/23/16 Jun/22/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $149.99 0 May/27/16 Jun/26/16
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
pic_insit Used - $1,180.00 0 May/28/16 Jun/27/16
Description: AMAT Applied Materials 0010-93076 HV Filter Box Assembly
dvkelectronics Used - $99.00 0 May/28/16 Jun/27/16
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
gti-semi Used - $75,000.00 0 Jun/02/16 Nov/26/19
Description: AMAT Endura 5500 Main AC Box, Transformer, 480V, p/n 0290-76069
ecomicron NEW - $600.00 1 Jun/07/16 Feb/03/22
Description: 0140-35114, AMAT, HARNESS ASSY, 5-PHASE DRIVER BOX
y.t.r2011 NEW - $600.00 1 Jun/08/16 Jun/09/16
Description: AMAT 0190-10734 LOWER ELECTRONIC BOX BB1 FOR 2 CHEM
supertechshop Used - $1,250.00 0 Jun/10/16 Jul/10/16
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy
svcstore Used - $799.99 0 Jun/13/16 Jul/13/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
svcstore Used - $199.99 0 Jun/16/16 Jul/16/16
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
cleaningthegarage2016 NEW - $366.66 0 Jun/16/16 Sep/13/16
Description: Parker Skinner Valve 73222BN63N00N0L111C2 AMAT 824314 3870-01006 SV-8 New In Box
solanotraders Used - $712.50 0 Jun/17/16 Jul/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
ciarasheltie NEW - $19.99 0 Jun/19/16 Jun/26/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
gigabitpartsolutions NEW - $71.50 1 Jun/20/16 Dec/29/17
Description: RF Systems LAM RESEARCH (LAM) 853-017423-002 CABLE COAX RF MATCH BOX ASSY
pic_insit Used - $1,190.00 1 Jun/20/16 Jun/21/16
Description: AMAT Applied Materials 0010-93076 HV Filter Box Assembly
bruce135 Used - $149.00 0 Jun/22/16 Jul/22/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
visionsemi NEW - $400.00 0 Jun/23/16 Jan/19/17
Description: LAM RESEARCH 714-032017-003 COVER REM UPPER MATCH BOX F/G
hakl1103 Used - $1,800.00 1 Jun/28/16 Jan/29/18
Description: AMAT 0010-77682 ELECTRONIC BOX
pic_insit Used - $1,175.00 0 Jun/28/16 Jul/28/16
Description: AMAT Applied Materials 0010-93076 HV Filter Box Assembly
dvkelectronics Used - $99.00 0 Jun/28/16 Jul/28/16
Description: NOVELLUS 03-8133549-00 CHEMICAL REQUEST RELAY BOX BD ASSY SCH 76-133549-00
svcstore Used - $133.99 0 Jun/28/16 Jul/28/16
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
smkent82 Used - $120.00 0 Jun/28/16 Jul/19/16
Description: DLCM Lite Local Power Box B/O Board Novellus 02-021383-01
smkent82 Scrap, for parts - $50.00 0 Jun/29/16 Jul/19/16
Description: Novellus Box 04-045988-00
systasemi Used - $450.00 0 Jul/01/16 Oct/19/16
Description: SW BOX, PED, NOVELLUS C2 SPD-S, Cable 03-042616-02
capitolareatech NEW - $9.08 0 Jul/08/16 Sep/15/16
Description: AMAT 3100-01072 LMB HEEGER TF-779 PLAIN BOX ELECT 5"X4"X3" .04"THK ALUM SHEET ME
capitolareatech NEW - $1,130.11 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-10159 ASSY ONADA AC BOX
capitolareatech NEW - $126.83 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-42182 COVER, LOWER WTR BOX, ANNL CHMBR 300MM
capitolareatech NEW - $113.24 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-42181 COVER, UPPER WTR BOX, ANNL CHMBR, 300MM
capitolareatech NEW - $956.70 0 Jul/08/16 Sep/15/16
Description: AMAT 0090-91609 SPLITTER BOX X13AB
capitolareatech NEW - $116.98 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-02327 CABLE ASSY, EMO ASSY TO AC BOX, MIRRA 30
capitolareatech NEW - $105.95 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-02326 CABLE ASSY, EMO CONT TO AC BOX, MIRRA 30
capitolareatech NEW - $59.44 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-01903 Cable Assembly, Interface, AC Box, MCA+ I/L
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-01066 CABLE ASSY., DNET JUMPER BOX, ON BOARD D
capitolareatech NEW - $127.56 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-90426 CFA CLEAN ROOM REMOTE CTRL BOX
capitolareatech NEW - $473.57 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-90207 LOOM ASSEMBLY BLADE BOX
capitolareatech NEW - $9,959.96 0 Jul/08/16 Jul/08/16
Description: AMAT 0190-01599 BOX, IBC DISPENSE BOX, ECP - SF3
capitolareatech NEW - $86.08 0 Jul/08/16 Sep/15/16
Description: AMAT 0227-06543 75 FT OZONATOR TO REMOTE AC BOX
capitolareatech NEW - $222.05 0 Jul/08/16 Sep/15/16
Description: AMAT 0226-43113 ENCLOSURE BOX H/E TEMP CNTRL
capitolareatech NEW - $100.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-00804 DRY NOVA CONTROL BOX POWER CABLE ASSY 1.
be4049 Used - $7,700.00 0 Jul/08/16 Mar/17/20
Description: 0010-04561 ASSEMBLY BIASED ELECTRODE AC BOX W/ 0010-01831 APPLIED MATERIALS
capitolareatech NEW - $35.00 0 Jul/08/16 Sep/15/16
Description: AMAT 150-14746 CABLE,ASSY AC BOX ADAPTOR PRODICER SE; 0150-14746 REV 002
capitolareatech NEW - $125.00 0 Jul/08/16 Sep/15/16
Description: AMAT 140-14621 HARNESS ASSY,FILTER BOX POWER INTERLOCK 0140-14621
capitolareatech NEW - $175.00 0 Jul/08/16 Sep/15/16
Description: XYCARB 0020-99039 AMAT 0020-99039 INSERT DIFF PUMP BOX
capitolareatech NEW - $225.00 0 Jul/09/16 Sep/15/16
Description: LAM 715-015092-001 BLOCK THREADED (FEED-THRU BOX)
capitolareatech NEW - $750.00 0 Jul/09/16 Sep/15/16
Description: AMAT 9090-00941 CONNECTION BOX ASSEMBLY
capitolareatech NEW - $73.00 0 Jul/09/16 Sep/15/16
Description: LAM 853-034153-001 Assembly Cable RF from Sens Box to Upper TCP, 551096056; Appr
capitolareatech NEW - $45.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0150-00392 CABLE ASSY,FILTER BOX INTERCONNECT,HT DP
ciarasheltie NEW - $19.99 0 Jul/14/16 Jul/21/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
svcstore Used - $799.99 0 Jul/15/16 Aug/14/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
solanotraders Used - $760.00 0 Jul/17/16 Aug/16/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
jtmtech Used - $3,000.00 0 Jul/18/16 Oct/13/21
Description: Lam Rainbow Remote AC Box 685-017705-550
systasemi NEW - $165.00 1 Jul/20/16 Jun/25/18
Description: Novellus, DLCM-LITE LOCAL POWER BOX B/O BD, Board, 02-021383-01
svcstore Used - $199.99 0 Jul/22/16 Aug/21/16
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
bruce135 Used - $149.00 0 Jul/25/16 Aug/24/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
testeqe NEW - $49.99 0 Jul/29/16 Jul/24/17
Description: NEW AMAT PN: 0150-14746 Cable Assy, CBL AC Box Adapter Producer SE Assembly
svcstore Used - $119.99 0 Jul/29/16 Aug/28/16
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
sammy_etek Used - $14,500.00 1 Jul/30/16 Apr/19/18
Description: 0010-01183, APPLIED MATERIALS, ASSY, FILTER BOX, HT CATHODE, DPS
sammy_etek NEW - $3,100.00 1 Jul/31/16 Dec/12/19
Description: 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX
pohyh NEW - $250.00 0 Aug/02/16 Jul/19/18
Description: 4976 APPLIED MATERIAL CHAMBER B BRACKET, AC BOX, PRODUCER SE (NEW) 0040-53415
pohyh NEW - $500.00 0 Aug/03/16 Apr/25/17
Description: 5002 APPLIED MATERIAL KIT, JUNCTION BOX & BRACKET, PRODUCER S 0242-31860 REV 002
kakkisung-6 Used - $1,999.00 0 Aug/03/16 Feb/09/22
Description: AMAT Applied Materials 0090-04736 Rev. 001 RF Filter Box Assy. Producer SE
ciarasheltie NEW - $19.99 0 Aug/03/16 Aug/10/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
pohyh NEW - $550.00 0 Aug/03/16 Mar/28/17
Description: 5015 APPLIED MATERIAL JUNCTION BOX, RETRO REPLACE, PRODUCER S 0190-09209 REV 002
sammy_etek NEW - $2,000.00 1 Aug/08/16 Jul/25/18
Description: 0040-09260, APPLIED MATERIALS, GAS BOX,100MM/200MM WSI
y.t.r2011 NEW - $600.00 1 Aug/10/16 Sep/26/17
Description: AMAT 0190-10734 LOWER ELECTRONIC BOX BB1 FOR 2 CHEM
j316gallery Used - $1,020.45 0 Aug/11/16 Jun/18/21
Description: 5510 APPLIED MATERIALS ASSY, UNIVERSAL TEOS GAS BOX 0010-09961
ciarasheltie NEW - $19.99 0 Aug/11/16 Aug/18/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
pic_insit Used - $1,170.00 0 Aug/12/16 Sep/11/16
Description: AMAT Applied Materials 0010-93076 HV Filter Box Assembly
svcstore Used - $799.99 0 Aug/15/16 Sep/14/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
solanotraders Used - $950.00 0 Aug/16/16 Sep/15/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
kakkisung-6 Used - $5,999.00 0 Aug/22/16 Feb/09/22
Description: AMAT 0010-34832 REV001 Pedestal Integration Box RF INTLK CP81
kakkisung-6 Used - $7,999.00 0 Aug/22/16 Jan/22/19
Description: AMAT 0010-22716 B101/CHILLER AC-H20 BOX ASSY
bruce135 Used - $149.00 0 Aug/24/16 Sep/23/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $178.99 0 Aug/25/16 Sep/24/16
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
ciarasheltie NEW - $19.99 0 Aug/26/16 Sep/02/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
svcstore Used - $106.99 0 Aug/29/16 Sep/28/16
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
logansemi NEW - $900.00 0 Sep/01/16 Sep/22/16
Description: AMAT Applied Materials 200mm TXZ COOLING WATER BOX, 0040-03349, NOS
ciarasheltie NEW - $19.99 0 Sep/02/16 Sep/09/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
athomemarket NEW - $99.99 0 Sep/02/16 Sep/09/16
Description: NEW Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box BES-9321-02C
bornalliancecom Used - $895.00 0 Sep/05/16 Aug/31/21
Description: Applied Materials 0010-70094 AC Box , Assy P-5000
sacramento_liquidators Used - $1,000.00 0 Sep/09/16 Oct/09/16
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
pic_insit Used - $1,165.00 1 Sep/12/16 Sep/29/16
Description: AMAT Applied Materials 0010-93076 HV Filter Box Assembly
yericomfg Used - $1,000.00 0 Sep/12/16 Aug/08/18
Description: Applied Materials; 0010-24655, Pedestal Integration Box
athomemarket NEW - $84.99 0 Sep/12/16 Jul/01/18
Description: NEW Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box BES-9321-02C
lwltech NEW - $449.00 1 Sep/13/16 Sep/20/16
Description: Applied Materials AMAT, 0040-03349, TXZ 200 MM COOLING WATER BOX
svcstore Used - $199.99 0 Sep/13/16 Oct/13/16
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
ciarasheltie NEW - $19.99 0 Sep/14/16 Sep/21/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
solanotraders Used - $950.00 0 Sep/15/16 Oct/15/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $799.99 0 Sep/15/16 Oct/15/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
kakkisung-6 Used - $1,999.00 0 Sep/19/16 Feb/09/22
Description: AMAT 0010-30501, OUTER RF FILTER BOX ASSY
capitolareatech NEW - $40.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-07117 Cable Assembly, Ice Match Box, DC Return, 30
capitolareatech NEW - $75.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-08725 Cable Assembly, Analog IO, LDM Interface Box
capitolareatech NEW - $100.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-00804 DRY NOVA CONTROL BOX POWER CABLE ASSY 1.
capitolareatech NEW - $35.00 0 Sep/19/16 Nov/18/16
Description: AMAT 150-14746 CABLE,ASSY AC BOX ADAPTOR PRODICER SE; 0150-14746 REV 002
capitolareatech NEW - $158.36 0 Sep/20/16 Nov/19/16
Description: AMAT 3330-01090 GEAR BOX REDUCTION 15:1 GEAR RATIO .708DIA SHAFT
capitolareatech NEW - $77.14 0 Sep/20/16 Nov/19/16
Description: AMAT 3300-03180 FTG ADPTR 45 DEG BOX TO COVER 600VAC 60A CONN
capitolareatech NEW - $750.00 0 Sep/20/16 Nov/19/16
Description: AMAT 9090-00941 CONNECTION BOX ASSEMBLY
capitolareatech NEW - $13.60 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 716-015098-001 INSULATOR ELBOW LOWER MATCH BOX
capitolareatech NEW - $73.00 0 Sep/20/16 Nov/19/16
Description: LAM 853-034153-001 Assembly Cable RF from Sens Box to Upper TCP, 551096056; Appr
ciarasheltie NEW - $19.99 0 Sep/22/16 Sep/29/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
artsemi Used - $150.00 1 Sep/23/16 Feb/23/20
Description: AMAT 3930-01042 Temp. Box, Leybold temperature controller 859 20 A 95 11
bruce135 Used - $149.00 0 Sep/26/16 Oct/26/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $106.99 0 Sep/29/16 Oct/29/16
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $178.99 0 Sep/30/16 Oct/30/16
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
ciarasheltie NEW - $19.99 0 Oct/01/16 Oct/08/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
farmoninc Scrap, for parts - $50.00 1 Oct/01/16 Jan/12/17
Description: AMAT 0021-09612, Box, Conduit Housing, DPS. 416687
autoquip7 NEW - $650.00 0 Oct/03/16 Jul/25/22
Description: 0020-38717, APPLIED MATERIALS, MANIFOLD, CATHODE WATER, FAC BOX DPS
sacramento_liquidators Used - $799.99 0 Oct/11/16 Nov/10/16
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
pic_insit Used - $1,170.00 0 Oct/12/16 Oct/18/16
Description: AMAT Applied Materials 0010-93076 HV Filter Box Assembly, Stock #104
soldtou1 NEW - $999.99 0 Oct/12/16 Feb/23/18
Description: Novellus Systems Gas Box Distribution Speed 300 PCB 27-111301-00 02-111301-00
ciarasheltie NEW - $14.99 0 Oct/14/16 Oct/21/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
farmoninc NEW - $715.50 0 Oct/14/16 May/07/18
Description: AMAT 0010-09733, Clear Cover Remote AC Box Assembly. 417241
svcstore Used - $199.99 0 Oct/15/16 Nov/13/16
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
solanotraders Used - $760.00 0 Oct/15/16 Nov/14/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
orapma12012 Used - $8,995.00 0 Oct/16/16 Oct/23/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
pohyh Used - $100.00 1 Oct/16/16 Jan/12/17
Description: 7164 APPLIED MATERIALS DPS COVER, CONDUIT HOUSING BOX 0021-09620 REV A
farmoninc Used - $7,500.00 0 Oct/17/16 Mar/16/23
Description: AMAT 0020-10186, 5000 8" CVD Etch Chamber Lid, 200mm, Gas Box. 417330
svcstore Used - $799.99 0 Oct/18/16 Nov/17/16
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
alameda_electronics Used - $1,950.00 0 Oct/19/16 Nov/18/16
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy / Warranty
orapma12012 Used - $8,995.00 0 Oct/20/16 Oct/27/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
farmoninc NEW - $185.00 0 Oct/20/16 Dec/22/22
Description: AMAT 0140-03331 Rev.004, Harness Assy, Heater, Box A. 416197
farmoninc NEW - $250.00 0 Oct/21/16 Mar/16/23
Description: AMAT 0040-18178, Tray, Plate Source Box OT H20 Leak 415298
ciarasheltie NEW - $14.99 0 Oct/21/16 Oct/28/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
orapma12012 Used - $595.00 0 Oct/23/16 Oct/30/16
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
orapma12012 Used - $8,995.00 0 Oct/23/16 Oct/30/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
spsglobal NEW - $300.00 0 Oct/24/16 Feb/10/17
Description: AMAT APPLIED MATERIALS 0020-42187 MANIFOLD, INLET, GAS BOX NEW
bruce135 Used - $149.00 0 Oct/26/16 Nov/25/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
orapma12012 Used - $8,995.00 0 Oct/27/16 Nov/03/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
ciarasheltie NEW - $9.99 0 Oct/28/16 Nov/04/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
877444unix NEW - $20.00 0 Oct/19/16 Nov/18/16
Description: PALL ULTIPOR VF FILTER CL3DV0P1G NEW IN THE BOX NOS
svcstore Used - $178.99 0 Oct/30/16 Nov/29/16
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
farmoninc NEW - $650.00 0 Oct/31/16 May/27/21
Description: AMAT 0040-03590 Pan, Spill, 1.2L TDMAT, TXZ Gas Box 300, 417820
visionsemi Used - $299.00 0 Oct/31/16 Nov/07/16
Description: AMAT APPLIED MATERIALS 0010-24655 PEDESTAL INTEGRATION BOX
svcstore Used - $95.99 0 Oct/31/16 Nov/30/16
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
ciarasheltie NEW - $9.99 0 Nov/05/16 Nov/12/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
wafersystemsolution Used - $900.00 0 Nov/08/16 Oct/13/21
Description: Lam Research LCD Display Interface Board 810-034344-003, Original Box
wafersystemsolution Used - $900.00 0 Nov/08/16 Oct/13/21
Description: Lam Research Interface Board 810-017604-002, Original Box
orapma12012 Used - $595.00 0 Nov/09/16 Nov/16/16
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
sacramento_liquidators Used - $799.99 0 Nov/10/16 Dec/10/16
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
ciarasheltie NEW - $9.99 0 Nov/12/16 Nov/19/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
solanotraders Used - $950.00 0 Nov/14/16 Dec/14/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
ciarasheltie NEW - $9.99 0 Nov/20/16 Nov/27/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
alameda_electronics Used - $950.00 0 Nov/20/16 Dec/20/16
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy / Warranty
ab-international NEW - $595.00 0 Nov/19/16 Nov/29/16
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
svcstore Used - $199.99 0 Nov/19/16 Dec/19/16
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
pohyh Used - $3,339.17 1 Nov/22/16 Feb/04/21
Description: 5132 APPLIED MATERIAL AC BOX, HTESC, P1 0010-13650
allforsale555 Used - $549.00 0 Nov/28/16 Jul/29/21
Description: APPLIED MATERIALS 0240-24284 KIT EDWARDS PUMP CONTROL BOX
bruce135 Used - $149.00 0 Nov/28/16 Dec/28/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
ciarasheltie NEW - $9.99 0 Nov/28/16 Dec/05/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
orapma12012 Used - $7,995.00 0 Nov/28/16 Dec/05/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $595.00 0 Nov/28/16 Dec/05/16
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
orapma12012 Used - $8,995.00 0 Nov/28/16 Dec/05/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
farmoninc Used - $4,500.00 0 Nov/29/16 Nov/16/17
Description: AMAT 0010-09961 Universal CVD Chamber, Gas Mixing Box, Showerhead, Block, 419408
svcstore Used - $160.99 0 Nov/30/16 Dec/30/16
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
svcstore Used - $85.99 0 Dec/01/16 Dec/31/16
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
orapma12012 Used - $6,995.00 0 Dec/05/16 Dec/12/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $495.00 0 Dec/05/16 Dec/12/16
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
orapma12012 Used - $7,995.00 0 Dec/05/16 Dec/12/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
svcstore Used - $679.99 0 Dec/06/16 Jan/05/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ciarasheltie NEW - $9.99 0 Dec/07/16 Dec/14/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ab-international NEW - $595.00 0 Dec/10/16 Dec/20/16
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
sacramento_liquidators Used - $799.99 0 Dec/10/16 Jan/09/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
pic_insit Used - $2,995.00 0 Dec/11/16 Jan/10/17
Description: AMAT 0010-93076 HV Filter Box Assembly, #147
orapma12012 Used - $6,995.00 0 Dec/12/16 Dec/19/16
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $495.00 0 Dec/12/16 Dec/19/16
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
orapma12012 Used - $7,995.00 0 Dec/12/16 Dec/19/16
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
solanotraders Used - $760.00 0 Dec/14/16 Jan/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
ciarasheltie NEW - $9.99 0 Dec/14/16 Dec/21/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
spsglobal Used - $100.00 0 Dec/15/16 Feb/04/18
Description: AMAT APPLIED MATERIALS 1080-01131 GEAR BOX REDUCTION 7.5:1 1/2 DIA. OUTPU USED
svcstore Used - $199.99 0 Dec/19/16 Jan/18/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
capitolareatech NEW - $53.42 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-10432 PANEL EXHAUST BOX REAR UPPER
capitolareatech NEW - $24.39 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-20819 Harness Assembly PCB/RGA Box INTCON
capitolareatech NEW - $24.17 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-20816 Harness Assembly FA/RGA BOX CH INTFC INTCON
capitolareatech NEW - $55.00 0 Dec/19/16 Nov/01/18
Description: AMAT 0140-14621 HARNESS ASSY,FILTER BOX POWER INTERLOCK
capitolareatech NEW - $72.84 0 Dec/19/16 Sep/19/19
Description: AMAT 0140-10286 HRNS,RF INTLK FILTER BOX,DPS
capitolareatech NEW - $70.19 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-10285 HRNS,HV INTLK FILTER BOX,DPS
capitolareatech NEW - $100.00 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-00804 DRY NOVA CONTROL BOX POWER CABLE ASSY 1.
capitolareatech NEW - $35.00 0 Dec/19/16 Jun/19/20
Description: AMAT 150-14746 CABLE,ASSY AC BOX ADAPTOR PRODICER SE; 0150-14746 REV 002
capitolareatech NEW - $125.00 0 Dec/19/16 Nov/01/18
Description: AMAT 140-14621 HARNESS ASSY,FILTER BOX POWER INTERLOCK 0140-14621
capitolareatech NEW - $175.00 0 Dec/19/16 Jun/20/20
Description: XYCARB 0020-99039 AMAT 0020-99039 INSERT DIFF PUMP BOX
capitolareatech NEW - $13.60 0 Dec/20/16 Jun/20/20
Description: LAM RESEARCH 716-015098-001 INSULATOR ELBOW LOWER MATCH BOX
capitolareatech NEW - $750.00 0 Dec/20/16 Jun/20/20
Description: AMAT 9090-00941 CONNECTION BOX ASSEMBLY
capitolareatech NEW - $73.00 0 Dec/20/16 Jun/20/20
Description: LAM 853-034153-001 Assembly Cable RF from Sens Box to Upper TCP, 551096056; Appr
farmoninc Used - $5,000.00 1 Dec/20/16 Oct/06/21
Description: AMAT 0010-09940, Assembly 8" WSI Gas Box, Feedthru, Top Lid, CVD, P5000. 420106
cubit001 Used - $505.00 1 Dec/21/16 Dec/21/16
Description: Applied Materials 0100-35273 TEOS Temperature Control Box PCB Used
alameda_electronics Used - $750.00 0 Dec/22/16 Jan/21/17
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy / Warranty
ciarasheltie NEW - $9.99 0 Dec/23/16 Dec/30/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
bruce135 Used - $149.00 0 Dec/28/16 Jan/27/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
orapma12012 Used - $6,995.00 0 Jan/01/17 Jan/08/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Jan/01/17 Jan/08/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $495.00 0 Jan/01/17 Jan/08/17
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
svcstore Used - $76.99 0 Jan/03/17 Feb/02/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $143.99 0 Jan/03/17 Feb/02/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
ab-international NEW - $558.88 0 Jan/03/17 Jan/13/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
cubit001 Used - $499.00 0 Jan/04/17 Feb/03/17
Description: Applied Materials 0100-35273 TEOS Temperature Control Box PCB Used
ntc_tech Used - $1,124.99 0 Jan/06/17 Jul/26/19
Description: Novellus 02-377211-00 4400V RF Box
orapma12012 Used - $6,995.00 0 Jan/08/17 Jan/15/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Jan/08/17 Jan/15/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $495.00 0 Jan/08/17 Jan/15/17
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
ciarasheltie NEW - $9.99 0 Jan/09/17 Jan/16/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ntc_tech Scrap, for parts - $700.00 0 Jan/09/17 Jun/08/17
Description: Novellus Regen Solutions 27-132651-00 SRN1-2 RF Match Box
svcstore Used - $679.99 0 Jan/11/17 Feb/10/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
powersell007 Used - $129.00 0 Jan/13/17 Dec/13/23
Description: APPLIED MATERIALS 0021-20495 HEAT SHIELD,RIGHT HALF,HTHU REV A AMAT *NEW IN BOX*
solanotraders Used - $950.00 0 Jan/13/17 Feb/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sacramento_liquidators Used - $799.99 0 Jan/14/17 Feb/13/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
orapma12012 Used - $6,995.00 0 Jan/15/17 Jan/22/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $7,995.00 0 Jan/15/17 Jan/22/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $495.00 0 Jan/15/17 Jan/22/17
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
allforsale555 NEW - $699.00 1 Jan/17/17 Jun/23/17
Description: APPLIED MATERIALS 0010-21154 CONT BOX ASSY EDWARDS PUMP INTERFACE/ 0240-23497
svcstore Used - $199.99 0 Jan/19/17 Feb/18/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
alameda_electronics Used - $450.00 1 Jan/21/17 Feb/16/17
Description: AMAT 0010-28071 Endura Chamber RF Pedestal Integration Box Assy / Warranty
ciarasheltie NEW - $9.99 0 Jan/22/17 Jan/29/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
orapma12012 Used - $6,995.00 0 Jan/25/17 Feb/01/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
grandbirdnet NEW - $8,500.00 0 Jan/25/17 Oct/23/23
Description: AMAT 0010-01388 LTESC CONTROL BOX ASSY, NEW
orapma12012 Used - $495.00 0 Jan/25/17 Feb/01/17
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
orapma12012 Used - $7,995.00 0 Jan/25/17 Feb/01/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
bruce135 Used - $149.00 0 Jan/30/17 Mar/01/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pic_insit Used - $2,985.00 1 Jan/30/17 Feb/18/17
Description: AMAT 0010-93076 HV Filter Box Assembly, #147
usedhightechequipment Used - $7,290.00 0 Jan/31/17 Feb/05/17
Description: LAM Research OffBoard Gas Box Motherboard 300mm (12 gas), 810-800316-004 Rev C
cubit001 NEW - $275.00 2 Feb/01/17 Mar/03/17
Description: Lam Inner Gate Bellows 839-012255-001 REV F New In box, Sealed
allforsale555 NEW - $799.00 0 Feb/01/17 Apr/02/17
Description: APPLIED MATERIALS 0240-23497 STD PUMP I/F BOX
visionsemi Used - $299.00 0 Feb/01/17 Feb/08/17
Description: AMAT APPLIED MATERIALS 0010-24655 PEDESTAL INTEGRATION BOX
visionsemi NEW - $400.00 0 Feb/01/17 May/26/17
Description: LAM RESEARCH 714-032017-003 COVER REM UPPER MATCH BOX F/G
orapma12012 Used - $6,995.00 0 Feb/01/17 Feb/08/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $495.00 0 Feb/01/17 Feb/08/17
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
orapma12012 Used - $7,995.00 0 Feb/01/17 Feb/08/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
ciarasheltie NEW - $9.99 0 Feb/03/17 Feb/10/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
svcstore Used - $68.99 0 Feb/03/17 Mar/05/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $128.99 0 Feb/03/17 Mar/05/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
ab-international NEW - $558.88 0 Feb/05/17 Feb/15/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
usedhightechequipment Used - $7,999.00 0 Feb/06/17 Mar/25/17
Description: LAM Research OffBoard Gas Box Motherboard 300mm (12 gas), 810-800316-004 Rev C
allforsale555 NEW - $199.00 0 Feb/08/17 Mar/27/19
Description: APPLIED MATERIALS 0150-18134 CABLE ASSY. SOURCE BOX TO AC POWER
orapma12012 Used - $6,995.00 0 Feb/08/17 Feb/15/17
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS
orapma12012 Used - $495.00 0 Feb/08/17 Feb/15/17
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
orapma12012 Used - $7,995.00 0 Feb/08/17 Feb/15/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
ciarasheltie NEW - $9.99 0 Feb/11/17 Feb/18/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
spsglobal Used - $60.00 0 Feb/12/17 Jun/15/23
Description: 143-0703// AMAT APPLIED 0150-21183 CABLE ASSY DIRECT DRIVE BOX I/O NEW
spsglobal Used - $500.00 3 Feb/12/17 Aug/14/18
Description: AMAT APPLIED MATERIALS 0021-09622 CHASSIS,HV FILTER BOX,DPS USED
spsglobal Used - $2,000.00 2 Feb/12/17 Jul/10/22
Description: 350-0101// AMAT APPLIED 0010-93077 CONNECTOR BOX ASSY, HV FILTER, W/NEG ESC USED
spsglobal Used - $5,500.00 1 Feb/12/17 Oct/18/17
Description: AMAT APPLIED MATERIALS 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/S, DPS+ USED
spsglobal Used - $1,500.00 1 Feb/12/17 Apr/28/22
Description: 350-0101// AMAT APPLIED 0010-30140 ASSY,CONNECTOR BOX,HV FILTER,DPS USED
spsglobal Used - $300.00 0 Feb/12/17 Jun/14/18
Description: AMAT APPLIED MATERIALS 0020-28933 STRAP, VARIABLE CAPS, RF MATCH BOX USED
solanotraders Used - $712.50 0 Feb/12/17 Mar/14/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sacramento_liquidators Used - $799.99 0 Feb/13/17 Mar/16/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
svcstore Used - $679.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
pohyh Used - $1,000.00 1 Feb/16/17 May/01/17
Description: 7764 APPLIED MATERIAL ENDURA PUMP I/F CONT BOX FRAME 0100-20059 0010-21154
pohyh Used - $1,833.15 1 Feb/17/17 May/27/20
Description: 7774 APPLIED MATERIAL RF FILTER BOX ASSY, PRODUCER SE 0090-04736
pohyh Used - $366.63 1 Feb/17/17 May/27/20
Description: 7780 APPLIED MATERIAL CERAMIC HEATER RF FILTER BOX ASSY W/O HEAD, 009 0010-34855
ciarasheltie NEW - $9.99 0 Feb/20/17 Feb/27/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
pohyh Used - $4,369.01 0 Feb/20/17 Feb/08/21
Description: 5144 APPLIED MATERIAL ENDURA AC BOX HTESC 0090-02958
cubit001 Used - $899.00 0 Feb/21/17 Mar/23/17
Description: AMAT 0010-70415 5000 Cleanroom Ergo Pneumatics Box
pic_insit Used - $3,000.00 1 Feb/22/17 Feb/23/17
Description: AMAT 0010-30139 HV Filter Box Assembly, #138
svcstore Used - $199.99 0 Feb/24/17 Mar/26/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
allforsale555 Used - $399.00 0 Mar/01/17 Jul/12/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID 200MM PRODUCER 0040-50344 REV 003
orapma12012 Used - $6,995.00 0 Mar/02/17 Mar/09/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $295.00 0 Mar/02/17 Mar/09/17
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
bruce135 Used - $149.00 0 Mar/03/17 Apr/02/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
ab-international NEW - $558.88 0 Mar/05/17 Mar/15/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
cubit001 NEW - $275.00 0 Mar/05/17 Apr/04/17
Description: Lam Inner Gate Bellows 839-012255-001 REV F New In box, Sealed
detroitindustrialsurplus NEW - $2,499.97 1 Mar/06/17 Feb/25/18
Description: YASKAWA / NOVELLUS SYSTEMS YSC-BSA01038PLPS / 02-294831-00 NEW SURPLUS, NO BOX
detroitindustrialsurplus NEW - $2,499.97 1 Mar/06/17 Jun/09/17
Description: YASKAWA / NOVELLUS SYSTEMS YSC-BSA01038PLP / 04-294397-00 NEW SURPLUS, in BOX
detroitindustrialsurplus NEW - $2,499.97 4 Mar/06/17 Jun/10/18
Description: YASKAWA / LAM RESEARCH YSC-BSA01038PL-2 / 790-205578-001 NEW SURPLUS, in BOX
svcstore Used - $61.99 0 Mar/06/17 Apr/05/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $115.99 0 Mar/06/17 Apr/05/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
intek22 Used - $2,500.00 2 Mar/08/17 Oct/02/17
Description: Novellus 02-377999-00 ASSY,LPB,C2SEQ-X,MOD Main Power Panel Control Box
bobsgoodies NEW - $850.00 4 Jul/25/15 Mar/10/17
Description: Vexta PK569AUA 5-Phase Stepping Motors NIB (Box of 5)
orapma12012 Used - $6,995.00 0 Mar/09/17 Mar/16/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $295.00 0 Mar/09/17 Mar/16/17
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
solanotraders Used - $760.00 0 Mar/14/17 Apr/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sacramento_liquidators Used - $799.99 0 Mar/16/17 Apr/15/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
orapma12012 Used - $6,995.00 0 Mar/16/17 Mar/23/17
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796
orapma12012 Used - $295.00 0 Mar/16/17 Mar/23/17
Description: AMAT Applied Materials 0100-02040 Rev. 001 RF Filter Box Assy. Chamber Lift
johbedo_0 NEW - $500.00 1 Mar/17/17 Mar/18/17
Description: AMAT 0190-77527, PAD CONDITIONER, PC ROATION , new no box
svcstore Used - $679.99 0 Mar/17/17 Apr/16/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ciarasheltie NEW - $9.99 0 Mar/19/17 Mar/26/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
j316gallery Used - $2,000.00 1 Mar/23/17 Feb/01/18
Description: 7678 APPLIED MATERIAL ASSY, HTESC RF CLEAN CONTROL BOX 0010-21817
esl-elektronik NEW - $199.00 0 Mar/23/17 Jul/19/17
Description: Lam Research Weldment He Evac Lwr Mtch Box 839-350005-002 New
gemrkim1109 NEW - $1,899.00 0 Mar/24/17 Apr/29/19
Description: Lam Research PCBA, JETSTREAM GAS BOX MB 810-073479-105 / Free Exp. Shipping
ace449parts2010 Used - $2,999.00 0 Mar/26/17 Oct/26/19
Description: AMAT 0041-04323 GAS BOX SILANE, PRODUCER - 2
svcstore Used - $199.99 0 Mar/27/17 Apr/26/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
cubit001 Used - $5,899.00 0 Mar/28/17 Apr/27/17
Description: AMAT 0040-61049 CHUCK WATER BOX WITH LIFT ASSEMBLY, 0050-08046, 0040-61048
cubit001 Used - $599.00 0 Mar/28/17 Apr/27/17
Description: APPLIED MATERIAL 0040-09091 GAS BOX 456PL
ciarasheltie NEW - $9.99 0 Apr/02/17 Apr/09/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
bruce135 Used - $149.00 0 Apr/03/17 May/03/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
yericomfg NEW - $700.00 0 Apr/04/17 Aug/08/18
Description: Applied Materials 0100-02139 Gas Box Distribution Board HP+AxZ 300mm
j316gallery Used - $305.53 0 Apr/04/17 Nov/01/20
Description: 8097 LAM RESEARCH SYSTEM INTERLOCK, 300MM, CONTROL BOX 810-800031-300
cubit001 NEW - $275.00 0 Apr/05/17 May/05/17
Description: Lam Inner Gate Bellows 839-012255-001 REV F New In box, Sealed
j316gallery Used - $305.83 0 Apr/05/17 Aug/17/21
Description: 8150 APPLIED MATERIALS GAS BOX CHAMBER LID 200MM 0040-53927
johbedo_0 Used - $1,500.00 1 Apr/05/17 Apr/07/17
Description: AMAT, 0010-77825, smc, pnuematic, ELECTRONIC BOX, np420-dn1, used
svcstore Used - $54.99 0 Apr/06/17 May/06/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $103.99 0 Apr/06/17 May/06/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
plccenter Used - $64.00 0 Apr/07/17 Jun/15/23
Description: LAM LIGHTING 645-006543-001 / 645006543001 (NEW NO BOX)
johbedo_0 Used - $400.00 0 Apr/11/17 Aug/09/17
Description: AMAT, 0180-77060, smc, pnuematic, ELECTRONIC BOX, np420-dn1, used
farmoninc NEW - $1,500.00 1 Apr/12/17 Jul/13/18
Description: AMAT 0040-32073 Gas Box, Universal Lid w/ Alignment Holes, 422964
solanotraders Used - $760.00 0 Apr/13/17 May/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sacramento_liquidators Used - $799.99 0 Apr/15/17 May/15/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
ntsurplus302 Used - $340.00 0 Apr/18/17 Oct/08/18
Description: 3338 LAM Research 853-017163-001 Assy. RF Switch Box
ntsurplus302 Used - $390.00 0 Apr/18/17 Oct/08/18
Description: 3337 LAM Research 853-017163-001 Assy. RF Switch Box
svcstore Used - $679.99 0 Apr/17/17 May/17/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
testeqe NEW - $2,499.99 0 Apr/19/17 Jul/18/17
Description: NEW Novellus PN: 61-389405-00 15-Channel Pneumatic Gas Box Assembly Festo
ntsurplus302 Used - $200.00 0 Apr/18/17 Oct/08/18
Description: 3851 Novellus 02-021383-01 Rev. B DLCM-Lite Local Power Box B/O Board
ntsurplus302 Used - $200.00 0 Apr/18/17 Oct/08/18
Description: 3857 Novellus 02-021383-03 Rev. B DLCM-Lite Local Power Box B/O Board
ciarasheltie NEW - $9.99 0 May/01/17 May/08/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ab-international NEW - $558.88 0 Apr/30/17 May/10/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
svcstore Used - $160.99 0 May/02/17 Jun/01/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
randmgoods Scrap, for parts - $69.99 1 May/03/17 Nov/12/19
Description: AMAT 3930-01042 Temp. Box Leybold temperature controller 859 20
bruce135 Used - $149.00 0 May/04/17 Jun/03/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
cubit001 Used - $650.00 0 May/05/17 Jun/04/17
Description: AMAT 0010-09635 DELTA SACVD GAS BOX USED
dy-global NEW - $999.90 1 May/08/17 May/14/17
Description: 810-073479-105 REV.A PCBA,JETSTREAM GAS BOX MB LAM Research SEM-I-36=2M24
svcstore Used - $48.99 0 May/08/17 Jun/07/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $92.99 0 May/08/17 Jun/07/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
katiil3 NEW - $449.00 1 May/11/17 Feb/22/19
Description: APPLIED MATERIALS 0150-18134 CABLE ASSY. SOURCE BOX TO AC POWER ( LOT OF 2 )
solanotraders Used - $950.00 0 May/13/17 Jun/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sacramento_liquidators Used - $799.99 0 May/15/17 Jun/14/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
sparesllc09 Used - $6,500.00 1 May/18/17 Mar/28/18
Description: 0010-12168 /AC BOX 200MM SLT ESC ASSEMBLY/ APPLIED MATERIALS
svcstore Used - $679.99 0 May/19/17 Jun/18/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
j316gallery Used - $200.00 1 May/24/17 Apr/04/18
Description: 8715 APPLIED MATERIAL BOX DB9 TRI-PORT CHX PASS-THRU (0021-37393) 0010-37128
visionsemi Used - $355.00 0 May/26/17 Sep/18/18
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
used1eqsales Used - $705.15 0 Jun/02/17 Dec/20/17
Description: AMAT TPDU Monitor 0100-90492 Circuit Board AMAT Quantum X Beamline Control Box
visionsemi NEW - $400.00 0 May/30/17 Nov/08/22
Description: LAM RESEARCH 714-032017-003 COVER REM UPPER MATCH BOX F/G
svcstore Used - $160.99 0 Jun/01/17 Jul/01/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
ciarasheltie NEW - $9.99 0 Jun/03/17 Jun/10/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
comwaysind NEW - $147.00 1 Jun/05/17 Apr/04/18
Description: Applied Materials 0010-37128 Rev 005 HX cable Box D89 tri-port CHX pass-thru
used1eqsales Used - $1,252.14 0 Jun/05/17 Mar/29/18
Description: AMAT 9010-01381 Celerity Gas Card GeF4 AMAT Quantum X Gas Box working
bruce135 Used - $149.00 0 Jun/05/17 Jul/05/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
used1eqsales Used - $705.15 0 Jun/05/17 Mar/29/18
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working
used1eqsales Used - $1,504.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Control Rack
powersell007 Used - $259.00 0 Jun/08/17 Dec/08/23
Description: APPLIED MATERIALS 0020-87943 REV 03 AMAT *UNUSED SURPLUS IN BOX*
svcstore Used - $48.99 0 Jun/09/17 Jul/09/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $92.99 0 Jun/09/17 Jul/09/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
solanotraders Used - $950.00 0 Jun/12/17 Jul/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sacramento_liquidators Used - $799.99 0 Jun/14/17 Jul/14/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
katiil3 NEW - $699.00 0 Jun/17/17 May/26/19
Description: APPLIED MATERIALS 0240-23497 STD PUMP I/F BOX
dy-global NEW - $399.90 0 Jun/17/17 Sep/17/20
Description: Lam Research Coil Box 714-016061-003 REV.B Class C 1001660 SEM-I-65
ciarasheltie NEW - $9.99 0 Jun/18/17 Jun/25/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
svcstore Used - $679.99 0 Jun/21/17 Jul/21/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
athomemarket Used - $1,000.00 0 Jun/26/17 Oct/18/18
Description: AMAT Applied Materials 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $1,000.00 1 Jun/26/17 Jul/21/17
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $69.99 0 Jun/25/17 Oct/17/18
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
testeqe NEW - $49.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT PN: 0150-14746 Cable Assy, CBL AC Box Adapter Producer SE Assembly
testeqe NEW - $2,499.99 0 Jun/28/17 Aug/27/17
Description: NEW Novellus PN: 61-389405-00 15-Channel Pneumatic Gas Box Assembly Festo
athomemarket Used - $720.00 0 Jun/28/17 Jan/10/18
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
spsglobal Used - $2,000.00 1 Jun/28/17 Jan/18/24
Description: 131-0601 AMAT APPLIED 0010-09497 ASSY LID GAS BOX WSI [USED]
cubit001 NEW - $275.00 0 Jun/29/17 Jul/29/17
Description: Lam Inner Gate Bellows 839-012255-001 REV F New In box, Sealed
svcstore NEW - $92.99 0 Jul/03/17 Aug/02/17
Description: NEW AMAT Applied Materials 0190-09209 Retro Replace Junction Box
svcstore Used - $143.99 0 Jul/03/17 Aug/02/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
athomemarket Used - $750.00 1 Jul/04/17 Nov/03/17
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
bruce135 Used - $149.00 0 Jul/05/17 Aug/04/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $48.99 0 Jul/10/17 Aug/09/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
tgs816 Used - $150.00 0 Jul/11/17 Jul/13/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
svcstore Used - $92.99 0 Jul/10/17 Aug/09/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
solanotraders Used - $712.50 0 Jul/12/17 Aug/11/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
ciarasheltie NEW - $9.99 0 Jul/13/17 Jul/20/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
tgs816 Used - $75.00 0 Jul/13/17 Jul/20/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
sacramento_liquidators Used - $799.99 0 Jul/14/17 Aug/13/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
tgs816 Used - $50.00 0 Jul/21/17 Jul/28/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
svcstore Used - $679.99 0 Jul/24/17 Aug/23/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
j316gallery Used - $600.00 0 Jul/26/17 Sep/16/18
Description: 9053 LAM RESEARCH PCB A6 GAS BOX I/O INTERLOCK 810-494010-001
howard9996 NEW - $250.00 0 Jul/31/17 Aug/30/17
Description: LAM 839-043661-002 rev. A WLDMT, TG2 CTR , SWITCH BOX , DSO, ST Gas Line
howard9996 NEW - $330.00 0 Jul/31/17 Aug/30/17
Description: LAM 716-069709-059 rev. C Ceramic Ring New in Box
visionsemi NEW - $110.00 0 Aug/01/17 Sep/13/22
Description: APPLIED MATERIALS AMAT HARNESS ASSY GAS BOX FILTER SIGNAL PRODUCER 0140-18285
svcstore NEW - $92.99 1 Aug/03/17 Aug/17/17
Description: NEW AMAT Applied Materials 0190-09209 Retro Replace Junction Box
svcstore Used - $128.99 0 Aug/03/17 Sep/02/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
bruce135 Used - $149.00 0 Aug/08/17 Sep/07/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
tgs816 Used - $27.00 1 Aug/09/17 Aug/16/17
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB
svcstore Used - $48.99 0 Aug/11/17 Sep/10/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
solanotraders Used - $950.00 0 Aug/11/17 Sep/10/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $92.99 0 Aug/11/17 Sep/10/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
sacramento_liquidators Used - $799.99 0 Aug/13/17 Sep/12/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
berlintour NEW - $800.00 1 Aug/16/17 Aug/26/17
Description: AMAT 3870-05195, Service Box, Vat
svcstore Used - $679.99 0 Aug/24/17 Sep/23/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
spsglobal Used - $200.00 0 Aug/27/17 Jun/21/21
Description: 341-0101// AMAT APPLIED 0020-31558 PLATE, RF BOX, ESC USED
bobsgoodies2 Used - $275.00 0 Aug/28/17 Mar/31/22
Description: AMAT 0240-92457 Clean Room Remote Control Box with Cable 0140-90426
xsysengineering Used - $50.00 0 Aug/28/17 May/16/21
Description: Applied Materials (AMAT) PN: 0150-09100 Liquid Source Junction Box Raychem
xsysengineering Used - $120.00 0 Aug/28/17 Jun/12/21
Description: Applied Materials (AMAT) Electronic Box 0190-23662
howard9996 NEW - $250.00 0 Aug/31/17 Sep/30/17
Description: LAM 839-043661-002 rev. A WLDMT, TG2 CTR , SWITCH BOX , DSO, ST Gas Line
howard9996 NEW - $330.00 0 Aug/31/17 Sep/30/17
Description: LAM 716-069709-059 rev. C Ceramic Ring New in Box
ciarasheltie NEW - $9.99 0 Sep/01/17 Sep/08/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
novusferro Used - $2,499.00 0 Sep/03/17 Dec/22/17
Description: Lam Research Corporation 16-Line IGS Gas Box 571-033051-003
johbedo_0 NEW - $650.00 0 Sep/05/17 Sep/06/17
Description: AMAT APPLIED MATERIALS 0010-13439 MCA2 TC AMP BOX, NEW
svcstore Used - $128.99 0 Sep/05/17 Oct/05/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
bruce135 Used - $149.00 0 Sep/07/17 Oct/07/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
nri-industrial Used - $495.10 1 Sep/12/17 Mar/06/18
Description: APPLIED MATERIALS 0010-08671 MAINFRAME AC BOX D576980
sacramento_liquidators Used - $799.99 0 Sep/12/17 Oct/12/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
svcstore Used - $48.99 0 Sep/11/17 Oct/11/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
solanotraders Used - $570.00 0 Sep/10/17 Oct/10/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
noam-tech Used - $785.00 1 Sep/10/17 Apr/03/19
Description: Amat Sensor Box ASM 300mm With 0040-76631 Rev 07 0190-10801
svcstore Used - $92.99 0 Sep/12/17 Oct/12/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
athomemarket Used - $319.99 0 Sep/13/17 Dec/06/18
Description: AMAT/Applied Materials 0010-34855 Ceramic Heater RF Filter Box Assembly 300750
sparesllc09 Used - $2,100.00 0 Sep/20/17 Jul/18/19
Description: 0040-07545 / 300MM REMOTE AC BOX /APPLIED MATERIALS
plccenter Used - $190.00 0 Sep/21/17 Nov/15/23
Description: APPLIED MATERIAL 0190-35604 / 019035604 (NEW IN BOX)
svcstore Used - $679.99 0 Sep/24/17 Oct/24/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
it_eq_plus NEW - $999.95 1 Sep/29/17 Dec/06/17
Description: New! Applied Materials Power Supply Box 0190-34624 550W 101714-01
katsu9202408 NEW - $3,445.95 3 Oct/01/17 Feb/06/19
Description: Comet Ag Flamatt Lam research 0010-42741 REV002 New Without Box
ciarasheltie NEW - $9.99 0 Oct/05/17 Oct/12/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
howard9996 NEW - $250.00 0 Oct/06/17 Jan/14/18
Description: LAM 839-043661-002 rev. A WLDMT, TG2 CTR , SWITCH BOX , DSO, ST Gas Line
howard9996 NEW - $330.00 0 Oct/06/17 Jan/14/18
Description: LAM 716-069709-059 rev. C Ceramic Ring New in Box
svcstore Used - $115.99 0 Oct/06/17 Nov/05/17
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
solanotraders Used - $608.00 0 Oct/10/17 Nov/09/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
cubit001 Used - $1,288.00 0 Oct/10/17 Nov/09/17
Description: AMAT 0040-32073 Gas Box, Universal Lid w/ Alignment Holes
allforsale555 NEW - $1,499.00 0 Oct/11/17 Nov/14/17
Description: AMAT/Applied Material 0010-21154 ASSY PUMP INTERFACE BOX/ CONT BOX
bruce135 Used - $149.00 0 Oct/11/17 Nov/10/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
sacramento_liquidators Used - $799.99 0 Oct/12/17 Nov/11/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
svcstore Used - $48.99 0 Oct/13/17 Nov/12/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $92.99 0 Oct/13/17 Nov/12/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
allforsale555 Used - $399.00 0 Oct/16/17 Jul/29/21
Description: Applied materials 0020-09566 MTG BOX, HT EXCH INTERFC BD
bms-semicon Used - $1,499.99 1 Oct/17/17 Mar/27/24
Description: NOVELLUS 02-157910-00 REV.C ETHERNET BOX
spsglobal Used - $5,500.00 0 Oct/22/17 Apr/22/20
Description: AMAT APPLIED MATERIALS 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/S, DPS+ USED
svcstore Used - $679.99 0 Oct/24/17 Nov/23/17
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards
ciarasheltie NEW - $9.99 0 Oct/25/17 Nov/01/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
spsglobal Used - $1,200.00 0 Oct/26/17 Jan/18/18
Description: AMAT APPLIED MATERIALS 0010-21154 ASSY PUMP INTERFACE BOX USED
ciarasheltie NEW - $9.99 0 Nov/01/17 Nov/08/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
texassellbuy Used - $525.00 1 Nov/03/17 Nov/06/17
Description: 0021-09761 GAS BOX, SIN,DXZ, Applied Materials
j316gallery NEW - $218.45 0 Nov/07/17 Jan/07/22
Description: 9675 APPLIED MATERIALS HARNESS ASSY, WAFER HEATER RF FILTER BOX (NEW) 0140-14445
solanotraders Used - $760.00 0 Nov/09/17 Dec/09/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
bobsgoodies NEW - $2,500.00 2 Nov/10/17 Aug/22/18
Description: 0010-22326 APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX
sacramento_liquidators Used - $799.99 0 Nov/11/17 Dec/11/17
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
ciarasheltie NEW - $7.99 0 Nov/11/17 Nov/18/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
bruce135 Used - $149.00 0 Nov/13/17 Dec/13/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $43.99 0 Nov/15/17 Dec/15/17
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 Nov/15/17 Dec/15/17
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
ab-international NEW - $595.00 0 Nov/19/17 Nov/29/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
powersell007 Used - $859.00 0 Nov/23/17 Mar/03/22
Description: APPLIED MATERIALS 0041-04514 ZONE 1 CLAMP, 300MM TITAN CALYPSO AMAT *NEW IN BOX*
ab-international NEW - $595.00 0 Dec/05/17 Dec/15/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
svcstore Used - $485.99 0 Dec/07/17 Jan/06/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
svcstore Used - $115.99 0 Dec/07/17 Jan/06/18
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
sense_of_mia3 Used - $1,150.00 0 Dec/04/17 Dec/14/17
Description: ADVANCED ENERGY 3150272-005 NOVELLUS 19-111025-00 B6 MERCURY MATCH BOX
solanotraders Used - $570.00 0 Dec/09/17 Jan/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sacramento_liquidators Used - $799.99 0 Dec/11/17 Jan/10/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
bruce135 Used - $99.00 0 Dec/14/17 Jan/13/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
spsglobal Used - $2,000.00 0 Dec/27/17 Mar/03/22
Description: 351-0501// AMAT APPLIED 0010-22162 (#1) ASSY, WATER BOX, BESC USED
spsglobal Used - $1,800.00 0 Dec/27/17 Mar/03/22
Description: 351-0501// AMAT APPLIED 0010-22162 (#2) ASSY, WATER BOX, BESC USED
spsglobal Used - $4,000.00 0 Dec/27/17 Apr/23/18
Description: AMAT APPLIED MATERIALS 0010-22157 ASSY, AC BOX, BESC USED
asmtk Used - $3,000.00 0 Dec/28/17 Oct/01/21
Description: Applied Materials 9010-02162 Beamline Exhaust Box AMAT Quantum
farmoninc Used - $4,200.00 0 Dec/28/17 Mar/03/22
Description: AMAT 0010-09940 Assembly 8" WSI Gas Box Feedthru, Top Lid, CVD, P5000, 424021
ciarasheltie NEW - $7.99 0 Dec/30/17 Jan/06/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
svcstore Used - $43.99 0 Dec/30/17 Jan/29/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 Dec/30/17 Jan/29/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
parts-selling365 NEW - $470.00 1 Jan/08/18 Jun/11/21
Description: LAM 810-017031-004 New WITHOUT box
solanotraders Used - $570.00 0 Jan/08/18 Feb/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $485.99 0 Jan/09/18 Feb/08/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
sacramento_liquidators Used - $799.99 0 Jan/10/18 Feb/09/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
sparesllc09 Used - $8,000.00 0 Jan/11/18 Jan/23/18
Description: 571-065780-701 / KIYO ALL IN ONE GAS BOX 16 LINE IGS / LAM
bruce135 Used - $99.00 0 Jan/15/18 Feb/14/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
nitro43026 NEW - $85.00 0 Jan/17/18 Feb/16/18
Description: AMAT 0910-01343 Fuse Very Fast Acting 600V 30A Class T (Box of 10) JJS-30
asmtk Used - $8,000.00 0 Jan/18/18 Jun/14/18
Description: Applied Materials 0010-03128 ASSY, MCA+, AC BOX 2 AMAT
sparesllc09 Used - $1,200.00 0 Jan/18/18 Jul/30/19
Description: 0010-33918 / ENABLER POWER BREAKER BOX FOR MAIN POWER/SOURCE/PROCESS KIT / AMAT
svcstore Used - $115.99 0 Jan/24/18 Feb/23/18
Description: Novellus iFlow EIOC0 61-392967-00 Flow System Controller Box Interface Unit
capitolareatech NEW - $29.95 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-38503 BUS BAR, GROUND 5 POS, AC BOX DPS CENTURA
midwestsemi Used - $190.00 0 Jan/27/18 Mar/03/22
Description: NEW SEALED AMAT 0041-05453 E-CHUCK PR SUPPORT LEFT RF FILTER BOX FREESHIPSAMEDAY
weihehuan Used - $11,050.00 0 Jan/31/18 Dec/31/20
Description: AMAT 0100-60679 PNEUMATIC CONTROL BOX (MKS CDN396R CDN391R )
svcstore Used - $43.99 0 Jan/31/18 Mar/02/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 Jan/31/18 Mar/02/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
ciarasheltie NEW - $7.99 0 Feb/02/18 Feb/09/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ab-international NEW - $488.81 1 Feb/04/18 Oct/27/20
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
j316gallery Used - $300.00 0 Feb/05/18 Dec/02/21
Description: 10347 APPLIED MATERIALS ASSY, RF WATER BOX, HT BESC, 1.574" SHAFT 0040-23547
j316gallery Used - $363.30 0 Feb/05/18 Jan/04/23
Description: 10346 APPLIED MATERIALS 5000 CVD 8'' WSI GAS BOX 0010-09940
qrecycle NEW - $888.88 0 Feb/05/18 Feb/08/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
asmtk Used - $1,000.00 0 Feb/06/18 Feb/06/20
Description: Appiled Materials 0010-24625 INTERFACE BOX ASSY 300mm EMAX CTS AMAT
solanotraders Used - $608.00 0 Feb/07/18 Mar/09/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
sacramento_liquidators Used - $799.99 0 Feb/09/18 Mar/11/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
capitolareatech NEW - $1,395.00 0 Feb/09/18 Apr/13/18
Description: Applied Materials (AMAT) 0040-32073 Gas Box, Universal Lid w/ Alignment Holes
qrecycle NEW - $888.88 0 Feb/09/18 Feb/12/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
ciarasheltie NEW - $7.99 0 Feb/11/18 Feb/18/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
qrecycle NEW - $588.88 0 Feb/12/18 Feb/19/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
bruce135 Used - $89.00 0 Feb/14/18 Mar/16/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $485.99 0 Feb/15/18 Mar/17/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
levmucciacciar0 Used - $370.00 0 Feb/18/18 Mar/15/20
Description: 0100-38063, Applied Materials, TPU Edwards Burn Box, Interface Board Producer
ciarasheltie NEW - $7.99 0 Feb/18/18 Feb/25/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
qrecycle NEW - $588.88 0 Feb/19/18 Feb/22/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
asmtk Used - $500.00 0 Feb/20/18 Oct/01/21
Description: Applied Materials 0200-34775 BLOCER SILOX8" UNIV CH NON STEPPER GAS BOX AMAT
qrecycle NEW - $588.88 0 Feb/23/18 Feb/26/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
soldtou1 NEW - $1,899.99 0 Feb/23/18 Mar/03/22
Description: Novellus Systems 76-111301-00 02-111301 Gas Box Distribution Speed 300 PCB LAM
qrecycle NEW - $588.88 0 Feb/26/18 Mar/01/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle NEW - $588.88 0 Mar/01/18 Mar/06/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $488.88 0 Mar/01/18 Mar/06/18
Description: applied materials 0010-09063 susceptor cal box
svcstore Used - $43.99 0 Mar/04/18 Apr/03/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 Mar/04/18 Apr/03/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
weihehuan Used - $1,400.88 0 Mar/05/18 Apr/04/18
Description: AMAT PEDESTAL INTEGRATION BOX 0010-18108 RF INTLK CP81 0090-02830
capitolareatech Used - $1,699.95 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 853-025103-003 Lower Match Box Assembly-AS-IS
qrecycle NEW - $588.88 0 Mar/07/18 Mar/14/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $488.88 0 Mar/07/18 Mar/14/18
Description: applied materials 0010-09063 susceptor cal box
solanotraders Used - $608.00 0 Mar/09/18 Apr/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
ab-international NEW - $300.00 0 Mar/10/18 Mar/20/18
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
sacramento_liquidators Used - $799.99 0 Mar/11/18 Apr/10/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
qrecycle Used - $488.88 0 Mar/15/18 Mar/22/18
Description: applied materials 0010-09063 susceptor cal box
qrecycle NEW - $588.88 0 Mar/15/18 Mar/22/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
usedeqsales Used - $305.15 2 Mar/16/18 Feb/24/22
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Endura 300mm Used
y.t.r2011 Used - $1,800.00 1 Mar/17/18 Apr/02/18
Description: AMAT 0010-03151 ELECTRONIC BOX
keykorea Used - $50.00 0 Mar/18/18 Apr/11/18
Description: AMAT 0140-78509 CIRCUIT BREAKER BOX, USED
keykorea Used - $50.00 0 Mar/18/18 Apr/11/18
Description: AMAT 0140-78508 CIRCUIT BREAKER BOX, USED
keykorea Used - $50.00 0 Mar/18/18 Apr/11/18
Description: AMAT 0140-78506 CIRCUIT BREAKER BOX, USED
bruce135 Used - $89.00 0 Mar/19/18 Apr/18/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $485.99 0 Mar/19/18 Apr/18/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
spsglobal Used - $1,000.00 2 Mar/20/18 Apr/16/18
Description: 319-0401// AMAT APPLIED 0010-21154 ASSY PUMP INTERFACE BOX USED
ciarasheltie NEW - $7.99 0 Mar/20/18 Mar/27/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
qrecycle NEW - $588.88 0 Mar/22/18 Mar/29/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $488.88 0 Mar/22/18 Mar/29/18
Description: applied materials 0010-09063 susceptor cal box
spsglobal NEW - $1,000.00 1 Mar/28/18 Nov/21/19
Description: 320-0303// AMAT APPLIED 0010-09928 0100-09212 CONTROLLER BOX ASSY, ESC NEW
yericomfg NEW - $150.00 0 Mar/29/18 Aug/08/18
Description: AMAT Applied Materials 0010-34966 Box Assembly, Control Station Interface
qrecycle NEW - $588.88 0 Apr/01/18 Apr/06/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $488.88 0 Apr/01/18 Apr/06/18
Description: applied materials 0010-09063 susceptor cal box
partskorea1 Used - $4,999.00 0 Apr/02/18 Jan/02/20
Description: NOVELLUS 02-057438-27 Local Power Box Assy
capitolareatech NEW - $169.95 0 Apr/03/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-26346 GAS LINE, INLET N2 HEATER CVD GAS BOX
svcstore Used - $43.99 0 Apr/04/18 May/04/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 Apr/04/18 May/04/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
sparesllc09 Used - $6,500.00 0 Apr/05/18 Aug/08/19
Description: 0010-12168 /AC BOX 200MM SLT ESC ASSEMBLY/ APPLIED MATERIALS
solanotraders Used - $608.00 0 Apr/08/18 May/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
qrecycle NEW - $588.88 0 Apr/08/18 Apr/13/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $488.88 0 Apr/08/18 Apr/13/18
Description: applied materials 0010-09063 susceptor cal box
sacramento_liquidators Used - $651.11 0 Apr/10/18 May/10/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
ciarasheltie NEW - $7.99 1 Apr/10/18 Apr/17/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
athomemarket Used - $174.99 0 Apr/10/18 Apr/17/18
Description: Applied Material 0020-04038 RF Match Box Plate AMAT Chamber Box Plate
j316gallery Used - $16,500.00 0 Apr/11/18 Sep/24/19
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
qrecycle NEW - $588.88 0 Apr/15/18 Apr/20/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $488.88 0 Apr/15/18 Apr/20/18
Description: applied materials 0010-09063 susceptor cal box
sparesllc09 NEW - $8,200.00 0 Apr/16/18 Sep/30/19
Description: 0010-01388 / LTESC CONTROL BOX ASSY/ APPLIED MATERIALS
spsglobal Used - $40.00 0 Apr/18/18 Nov/11/19
Description: 307-0203// AMAT APPLIED 0020-22361 PLATE, TC BOX USED
athomemarket Used - $157.49 0 Apr/18/18 Jul/11/19
Description: Applied Material 0020-04038 RF Match Box Plate AMAT Chamber Box Plate
bruce135 Used - $89.00 1 Apr/18/18 May/18/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $485.99 0 Apr/19/18 May/19/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
qrecycle Used - $488.88 0 Apr/20/18 Apr/25/18
Description: applied materials 0010-09063 susceptor cal box
qrecycle NEW - $588.88 0 Apr/20/18 Apr/25/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $488.88 0 Apr/25/18 May/02/18
Description: applied materials 0010-09063 susceptor cal box
qrecycle NEW - $588.88 0 Apr/25/18 May/02/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
ab-international NEW - $300.00 0 Apr/27/18 May/07/18
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
katiil3 Used - $1,000.00 0 Apr/28/18 Oct/23/21
Description: Applied materials/ AMAT 0041-62587 GAS BOX FOR CENTER FLOW
bobsgoodies Used - $99.00 0 Apr/30/18 Mar/21/19
Description: AMAT 0040-32050 Degas Sensor 0150-10370 DI Signal Control Box Assy 0040-36170
gordonca Used - $555.00 0 Apr/30/18 Mar/09/23
Description: Applied Materials 0100-02139 Gas Box Distribution Bd HP+AxZ 300mm
qrecycle NEW - $588.88 0 May/03/18 May/08/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $488.88 0 May/03/18 May/08/18
Description: applied materials 0010-09063 susceptor cal box
svcstore Used - $43.99 0 May/06/18 Jun/05/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 May/06/18 Jun/05/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
weihehuan Used - $1,400.88 0 May/07/18 Oct/04/18
Description: AMAT PEDESTAL INTEGRATION BOX 0010-18108 RF INTLK CP81 0090-02830
qrecycle Used - $488.88 0 May/08/18 May/11/18
Description: applied materials 0010-09063 susceptor cal box
qrecycle NEW - $588.88 0 May/08/18 May/11/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $488.88 0 May/08/18 May/08/18
Description: applied materials 0010-09063 susceptor cal box
solanotraders Used - $570.00 0 May/08/18 Jun/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
athomemarket NEW - $79.99 0 May/09/18 Aug/07/19
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
sacramento_liquidators Used - $651.11 0 May/10/18 Jun/09/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
qrecycle Used - $399.00 0 May/11/18 May/14/18
Description: applied materials 0010-09063 susceptor cal box
qrecycle NEW - $388.00 0 May/11/18 May/14/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
capitolareatech NEW - $89.75 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-09628 CONDUIT EXTENSION BOX
capitolareatech NEW - $795.00 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-09531 HARNESS, HOT BOX BUNDLE, TEOS INTLK
qrecycle NEW - $588.88 0 May/14/18 May/17/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $888.88 0 May/14/18 May/17/18
Description: applied materials 0010-09063 susceptor cal box
capitolareatech NEW - $9.95 0 May/16/18 Aug/24/18
Description: Applied Materials (AMAT) 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO
spsglobal Used - $1,000.00 0 May/16/18 Jan/28/19
Description: 307-0301// AMAT APPLIED 0010-21154 ASSY PUMP INTERFACE BOX USED
qrecycle NEW - $588.88 0 May/17/18 May/22/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
qrecycle Used - $888.88 0 May/17/18 May/22/18
Description: applied materials 0010-09063 susceptor cal box
svcstore Used - $485.99 0 May/19/18 Jun/18/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
capitolareatech NEW - $105.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0140-76019 HARNESS, I/F TILT CASSETTE TO LOGIC BOX
capitolareatech NEW - $10.95 0 May/20/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-39364 BKT,MANUAL VALVE 1.6" SLD BOX CENTURA 52
capitolareatech NEW - $14.95 3 May/20/18 Feb/01/19
Description: Applied Materials (AMAT) 0020-39869 BRKT., BLOCK VALVE, GAS BOX, CENTURA
capitolareatech NEW - $39.95 0 May/20/18 Mar/09/19
Description: Applied Materials (AMAT) 0021-39007 BRACKET, 6 POSITION CAJON ,GAS BOX 31
sparesllc09 Used - $220.00 0 May/22/18 Sep/27/19
Description: 0020-31425 /LID, MIXER GAS BOX, BWCVD/APPLIED MATERIALS
qrecycle Used - $888.88 0 May/23/18 May/28/18
Description: applied materials 0010-09063 susceptor cal box
qrecycle NEW - $588.88 0 May/23/18 May/28/18
Description: Applied Materials Gas box Wsix CVD 0020-09940
solanotraders Used - $570.00 0 Jun/07/18 Jul/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $43.99 0 Jun/06/18 Jul/06/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 Jun/06/18 Jul/06/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
sacramento_liquidators Used - $618.49 0 Jun/09/18 Jul/09/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
dgold32 Used - $389.00 0 Jun/15/18 Mar/09/23
Description: Lam Research 007 IGS Gas Box MB 810-073479-003 REV A Board GASBOX LAM
randmgoods Used - $99.99 0 Jun/14/18 Jun/30/22
Description: Lam Research 853-004349-001 Power Distribution Box Excellent
bobsgoodies Used - $150.00 1 Jun/18/18 Mar/19/19
Description: AMAT 0650-01125 CMPTR SWITCH BOX 2-1 PS/2 SWCX47793 Black Box
ace_stellar_seller NEW - $999.00 1 Jun/20/18 Jul/25/18
Description: AMAT 0021-09760 GAS BOX DXZ
ace_stellar_seller NEW - $1,800.00 1 Jun/20/18 Aug/27/18
Description: AMAT 0040-61266 REV 03 GAS BOX, SIN, DXZ DCVD
autoquip7 NEW - $14,500.00 0 Jun/24/18 Jun/30/22
Description: 0010-01183, APPLIED MATERIALS, ASSY, FILTER BOX, HT CATHODE, DPS
svcstore Used - $485.99 1 Jun/22/18 Jul/09/18
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board
adelrick123 Used - $100.00 1 Jun/27/18 Apr/28/20
Description: Lam Research Manual Ctrl Box 853-015982-001
product54_corp NEW - $245.00 0 Jun/29/18 Nov/23/18
Description: Applied Materials Cable 0150-07862 Rev 001 Cable Assy Water Box Cover Intlk 300
athomemarket NEW - $84.99 2 Jul/01/18 Aug/20/18
Description: NEW Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box BES-9321-02C
atxdeals4u Used - $400.00 0 Jul/03/18 Sep/18/19
Description: AMAT 0150-14327 0010-28507 Target Input Block/Combiner Box Cable Assembly
dnd_surplus Refurbished - $1,350.00 0 Jul/05/18 Aug/04/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
solanotraders Used - $608.00 0 Jul/07/18 Aug/06/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $43.99 0 Jul/07/18 Aug/06/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 Jul/07/18 Aug/06/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
sacramento_liquidators Used - $549.49 0 Jul/09/18 Aug/08/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
dnd_surplus Refurbished - $500.00 0 Jul/10/18 Aug/09/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
dnd_surplus Refurbished - $2,000.00 0 Jul/10/18 Aug/09/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
sparesllc09 NEW - $95,000.00 0 Jul/18/18 Oct/12/18
Description: 575-800325-417 **3 PIECE SET** / 2300 FLEX EX +PM CH W GAS BOX AND RF CART/ LAM
asmtk Used - $1,800.00 0 Jul/19/18 Oct/01/21
Description: Applied Materials 0090-04736 ASSEMBLY, RF FILTER BOX, PRODUCER SE AMAT
ab-international NEW - $250.00 0 Jul/24/18 Aug/03/18
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
smi-sales Used - $4,100.00 0 Aug/02/18 Apr/08/19
Description: AMAT P/N 0010-09940 ASSY 8" GAS BOX WSI
sparesllc09 Used - $1,800.00 0 Aug/03/18 Feb/13/20
Description: 02-157910-00 / ASSY,ETHERNET,HUB BOX,LOTO / NOVELLUS SYSTEMS INC
dnd_surplus Refurbished - $1,350.00 0 Aug/04/18 Sep/03/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
bornalliancecom Used - $1,995.00 1 Aug/05/18 Mar/23/23
Description: Applied Materials 0010-09928 Controller Box, ESC AMAT P-5000 Etch
usedeqsales Used - $458.17 0 Aug/06/18 Jun/30/22
Description: AMAT Applied Materials 0240-30104 Delta TEOS or NITRIDE Gas Box Kit New Surplus
athomemarket Used - $53.99 0 Aug/07/18 Nov/05/19
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $43.99 0 Aug/07/18 Sep/06/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 Aug/07/18 Sep/06/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
sacramento_liquidators Used - $549.49 0 Aug/08/18 Sep/07/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
solanotraders Used - $570.00 0 Aug/08/18 Sep/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
storemanager-2009 Used - $243.00 0 Aug/09/18 Aug/09/18
Description: 0040-00579 REMOTE EMO BOX AND SWITCH
storemanager-2009 NEW - $1,690.00 0 Aug/09/18 Aug/09/18
Description: 0040-09091 GAS BOX 456PL
storemanager-2009 Used - $603.00 0 Aug/09/18 Aug/09/18
Description: 0040-01381 PALLET 10 LINE GAS BOX, 31"
storemanager-2009 Used - $188.00 0 Aug/09/18 Aug/09/18
Description: 3930-01066 CONTROLLER-TC TEMP BOX
storemanager-2009 Used - $1,202.00 0 Aug/09/18 Aug/09/18
Description: 3930-01042 CNTRL TEMP BOX L-H TMP 340MC/T
dnd_surplus Refurbished - $2,000.00 0 Aug/09/18 Sep/08/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
yericomfg NEW - $480.00 0 Aug/08/18 Aug/27/19
Description: Lam Research, RF Connector, 853-800749-015, Rev C **NEW NO BOX**
yericomfg NEW - $700.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials 0100-02139 Gas Box Distribution Board HP+AxZ 300mm
yericomfg NEW - $150.00 0 Aug/08/18 Aug/27/19
Description: AMAT Applied Materials 0010-34966 Box Assembly, Control Station Interface
yericomfg Used - $1,000.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; 0010-24655, Pedestal Integration Box
yericomfg NEW - $4,500.00 0 Aug/08/18 Aug/27/19
Description: AMAT Applied Materials, Desica DC Supply 0090-05777, DC Distribution Box (New)
dnd_surplus Refurbished - $500.00 0 Aug/10/18 Sep/09/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
wyse_avenue NEW - $320.00 0 Aug/13/18 Sep/12/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
spsglobal Used - $10.00 0 Aug/16/18 Jun/30/22
Description: 351-0301// AMAT APPLIED 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO NEW
capitolareatech NEW - $15.06 0 Aug/23/18 Jun/23/20
Description: LAM 853-017423-002 CABLE COAX RF MATCH BOX ASSY
capitolareatech NEW - $1,395.00 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 853-017817-040 Assy, Ca, EMO AC Cont. Box 40 Ft.
capitolareatech NEW - $9.95 0 Aug/24/18 Aug/09/19
Description: Applied Materials (AMAT) 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO
tchaban88 Used - $30.00 0 Aug/26/18 Jul/22/19
Description: KEYENCE FS-T1 , APPLIED MATERIALS 0150-76832 UNUSED IN OEM BOX
ab-international NEW - $250.00 0 Aug/26/18 Sep/05/18
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
intek22 Used - $2,999.99 1 Aug/29/18 Jan/19/19
Description: Applied Materials Pedestal Integration Box 0010-24655 * AMAT 0090-02830
capitolareatech NEW - $145.18 0 Aug/31/18 Aug/13/20
Description: AMAT 0010-06389 NOVA A.C. POWER BOX ASSEMBLY 300MM REFLE
capitolareatech NEW - $69.95 0 Sep/01/18 Nov/01/18
Description: Applied Materials (AMAT) EPI 0150-20719 CABLE ASSY GAS BOX INTERLOCK
tchaban88 Used - $1,250.00 1 Sep/02/18 Sep/07/18
Description: AMAT 0010-32410 12 AXIS MOTION CONTROL BOX POLAR MOTION 12X YASKAWA SERVOPACK
dnd_surplus Refurbished - $1,350.00 0 Sep/03/18 Oct/03/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
j316gallery Used - $707.67 1 Sep/06/18 Oct/13/23
Description: 11679 NOVELLUS PCB, GAS DISTRIBUTION BOX 02-057958-00
sacramento_liquidators Used - $480.49 0 Sep/07/18 Oct/07/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
solanotraders Used - $570.00 0 Sep/07/18 Oct/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $43.99 0 Sep/07/18 Oct/04/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $82.99 0 Sep/07/18 Oct/04/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
dnd_surplus Refurbished - $2,000.00 0 Sep/08/18 Oct/08/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
tchaban88 Used - $1,000.00 1 Sep/09/18 Sep/12/18
Description: AMAT 0010-32410 12 AXIS MOTION CONTROL BOX POLAR MOTION 12X YASKAWA SERVOPACK
dnd_surplus Refurbished - $500.00 0 Sep/09/18 Oct/09/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
systasemi Used - $2,000.00 0 Sep/10/18 Aug/31/20
Description: Novellus 03-257666-01 Rev.C, RF Sw Box
capitolareatech NEW - $995.95 0 Sep/10/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-36600 Harness, POS A I/C BOX, EMXP+ 5000
usedeqsales NEW - $3,509.18 1 Sep/10/18 Jun/15/20
Description: AMAT Applied Materials 0195-01642 LCWE Pneumatics Box Reflexion CMP Damaged New
capitolareatech NEW - $49.95 0 Sep/11/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-64694 RF STANDOFF, BRASS, HEATED GAS BOX, 300
bigg.logistics101 Used - $1,699.99 1 Sep/12/18 Oct/19/22
Description: LAM RESEARCH TRANSPORT AC POWER BOX 853-492500-001
j316gallery Used - $1,119.82 1 Sep/19/18 Jul/28/21
Description: 11928 LAM RESEARCH PCB, GAS BOX INTERLOCK 810-017075-004
prism_electronics5 Used - $212.50 1 Sep/19/18 Apr/20/21
Description: APPLIED MATERIALS AMAT 0040-23565 RF HEATER AC BOX COVER
wyse_avenue NEW - $320.00 0 Sep/20/18 Oct/20/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
prism_electronics5 Used - $255.00 8 Sep/20/18 Apr/15/21
Description: APPLIED MATERIALS AMAT 0040-21243 BOX STD PUMP I/F
capitolareatech Used - $1,395.00 0 Sep/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0240-31958 CORE, WATER BOX
prism_electronics5 Used - $212.50 0 Sep/21/18 Jul/29/22
Description: APPLIED MATERIALS AMAT 0040-05348 RF HEATER AC 2 MCA EMPTY BOX
visionsemi Used - $355.00 0 Sep/21/18 Mar/26/19
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
techshop7777 Used - $739.99 0 Sep/25/18 Oct/25/18
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
prism_electronics7 Used - $3,325.00 0 Sep/26/18 Mar/02/20
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
ab-international NEW - $250.00 0 Sep/30/18 Oct/10/18
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
prism_electronics5 Used - $255.00 1 Oct/02/18 Aug/26/21
Description: APPLIED MATERIALS AMAT 0040-05346 BASE RF HEATER AC 2 MCA BOX
prism_electronics5 Used - $255.00 2 Oct/02/18 Aug/26/21
Description: APPLIED MATERIALS AMAT 0040-05347 RF COVER HEATER BOX AC 2
dnd_surplus Refurbished - $1,350.00 0 Oct/03/18 Nov/02/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
svcstore Used - $34.99 0 Oct/04/18 Nov/03/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $67.99 0 Oct/04/18 Nov/03/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
sparesllc09 NEW - $3,950.00 0 Oct/05/18 Feb/10/20
Description: 0195-08340 / CHAMBER AC BOX, R2, PRODUCER GT / NEW / APPLIED MATERIALS
prism_electronics12 Used - $11,200.00 0 Oct/05/18 Nov/18/20
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics8 Used - $254.99 1 Oct/05/18 Nov/10/21
Description: APPLIED MATERIALS 0040-22820 RF B101 Water Box Connector
sacramento_liquidators Used - $439.00 0 Oct/07/18 Nov/06/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
dnd_surplus Refurbished - $2,000.00 0 Oct/08/18 Nov/07/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
prism_electronics5 Used - $425.00 0 Oct/09/18 Aug/20/22
Description: APPLIED MATERIALS AMAT 0040-05824 COVER AC HEATER BOX 2
prism_electronics12 Used - $16,000.00 0 Oct/09/18 Sep/21/20
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
dnd_surplus Refurbished - $500.00 0 Oct/09/18 Nov/08/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
prism_electronics12 Used - $18,000.00 0 Oct/10/18 Jul/21/20
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
prism_electronics5 Used - $425.00 0 Oct/11/18 Aug/26/22
Description: APPLIED MATERIALS AMAT 0225-34637 COVER A/C BOX COMPLETE
prism_electronics5 Used - $425.00 0 Oct/11/18 Aug/26/22
Description: APPLIED MATERIALS AMAT 0225-34637 COVER A/C BOX
logansemi Used - $1,850.00 0 Oct/12/18 Mar/11/24
Description: LAM RAINBOW PLASMA ETCHER REMOTE AC BOX, MODEL 685-017705-003 REV D
ntsurplus302 Used - $390.00 0 Oct/12/18 Mar/14/20
Description: 3337 LAM Research 853-017163-001 RF Switch Box
ntsurplus302 Used - $340.00 0 Oct/12/18 Mar/14/20
Description: 3338 LAM Research 853-017163-001 Assy. RF Switch Box
ntsurplus302 Used - $200.00 0 Oct/13/18 Mar/14/20
Description: 3857 Novellus 02-021383-03 Rev. B DLCM-Lite Local Power Box B/O Board
ntsurplus302 Used - $200.00 0 Oct/13/18 Mar/14/20
Description: 3851 Novellus 02-021383-01 Rev. B DLCM-Lite Local Power Box B/O Board
tchaban88 Used - $250.00 0 Oct/14/18 Oct/21/18
Description: APPLIED MATERIALS AMAT 0150-17641 J40 (MC #1) - P15 AC BOX
tchaban88 Used - $250.00 0 Oct/14/18 Oct/19/18
Description: APPLIED MATERIALS AMAT 0150-17642 J40 MOTION CONTROL #2 - P16 AC BOX CABLE
prism_electronics5 Used - $63.75 0 Oct/16/18 Aug/03/22
Description: APPLIED MATERIALS AMAT 0150-70026 CABLE DRIVER TO BOOM BOX CH
prism_electronics12 Used - $32,500.00 0 Oct/16/18 Feb/23/22
Description: NEW LAM RESEARCH 846-035344-001 GAS BOX
prism_electronics5 Used - $127.50 0 Oct/17/18 Aug/03/22
Description: APPLIED MATERIALS AMAT 0150-01903 CABLE ASSY, INTERFACE, AC BOX, MCA + I/L
athomemarket Used - $59.99 0 Oct/17/18 Jan/15/20
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $600.00 0 Oct/18/18 Jan/16/20
Description: AMAT Applied Materials 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
comwaysind Used - $2,786.00 0 Oct/18/18 Jun/15/23
Description: Applied Materials 0195-13658-07A8S Enclosure Assy Box Transformer 0195-13658
solanotraders Used - $760.00 0 Oct/19/18 Nov/18/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
wyse_avenue NEW - $320.00 0 Oct/20/18 Nov/19/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
dnd_surplus Refurbished - $1,350.00 0 Nov/02/18 Dec/02/18
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
usedeqsales Used - $610.18 0 Nov/01/18 Aug/19/21
Description: Festo 200763 Megasonic PS Control Box 300H AMAT 0090-04970 Used Working
svcstore Used - $29.99 0 Nov/04/18 Dec/04/18
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $57.99 0 Nov/04/18 Dec/04/18
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
sacramento_liquidators Used - $351.20 0 Nov/06/18 Dec/06/18
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
dnd_surplus Refurbished - $2,000.00 0 Nov/07/18 Dec/07/18
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
dnd_surplus Refurbished - $500.00 0 Nov/08/18 Dec/08/18
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
sparesllc09 NEW - $1,200.77 0 Nov/09/18 Mar/17/20
Description: 0020-84900 / PLATE TOP COLLECTOR BOX QXP / APPLIED MATERIALS AMAT
benad24 Used - $174.02 0 Nov/16/18 Apr/29/19
Description: Amat Applied Material Act 0100-71229 - Assy CPU Board 40KA Cvd Ac Power Box
benad24 Used - $174.02 0 Nov/15/18 Apr/29/19
Description: Amat Applied Material Act 0100-71141 - Pca TC Input Assy Power Box 25K
solanotraders Used - $570.00 0 Nov/18/18 Dec/18/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
wyse_avenue NEW - $320.00 0 Nov/19/18 Dec/19/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
usedeqsales Used - $511.18 2 Nov/19/18 Jul/02/20
Description: Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used
usedparts-pk Used - $3,999.90 1 Nov/21/18 Jul/25/19
Description: KYOSAN AUTO MATCHING BOX MBK150-KT1 13.56Mhz 15kW RF MATCHER AMAT 0190-74409
allforsale555 NEW - $179.00 0 Nov/24/18 Mar/18/19
Description: Applied materials 0150-18134 Cable Assy Source box to AC poower ( new )
consulteam Used - $599.95 1 Nov/28/18 Dec/09/21
Description: 0090-04736 REV. 001 AMAT P/N, RF FILTER BOX ASSY., PRODUCER SE, 1 EACH
techshop7777 Used - $739.99 0 Nov/28/18 Dec/28/18
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
product54_corp NEW - $100.00 0 Nov/29/18 Dec/29/18
Description: Applied Materials Cable 0150-07862 Rev 001 Cable Assy Water Box Cover Intlk 300
weihehuan Used - $700.00 1 Nov/29/18 May/28/19
Description: AMAT PEDESTAL INTEGRATION BOX 0010-18108 RF INTLK CP81 0090-02830
benad24 Used - $80.51 0 Nov/30/18 Oct/30/19
Description: Amat Applied Materials Akt 0100-71172 - Pca Led Assy 40K Power Box Rev 01
dnd_surplus Refurbished - $1,350.00 0 Dec/02/18 Jan/01/19
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
asmtk Used - $9,000.00 0 Dec/04/18 Feb/04/20
Description: Applied Materials 0190-77582 MIRRA PLATEN, PNEUMATIC BOX ASSEMBLY, PC AMAT
asmtk Used - $5,000.00 0 Dec/04/18 Feb/04/20
Description: Appiled Materials 0190-19215 MAINFRAME AC DIST BOX,PRODUCER E AMAT
svcstore Used - $24.99 0 Dec/05/18 Jan/04/19
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $51.99 0 Dec/05/18 Jan/04/19
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
sacramento_liquidators Used - $373.15 0 Dec/06/18 Jan/05/19
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
athomemarket Used - $279.99 0 Dec/06/18 Mar/04/20
Description: AMAT/Applied Materials 0010-34855 Ceramic Heater RF Filter Box Assembly 300750
dnd_surplus Refurbished - $2,000.00 0 Dec/07/18 Jan/06/19
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
dnd_surplus Refurbished - $500.00 0 Dec/08/18 Jan/07/19
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
dom0808 Used - $2,528.90 0 Dec/26/18 Feb/11/22
Description: Lam Research Jetstream Gas Box MB 810-073479-005
cnlholdings Used - $3,546.00 1 Dec/26/18 Sep/18/20
Description: LAM Research VME BOX 853-800085-015
solanotraders Used - $570.00 0 Dec/28/18 Jan/27/19
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
techshop7777 Used - $739.99 0 Dec/29/18 Jan/28/19
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
dnd_surplus Refurbished - $1,350.00 0 Jan/01/19 Jan/31/19
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
getspares.com_sparesllc09 Used - $7,500.00 0 Jan/03/19 May/26/22
Description: 0195-07896 / 300MM ENDURA FUSE BOX 700A REVISION 01 / APPLIED MATERIAL AMAT
getspares.com_sparesllc09 Used - $250.88 0 Jan/03/19 May/26/22
Description: 0195-12002 / CONTROL BOX YAMATAKE SENSING LOG-1534 REV001 / AMAT
zindchau15 NEW - $230.00 0 Jan/03/19 Oct/23/21
Description: APPLIED MATERIALS LOT OF 3 0090-06950 ASSAY PS, PNEUMATIC BOX, PLATENS,REFLEXION
sacramento_liquidators Used - $373.15 0 Jan/05/19 Feb/04/19
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
dnd_surplus Refurbished - $2,000.00 0 Jan/06/19 Feb/05/19
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520
dnd_surplus Refurbished - $500.00 0 Jan/07/19 Feb/06/19
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
getspares.com_sparesllc09 Used - $8,999.26 0 Jan/07/19 May/26/22
Description: 0195-10755 / CONTROL BOX 2 CHAMBER BMA ADVANTAGE GT-XP UPG101896-P1 / AMAT
svcstore Used - $19.99 0 Jan/08/19 Feb/07/19
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
j316gallery Used - $1,900.98 2 Jan/08/19 May/11/21
Description: 12809 APPLIED MATERIAL RF FILTER BOX ASSY 0010-31065
j316gallery Used - $1,900.98 3 Jan/08/19 Sep/30/21
Description: 12808 APPLIED MATERIALS RF FILTER BOX ASSY 0010-31064
svcstore Used - $44.99 0 Jan/08/19 Feb/07/19
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
surf_sci Used - $50.00 0 Jan/17/19 Feb/16/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
wyse_avenue NEW - $320.00 0 Jan/18/19 Feb/17/19
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
ab-international NEW - $245.03 0 Jan/20/19 Jan/30/19
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
bobsgoodies2 Used - $129.00 0 Jan/22/19 Mar/31/22
Description: AMAT Applied Materials 0021-39009 BRACKET BLOCK VALVE CAJON,GAS BOX (Lot of 2)
kakkisung-6 Used - $6,000.00 0 Jan/24/19 Feb/09/22
Description: AMAT 0010-22716 B101/CHILLER AC-H20 BOX ASSY
solanotraders Used - $608.00 0 Jan/27/19 Feb/26/19
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
techshop7777 Used - $739.99 0 Jan/28/19 Feb/27/19
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015
dnd_surplus Refurbished - $1,350.00 0 Jan/31/19 Mar/02/19
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073
asmtk Used - $2,500.00 0 Jan/31/19 Oct/01/21
Description: Applied Materials 0010-35793 ASSY., SPILL SENSOR BOX AMAT
sacramento_liquidators Used - $395.10 1 Feb/04/19 Mar/01/19
Description: Applied Materials UPA DC Distribution Box AMAT 0010-27688 ZMM056309 Type: SM4
dnd_surplus Refurbished - $500.00 0 Feb/06/19 Mar/08/19
Description: AMAT Applied Materials Plate, Gas Box 0020-30673
svcstore Used - $14.99 0 Feb/08/19 Mar/10/19
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $38.99 0 Feb/08/19 Mar/10/19
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
asmtk Used - $10,000.00 1 Feb/13/19 Feb/06/21
Description: Applied Materials 0010-03128 ASSY, MCA+, AC BOX 2 AMAT
surf_sci Used - $24.99 0 Feb/16/19 Mar/18/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
wyse_avenue NEW - $320.00 0 Feb/17/19 Mar/19/19
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
spsglobal NEW - $150.00 0 Feb/18/19 Aug/27/19
Description: 344-0203// AMAT APPLIED 0010-37128 BOX DB9 TRI-PORT CHX PASS-THRU NEW
catalystparts Used - $1,750.00 2 Mar/05/19 Jun/08/20
Description: Novellus 03-257666-01 Rev-C A28P2 HF Generator / RF SW Box Cable 95'
svcstore Used - $34.99 0 Mar/11/19 Apr/10/19
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
wyse_avenue NEW - $320.00 0 Mar/19/19 May/03/19
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
surf_sci Used - $20.00 0 Mar/19/19 Apr/18/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
bobsgoodies2 Used - $49.00 0 Mar/20/19 Mar/31/22
Description: AMAT 0140-77755 HRNS, SLURRY FLOW METER wire harness With cord box connector
gemrkim1103 Used - $1,899.00 0 Mar/28/19 May/24/22
Description: Lam Research PCBA, JETSTREAM GAS BOX MB 810-073479-105 / Free Exp. Shipping
hommertechnology Used - $4,400.00 0 Mar/28/19 Dec/28/22
Description: LAM 853-040482-525 RF Auto Match Box For Sale
riverstar777 Used - $15,000.00 0 Mar/29/19 Jun/04/20
Description: LAM Research 571-065780-702 16 Line Gas Box
getspares.com_sparesllc09 Used - $6,500.99 0 Apr/05/19 Dec/13/22
Description: 0195-07895 / 300MM ENDURA FUSE BOX 600A / APPLIED MATERIALS AMAT / EATON
pic_insit NEW - $5,900.00 1 Apr/04/19 Mar/30/20
Description: AMAT DPS HV Filter Box P/N 0010-93076, Stock #616
getspares.com_sparesllc09 Used - $2,500.88 0 Apr/03/19 Dec/12/22
Description: 0190-26721 / AC DIST BOX ETCH 300MM DPN CHAMBER / APPLIED MATERIALS AMAT
surf_sci Used - $9.99 0 Apr/18/19 Apr/25/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
eisale1535 Used - $890.00 0 Apr/18/19 Oct/18/19
Description: 0010-29554 AMAT 0040-88041 REV 002 INNER RF FILTER BOX ASSY 0041-02385
solanotraders Used - $608.00 1 Apr/12/19 Nov/23/20
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
svcstore Used - $29.99 0 Apr/11/19 Sep/16/19
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
svcstore Used - $14.99 0 Apr/11/19 Sep/16/19
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
getspares.com_sparesllc09 Used - $4,500.82 0 Apr/22/19 Jan/23/23
Description: 0010-09256 / GAS BOX 4,5,6 TEOS / APPLIED MATERIALS AMAT
usedeqsales Used - $1,703.12 1 Apr/23/19 Oct/21/21
Description: Lam Research 852-011061-103 Reaction & Lower Chamber Lower Match Box 4420 As-Is
cosplity NEW - $800.00 0 Apr/25/19 Aug/25/22
Description: AMAT 0140-14140 HARNESS ASSY, POWER, AC BOX TO PLATEN DRIVER BOX, REFLEXION LK
surf_sci Used - $9.99 0 Apr/25/19 May/02/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
plccenter NEW - $14.20 0 May/02/19 May/30/19
Description: LAM RESEARCH 853-027430-001 / 853027430001 (NEW NO BOX)
surf_sci Used - $9.99 0 May/02/19 May/09/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
usedeqsales Used - $705.19 0 May/01/19 Jun/29/23
Description: Lam Research 810-707054-002 Gas Box I/O Interlock Board PCB FPD Continuum Spare
usedeqsales Used - $705.19 0 May/01/19 Jun/29/23
Description: Lam Research 810-707054-001 Gas Box I/O Interlock Board PCB FPD Continuum Spare
sfwish Used - $7,490.00 1 Apr/30/19 May/11/22
Description: NEW Applied Materials/AMAT 0040-53688 Gas Box *NO BLUE BOX!*
benad24 Used - $139.30 0 Apr/29/19 Jan/22/20
Description: Amat Applied Materials Akt 0100-71229 - Assy CPU Board 40ka Cvd AC Power Box
sfwish NEW - $2,450.00 1 Apr/29/19 Nov/06/19
Description: NEW Applied Material/AMAT 0020-10119 Plate Perf, 100-150mm, Nitride *OPEN BOX!*
getspares.com_sparesllc09 NEW - $950.82 0 Apr/26/19 Feb/21/23
Description: 02-451607-00 / ASSY,AC DISCONNECT SWITCH BOX,SFEM(PLATFORM) / NOVELLUS / LAM
zindchau15 Used - $770.00 0 May/05/19 Sep/13/20
Description: AMAT APPLIED MATERIALS 0040-08494 WATER BOX TxZ 300MM
cosplity NEW - $450.00 0 May/05/19 Sep/05/22
Description: AMAT 0150-11659 CABLE ASSY POWER, AC BOX TO DC PSPL REFLEXION LK SM4 V2.0
surf_sci Used - $9.99 0 May/09/19 May/16/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
cosplity NEW - $900.00 0 May/10/19 Sep/10/22
Description: AMAT 0150-14284 CABLE ASSY, 3 PHASE PWR, AC BOX TO HEAD
happy_1 Refurbished - $100.00 0 May/12/19 Oct/01/20
Description: AMAT 0150-22043 (CABLE ASSY, MFC TO CONTR BOX & SYST. CON)NEW
surf_sci Used - $9.99 0 May/16/19 May/23/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
equipplus NEW - $1,299.00 1 May/21/19 Aug/18/20
Description: Applied Materials 0090-04736 RF Filter Box,9199-14,300582-2/IOCQF/1808,UnUs#6203
bt_store1 Used - $1,800.00 0 May/28/19 Jul/15/21
Description: AMAT 0040-02520 Gas Box
katiil3 Used - $1,000.00 0 May/26/19 Oct/23/21
Description: Applied materials 0240-23497 STD PUMP I/F BOX
surf_sci Used - $9.99 0 May/23/19 May/30/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
surf_sci Used - $9.99 0 May/30/19 Jun/06/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
dgold32 Used - $299.99 1 May/30/19 Mar/31/21
Description: LAM RESEARCH 810-073479-005 JET STREAM GAS BOX MB MAIN BOARD
bt_store1 Used - $100.00 0 Jun/03/19 Jul/15/21
Description: AMAT 0150-21183 I O Direct Drive Box Cable Assembly
bt_store1 Used - $4,550.00 1 Jun/05/19 Jul/15/21
Description: AMAT 0040-31980 WXZ GAS BOX
bt_store1 Used - $4,900.00 0 Jun/05/19 Jul/15/21
Description: AMAT 0040-09095 GAS BOX 200MM
starbit10 Scrap, for parts - $1,150.00 0 Jun/04/19 Sep/02/19
Description: AMAT 0010-76535 ASSY, PNEUMATIC LOGIC BOX TILT CASSETTE
plccenter Used - $5.28 0 Jun/03/19 May/09/23
Description: LAM RESEARCH 853-491695-001 / 853491695001 (NEW NO BOX)
plccenter Used - $25.00 0 Jun/03/19 May/04/22
Description: LAM RESEARCH 853-027430-001 / 853027430001 (NEW NO BOX)
plccenter Used - $14.00 0 Jun/03/19 May/09/23
Description: LAM RESEARCH 853-491686-002 / 853491686002 (NEW NO BOX)
plccenter Used - $25.00 0 Jun/03/19 Jan/28/23
Description: APPLIED MATERIAL 3300-05873 / 330005873 (NEW IN BOX)
surf_sci Used - $9.99 0 Jun/06/19 Jun/13/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
bt_store1 Used - $95.00 0 Jun/10/19 Aug/22/19
Description: AMAT 0060-01076 Fan Pack BOX
bt_store1 Used - $3,450.00 0 Jun/10/19 Jul/15/21
Description: AMAT 0040-53688 Gas box
surf_sci Used - $9.99 0 Jun/13/19 Jun/20/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
getspares.com_sparesllc09 Used - $2,000.82 0 Jun/13/19 May/30/23
Description: 0010-09959 /ASSY GAS BOX BWCVD/ APPLIED MATERIALS
bt_store1 Used - $200.00 0 Jun/17/19 Jul/15/21
Description: AMAT 0150-07246 CABLE ASSEMBLY EMO REMOTE AC BOX TO GHEAT EXCHANGER
zindchau15 Used - $190.00 0 Jun/19/19 Oct/23/21
Description: APPLIED MATERIALS 0140-64645 CABLE HEAD DRIVER BOX
zindchau15 Used - $190.00 0 Jun/19/19 Oct/23/21
Description: APPLIED MATERIALS 0140-64646 CABLE HEAD DRIVER BOX
surf_sci Used - $9.99 0 Jun/20/19 Jun/27/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
bt_store1 Used - $190.00 0 Jun/20/19 Aug/23/19
Description: AMAT 0020-20913 BOX HEATER AC POWER
bt_store1 Used - $35.00 0 Jun/20/19 Aug/23/19
Description: AMAT 0020-20914 COVER HTR AC POWER BOX
surplusssam Used - $399.99 1 Jun/24/19 Jun/24/19
Description: AMAT APPLIED MATERIALS 0090-36416 TEOS TEMPERATURE CONTROL BOX UNIT MODULE
jake_russell NEW - $3,550.00 0 Jun/27/19 Jan/13/21
Description: [AMAT] 0040-53927, GAS BOX CHAMBER LID, PRODUCER 200MM
surf_sci Used - $9.99 0 Jun/27/19 Jul/04/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
svcstore Used - $449.99 0 Jul/03/19 Sep/16/19
Description: LAM Research 810-707022-001 Rev.A Gas Box Expansion Board Module
j316gallery Used - $3,325.38 0 Jul/03/19 May/24/23
Description: 13953 APPLIED MATERIALS ASSY FILTER BOX 300MM HART 0090-03857
surf_sci Used - $177.50 1 Jul/04/19 Jul/11/19
Description: Leybold AG 200.81.080 TC Controller Box, aka Applied Materials 3930-01066
maxisemi1349 Used - $330.00 2 Jul/08/19 Dec/20/21
Description: 0020-31425 MIXER GAS BOX LID BWCVD, lot of 2
getspares.com_sparesllc09 Used - $3,100.98 1 Jul/09/19 Feb/13/23
Description: 0040-53688 /GAS BOX, REMOTE CLEAN, PRODUCER SE/ APPLIED MATERIALS
bt_store22 Used - $135.00 0 Jul/12/19 Jul/15/21
Description: AMAT 0020-33998 Endpoint PC BOX
bt_store22 Used - $210.00 0 Jul/12/19 Jul/15/21
Description: AMAT 0020-33991 Endpoint PC BOX
svcstore Used - $649.99 0 Jul/11/19 Sep/16/19
Description: LAM Research 810-707054-001 Rev.E3 Gas Box I/O Interlock Board Assembly
athomemarket Used - $168.49 0 Jul/11/19 Oct/09/20
Description: Applied Material 0020-04038 RF Match Box Plate AMAT Chamber Box Plate
tchaban88 Used - $10.00 0 Jul/15/19 Jul/22/19
Description: APPLIED MATERIALS AMAT 0150-17642 J40 MOTION CONTROL #2 - P16 AC BOX CABLE
tchaban88 Used - $10.00 0 Jul/15/19 Jul/22/19
Description: APPLIED MATERIALS AMAT 0150-17641 J40 (MC #1) - P15 AC BOX
bt_store1 Used - $65.00 0 Jul/16/19 Aug/23/19
Description: AMAT 0020-33992 Endpoint PC BOX
svcstore Used - $649.99 0 Jul/16/19 Sep/16/19
Description: LAM Research 810-707054-002 Rev.A Gas Box I/O Interlock Board Assembly
svcstore Used - $89.99 0 Jul/17/19 Sep/16/19
Description: LAM Research 810-707188-001 Rev. A UPR RF Sense Box Board Assy
j316gallery Used - $731.59 0 Jul/18/19 May/24/23
Description: 14169 APPLIED MATERIALS BASE, RF FILTER BOX, 300MM DPS II, 0035-00068 0035-00069
getspares.com_sparesllc09 Used - $1,650.98 0 Jul/18/19 Oct/05/21
Description: 0040-07545 / 300MM REMOTE AC BOX /APPLIED MATERIALS
j316gallery Used - $359.14 0 Jul/22/19 May/24/23
Description: 14018 APPLIED MATERIALS THROTTLE VALVE REDUCER BOX ASSEMBLY 0010-00174
j316gallery Used - $1,190.49 0 Jul/31/19 May/24/23
Description: 14715 APPLIED MATERIALS ASSY, 50A RECPT BOX CENTURA LAMP MOD 0010-76706
powersell007 Used - $5,499.00 0 Jul/31/19 Sep/01/21
Description: APPLIED MATERIALS 0195-07108 CH AC BOX COMMON PRODUCER SE AMAT 0180-04521
j316gallery Used - $372.44 0 Jul/30/19 May/24/23
Description: 14761 APPLIED MATERIALS ASSY, GAS BOX, EC WXZ 0010-35808
getspares.com_sparesllc09 Used - $950.98 0 Jul/30/19 Nov/06/23
Description: 0010-33918 / ENABLER POWER BREAKER BOX FOR MAIN POWER/SOURCE/PROCESS KIT / AMAT
zindchau15 Used - $1,500.00 0 Jul/29/19 Oct/23/21
Description: AMAT APPLIED MATERIALS 0041-87672 SPIRAL WATER BOX CUPPER
getspares.com_sparesllc09 Used - $500.98 0 Jul/26/19 Apr/09/21
Description: 810-073479-005 /JET STREAM GAS BOX MB MAIN BOARD /LAM RESEARCH
dom0808 Used - $1,083.50 0 Jul/26/19 Feb/11/22
Description: Lam Research DB,CONTROL BOX,EIOC 853-300159-001
roundtable1 NEW - $599.00 0 Aug/01/19 Aug/02/22
Description: Applied Materials 0200-34775 BLOCER SILOX8" UNIV CH NON STEPPER GAS BOX AMAT
j316gallery Used - $11,177.70 0 Aug/05/19 Jan/26/22
Description: 15004 APPLIED MATERIALS ASSY 8 GAS BOX WSI W/0040-09136 0010-09940
dom0808 NEW - $328.90 0 Aug/03/19 Feb/11/22
Description: Lam Research CA,ENET,EIOC2 DIST BOX 833-073765-498
tchaban88 Used - $30.00 5 Aug/07/19 Apr/15/20
Description: KEYENCE FS-T1 , APPLIED MATERIALS 0150-76832 UNUSED IN OEM BOX
athomemarket NEW - $79.99 0 Aug/07/19 Nov/05/20
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
getspares.com_sparesllc09 Used - $6,150.98 0 Aug/08/19 Dec/02/20
Description: 0010-12168 /AC BOX 200MM SLT ESC ASSEMBLY/ APPLIED MATERIALS
dom0808 Used - $8,999.00 0 Aug/09/19 Nov/04/19
Description: Lam Research JETSTREAM GAS BOX 571-065780-705 All in 1 Gas Box 571-065780-705
dom0808 Used - $22,100.00 0 Aug/14/19 Nov/04/19
Description: Lam Research Jetstream Gas Box 571-065780-703 All in 1 Gas Box 571-065780-703
storemanager-2009 Used - $375.00 0 Aug/14/19 Jul/17/21
Description: TRAZAR / NOVELLUS PN: 19-162062-00 ASSY, RF BOX
katiil3 NEW - $249.00 1 Aug/16/19 Feb/16/20
Description: Applied Materials/AMAT 0020-27123 Pedestal 8" SNNF New open box
todayztrend NEW - $996.88 3 Aug/15/19 Dec/18/19
Description: LAM Research 715-027638-814 Upper Liner Plug, Brand New In original Box!!!!
dom0808 Used - $17,900.00 0 Aug/19/19 Sep/24/19
Description: Lam Research 16 Line IGS Gas Box 571-033051-004
dom0808 Used - $715.00 0 Aug/27/19 Feb/11/22
Description: Lam Research I/O DISTR,ILDS,MECH BOX,VXT&C3VCRTR 03-374137-00
usedeqsales Used - $431.96 0 Aug/27/19 May/09/23
Description: AMAT Applied Materials 0190-22157 Robot Teach Box Rev. 001 Reflexion 300mm Spare
dom0808 Used - $9,790.00 0 Sep/02/19 Feb/11/22
Description: Lam Research 853-041348-794 ASSY, AC/DC SW BOX 853-041348-794
starbit10 Scrap, for parts - $1,150.00 0 Sep/03/19 Feb/05/20
Description: AMAT 0010-76535 ASSY, PNEUMATIC LOGIC BOX TILT CASSETTE
visionsemi Used - $1,750.00 1 Sep/04/19 Sep/09/19
Description: APPLIED MATERIALS AMAT KAWASAKI ROBOT TEACH BOX PENDANT 50817-1391 0190-10710
bt_store1 Used - $95.00 0 Sep/04/19 Jul/15/21
Description: AMAT 0060-01076 Fan Pack BOX
capitolareatech Used - $37.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-31566 PLATE, LEFT CONTROLLER BOX ESC
capitolareatech Used - $249.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0040-35496 PLATE, RF BOX
capitolareatech Used - $59.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-09628 Conduit Extention Box
capitolareatech Used - $30.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-26993 HINGE CONTROLLER DRIVER BOX
capitolareatech Used - $12.25 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-39365 Bracket Manual Valve 08 SLD Box CENTURA 52
markcooperassociates Used - $450.00 1 Sep/11/19 Sep/12/19
Description: AMAT 0010-76159 I/O Pneumatic Box w/ SMC NVJ114Y Solenoid Valve Manifold Assy
spsglobal Used - $50.00 0 Sep/16/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0140-10285 HRNS,HV INTLK FILTER BOX,DPS USED
spsglobal Used - $90.00 0 Sep/15/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0140-10285 HRNS,HV INTLK FILTER BOX,DPS NEW
spsglobal Used - $20.00 0 Sep/15/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0140-09621 (10EA) HARNESS, JUMPER, CONTROL BOX NEW
svcstore Used - $9.99 0 Sep/16/19 Feb/12/21
Description: AMAT Applied Materials 0010-13217 DeviceNet Box Unit Module
svcstore Used - $584.99 0 Sep/16/19 Nov/21/22
Description: LAM Research 810-707054-002 Rev.A Gas Box I/O Interlock Board Assembly
svcstore Used - $584.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707054-001 Rev.E3 Gas Box I/O Interlock Board Assembly
svcstore Used - $80.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707188-001 Rev. A UPR RF Sense Box Board Assy
svcstore Used - $24.99 0 Sep/16/19 Dec/27/22
Description: Lam Research 853-250758-001 High Voltage Interface Control Power Supply Box
markcooperassociates Used - $350.00 1 Sep/17/19 Sep/19/19
Description: AMAT 0010-76223 I/O Pneumatic Box w/ SMC NVJ114Y Solenoid Valve Manifold Assy
spsglobal Used - $160.00 0 Sep/18/19 Oct/12/23
Description: 142-0501// AMAT APPLIED 0150-21567 CABLE ASSY, AC BOX, HTESC NEW
svcstore Used - $404.99 0 Sep/18/19 Dec/27/22
Description: LAM Research 810-707022-001 Rev.A Gas Box Expansion Board Module
storemanager-2009 Used - $1,800.00 0 Sep/20/19 Jul/17/21
Description: AMAT 0040-09095 GAS BOX 200MM
j316gallery Used - $1,500.00 0 Sep/24/19 Oct/01/19
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
getspares.com_sparesllc09 Used - $206.66 1 Sep/27/19 Jan/17/22
Description: 0020-31425 /LID, MIXER GAS BOX, BWCVD/APPLIED MATERIALS
getspares.com_sparesllc09 NEW - $5,541.75 1 Sep/30/19 Jun/30/20
Description: 0010-01388 / LTESC CONTROL BOX ASSY/ APPLIED MATERIALS
dgold32 Used - $499.99 1 Oct/01/19 Apr/13/20
Description: AMAT APPLIED MATERIALS ISAC CP I/O BLOCK 2 TOP Box 0190-32946 Enclosure/Case
grandbirdnet Used - $12,500.00 0 Oct/02/19 Sep/02/21
Description: AMAT 0010-27688 ASSY, UPA DC DISTRIBUTION BOX, CONTOUR 3, USED
j316gallery Used - $18,331.50 0 Oct/02/19 Nov/11/21
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
markcooperassociates Used - $350.00 1 Oct/04/19 Oct/04/19
Description: AMAT 0010-76223 I/O Pneumatic Box w/ SMC NVJ114Y Solenoid Valve Manifold Assy
pdcsystems Used - $750.00 0 Oct/08/19 Jun/07/20
Description: APPLIED MATERIALS (AMAT) 0090-05831 ASSEMBLY, RF FILTER BOX PRODUCER SE
pdcsystems Used - $850.00 0 Oct/08/19 Jun/07/20
Description: APPLIED MATERIALS (AMAT) 0090-04947 RV 002 ASSEMBLY, RF FILTER BOX PRODUCER SE
pdcsystems Used - $950.00 0 Oct/08/19 Jun/07/20
Description: APPLIED MATERIALS (AMAT) 0090-04736 RV 002 ASSEMBLY, RF FILTER BOX PRODUCER SE
visionsemi NEW - $200.00 0 Oct/09/19 Jan/09/24
Description: APPLIED MATERIALS AMAT CABLE ASSEMBLY MF AC TO AUX AC BOX 300MM 0150-16767
dom0808 Used - $85.80 0 Oct/11/19 Feb/11/22
Description: Lam Research Cable 833-179701-018 CA,PWR,24VDC,EIOC2,DIST BOX,CEFEM
roundtable1 Used - $55.00 1 Oct/22/19 Jul/22/20
Description: AMAT 0650-00028 COMPUTER SWITCH BOX 2 LOCKING SWITCH PS/2 BBOX 724-746-5500
dom0808 Used - $2,959.00 1 Oct/23/19 Dec/16/20
Description: Lam Research 2300 KIY045 VME BOX 853-044013-007
dom0808 Used - $2,961.20 0 Oct/23/19 Feb/11/22
Description: Lam Research 2300 KIY045 VME BOX 853-044013-125
dom0808 Used - $4,829.00 0 Oct/23/19 Feb/11/22
Description: Lam Research 2300 KIYO E SERIES VME BOX 853-044013-334
dom0808 Used - $1,995.00 0 Oct/25/19 Feb/11/22
Description: Lam Research NODE BOARD TYPE 3 810-800256-005 NODE1 PM COMMON 710-802901-307 Box
dom0808 Used - $28,270.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-705
dom0808 Used - $42,130.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-704
dom0808 Used - $29,590.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-704 MFC not fitted.
dom0808 Used - $42,240.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-703
dom0808 Used - $29,645.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box 571-065780-702 All In 1 Gas Box
dom0808 Used - $29,634.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-702
athomemarket Used - $64.99 0 Nov/05/19 Feb/03/21
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
dom0808 Used - $3,509.00 0 Nov/06/19 Feb/11/22
Description: Lam Research Jetstream Gas Box MB 810-073479-005 NODE BOARD TYPE 27
dom0808 Used - $2,587.00 0 Nov/06/19 Feb/11/22
Description: Lam Research Jetstream Gas Box MB 810-073479-005
gesemiconductor Used - $50.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0090-07580 Assembly Remote Access Relay Box
gesemiconductor Used - $100.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0020-05833 Panel Floor Rear AC Box Cover MCE
semiconusa Used - $7,999.00 3 Nov/18/19 Nov/28/21
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
cosplity Used - $3,900.00 0 Nov/19/19 Jun/16/20
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM
cosplity Used - $3,900.00 0 Nov/19/19 Jun/16/20
Description: AMAT 0010-03151 ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM
eisale1535 Used - $890.00 0 Nov/27/19 Apr/17/20
Description: 0010-29554 AMAT 0040-88041 REV 002 INNER RF FILTER BOX ASSY 0041-02385
j316gallery Used - $230.89 0 Nov/28/19 Jun/27/23
Description: 149 APPLIED MATERIALS PCB IMP H20 WATER BOX INTLK STATUS 0130-20371 0100-20371
cosplity Used - $3,900.00 0 Dec/02/19 Jun/16/20
Description: AMAT 0010-77679 ASSY, LOWER ELECTRONICS BOX DIRECT BRUSH
capitolareatech Used - $10.00 0 Dec/06/19 Aug/13/20
Description: Lam Research LAM 716-022030-004 LAM SPACER SCRAP EMPTY BOX
rfglobalsolutions NEW - $60.00 1 Dec/11/19 Jan/22/21
Description: Applied Material AMAT Lamp Bulb 1010-01088 box of 10
j316gallery Used - $592.90 1 Dec/11/19 Nov/21/22
Description: 16277 APPLIED MATERIALS ASSY, RF FILTER BOX PRODUCER SE W/ 0150-06868 0090-04947
j316gallery Used - $933.24 1 Dec/11/19 Oct/15/21
Description: 16270 APPLIED MATERIALS ASSY RF FILTER BOX PRODUCER 0090-05831
autoquip7 NEW - $3,100.00 2 Dec/13/19 Jan/13/21
Description: 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX
usedeqsales Used - $2,012.19 0 Dec/13/19 Dec/23/20
Description: AMAT Applied Materials HDP 300mm Remote Dist. Box 0100-00444 0100-89006 Centura
capitolareatech Used - $175.95 0 Dec/21/19 Jun/21/20
Description: Applied Materials (AMAT) 0660-01550 KEY, SEN/RETURN INTERFACE BOX
capitolareatech Used - $69.95 0 Dec/21/19 Jun/21/20
Description: Applied Materials (AMAT) 0020-31565 PLATE, RIGHT CONTROLLER BOX, ESC
capitolareatech Used - $179.95 0 Dec/21/19 Jun/21/20
Description: Applied Materials (AMAT) 0020-31568 PLATE, TOP CONTROLLER BOX, ESC
capitolareatech Used - $179.95 0 Dec/21/19 Jun/21/20
Description: Applied Materials (AMAT) 0020-31567 PLATE, BOTTOM CONTROLLER BOX, ESC
capitolareatech Used - $89.95 0 Dec/30/19 Aug/13/20
Description: Applied Materials (AMAT) 0150-10407 CABLE, ASSY EMO REMOTE AB BOX TO IQDP80
capitolareatech Used - $99.95 0 Dec/30/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-04042 BRACKET WATER BOX PUMP SIDE
capitolareatech Used - $119.95 0 Dec/30/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-04042 BRACKET, WATER BOX PUMP SIDE 
capitolareatech Used - $379.95 0 Dec/30/19 Aug/13/20
Description: Applied Materials (AMAT) 3620-90100 PUMP CRYO JUNCTION BOX & CONN'
best4industrie Used - $72.59 0 Dec/30/19 Apr/03/20
Description: Act 0100-71172 Amat Applied Material Pca LED Assy 40K Power Box
capitolareatech NEW - $29.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0150-09053 CABLE, ASSY, RIBBION REMOTE POWER BOX
capitolareatech NEW - $2,895.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0010-10449 ASSEMBLY, ACTUATOR/WATER BOX, R2 CHAMBER
novusferro Used - $499.00 1 Jan/08/20 Jun/30/20
Description: Applied Materials AMAT 0090-08418 Box
systasemi Used - $15,000.00 0 Jan/12/20 Sep/08/21
Description: AMAT 0010-27688, ZMM031067-1111, POWER SUPPLY REFLEXION UPA DC DISTRIBUTION BOX
athomemarket Used - $59.99 0 Jan/15/20 Mar/16/21
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $600.00 1 Jan/16/20 Aug/12/20
Description: AMAT Applied Materials 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
benad24 Used - $140.85 0 Jan/23/20 Jun/10/20
Description: Amat Applied Materials Akt 0100-71141 - Pca TC Input Assy Power Box 25K
benad24 Used - $140.85 0 Jan/23/20 Jun/10/20
Description: Amat Applied Materials Akt 0100-71229 - Assy CPU Board 40KA Cvd AC Power Box
benad24 Used - $58.70 0 Jan/23/20 Jun/10/20
Description: Amat Applied Materials Akt 0100-71172 - Pca LED Assy 40K Power Box Rev 01
capitolareatech Used - $299.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-04041 Plate, Water Box USED GOOD CONDITION
capitolareatech NEW - $299.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-04041 PLATE WATER BOX
senior-inc Used - $40,000.00 0 Jan/25/20 Jul/23/20
Description: LRC ENHANCED GAS BOX 571-471052-001, ANALOG 12 CHANNEL
dr.dantom Used - $585.00 1 Jan/27/20 Feb/02/21
Description: Applied Materials AMAT 0090-91154 Implant Division NH RESISTOR BOX
capitolareatech Used - $469.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-09364 ENCLOSURE , BOX SKIN LAMINAR FLOW
capitolareatech NEW - $2,995.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 3100-01066 BOX ELECT ASSY 8" LEVELING CALIB
capitolareatech NEW - $12,996.00 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0290-09061 HOT BOX, VERSION 2 
dom0808 Used - $48,290.00 0 Jan/29/20 Feb/11/22
Description: Lam Research GAS BOX GIB, E6 853-082522-612
dom0808 Used - $2,915.00 0 Feb/02/20 Feb/11/22
Description: Lam Research SFEM Power Box 853-249751-001
dom0808 Used - $2,915.00 0 Feb/02/20 Feb/11/22
Description: Lam Research SFEM Power Box 79-398060-00 853-249751-001
dom0808 Used - $3,190.00 0 Feb/02/20 Feb/11/22
Description: Lam Research SFEM Power Box Lam P/N 853-239784-110
techshop7777 NEW - $569.00 1 Feb/05/20 May/12/22
Description: NEW NOVELLUS 7/8 CHANNEL GAS BOX INTLK PCB BOARD ASSY 02-054128-00
ab-international NEW - $294.74 1 Feb/09/20 May/08/22
Description: New Applied Materials AMAT 3620-01455 BD Splitter Box @ Tool 8135240G001
getspares.com_sparesllc09 Used - $3,200.00 1 Feb/10/20 Oct/16/22
Description: 0195-08340 / CHAMBER AC BOX, R2, PRODUCER GT / APPLIED MATERIALS AMAT
dom0808 Used - $495.00 0 Feb/12/20 Feb/11/22
Description: Novellus GAS BOX DIST, BROOKS D-SUB 02-057958-00
getspares.com_sparesllc09 Used - $1,650.00 0 Feb/13/20 Aug/25/22
Description: 02-157910-00 / ASSY, ETHERNET,HUB BOX, LOTO / NOVELLUS SYSTEMS INC
capitolareatech NEW - $499.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-21116 GAS LINE ARGON SYSTEM MANIFOLD BOX 2 
dom0808 Used - $171.60 0 Feb/23/20 Feb/11/22
Description: L-com Jumper Box A27B2J6 Lam Research 853-150794-003
dom0808 Used - $825.00 0 Feb/23/20 Feb/11/22
Description: Lam Research Signal Conditioner Control Box 853-064887-402
sfwish Used - $1,249.00 0 Mar/01/20 Feb/17/22
Description: NEW Applied Material/AMAT 0200-02396 Liner, Ceramic Middle *OPEN BOX!*
sfwish Used - $1,249.00 0 Mar/01/20 Feb/17/22
Description: NEW Applied Materials/AMAT 0200-12026 Liner, Ceramic Middle *OPEN BOX!*
prism_electronics12 Used - $999.99 1 Mar/02/20 Jul/22/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
electronicsister Used - $499.99 0 Mar/04/20 Mar/17/22
Description: APPLIED MATERIALS 0195-13657 Enclosure, ASSY, BOX A, NO TRANSFORMER
electronicsister Used - $399.99 0 Mar/04/20 Mar/17/22
Description: APPLIED MATERIALS 0195-14767 Chamber box precision xps
todd1455 Used - $699.00 0 Mar/04/20 Feb/17/22
Description: Applied Materials 0140-16373 Rev E3 Harness Assembly Heater Control Box used
j316gallery Used - $1,151.70 0 Mar/04/20 Sep/02/21
Description: 15563 APPLIED MATERIALS GAS BOX, SIN, DXZ DCVD 0040-61266
athomemarket Used - $179.99 0 Mar/04/20 May/02/21
Description: AMAT/Applied Materials 0010-34855 Ceramic Heater RF Filter Box Assembly 300750
j316gallery NEW - $1,465.80 0 Mar/10/20 Sep/02/21
Description: 15569 APPLIED MATERIALS GAS BOX, DXZ (NEW) 0021-09760
j316gallery Used - $2,908.04 0 Mar/12/20 Feb/17/22
Description: 15869 APPLIED MATERIALS WATLOW 96 TEMP CTRL BOX 96A0-CCDU-00RG 8 MODS 0100-00831
j316gallery Used - $116.32 0 Mar/12/20 Feb/17/22
Description: 15802 APPLIED MATERIALS BASE,LIGHT PEN SELECT BOX 0020-22742
j316gallery Used - $2,559.08 0 Mar/12/20 Feb/17/22
Description: 15870 APPLIED MATERIALS WATLOW 96 TEMP CTRL BOX 96A0-CCDU-00RG 7 MODS 0100-00831
ntsurplus302 Used - $390.00 0 Mar/15/20 Feb/17/22
Description: 3337 LAM Research 853-017163-001 RF Switch Box
ntsurplus302 Used - $200.00 0 Mar/15/20 Feb/17/22
Description: 3851 Novellus 02-021383-01 Rev. B DLCM-Lite Local Power Box B/O Board
ntsurplus302 Used - $340.00 1 Mar/15/20 Feb/21/22
Description: 3338 LAM Research 853-017163-001 Assy. RF Switch Box
ntsurplus302 Used - $200.00 0 Mar/15/20 Aug/25/22
Description: 3857 Novellus 02-021383-03 Rev. B DLCM-Lite Local Power Box B/O Board
novusferro Used - $399.00 1 Mar/17/20 Jan/23/22
Description: Applied Materials AMAT 0090-06913 Controller Box
getspares.com_sparesllc09 Used - $960.00 0 Mar/17/20 Feb/17/22
Description: 0020-84900 / PLATE TOP COLLECTOR BOX QXP / APPLIED MATERIALS AMAT
spsglobal Used - $20.00 0 Mar/19/20 Aug/25/22
Description: 324-0202// AMAT APPLIED 0020-39914 GROMMET,HOLE .875DIA SLD BOX [NEW]
semiconusa Used - $3,599.00 0 Mar/31/20 Feb/17/22
Description: NSK Servo Driver box ONLY without NSK Driver FOR AMAT 0010-76427, VHP ROBOT
usedeqsales Used - $17,004.20 0 Apr/14/20 Feb/26/21
Description: AMAT 0190-04213 300mm HDPCVD Primary A/C Distribution Box Centura Ultima Working
j316gallery Used - $4,188.00 0 Apr/16/20 Dec/09/21
Description: 17405 APPLIED MATERIALS CONNECTOR BOX ASSY HV FILTER 0010-93077
bestoneshop-korea Used - $719.99 1 Apr/19/20 Jul/21/22
Description: AMAT 0041-39198 REV.003 , 0090-06579 REV.04 , 0041-39200 REV.02 RF FILTER BOX
grandbirdnet Used - $6,000.00 0 Apr/21/20 Jun/10/20
Description: AMAT 0240-43874 KIT, PLATEN DRIVE MTR- 200MM WITH 3970-00030 GEAR BOX, USED
manufacturingequipment Used - $8,505.00 0 Apr/29/20 Sep/21/21
Description: AMAT Applied Materials 0240-63364 KIT, FX FOBOT DRIVER BOX
j316gallery NEW - $116.32 0 Apr/29/20 Jun/07/22
Description: 18215 APPLIED MATERIALS STRAP RF B101 WATER BOX (NEW) 0021-20368
atxdeals4u Used - $500.00 0 May/01/20 Oct/26/21
Description: AMAT Applied Materials 0090-05831 REV. 03 RF Filter Box Producer SE Assembly
j316gallery Used - $100.00 0 May/04/20 Sep/23/20
Description: 18709 APPLIED MATERIALS NANO-CHANGE MPIS DEVICENET PASSIVE DROP BOX 0190-16372
quange141004 NEW - $115.00 1 May/07/20 Jun/29/21
Description: LAM 853-017423-002 Assy, Cable Coax RF Match Box
grandbirdnet Used - $200.00 0 May/07/20 Jun/16/20
Description: AMAT 0140-78041 CNTRL BOX BLKHD SRD , USED
grandbirdnet Used - $3,500.00 0 May/08/20 Sep/12/22
Description: AMAT 0010-08900 LOTO BREAKER BOX ASSEMBLY, USED
grandbirdnet Used - $3,500.00 0 May/08/20 Sep/12/22
Description: AMAT 0010-77892 LOTO BREAKER BOX ASSEMBLY, USED
techequipsales Used - $2,500.00 1 May/08/20 Oct/18/21
Description: LAM Research 846-077848-725 All In One Gas Box *non-working*
techequipsales Used - $1,250.00 0 May/08/20 Sep/09/20
Description: LAM Research 846-077848-786 Jetstream Gas Box *non-working*
j316gallery NEW - $1,256.40 0 May/10/20 Mar/25/21
Description: 18621 APPLIED MATERIALS TARGET I/P BLK/COMB. BOX CBL ASSY 2.8M (NEW) 0010-28507
katiil3 Used - $299.00 0 May/19/20 Oct/23/21
Description: Applied materials / AMAT 0150-18134 Cable Assy Source box to
semilune Used - $6,800.00 0 May/20/20 Sep/20/20
Description: APPLIED MATERIALS 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/S DPS+
alvin1462 Used - $555.00 0 May/22/20 Oct/15/21
Description: APPLIED MATERIALS AMAT 0010-42371 , 0090-05831 ASSY RF FILTER BOX
visionsemi NEW - $150.00 0 Jun/03/20 Aug/12/21
Description: AMAT APPLIED MATERIALS 0010-34966 BOX ASSEMBLY CONTROL STATION INTERFACE REV. 01
grandbirdnet Used - $4,300.00 0 Jun/07/20 Sep/03/20
Description: AMAT 0010-77680 ASSY, ELECTRONIC BOX, SRD, LOWER, USED
comwaysind Used - $1,786.00 1 Jun/09/20 Aug/26/20
Description: AMAT Applied Material 0090-04736 Rev:003 9199-14 Rev:D RF Filter Box
comwaysind Used - $2,986.00 1 Jun/09/20 Apr/17/23
Description: Applied Material 0090-04736 Rev. 04 9199-14 Rev. F RF Filter Box AMAT
j316gallery Used - $418.80 1 Jun/09/20 Dec/30/21
Description: 19097 APPLIED MATERIALS RF FILTER BOX 200MM PRODUCER ASSY, 0100-02040 0090-04309
benad24 Used - $63.38 0 Jun/10/20 Mar/02/21
Description: AMAT Applied Materials AKT 0100-71172 - Pca LED Assy 40K Power Box Rev 01
benad24 Used - $152.09 4 Jun/10/20 Mar/02/21
Description: AMAT Applied Materials AKT 0100-71229 - Assy CPU Board 40KA Cvd AC Power Box
benad24 Used - $152.09 0 Jun/10/20 Mar/02/21
Description: AMAT Applied Materials AKT 0100-71141 - Pca TC Input Assy Power Box 25K
esprprts NEW - $35.00 0 Jun/13/20 Jan/27/21
Description: Applied Materials 0090-07580 Remote Access Relay Box & Power Supply
gophersales NEW - $550.00 1 Jun/15/20 Nov/18/20
Description: AMAT Applied Materials 0010-22176 B101/Chiller AC-H2O Box Assy
novusferro Used - $799.00 0 Jun/15/20 Apr/26/21
Description: LAM Research 853-019732-010 Rev E Gas Box Assembly with Mass Flow Controllers
getspares.com_sparesllc09 Used - $1,105.26 0 Jun/18/20 Feb/01/22
Description: 0040-09091 / GAS BOX 456PL / APPLIED MATERIALS AMAT
pdcsystems Used - $675.00 0 Jun/25/20 Mar/18/21
Description: APPLIED MATERIALS (AMAT) 0090-05831 ASSEMBLY, RF FILTER BOX PRODUCER SE
spsglobal Used - $100.00 0 Jul/08/20 Nov/28/22
Description: 340-0403// AMAT APPLIED 0010-18159 INTERLOCK SWITCH BOX, BIAS MAT [NEW]
j316gallery Used - $103.65 0 Jul/15/20 Oct/28/21
Description: 19693 APPLIED MATERIALS BOX HEATER AC POWER 0020-20913
nps NEW - $4,199.99 0 Jul/20/20 Sep/20/20
Description: Applied Materials 0020-04254 Monochromator Module Assembly No Box
prism_electronics12 Used - $13,000.00 1 Jul/21/20 Feb/03/21
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
prism_electronics12 Used - $15,000.00 0 Jul/21/20 Jul/24/20
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
sigmasurplus Used - $89.95 1 Jul/23/20 Sep/24/20
Description: Applied Materials 0190-10801 Sensor Box Rev. 002 300MM
z-techglobal Used - $99.99 2 Aug/11/20 Feb/10/22
Description: AMAT 3030-15252 MFC LF-F20M-A-EVD TDMAS 0.05g/min - NEW OPEN BOX
timweb64 NEW - $300.00 0 Aug/13/20 Nov/13/20
Description: 0040-09095 / GAS BOX,WCVD /AMAT
capitolareatech NEW - $29.95 0 Aug/17/20 Aug/16/23
Description: Lam Research (LAM) 716-015098-001 INSULATOR ELBOW LOWER MATCH BOX
capitolareatech NEW - $15.95 2 Aug/19/20 Jun/18/23
Description: Lam Research LAM 716-022030-004 LAM SPACER SCRAP EMPTY BOX
visionsemi Used - $1,500.00 1 Aug/19/20 Dec/30/21
Description: APPLIED MATERIAL AMAT AAC DISTRUBUTION BOX ETERNA OZONE RACK 0190-30125 REV 01
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-004 / 16-LINE IGS GAS BOX 571-033051-11866D / LAM RESEARCH
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-001 / KIYO ALL IN ONE GAS BOX 16 LINE IGS 571-033051-12315A2 / LAM
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-003 / 16 LINE IGS GAS BOX 571-033051-13664C / LAM RESEARCH
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Dec/13/21
Description: 571-033051-005 / 16-LINE IGS GAS BOX 571-033051-31050E / LAM RESEARCH
getspares.com_sparesllc09 Used - $20,440.60 0 Aug/19/20 Jun/15/21
Description: 571-033051-002 / 16 LINE IGS GAS BOX 571-033051-13350B / LAM
dom0808 Used - $2,519.00 0 Aug/20/20 Feb/11/22
Description: Novellus AC DC Box 02-352388-02
capitolareatech Used - $26.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0150-09789 CABLE, ASSY. CONTROLLER BOX, GND
capitolareatech Used - $18.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 0150-09053 Cable, Assy. Ribbon Remote Power Box
capitolareatech NEW - $29.95 0 Aug/24/20 Dec/27/22
Description: Applied Materials (AMAT) 0150-20711 Cable, Assy. AC Power Box Interface
capitolareatech Used - $57.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 0150-09351 CABLE,ASSY, BOX HEATER, INTERCONNECT
capitolareatech Used - $9,995.95 0 Aug/24/20 May/10/23
Description: Applied Materials (AMAT) 0290-09061 HOT BOX, SER.II
spsglobal Used - $60.00 0 Aug/25/20 Sep/27/21
Description: 351-0201// AMAT APPLIED 0020-31425 MIXER GAS BOX LID BWCVD [USED]
intek22 NEW - $39,000.00 0 Aug/27/20 Oct/14/20
Description: MKS ASTeX Ozone Generator 8407A-11 ----> NEW SEALED IN BOX AMAT 0190-26924
j316gallery NEW - $3,139.95 0 Sep/10/20 Aug/17/21
Description: 21057 APPLIED MATERIALS GAS BOX CHAMBER LID 200MM PRODUCER (NEW) 0040-53927
z-techglobal NEW - $199.99 1 Sep/18/20 Sep/23/20
Description: AMAT 3030-11633 HORIBA STEC MFC LF-410A-EVD IPA 2.5g/min - NEW OUT OF BOX
prism_electronics12 Used - $9,999.99 0 Sep/21/20 Sep/24/20
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
prism_electronics12 Used - $9,999.99 1 Sep/21/20 Feb/03/21
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
visionsemi Used - $13,000.00 0 Sep/28/20 Mar/11/22
Description: APPLIED MATERIALS DC DISTRIBUTION BOX AMAT 0090-05777 MODEL:101631-01
xclusivelyglam1 Scrap, for parts - $4,999.99 0 Oct/05/20 Mar/17/21
Description: LAM Research 846-051190-206 All In One Gas Box *non-working, Parts Only!*
nps NEW - $3,149.99 0 Oct/08/20 Nov/09/20
Description: Applied Materials 0020-04254 Monochromator Module Assembly No Box
nps NEW - $99.99 1 Oct/09/20 Oct/16/20
Description: Applied Materials 0190-38517 Replacement Lamp for Amat RTP Lamp, Box of 10
athomemarket Used - $168.49 0 Oct/09/20 Dec/07/21
Description: Applied Material 0020-04038 RF Match Box Plate AMAT Chamber Box Plate
drvidguy NEW - $449.88 0 Oct/26/20 May/26/22
Description: Box of 10 Applied Materials 0190-38517 Replacement Lamp for Amat RTP Lamp
plccenter Used - $105.00 0 Oct/27/20 May/26/22
Description: APPLIED MATERIALS 0140-70323 / 014070323 (NEW IN BOX)
visionsemi NEW - $2,150.00 0 Oct/28/20 May/06/22
Description: LAM RESEARCH DGF 810-031325-105 16 IGS MOTHERBOARD PCB NEW IN BOX
j316gallery Used - $350.00 1 Oct/30/20 Nov/16/21
Description: 21667 APPLIED MATERIALS MTG BOX, HT EXCH INTERFC BD, 0100-09041 0020-09566
j316gallery Used - $1,988.69 0 Oct/30/20 May/26/22
Description: 21666 APPLIED MATERIALS GAS BOX, SILANE, 200MM PRODUCER 0040-02520
j316gallery Used - $299.00 0 Nov/01/20 Mar/16/21
Description: 8097 LAM RESEARCH SYS INTERLOCK, 300MM, CTRL BOX, 714-802323-003 810-800031-300
athomemarket Used - $79.99 0 Nov/05/20 Jan/03/22
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
tjtechseller Used - $2,300.00 1 Nov/06/20 Sep/06/21
Description: 0040-00028 0040-31980 AMAT Applied Material WxZ Gas Box
nps NEW - $2,419.99 1 Nov/09/20 Nov/10/20
Description: Applied Materials 0020-04254 Monochromator Module Assembly No Box
racertrek Used - $49.99 1 Nov/11/20 Nov/21/20
Description: Applied Materials Light Pen Box 0010-09103 With Light Pen
lagpat Used - $1,000.00 0 Nov/17/20 May/26/22
Description: XP Power 102320-01 Applied Materials AMAT 0195-14725 Control Box
sgcequipment Used - $9,500.00 0 Nov/18/20 Mar/03/21
Description: LAM Research 571-033051-003 16-Line IGS Gas Box
sgcequipment Used - $9,500.00 0 Nov/18/20 Mar/03/21
Description: LAM Research 571-033051-004 16-Line IGS Gas Box
prism_electronics12 Used - $10,400.00 1 Nov/18/20 Jan/03/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $4,999.99 0 Nov/18/20 Nov/23/20
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
getspares.com_sparesllc09 Used - $8,645.77 0 Nov/18/20 Feb/23/21
Description: 850-442010-001 / GAS BOX A6 ALLIANCE EXELAN ETC / LAM RESEARCH CORPORATION
lagpat Used - $2,200.00 0 Nov/19/20 May/26/22
Description: AMAT Enclosure Assy Box Transformer 0195-13658
lagpat Used - $540.00 1 Dec/29/20 Oct/14/21
Description: APPLIED MATERIALS ASSY RF FILTER BOX PRODUCER SE 0090-05831
getspares.com_sparesllc09 NEW - $200.00 1 Jan/05/21 Jan/12/21
Description: 839-250318-001 / ASSY TBG CLG LWR MATCH BOX COIL / LAM RESEARCH CORPORATION
svcstore Used - $4,999.99 0 Jan/08/21 Dec/27/22
Description: LAM Research 846-051190-846 All In One Gas Box w/2x Brooks CMX45 + Control Board
radwelloverstock Used - $14.75 0 Jan/11/21 Mar/07/22
Description: LAM LIGHTING 645-006543-001 / 645006543001 (NEW NO BOX)
autoquip7 NEW - $3,100.00 1 Jan/14/21 Jul/25/22
Description: 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX
sgcequipment Used - $650.00 1 Jan/20/21 Feb/02/21
Description: Applied Materials (AMAT) 0090-91154 Implant Division NH RESISTOR BOX
grandbirdnet NEW - $3,500.00 0 Jan/31/21 Mar/14/22
Description: AMAT 0240-02816 KIT B101/A101 REMOTE AC BOX REV1.2 300, NEW
joymusic Used - $2,499.00 1 Jan/31/21 Sep/18/21
Description: Lam Research JetstreamPCB 810-073479-005 New--open box
grandbirdnet Used - $2,500.00 0 Feb/01/21 Dec/28/22
Description: NOVELLUS 27-161246-00 OVERTEMP CHANNEL CONTROL BOX, USED
athomemarket Used - $64.99 0 Feb/03/21 Apr/01/22
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
joymusic Used - $2,450.00 0 Feb/04/21 Jan/04/22
Description: Lam Research VME BOX 853-044013-125
grandbirdnet NEW - $800.00 2 Feb/09/21 Jun/14/22
Description: NOVELLUS 02-057958-00 GAS BOX DIST, BROOKS D-SUB, NEW
prism_electronics12 Used - $9,999.99 0 Feb/10/21 Feb/10/21
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
prism_electronics12 Used - $13,000.00 0 Feb/10/21 Feb/10/21
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
prism_electronics12 Used - $9,999.99 0 Feb/10/21 Feb/10/21
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG
prism_electronics12 Used - $13,000.00 0 Feb/10/21 Feb/10/21
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE
benad24 Used - $163.51 0 Mar/02/21 Mar/17/22
Description: AMAT Applied Materials AKT 0100-71141 PCA TC INPUT ASSY POWER BOX 25K
benad24 Used - $163.28 0 Mar/02/21 Apr/20/23
Description: AMAT Applied Materials AKT 0100-71229 ASSY CPU BOARD 40KA CVD AC POWER BOX
benad24 Used - $68.05 0 Mar/02/21 Apr/20/23
Description: AMAT Applied Materials AKT 0100-71172 PCA LED ASSY 40K POWER BOX REV 01
benad24 Used - $72.09 0 Mar/02/21 Apr/20/23
Description: AMAT Applied Materials AKT 0100-71172 Pca LED Assy 40k Power Box Rev 01
benad24 Used - $170.98 28 Mar/02/21 Mar/17/22
Description: AMAT Applied Materials AKT 0100-71141 Pca TC Input Assy Power Box 25k
tech-resale-com Used - $4,980.00 0 Mar/15/21 Jan/17/23
Description: Lam Research 2300 KIYO E SERIES VME BOX MPN 853-044013-325 REV B
athomemarket Used - $59.99 0 Mar/16/21 May/14/22
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
rtxparts Used - $1,500.00 0 Mar/22/21 Sep/14/21
Description: P5000 Cleanroom Ergo Pneumatics Box SYS305540 0100-76535
sgcequipment Used - $550.00 1 Mar/22/21 Oct/15/21
Description: Applied Materials (AMAT) 0090-05831 RF Filter Box Producer SE Assembly REV 1
xl-t_com Used - $377.00 1 Mar/22/21 Oct/19/21
Description: LAM - 810-017059-001 - PCB ORB WELD GAS BOX INTL
atxdeals4u Used - $1,500.00 1 Mar/22/21 Mar/22/21
Description: AMAT Applied Materials 0010-28071 REV001 0040-64190 Pedestal Integration Box
sgcequipment Used - $850.00 1 Mar/22/21 Nov/13/23
Description: Applied Materials (AMAT) 0090-04947 RF Filter Box Producer SE Assembly REV 2
dgold32 Used - $1,999.99 1 Mar/22/21 Jan/03/22
Description: LAM Research 846-051190-846 All In One Gas Box
atxdeals4u Used - $1,500.00 1 Mar/23/21 Mar/24/21
Description: Applied Materials Pedestal Integration Box AMAT 0010-28071 0090-02830
xl-t_com Used - $80.00 0 Apr/01/21 Oct/19/21
Description: LAM - 714-091010-001 - BLANKOFF, GAS BOX VENT
equipplus Used - $569.00 1 Apr/04/21 Sep/18/21
Description: LAM Research 810-073479-005 Rev A Jetstream Gas Box MB Board,Used,US^7141
speed_asia_automation Used - $1,597.90 1 Apr/10/21 Dec/09/21
Description: AMAT Applied Material 0090-04736 Rev:4 9199-14 Rev:F RF Filter Box
radwelloverstock Used - $6.25 0 Apr/25/21 Feb/25/22
Description: LAM RESEARCH 853-027430-001 / 853027430001 (NEW NO BOX)
nps NEW - $29.99 1 Apr/27/21 May/04/21
Description: Lam Research 833-014957-100 Cable Assembly 85' Coil, 9 Holes, 7 Pin, Red, No Box
radwelloverstock Used - $6.25 0 May/01/21 Mar/01/22
Description: LAM RESEARCH 766-090815-001 / 766090815001 (NEW NO BOX)
athomemarket Used - $179.99 6 May/02/21 Oct/28/21
Description: AMAT/Applied Materials 0010-34855 Ceramic Heater RF Filter Box Assembly 300750
senior-inc Used - $100.00 1 May/03/21 Jan/19/22
Description: LRC 853-330282-001 ASSY, RF SEN BOX, LWR MATCH
j316gallery Used - $4,500.00 0 May/03/21 Jun/01/21
Description: 25378 APPLIED MATERIALS PEDESTAL INTEGRATION BOX, 0090-02830 0010-28071
excessdealer Used - $600.00 1 May/06/21 Apr/17/22
Description: Lam Research 853-800085-535 Rev:B Vendor Code:1023220 NEW OPEN BOX WITH BOARDS
psi_repair_services Used - $1.00 0 May/14/21 May/04/23
Description: AMAT SENSOR BOX MODEL: 0190-10801 REPAIR EVALUATION
novusferro Used - $19,999.00 0 May/17/21 Jun/02/21
Description: Applied Materials AMAT 0130-20411 Endura Gas Box
semixicon NEW - $60,000.00 0 May/19/21 Sep/01/21
Description: OEM NEW in Original Sealed Box AMAT Producer 300mm Heater 0010-59798
getspares.com_sparesllc09 Used - $5,283.03 0 May/19/21 Apr/25/22
Description: 685-017705-620 / REMVOTE AC BOX LAM 9600 208VAC 175A 50-60HZ 3PHASE 5WIRE / LAM
7gms_pp6gbph NEW - $1,000.00 0 May/27/21 Mar/27/22
Description: 1 PCS NEW IN BOX Lam controller 853-801876-014
ultimatesolutionkorea Used - $250.00 0 May/27/21 Apr/27/22
Description: AMAT 0190-34211 PI-980 MFC AERA NEW OPEN BOX
j316gallery Used - $1,900.00 0 Jun/01/21 Aug/05/21
Description: 24839 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005
j316gallery Used - $4,999.00 0 Jun/01/21 Sep/14/21
Description: 25589 APPLIED MATERIALS SERIPLEX I/O DISTRIBUTION BOX, 0100-35231 0190-35774
j316gallery Used - $2,092.95 0 Jun/01/21 Mar/30/22
Description: 25595 APPLIED MATERIALS LIQUID DETECT BOX W/ 4X SM312FV, 2X BT13S 0140-21737
pusterd NEW - $350.00 0 Jun/16/21 Jun/17/21
Description: CTi 901D-2589-B / 901D2589B (NEW IN BOX)
pr39_industries NEW - $299.99 1 Jun/16/21 Apr/07/22
Description: (NEW OPEN BOX) JUMO CTI 750 CONDUCTIVITY SENSOR
ecrunch Used - $245.00 0 Jun/16/21 Jun/30/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
broncofan19 NEW - $1,950.00 1 Jun/16/21 Jul/06/21
Description: Control Technology 2572-B Fast Ethernet Adapter - NEW - Unopened Box
asisurplus Used - $186.83 0 Jun/16/21 Oct/11/21
Description: 195526 New-No Box, CTI GG-O2-RC-SP1 Replacement Sensor Element
chicagosurgical2 NEW - $495.00 1 Jun/16/21 Dec/17/23
Description: Carter-Thomason II Port Site Closure System CTI-1012p Exp. 08/27/2025 1 Box of 5
banyanteam Used - $245.00 1 Jun/16/21 Dec/08/21
Description: CTI-Cryogenics 8135240G001 On Board Splitter Box
ntsurplus302 Used - $750.00 2 Jun/16/21 Jun/20/22
Description: 5544 CTI-Cryogenics 8135240G001 On-Board Splitter Box @ Tool
usa.88 Used - $2,150.10 0 Jun/16/21 Mar/09/22
Description: AE ADVANCED ENERGY RF MATCHER BOX 3155166
linndsaymia NEW - $60.20 0 Jun/16/21 Jun/22/21
Description: GBC 3000004 NAP-LAM 1.5 MIL - 25" X 500' CLEAR 2 ROLLS PER BOX THERMAL LAMINATIO
levmucciacciar0 Used - $200.00 0 Jun/16/21 Jul/11/23
Description: Applied Materials TPU Edwards Burn Box Interface Bd. Producer 0100-38063
novusferro Used - $499.00 1 Jun/18/21 Oct/12/21
Description: Lam Research 810-017074-003 Rev 2 Orbital Gas Box PCB Board
j316gallery Used - $1,020.45 1 Jun/18/21 Jul/15/21
Description: 5510 APPLIED MATERIALS ASSY, UNIVERSAL TEOS GAS BOX 0010-09961
zoro Used - $737.18 0 Jun/22/21 Mar/30/22
Description: AMAT 0010-33918 / ENABLER POWER BREAKER BOX FOR MAIN POWER/SOURCE/PROCESS KIT
j316gallery Used - $3,000.00 0 Jun/29/21 Jul/01/21
Description: 25592 APPLIED MATERIALS SERIPLEX POWER DIST BOX, LAMBDA UBJ2MNP-1930 0100-35173
ecrunch Used - $245.00 0 Jul/03/21 Jul/25/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
j316gallery Used - $5,000.00 0 Jul/03/21 Nov/08/21
Description: 25592 APPLIED MATERIALS SERIPLEX POWER DIST BOX, LAMBDA UBJ2MNP-1930 0100-35173
capitolareatech Used - $5,999.95 0 Jul/03/21 Mar/01/22
Description: Applied Materials (AMAT) 0010-93076 FTER BOX ASSY W/NEGATIVE P/S, DPS+
capitolareatech Used - $3,999.95 0 Jul/03/21 Dec/22/22
Description: Applied Materials (AMAT) 0010-76535 ASSY, PNEUMATIC LOGIC BOX TILT CASSETTE
capitolareatech NEW - $1,795.95 0 Jul/03/21 Apr/01/22
Description: Applied Materials (AMAT) 0010-21817 ASSY, HTESC RF CLEAN CONTROL BOX
capitolareatech Used - $1,195.95 0 Jul/03/21 Dec/22/22
Description: Applied Materials (AMAT) 0040-09091 GAS BOX 456PL
spsglobal Used - $300.00 0 Jul/03/21 Mar/30/23
Description: 130-0101// AMAT APPLIED 0010-30092 ASS'Y RF PEAK DETECTOR BOX, METCH [USED]
ecrunch Used - $245.00 0 Jul/28/21 Aug/02/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
bms-semicon Used - $1,499.99 1 Jul/30/21 Aug/06/21
Description: AE Advanced Energy VM1000A RF Matching Box DRESSLER VM 1000A
ecrunch Used - $245.00 0 Aug/02/21 Aug/09/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
usedeqsales Used - $358.21 0 Aug/04/21 Mar/16/23
Description: AMAT Applied Materials 0020-09933 Gas Distribution Sputter Plate Open Box New
usedeqsales Used - $308.21 0 Aug/06/21 Apr/02/23
Description: VAT Series 65 Valve Position Indicator Adapter Box Novellus 34-106444-00 New
ecrunch Used - $245.00 0 Aug/09/21 Aug/15/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
farwestproductsales Used - $749.95 0 Aug/14/21 Sep/01/22
Description: Applied Materials 0200-08200 AMAT Fixed Lift Ring NEW IN BOX! FREE SHIPPING!
ecrunch Used - $245.00 0 Aug/18/21 Aug/30/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
katiil3 Used - $299.00 0 Aug/18/21 Oct/23/21
Description: Applied Materials 0041-28630 Rev.01 200mm Retaining Ring New open box
usedeqsales Used - $2,008.21 0 Aug/18/21 Mar/03/22
Description: Trazar 62339-001 H/F RF Box Novellus Systems 19-162063-00 OEM Refurbished
nps NEW - $63.27 1 Aug/26/21 Sep/01/21
Description: Lam Research 853-810609-050 Cable Assembly, 50', Red, Pump Cable, No Box
prism_electronics5 Used - $255.00 0 Aug/27/21 Aug/30/21
Description: APPLIED MATERIALS AMAT 0040-05347 RF COVER HEATER BOX AC 2
ecrunch Used - $245.00 0 Sep/07/21 Sep/15/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
bornalliancecom Used - $1,995.00 1 Sep/07/21 Jan/20/22
Description: Applied Materials 0010-70094 AC Box , Assy P-5000
capitolareatech Used - $2,495.95 1 Sep/07/21 Feb/28/22
Description: Applied Materials (AMAT) 0010-09928 CONTROLLER BOX ASSY, ESC
usedeqsales Used - $1,609.21 0 Sep/07/21 Nov/29/22
Description: Novellus Systems 02-052262-00 AC Power Box Speed C2 Concept Two Speed Working
autoquip7 Used - $5,350.00 0 Sep/10/21 Jul/25/22
Description: 0010-09928, 1140-00645; CONTROLLER BOX ASSY, ESC APPLIED MATERIAL (AMAT),
jabedow Used - $75.00 0 Sep/12/21 Mar/02/23
Description: 0190-17102, 117701-394-2678, Assy cable, Combiner Box
getspares.com_sparesllc09 Used - $2,588.54 0 Sep/13/21 Mar/03/22
Description: 0090-91796 / SOURCE SUPP CLAMPING BOX XR80 / APPLIED MATERIALS AMAT
powersell007 Used - $1,099.00 1 Sep/14/21 May/14/22
Description: APPLIED MATERIALS 0010-42371 ASSEMBLY, RF FILTER BOX, ONE COIL, W/AC AMAT
jabedow NEW - $80.00 0 Sep/14/21 Feb/15/23
Description: Amat, 0021-53853, Hub Idler Brush Box
ecrunch Used - $245.00 0 Sep/15/21 Sep/26/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
rtxparts Used - $1,500.00 0 Sep/15/21 Dec/09/21
Description: P5000 Cleanroom Ergo Pneumatics Box SYS305540 0100-76535
rtxparts Used - $1,000.00 0 Sep/15/21 Jan/11/22
Description: Koganei 4K199-395, Reticle loader Pneumatic Box Assembly Nikon NSR-307E
j316gallery Used - $600.00 0 Sep/20/21 Oct/27/21
Description: 26230 APPLIED MATERIALS POWER SUPPLY BOX, AMAT 0190-26767 (PARTS) 101294-01
getspares.com_sparesllc09 Used - $6,500.00 0 Sep/23/21 Jun/01/23
Description: 0190-40671 / CH AC DIST BOX DUAL HTR DRIVERS, 1ATAMT0190040671R / AMAT
ecrunch Used - $245.00 0 Sep/27/21 Oct/03/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
micntahoe NEW - $325.00 2 Sep/30/21 Feb/08/22
Description: Nikon 4S060-231 (Junction Box JB-77) NSR
ecrunch Used - $245.00 0 Oct/03/21 Nov/14/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
capitolareatech NEW - $999.95 1 Oct/20/21 Apr/20/22
Description: Applied Materials (AMAT) 0010-36162 ASSY RF MATCH BOX SIMPLE.C;
dom0808 Used - $1,590.00 0 Nov/01/21 Feb/11/22
Description: Lam Research SFEM AC BOX 853-239784-010
techequipsales Used - $2,500.00 1 Nov/01/21 Jan/05/22
Description: LAM Research 846-077848-725 All In One Gas Box *non-working*
j316gallery Used - $976.65 0 Nov/03/21 Mar/22/23
Description: 26582 APPLIED MATERIALS PCB ASSY, CONFIGURABLE INTLK, TXZ GAS BOX 0100-20458
katiil3 Used - $1,000.00 0 Nov/09/21 Mar/09/22
Description: Applied materials/ AMAT 0041-62587 GAS BOX FOR CENTER FLOW
katiil3 Used - $1,000.00 0 Nov/09/21 Mar/09/22
Description: Applied materials 0240-23497 STD PUMP I/F BOX
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied materials 0040-50344 Gas box chamber lid 200MM PMD Producer
j316gallery Used - $18,331.50 0 Nov/11/21 Nov/23/22
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003
ecrunch Used - $245.00 0 Nov/14/21 Dec/01/21
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
usedeqsales Used - $1,011.21 1 Nov/18/21 Apr/13/23
Description: AMAT Applied Materials 0020-31558 ESC RF Box Plate Assembly Working Surplus
radwelloverstock Used - $6.25 0 Nov/24/21 Feb/17/22
Description: APPLIED MATERIAL 3300-05873 / 330005873 (NEW IN BOX)
j316gallery Used - $200.00 2 Nov/30/21 Jan/19/22
Description: 26770 CTI-CRYOGENICS SPLITTER BOX 8112444G002
zindchau15 Used - $1,500.00 0 Dec/01/21 Jan/31/22
Description: AMAT APPLIED MATERIALS 0041-87672 SPIRAL WATER BOX CUPPER
ecrunch Used - $245.00 0 Dec/01/21 Jan/02/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
spsglobal Used - $5,200.00 0 Dec/03/21 Mar/16/23
Description: 129-0301// AMAT APPLIED 0010-01388 0100-00496 LTESC CONTROL BOX ASSY [USED]
spsglobal Used - $12,000.00 0 Dec/03/21 Mar/16/23
Description: 330-0201// AMAT APPLIED 0090-02957 (#1) 0090-01433 AC BOX, 200MM ENDURA [ASIS]
athomemarket Used - $168.49 0 Dec/07/21 Feb/05/23
Description: Applied Material 0020-04038 RF Match Box Plate AMAT Chamber Box Plate
rtxparts Used - $1,500.00 0 Dec/09/21 Feb/10/22
Description: P5000 Cleanroom Ergo Pneumatics Box SYS305540 0100-76535
speed_asia_automation Used - $1,597.90 0 Dec/09/21 Dec/15/21
Description: AMAT Applied Material 0090-04736 Rev:4 9199-14 Rev:F RF Filter Box
powersell007 Used - $1,299.00 0 Dec/17/21 Feb/11/22
Description: APPLIED MATERIALS 0010-28507 TARGET INPUT BLOCK/COMBINER BOX CABLE ASSEMBLY AMAT
getspares.com_sparesllc09 Used - $8,500.82 0 Dec/28/21 Mar/14/24
Description: 685-017705-550 / LAM AC DISTRIBUTION BOX 4520 / LAM RESEARCH CORPORATION
dgold32 Used - $1,999.99 1 Dec/28/21 Apr/26/22
Description: 3005891 AMAT APPLIED 0010-47964 BOX ASSY, HTR1, HTR2, FC1, FC2 P373 Control
ecrunch Used - $245.00 0 Jan/02/22 Feb/01/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
millionair668 Used - $500.00 0 Jan/02/22 Mar/18/22
Description: Lam Research Texmate AC Box Meter 518-207872-020
spsglobal Used - $3,500.00 0 Jan/03/22 Jun/18/23
Description: 999-9999// AMAT APPLIED 0040-02936 (DELIVERY 21 DAYS) WATER BOX, TXZ 200MM C I P
athomemarket Used - $79.99 0 Jan/08/22 Jun/30/22
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
logansemi Used - $425.00 1 Jan/08/22 Aug/03/22
Description: Lam Research RF INTERFACE BOX, P/N 853-004118-002 / 810-004092-003
j316gallery Used - $200.00 1 Jan/08/22 Aug/19/22
Description: 26912 LAM RESEARCH PCB, GAS BOX (PARTS) 810-017074-003
usedeqsales Used - $2,001.22 2 Jan/10/22 Apr/05/22
Description: Koganei SP1178W Pneumatic Control Box Nikon 4B028-878 NSR-S204B Working Surplus
prism_electronics12 Used - $11,200.00 0 Jan/10/22 Feb/23/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
rtxparts Used - $1,000.00 0 Jan/11/22 Apr/13/22
Description: Koganei 4K199-395, Reticle loader Pneumatic Box Assembly Nikon NSR-307E
equippedvisionllc Used - $2,999.00 0 Jan/12/22 Jan/22/22
Description: Advanced Energy 10kW PE II 10k 400v 40khz RF Generator (NEW IN BOX)
farmoninc Used - $5,500.00 0 Jan/12/22 Jun/30/22
Description: AMAT P5000 CHAMBER LID, 0040-32073 GAS BOX, 0010-09761 5000 CVD GAS BOX, 103134
ntsurplus302 Used - $1,650.00 0 Jan/20/22 Jun/29/23
Description: 5752 Novellus 02-157910-00 Assy., Ethernet, Hub Box, Loto
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
electronicsister Used - $2,599.99 0 Jan/24/22 Mar/17/22
Description: CHAMBER AC DISTRIBUTION BOX AXIOM 300MM APPLIED MATERIALS AMAT 0190-30702
bobsgoodies2 Used - $975.00 0 Jan/25/22 Mar/31/22
Description: AMAT Applied Materials 0020-22584 BOX, RESISTIVITY METER,DOT TWO [USED]
dgold32 Used - $499.99 1 Jan/25/22 Jan/26/22
Description: AMAT APPLIED MATERIALS ISAC CP I/O BLOCK 2 TOP Box 0190-27562 Enclosure/Case
j316gallery Used - $550.00 1 Jan/27/22 Oct/21/22
Description: 26230 APPLIED MATERIALS POWER SUPPLY BOX, AMAT 0190-26767 (PARTS) 101294-01
excessdealer Used - $600.00 1 Jan/27/22 May/25/22
Description: LAM RESEARCH 571-033051-002 16-LINE IGS GAS BOX 150 PSIG
farmoninc Used - $5,500.00 0 Jan/27/22 Apr/20/23
Description: AMAT CHAMBER LID P5000, 0040-09091 GAS BOX, AMAT 0020-10135, 103397
farmoninc Used - $5,500.00 0 Jan/27/22 Apr/12/23
Description: AMAT P5000 CHAMBER LID, 0040-09091 GAS BOX, AMAT 0040-10135, 0020-09604, 103394
israbuy Used - $2,000.00 0 Jan/28/22 Mar/02/22
Description: Nikon 4S587-172 Switch Box TC-SW NSR-S306C DUV Scanning System Used Working
merakii-uk Used - $1.00 0 Jan/29/22 Jan/29/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
eabani1111 Used - $1.00 0 Jan/29/22 Jan/29/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
vintageandstyle Used - $1,500.00 1 Jan/31/22 May/08/24
Description: AMAT APPLIED MATERIALS 0041-87672 SPIRAL WATER BOX CUPPER
nps NEW - $999.99 0 Jan/31/22 Mar/01/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
visionsemi NEW - $407.44 0 Jan/31/22 Nov/08/22
Description: LAM RESEARCH 714-032017-003 COVER REM UPPER MATCH BOX F/G
ecrunch Used - $245.00 0 Feb/01/22 Feb/27/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
farmoninc Used - $4,000.00 1 Feb/01/22 Jul/24/23
Description: AMAT 0010-70000 MINI CONTROLLER BOX P5000, 103429
katiil3 Used - $299.00 1 Feb/02/22 Apr/23/23
Description: AMAT Applied Materials 0020-22852 Shield 8in Preclean Rev B Open box
excessdealer Used - $400.00 1 Feb/03/22 Nov/11/22
Description: NEW Lam Research 719-003481-895 Window Ceramic Plate / Original Box
nako.jet Used - $1,250.00 0 Feb/03/22 Apr/20/22
Description: AMAT 0100-09054 (PCB ASSY, ANALOG INPUT) (OPEN BOX) REV 05
getspares.com_sparesllc09 Used - $19,410.00 0 Feb/03/22 Aug/31/23
Description: 0041-48052 / WATER BOX, 300MM ALD-WATER BOX 3 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $206.66 0 Feb/04/22 Mar/03/22
Description: 0020-31425 / LID, MIXER GAS BOX, BWCVD / APPLIED MATERIALS AMAT
tonys-tamiya-ltd Used - $1.00 0 Feb/05/22 Feb/05/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
liquiditech Used - $112.50 0 Feb/06/22 Apr/12/22
Description: NIKON ALCP Sensor Box 4S782-443
surplustechmart Used - $112.50 0 Feb/06/22 Feb/10/22
Description: NIKON ALCP Sensor Box 4S782-443
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bertrum4572 Used - $1.00 0 Feb/06/22 Feb/06/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
j316gallery Used - $2,122.30 0 Feb/10/22 Mar/13/23
Description: 27090 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005
rtxparts Used - $1,500.00 0 Feb/10/22 Aug/09/22
Description: P5000 Cleanroom Ergo Pneumatics Box SYS305540 0100-76535
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
sootysmtg Used - $1.00 0 Feb/12/22 Feb/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
sootysmtg Used - $1.00 0 Feb/13/22 Feb/13/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
mjr692 Used - $200.00 0 Feb/19/22 Feb/27/22
Description: AE ADVANCED ENERGY 2189-000 LM-1.25K RF MATCH BOX FREE SHIP AS IS
bobsgoodies2 Used - $175.00 0 Feb/21/22 Mar/31/22
Description: AMAT 0010-00174 THROTTLE VALVE REDUCER BOX ASSEMBLY
getspares.com_sparesllc09 Used - $11,313.50 0 Feb/23/22 Mar/01/23
Description: 0010-07540 / ASSY, WATER BOX, 300MM SLT ESC / APPLIED MATERIALS AMAT
prism_electronics12 Used - $15,000.00 0 Feb/23/22 Mar/02/22
Description: NEW LAM RESEARCH 846-035344-001 GAS BOX
prism_electronics12 Used - $5,999.99 0 Feb/23/22 Mar/02/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
love_my_cottage Used - $1.00 0 Feb/26/22 Feb/26/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
love_my_cottage Used - $1.00 0 Feb/26/22 Feb/26/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
hewalex Used - $1.00 0 Feb/26/22 Feb/26/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bmc.london Used - $1.00 0 Feb/26/22 Feb/26/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
ecrunch Used - $245.00 0 Feb/27/22 Mar/13/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
equippedvisionllc Used - $3,500.00 0 Feb/28/22 Jun/13/22
Description: Advanced Energy 10kW PE II 10k 400v 40khz RF Generator (NEW IN BOX)
mjr692 Used - $200.00 0 Mar/01/22 Mar/15/22
Description: AE ADVANCED ENERGY 2189-000 LM-1.25K RF MATCH BOX FREE SHIP AS IS
nps NEW - $799.99 0 Mar/01/22 Mar/22/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $999.99 0 Mar/02/22 Mar/09/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
youngauctioneer NEW - $229.95 6 Mar/03/22 Jan/23/23
Description: NEW NO BOX CTI-Cryogenics 8112444G002 Power Junction/Splitter Box O3-1
youngauctioneer Used - $139.95 1 Mar/03/22 Apr/04/22
Description: USED CTI-Cryogenics 8112444G002 Power Junction/Splitter Box w/ Cable O3-2
soldtou1 Used - $199.99 0 Mar/04/22 Sep/15/22
Description: Lam Research 853-056618-004 1002685-0735 Gas Box Interface PM4 Cable Assembly
soldtou1 Used - $199.99 0 Mar/04/22 May/18/23
Description: Lam Research 833-016952-002 1002685-0707 41PG PM Gas Box Cable Assembly Harness
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
sfwish Used - $399.97 1 Mar/05/22 May/12/23
Description: Applied Materials 0041-43070 Rev.03 SLIT VALVE *OPEN BOX!*
ethnicroots Used - $1.00 0 Mar/05/22 Mar/05/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
farmoninc Used - $2,500.00 0 Mar/07/22 Jun/30/22
Description: AMAT 0021-23550 P5000 CVD GAS BOX MIXING, AMS, AMZ, STI DOUBLE CVGF, 104055
usa88.88 Used - $2,150.10 0 Mar/09/22 Jun/01/23
Description: AE ADVANCED ENERGY RF MATCHER BOX 3155166
katiil3 Used - $299.00 0 Mar/10/22 Dec/10/23
Description: Applied Materials 0041-28630 Rev.01 200mm Retaining Ring New open box
youngauctioneer Used - $299.95 0 Mar/10/22 Aug/18/22
Description: New No Box CTI Cryogenics 8044140G001 Roughing Valve K5-3
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ecrunch Used - $245.00 0 Mar/13/22 Mar/30/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
mjr692 Used - $99.99 0 Mar/15/22 Mar/20/22
Description: AE ADVANCED ENERGY 2189-000 LM-1.25K RF MATCH BOX FREE SHIP AS IS
eotechrf Used - $1,600.00 1 Mar/15/22 Nov/18/22
Description: APPLIED MATERIALS 8" CVD CHAMBER WSI GAX BOX 0010-09262
techequipsales Used - $2,500.00 1 Mar/16/22 Feb/06/23
Description: LAM Research 846-077848-725 All In One Gas Box *non-working*
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
nps NEW - $599.99 0 Mar/22/22 Apr/18/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
usedeqsales Used - $5,003.22 0 Mar/23/22 Jun/15/23
Description: Sony 4S085-291 AMP Box of BZ-T25 for Laserscale LC_BX_LS1 Nikon NSR FX-601F
farmoninc Used - $1,500.00 0 Mar/24/22 Aug/29/23
Description: AMAT 0100-09212 CONTROLLER BOX ASSY, ESC, 104187
ecrunch Used - $245.00 0 Mar/30/22 Apr/03/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
autoquip7 Used - $3,900.00 0 Mar/31/22 Jul/25/22
Description: 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. (AMAT)
athomemarket Used - $64.99 0 Apr/01/22 May/30/23
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ecrunch Used - $245.00 0 Apr/03/22 Apr/27/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
nps Used - $6,799.99 1 Apr/04/22 Apr/04/22
Description: CTI-Cryogenics 0190-13369 On-Board 8F Cryopump No Box*
dom0808 Used - $1,590.00 0 Apr/04/22 Apr/10/22
Description: Lam Research SFEM AC BOX 853-239784-010
sj_tech Used - $2,519.00 0 Apr/04/22 Nov/30/22
Description: Novellus AC DC Box 02-352388-02
sj_tech NEW - $341.00 0 Apr/04/22 Oct/20/22
Description: AMAT CONNECTOR PEEK RF FILTER BOX E-CHUCK 0021-46402
dom0808 Used - $2,528.90 0 Apr/04/22 Apr/10/22
Description: Lam Research Jetstream Gas Box MB 810-073479-005
dom0808 Used - $1,083.50 0 Apr/04/22 Apr/10/22
Description: Lam Research DB,CONTROL BOX,EIOC 853-300159-001
dom0808 NEW - $328.90 0 Apr/04/22 Apr/10/22
Description: Lam Research CA,ENET,EIOC2 DIST BOX 833-073765-498
dom0808 Used - $715.00 0 Apr/04/22 Apr/10/22
Description: Lam Research I/O DISTR,ILDS,MECH BOX,VXT&C3VCRTR 03-374137-00
dom0808 Used - $495.00 0 Apr/04/22 May/22/22
Description: Novellus GAS BOX DIST, BROOKS D-SUB 02-057958-00
ntsurplus302 Used - $1,650.00 0 Apr/06/22 Aug/31/23
Description: 5823 Novellus 02-157910-00 Assy. Ethernet Hub Box, Loto
nps NEW - $449.99 0 Apr/18/22 May/11/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
rtxparts Used - $1,000.00 0 Apr/19/22 Dec/01/22
Description: Koganei 4K199-395, Reticle loader Pneumatic Box Assembly Nikon NSR-307E
farmoninc Used - $3,500.00 0 Apr/19/22 Aug/31/23
Description: AMAT 0010-70000 MINI CONTROLLER BOX P5000, 104296
spsglobal Used - $280.00 0 Apr/19/22 Jul/21/22
Description: 341-0101// AMAT APPLIED 0020-31558 PLATE, RF BOX, ESC [USED]
j316gallery Used - $280.00 0 Apr/20/22 Jul/22/22
Description: 27771 APPLIED MATERIALS PCB, GAS BOX MFC DISTRIBUTION 0100-00567
automotiveapple Used - $1,198.48 1 Apr/21/22 Jun/24/22
Description: As-Is AMAT KAWASAKI 50819-1093 300424 TEACH BOX 0190-10710
ecrunch Used - $245.00 0 Apr/27/22 May/16/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
j316gallery Used - $4,500.00 0 Apr/29/22 Dec/19/22
Description: 27878 NOVELLUS CASCADE CONTROLS CU, ASSY, BOX, CCHK, 50A, 300 MM 02-288245-00
j316gallery Used - $4,800.00 0 Apr/29/22 Dec/19/22
Description: 27877 NOVELLUS CASCADE CONTROLS CU, ASSY, BOX, CCHK, 50A, 300 MM 02-288245-00
all-of-cpu Used - $54.00 0 May/01/22 May/24/23
Description: AMAT 0190-16372 REV 03 DeviceNet Passive Drop Box
prism_electronics12 Used - $999.99 0 May/09/22 May/14/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
j316gallery Used - $232.64 1 May/11/22 Jul/13/22
Description: 17838 CTI-CRYOGENICS ON-BOARD SPLITTER BOX 8135240G001
nps NEW - $314.99 0 May/11/22 Jun/14/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
prism_electronics12 Used - $13,000.00 0 May/12/22 May/24/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $20,000.00 0 May/12/22 Oct/26/22
Description: NEW LAM RESEARCH 846-035344-001 GAS BOX
cosplity Used - $850.00 0 May/12/22 Sep/12/22
Description: LAM RESEARCH 853-064887-402 SIGNAL CONDITIONER CONTROL BOX
athomemarket Used - $59.99 3 May/14/22 Jul/28/23
Description: Applied Materials/AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
ecrunch Used - $245.00 0 May/16/22 May/30/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
cosplity Used - $1,800.00 0 May/20/22 Aug/20/22
Description: AMAT 4060-00999 GAS PANEL COMMUNICATION BOX 4060-00999B
prism_electronics12 Used - $4,999.99 1 May/24/22 May/27/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
prism_electronics12 Used - $499.99 0 May/24/22 May/27/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
cosplity Used - $7,500.00 0 May/25/22 Sep/25/22
Description: AMAT 0010-77680 ASSY, ELECTRONIC BOX, SRD, LOWER , USED
getspares.com_sparesllc09 Used - $7,500.03 0 May/26/22 Jun/01/23
Description: 0195-07896 / 300MM ENDURA FUSE BOX 700A REVISION 01 / APPLIED MATERIAL AMAT
prism_electronics12 Used - $13,000.00 0 May/27/22 May/27/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
lwltech Used - $156.00 0 May/27/22 Jun/29/23
Description: CTI-Cryogenics Power Junction Box P/N 8033332G001
ecrunch Used - $245.00 0 May/30/22 Jun/29/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
prism_electronics12 Used - $6,500.00 1 Jun/03/22 Jun/08/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
hirose1117 Used - $28.57 0 Jun/12/22 Jun/13/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
ladydidog Used - $28.57 0 Jun/13/22 Jun/14/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
ladydidog Used - $9.51 0 Jun/13/22 Jun/14/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
equippedvisionllc Used - $999.00 0 Jun/13/22 Jun/21/22
Description: Advanced Energy 10kW PE II 10k 400v 40khz RF Generator (NEW IN BOX)
nps NEW - $219.99 0 Jun/14/22 Jun/29/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
prism_electronics12 Used - $13,000.00 0 Jun/15/22 Jun/16/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
michelleshellmark Used - $28.57 0 Jun/21/22 Jun/21/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
michelleshellmark Used - $9.51 0 Jun/21/22 Jun/21/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
trendysavers-uk Used - $28.57 0 Jun/24/22 Jun/25/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
trendysavers-uk Used - $9.51 0 Jun/24/22 Jun/25/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ecrunch Used - $245.00 0 Jun/29/22 Jul/05/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
nps NEW - $164.99 1 Jun/29/22 Jun/30/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
nps NEW - $599.99 0 Jul/01/22 Aug/02/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
ecrunch Used - $245.00 0 Jul/05/22 Jul/11/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
farmoninc Used - $2,500.00 0 Jul/05/22 May/18/23
Description: AMAT 0021-23550 P5000 CVD GAS BOX MIXING, AMS, AMZ, STI DOUBLE CVGF, 107731
ntc_tech Used - $4,999.99 0 Jul/05/22 Sep/08/22
Description: Advanced Energy Industries 3155036-000 RF Match Box Matchbox
shadow15b NEW - $500.00 0 Jul/06/22 Sep/26/22
Description: NIKON LEFT LOAD ARM Assembly 4B022-347 AN NEW OPEN BOX
usedeqsales Used - $3,507.22 0 Jul/07/22 Apr/02/23
Description: AMAT Applied Materials 0040-09259 P5000 WSI Gas Box Shower Head New Surplus
ecrunch Used - $245.00 0 Jul/11/22 Jul/31/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
intek22 Used - $1,200.00 0 Jul/11/22 Feb/11/24
Description: APPLIED MATERIALS AMAT 0090-91586 X-Y DISPLACEMENT AND SPLITTER BOX
intek22 Used - $5,000.00 0 Jul/12/22 Feb/12/24
Description: LAM RESEARCH 853-800120-012 AUTOMATCH RF BOX
prism_electronics12 Used - $499.99 1 Jul/14/22 Jul/17/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
j316gallery Used - $8,500.00 1 Jul/15/22 Sep/27/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
tolleyshops Used - $395.00 0 Jul/19/22 Aug/19/22
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
tolleyshops Used - $395.00 0 Jul/19/22 Aug/19/22
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
sgcequipment Used - $2,500.00 1 Jul/20/22 Aug/21/23
Description: Applied Materials/AMAT 0040-02520 GAS BOX
ntsurplus302 Used - $18,000.00 0 Jul/20/22 May/18/23
Description: 6027 LAM Research 16-Line IGS Gas Box, 571-033051-001
ntsurplus302 Used - $18,000.00 0 Jul/20/22 May/18/23
Description: 6026 LAM Research 16-Line IGS Gas Box, 571-033051-001
prism_electronics12 Used - $499.99 1 Jul/22/22 Jul/25/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
dgold32 Used - $699.99 0 Jul/22/22 May/02/23
Description: KAWASAKI 50819-1122 TEACH BOX w/ key Wet
ntsurplus302 Used - $18,000.00 0 Jul/30/22 May/18/23
Description: 6033 LAM Research 16-Line IGS Gas Box, 571-033051-001
jabedow Used - $300.00 0 Jul/31/22 Feb/15/23
Description: APPLIED MATERIALS VAC PUMP/REM GAS BOX INTERLOCKS INTERCONNECT, 0100-20243
ecrunch Used - $245.00 0 Jul/31/22 Aug/21/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
nps NEW - $419.99 0 Aug/02/22 Aug/23/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
tigerbaygifts Used - $28.57 0 Aug/02/22 Aug/02/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
time-4-dreamz Used - $28.57 0 Aug/02/22 Aug/03/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
time-4-dreamz Used - $9.51 0 Aug/02/22 Aug/03/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bwgtc4esc Used - $125.00 0 Aug/02/22 May/01/23
Description: Lam Research Match box cover 714-025051-002
j316gallery Used - $250.00 0 Aug/04/22 Jan/04/23
Description: 28554 APPLIED MATERIALS CBL ASSY, 300MM ENDURA MAINFRAME AC BOX, 1.8M 0190-29609
logansemi Used - $425.00 1 Aug/05/22 Sep/30/22
Description: Lam Research RF INTERFACE BOX, P/N 853-004118-002 / 810-4092-003 PCB
visionsemi Used - $750.00 1 Aug/08/22 Mar/23/24
Description: AMAT 0090-08403 APPLIED MATERIALS RF FILTER BOX PRODUCER MPS1P8.5 JTA1024D02
southweststeam1 Used - $28.57 0 Aug/08/22 Aug/08/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
southweststeam1 Used - $9.51 0 Aug/08/22 Aug/08/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
rtxparts Used - $1,500.00 0 Aug/09/22 Dec/01/22
Description: P5000 Cleanroom Ergo Pneumatics Box SYS305540 0100-76535
visionsemi Used - $800.00 0 Aug/10/22 Nov/07/22
Description: AMAT 0020-09074 REV H RF MATCH COVER BOX APPLIED MATERIALS
railhome Used - $9.51 0 Aug/11/22 Aug/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
railhome Used - $28.57 0 Aug/12/22 Aug/12/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
railhome Used - $22.50 0 Aug/12/22 Aug/12/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
railhome Used - $40.90 0 Aug/12/22 Aug/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
top_formalwear-accessories Used - $28.57 0 Aug/13/22 Aug/13/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
top_formalwear-accessories Used - $9.51 0 Aug/13/22 Aug/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
hawkg2 Used - $28.57 0 Aug/14/22 Aug/14/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
hawkg2 Used - $9.51 0 Aug/14/22 Aug/14/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
j316gallery Used - $580.00 1 Aug/18/22 Apr/12/23
Description: 29054 LAM RESEARCH PCB, GAS BOX 810-017074-003
alvin1462 Used - $2,000.00 1 Aug/19/22 Jun/02/23
Description: AE Advanced Energy MDX 1.5K DC Magnetron Power Supply 3152164-016 (NEW in box )
ecrunch Used - $245.00 0 Aug/21/22 Sep/05/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
nps NEW - $294.99 0 Aug/23/22 Sep/16/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
bwgtc4esc Used - $500.00 1 Aug/24/22 Jan/04/23
Description: Lam Research RF Sense Box 853-033766-002
plccenter Used - $1,365.00 0 Aug/25/22 Aug/26/22
Description: APPLIED MATERIALS 0190-31750 / 019031750 (NEW NO BOX)
visionsemi Used - $5,000.00 0 Aug/29/22 Aug/29/23
Description: AMAT APPLIED MATERIALS 0090-08674 ASSY FX DRIVER BOX ICFX BOTTOM COOL PLUS REV 2
onsaleco NEW - $499.00 0 Aug/30/22 Dec/22/23
Description: Lam Research System Programmable intlk master box Striker Nitride 853-302712-003
0115haywood8 Used - $21.89 0 Aug/31/22 Aug/31/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
0115haywood8 Used - $39.80 0 Aug/31/22 Aug/31/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
tolleyshops Used - $395.00 0 Aug/31/22 Sep/30/22
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
tolleyshops Used - $395.00 0 Aug/31/22 Oct/31/22
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
ecrunch Used - $245.00 0 Sep/05/22 Sep/25/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
ordipackdepannage Used - $28.57 0 Sep/08/22 Sep/09/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
ordipackdepannage Used - $9.51 0 Sep/08/22 Sep/09/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
tolleyshops Used - $475.00 0 Sep/09/22 Oct/08/22
Description: AMAT 0100-09094 PCB ASSY TEOS HOT BOX HEATER CONTROL
ebon625 Used - $9.51 0 Sep/09/22 Sep/10/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ebon625 Used - $28.57 0 Sep/10/22 Sep/10/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
bonescollector Used - $250.00 1 Sep/10/22 Mar/15/23
Description: LAM Research 716-028123-004 Ceramic Ring Filler Ring New In Box
carolyns_cosmetics Used - $3,727.57 0 Sep/12/22 Sep/12/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
carolyns_cosmetics Used - $3,751.03 0 Sep/12/22 Sep/12/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
farmoninc Used - $4,950.00 0 Sep/13/22 Sep/14/23
Description: Applied Materials 0010-10055 Gas Box, WXZ AMAT CVD, 109770
zavattishop Used - $28.57 0 Sep/13/22 Sep/13/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
riccardinocatania Used - $28.57 0 Sep/13/22 Sep/13/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
riccardinocatania Used - $9.51 0 Sep/13/22 Sep/13/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
nps NEW - $219.99 0 Sep/16/22 Oct/17/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
ecrunch Used - $245.00 0 Sep/25/22 Oct/16/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
goldenagecycles Used - $28.57 0 Sep/25/22 Sep/25/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
cbnumismatique Used - $28.57 0 Sep/25/22 Sep/25/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
goldenagecycles Used - $9.51 0 Sep/25/22 Sep/25/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
cbnumismatique Used - $9.51 0 Sep/25/22 Sep/25/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
riccardinocatania Used - $28.57 0 Sep/27/22 Sep/27/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
riccardinocatania Used - $9.51 0 Sep/27/22 Sep/27/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
j316gallery Used - $1,500.00 0 Sep/28/22 May/24/23
Description: 29823 APPLIED MATERIALS TEMPERATURE CONDITIONING BOX 0041-77797
tolleyshops Used - $395.00 0 Sep/30/22 Oct/30/22
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
giftwareireland Used - $1.00 0 Oct/01/22 Oct/02/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
giftwareireland Used - $1.00 0 Oct/01/22 Oct/02/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
grandbirdnet Used - $1,500.00 0 Oct/03/22 Oct/05/22
Description: AMAT 0190-10801 SENSOR BOX, USED
desahogostore Used - $9.51 0 Oct/04/22 Oct/04/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
horner_shearing Used - $28.57 0 Oct/04/22 Oct/04/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
horner_shearing Used - $9.51 0 Oct/04/22 Oct/04/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
j316gallery Used - $299.00 0 Oct/05/22 Jan/04/23
Description: 29953 APPLIED MATERIALS PCB,CVD AC BOX HTR DIST,300MM ENDURA2 (PARTS) 0100-02757
tolleyshops Used - $475.00 0 Oct/09/22 Nov/08/22
Description: AMAT 0100-09094 PCB ASSY TEOS HOT BOX HEATER CONTROL
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
goldenagecycles Used - $28.57 0 Oct/10/22 Oct/10/22
Description: Applied Materials, AMAT 0010-93076, HV FILTER BOX ASSY W/NEGATIVE P/S,DPS+
goldenagecycles Used - $9.51 0 Oct/10/22 Oct/10/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
usedeqsales Used - $1,510.22 2 Oct/11/22 Oct/12/22
Description: AMAT Applied Material 0010-09928 ESC Controller Box Powerspec P5000 Working
pyreneescollections Used - $11.02 0 Oct/11/22 Oct/11/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
usedeqsales Used - $1,510.22 1 Oct/13/22 Jan/19/23
Description: AMAT Applied Material 0010-09928 ESC Controller Box 0100-09212 P5000 Working
farmoninc Used - $125.00 0 Oct/13/22 Dec/22/22
Description: AMAT 0020-04042 BRACKET WATER BOX PUMP SIDE, 110644
allenbradley-auto NEW - $3,000.00 0 Oct/13/22 Mar/11/24
Description: ONE NEW MKS AMAT 0190-32372 CDN396 CARD 1M
ecrunch Used - $245.00 0 Oct/16/22 Oct/30/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
nps NEW - $153.99 3 Oct/17/22 Oct/20/22
Description: Applied Materials 0010-42371 Assembly, RF Filter Box, One Coil, W/AC
filateliadesimoni Used - $19.63 0 Oct/22/22 Oct/22/22
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
filateliadesimoni Used - $11.02 0 Oct/22/22 Oct/22/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
recortitos Used - $10.00 0 Oct/24/22 Oct/24/22
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
recortitos Used - $11.02 0 Oct/24/22 Oct/24/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
recortitos Used - $19.63 0 Oct/24/22 Oct/24/22
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
visionsemi Used - $1,200.00 0 Oct/24/22 Oct/25/22
Description: AMAT APPLIED MATERIALS 0190-10801 ASM 300MM SENSOR BOX REVISION 002
napoleon155 Used - $19.63 0 Oct/29/22 Oct/29/22
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
napoleon155 Used - $11.02 0 Oct/29/22 Oct/29/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
napoleon155 Used - $10.00 0 Oct/29/22 Oct/29/22
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
ecrunch Used - $245.00 0 Oct/30/22 Nov/17/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
visionsemi Used - $700.00 0 Nov/01/22 Nov/02/22
Description: AMAT APPLIED MATERIALS 0190-10801 ASM 300MM SENSOR BOX REV 002
visionsemi Used - $18.00 1 Nov/08/22 Nov/15/22
Description: AMAT 0020-09074 REV H RF MATCH COVER BOX APPLIED MATERIALS
singaporeautomation Used - $350.00 0 Nov/08/22 Jun/04/23
Description: Applied Materials 0010-37128 Multi Splitter BOX SHIELDING CHX CABLE Rev 004 New
visionsemi NEW - $18.00 1 Nov/09/22 Nov/16/22
Description: LAM RESEARCH 714-032017-003 COVER REM UPPER MATCH BOX F/G
tolleyshops Used - $775.00 0 Nov/16/22 Mar/15/23
Description: AMAT 0100-09094 PCB ASSY TEOS HOT BOX HEATER CONTROL
ecrunch Used - $245.00 0 Nov/17/22 Nov/28/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
powersell007 Used - $11,999.00 0 Nov/18/22 Nov/29/22
Description: AMAT 0010-62752 KIT, PEDESTAL INTEGRATION BOX, LOWER, LIFT 0090-02830 0010-54232
europlantsvivai Used - $4.97 0 Nov/19/22 Nov/19/22
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
europlantsvivai Used - $3.02 0 Nov/19/22 Nov/19/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
semiconseller124 Used - $26,000.00 0 Nov/28/22 Jan/18/23
Description: LAM Research 16-Line IGS Gas Box 571-033051-003
ecrunch Used - $245.00 1 Nov/28/22 Dec/13/22
Description: CTI-CRYOGENICS 8112444G002 SPLITTER BOX COMPRESSOR
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
voltapaginanet Used - $1.44 0 Nov/29/22 Nov/30/22
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
voltapaginanet Used - $11.21 0 Nov/29/22 Nov/30/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
voltapaginanet Used - $1.97 0 Nov/29/22 Nov/30/22
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
voltapaginanet Used - $11.02 0 Nov/29/22 Nov/30/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
rtxparts Used - $1,500.00 0 Dec/02/22 Mar/14/23
Description: P5000 Cleanroom Ergo Pneumatics Box SYS305540 0100-76535
rtxparts Used - $1,000.00 0 Dec/02/22 Mar/14/23
Description: Koganei 4K199-395, Reticle loader Pneumatic Box Assembly Nikon NSR-307E
079gabriel2005 Used - $10.00 0 Dec/05/22 Dec/05/22
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
079gabriel2005 Used - $2.09 0 Dec/05/22 Dec/05/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
079gabriel2005 Used - $19.63 0 Dec/05/22 Dec/05/22
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
079gabriel2005 Used - $11.02 0 Dec/05/22 Dec/05/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
j316gallery Used - $70.00 0 Dec/07/22 May/24/23
Description: 30357 APPLIED MATERIALS CABLE, MFC/ELEAL BOX INTERCONNECT, 2M 0150-09690
j316gallery Used - $50.00 0 Dec/07/22 May/24/23
Description: 30358 APPLIED MATERIALS CABLE, MFC/ELEAL BOX INTERCONNECT, LENGTH: 2M 0150-09690
fma16000 Used - $19.63 0 Dec/07/22 Dec/08/22
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
fma16000 Used - $11.02 0 Dec/08/22 Dec/08/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
getspares.com_sparesllc09 Used - $7,499.56 0 Dec/13/22 Jun/06/23
Description: 0195-07895 / 300MM ENDURA FUSE BOX 600A / APPLIED MATERIALS AMAT / EATON
giftwearonline Used - $19.63 0 Dec/18/22 Dec/18/22
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
giftwearonline Used - $11.02 0 Dec/18/22 Dec/18/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
giftwearonline Used - $10.00 0 Dec/18/22 Dec/18/22
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
giftwearonline Used - $7.76 0 Dec/18/22 Dec/18/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
giftwearonline Used - $2.09 0 Dec/18/22 Dec/18/22
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
napo12 Used - $19.63 0 Dec/19/22 Dec/19/22
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
napo12 Used - $11.02 0 Dec/19/22 Dec/19/22
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
yenlimited-27 Used - $4,455.00 0 Dec/21/22 Nov/28/23
Description: 810-077433-002 / LAM RESEARCH PCB, A4 GAS BOX INTERFACE, VMIVME 5530S 8
sgcequipment Used - $915.00 0 Dec/22/22 Nov/28/23
Description: Applied Materials/AMAT Blade Water Box PN: 0020-00781
albelectric Used - $1,250.99 1 Dec/23/22 Oct/02/23
Description: Lam Research (LAM) 718-094756-081 CHUCK ELECTROS ORIGINAL BOX,
jtechsemi Used - $15,000.00 1 Dec/28/22 Feb/23/23
Description: Applied Materials ENDURA 0010-03128 ASSY, MCA+, AC BOX 2 AMAT
jtechsemi Used - $15,000.00 0 Dec/28/22 Mar/21/23
Description: Applied Materials ENDURA 0010-22242 ASSY, MCA+ AC BOX AMAT
jtechsemi Used - $12,000.00 0 Dec/28/22 Apr/03/23
Description: Applied Materials ENDURA 0010-13650 AC BOX, HTESC, P1 AMAT
amat-sparescom Used - $1,995.00 1 Dec/31/22 Mar/06/23
Description: Applied Materials 0010-09142 AC Box, Assy. Expanded AMAT P-5000
tech-automation Used - $199.00 0 Jan/02/23 Sep/02/23
Description: APPLIED MATERIALS AMAT 0190-16372 DEVICE NET PASSIVE DROP BOX REV 04
dgold32 Used - $2,999.99 1 Jan/02/23 Jan/05/23
Description: LAM Research 16-Line IGS Gas Box, 571-033051-001
ramix-part NEW - $2,556.90 0 Jan/05/23 Feb/09/23
Description: Applied Materials 0041-86999 GAS BOX
j316gallery Used - $250.00 0 Jan/05/23 Dec/04/23
Description: 28554 APPLIED MATERIALS CBL ASSY, 300MM ENDURA MAINFRAME AC BOX, 1.8M 0190-29609
onestopbuys Used - $7.76 0 Jan/12/23 Jan/12/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
mppatrick Used - $11.02 0 Jan/14/23 Jan/14/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
mppatrick Used - $10.00 0 Jan/14/23 Jan/14/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
mppatrick Used - $2.09 0 Jan/14/23 Jan/14/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
mppatrick Used - $19.63 0 Jan/14/23 Jan/14/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
hostmaster3 NEW - $400.00 1 Jan/17/23 Apr/24/23
Description: MKS DEVICENET I/O BLOCK, AS01294-05, AMAT PN: 0190-48179 **NEW SEALED BOX**
pcexchange_sales Used - $3,879.99 0 Jan/23/23 Aug/17/23
Description: Applied Materials AMAT Chamber AC Distribution Box Axiom 300MM 0190-30702 REV.03
tolleyshops Used - $395.00 0 Jan/23/23 Feb/23/23
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
tolleyshops Used - $395.00 0 Jan/23/23 Feb/23/23
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
cosplity NEW - $3,800.00 0 Jan/29/23 Feb/28/23
Description: AMAT 0010-42371 ASSEMBLY, RF FILTER BOX, ONE COIL, W/AC
grandbirdnet Used - $4,000.00 0 Jan/29/23 Jun/01/23
Description: LAM Research 715-108082-017 Rev B New Open Box, NEW
spsglobal Used - $5,000.00 0 Jan/31/23 Jun/18/23
Description: 999-9999 AMAT APPLIED 0010-22176 (DELIVERY 21 DAYS) B101/CHILLER AC-H20 BOX ASSY
cosplity Used - $3,000.00 0 Jan/31/23 Feb/28/23
Description: NOVELLUS 02-266868-00 SESIOC IXT WTS HV 0 GAS BOX VER 4.72
cosplity NEW - $400.00 0 Feb/01/23 May/31/23
Description: AMAT 0150-29326 CABLE ASSY FOR DESICA GAS BOX LIQUID FLOW
techequipsales Used - $1,500.00 1 Feb/02/23 Feb/02/23
Description: AMAT 0010-14580 414123R01-PJ Assembly Water Box 300MM SLT ESC *new surplus
athomemarket Used - $168.49 0 Feb/05/23 Dec/19/23
Description: Applied Material 0020-04038 RF Match Box Plate AMAT Chamber Box Plate
the_original_midas_touch Used - $229.95 1 Feb/06/23 Mar/13/23
Description: CTI-Cryogenics 8112444G002 Power Junction/Splitter Box NEW NO BOX
cosplity Used - $2,900.00 0 Feb/07/23 Apr/07/23
Description: LAM RESEARCH 853-800087-406 POWER BOX ASSY
tolleyshops Used - $325.00 0 Feb/08/23 Mar/07/23
Description: AMAT 0100-09094 PCB ASSY TEOS HOT BOX HEATER CONTROL
global-audio-store Used - $11.02 0 Feb/09/23 Feb/09/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
zartons Used - $19.63 0 Feb/10/23 Feb/10/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
zartons Used - $11.02 0 Feb/10/23 Feb/10/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
j316gallery Used - $1,500.00 0 Feb/14/23 Feb/16/23
Description: 32041 APPLIED MATERIALS SENSOR BOX, ASM 300MM 0010-57168
grandbirdnet Used - $4,500.00 0 Feb/16/23 Jun/01/23
Description: NOVELLUS 27-447822-00 RF MATCH BOX COMET 20065316, USED
sgcequipment Used - $100.00 1 Feb/23/23 Aug/23/23
Description: CTI-Cryogenics On-Board Power Junction Box PN: 8112444G001 Power Distribution
sgcequipment Used - $75.00 1 Feb/23/23 Aug/23/23
Description: CTI-Cryogenics On-Board Power Junction Box PN: 8112444G002 Power Distribution
sgcequipment Used - $90.00 1 Feb/23/23 Aug/23/23
Description: CTI-Cryogenics On-Board Power Junction Box PN: 8112444G001 Power Distribution
bjsb4 Used - $109.99 0 Feb/25/23 Mar/02/23
Description: CTI-Cryogenics On-Board Power Junction Box P/N 8112444G001
eleganthairextensions Used - $11.02 0 Feb/26/23 Feb/27/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
eleganthairextensions Used - $10.00 0 Feb/26/23 Feb/26/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
eleganthairextensions Used - $2.09 0 Feb/26/23 Feb/26/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
avoneverywhere Used - $7.76 0 Feb/26/23 Feb/27/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
eleganthairextensions Used - $19.63 0 Feb/26/23 Feb/26/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
visionsemi Used - $4,000.00 0 Feb/27/23 Mar/02/23
Description: AMAT APPLIED MATERIALS 0241-03182 AC BOX CH 70A RICHMOND ETCH 0180-07847 REV 2
olsonch1 Used - $125.00 1 Feb/28/23 Feb/28/23
Description: Advanced Energy GenCal Sensor Head, Control Box and Cable
getspares.com_sparesllc09 Used - $2,655.57 1 Feb/28/23 Mar/15/23
Description: 0010-70008 / HEAT EXCHANGER AC BOX ASSY / APPLIED MATERIAL AMAT
svcstore Used - $499.99 0 Mar/01/23 Dec/01/23
Description: LAM Research 810-707054-001 Rev.E3 Gas Box I/O Interlock Board Assembly
athomemarket Used - $79.99 0 Mar/01/23 Dec/20/23
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865
ledamoiseau Used - $10.00 0 Mar/05/23 Mar/06/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
ledamoiseau Used - $2.09 0 Mar/05/23 Mar/06/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ledamoiseau Used - $19.63 0 Mar/05/23 Mar/06/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
ledamoiseau Used - $11.02 0 Mar/05/23 Mar/06/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
ledamoiseau Used - $7.76 0 Mar/05/23 Mar/05/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
tolleyshops Used - $325.00 0 Mar/11/23 Apr/11/23
Description: AMAT 0100-09094 PCB ASSY TEOS HOT BOX HEATER CONTROL
papyrus10 Used - $10.00 0 Mar/12/23 Mar/13/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
papyrus10 Used - $2.09 0 Mar/12/23 Mar/13/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
papyrus10 Used - $19.63 0 Mar/12/23 Mar/13/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
papyrus10 Used - $11.02 0 Mar/12/23 Mar/13/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
papyrus10 Used - $7.76 0 Mar/12/23 Mar/13/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
man7183 Used - $11.02 0 Mar/13/23 Mar/13/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
powersell007 Used - $599.00 0 Mar/13/23 Mar/21/23
Description: APPLIED MATERIALS 3870-06057 HV ANGLE/ INLINE VALVE VAT AMAT - NEW IN BOX
amat-sparescom Used - $2,995.00 0 Mar/13/23 May/18/23
Description: Applied Materials 0010-09142 AC Box, Assy. Expanded AMAT P-5000
rtxparts Used - $1,300.00 0 Mar/15/23 Oct/09/23
Description: Applied Materials Ergo Logic Box AMAT 0010-76535 SYS305540
rtxparts Used - $1,000.00 0 Mar/15/23 Oct/09/23
Description: Nikon Reticle loader Pneumatic Box Assy 4K199-395 Koganei NSR-307E
plccenter Used - $660.00 0 Mar/15/23 Jun/15/23
Description: APPLIED MATERIAL 0100-09099 / 010009099 (NEW NO BOX)
getspares.com_sparesllc09 Used - $2,655.57 0 Mar/19/23 Mar/21/23
Description: 0010-70008 / HEAT EXCHANGER AC BOX ASSY / APPLIED MATERIAL AMAT
getspares.com_sparesllc09 Used - $1,025.68 0 Mar/20/23 Nov/02/23
Description: 0246-03328 / KIT, INTEGRATION, AC BOX, COMMON , PRODUCER/ APPLIED MATERIALS AMAT
punki64 Used - $10.00 0 Mar/20/23 Mar/20/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
punki64 Used - $2.09 0 Mar/20/23 Mar/20/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
punki64 Used - $19.63 0 Mar/20/23 Mar/20/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
punki64 Used - $11.02 0 Mar/20/23 Mar/20/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
part-supplier Used - $7,359.14 0 Mar/21/23 Apr/20/23
Description: AMAT DPS CONDUIT HOUSING BOX COVER ASSY / 0021-09620
getspares.com_sparesllc09 Used - $3,487.82 0 Mar/22/23 Jun/01/23
Description: 0010-54967 / GAS BOX WITH YAMAMOTO DIFFERENTIAL PRESSURE SWITCH MS65L/ AMAT
jtechsemi Used - $2,000.00 0 Mar/23/23 Apr/03/23
Description: Applied Materials 0010-75484 ASSY, SPILL SENSOR BOX AMAT
ghis6969 Used - $10.00 0 Mar/25/23 Mar/26/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
ghis6969 Used - $11.02 0 Mar/25/23 Mar/25/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
ghis6969 Used - $2.09 0 Mar/25/23 Mar/26/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
fb78 Used - $1.22 0 Mar/26/23 Mar/26/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
j316gallery Used - $1,650.00 0 Mar/29/23 Apr/02/23
Description: 32041 APPLIED MATERIALS SENSOR BOX, ASM 300MM 0010-57168
visionsemi Used - $120.00 1 Mar/29/23 Mar/29/23
Description: AMAT APPLIED MATERIALS 0190-10801 ASM 300MM SENSOR BOX REVISION 002
rodagon88 Used - $19.63 0 Apr/01/23 Apr/01/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
rodagon88 Used - $11.02 0 Apr/01/23 Apr/01/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
bo19531 Used - $8.64 0 Apr/02/23 Apr/03/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
bo19531 Used - $6.01 0 Apr/02/23 Apr/03/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
bo19531 Used - $8.97 0 Apr/02/23 Apr/03/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
bo19531 Used - $3.02 0 Apr/02/23 Apr/03/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
meeko1948 Used - $220.00 1 Apr/03/23 Apr/29/23
Description: CTI-CRYOGENICS Splitter Box 03A28AB1
labedge Used - $495.00 0 Apr/08/23 Apr/18/23
Description: CTI Cryogenics Cryo-Torr On-Board 8135240G001 Splitter Box Tool
labedge Used - $195.00 0 Apr/08/23 Apr/18/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
tech-resale-com Used - $2,890.00 0 Apr/14/23 Jul/13/23
Description: Lam Research 2300 KIYO E SERIES VME BOX MPN 853-044013-325 REV B
labedge Used - $495.00 0 Apr/19/23 Apr/29/23
Description: CTI Cryogenics Cryo-Torr On-Board 8135240G001 Splitter Box Tool
labedge Used - $195.00 0 Apr/19/23 Apr/29/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
tolleyshops Used - $675.00 0 Apr/23/23 Jun/23/23
Description: AMAT 0100-09094 PCB ASSY TEOS HOT BOX HEATER CONTROL
hostmaster3 NEW - $400.00 1 Apr/25/23 Apr/25/23
Description: MKS DEVICENET I/O BLOCK, AS01294-05, AMAT PN: 0190-48179 **NEW SEALED BOX**
part-soulution Used - $2,709.82 0 Apr/27/23 Jun/15/23
Description: Lam Research DC/AC Circuit Breakers Box 853-109614-620 (As-Is)
labedge Used - $195.00 0 Apr/29/23 May/09/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
labedge Used - $495.00 0 Apr/29/23 May/09/23
Description: CTI Cryogenics Cryo-Torr On-Board 8135240G001 Splitter Box Tool
tolleyshops Used - $395.00 0 May/05/23 Jun/05/23
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
tolleyshops Used - $395.00 0 May/05/23 Jun/05/23
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX
katiil3 Used - $219.00 1 May/09/23 May/14/23
Description: Applied Materials 0150-08845 CABLE ASSY 75FT PUMP EMO UMBILICAL Open box
plccenter Used - $33.00 4 May/11/23 Dec/05/23
Description: NOVELLUS 20-251341-00 / 2025134100 (NEW NO BOX)
labedge Used - $371.25 0 May/15/23 May/24/23
Description: CTI Cryogenics Cryo-Torr On-Board 8135240G001 Splitter Box Tool
labedge Used - $146.25 0 May/15/23 May/24/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
rtxparts Used - $1,300.00 0 May/22/23 Sep/06/23
Description: Nikon Pneumatic Control box for air mount Koganei 4B024-508
all-of-cpu Used - $85.50 0 May/24/23 Sep/14/23
Description: APPLIED MATERIALS AMAT 0190-16372 REV 03 DeviceNet Passive Drop Box
ssarah712 Used - $10.00 0 May/28/23 May/28/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
ssarah712 Used - $2.09 0 May/28/23 May/28/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
ssarah712 Used - $7.76 0 May/28/23 May/29/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
aincoln0 Used - $19.63 0 May/28/23 May/28/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
aincoln0 Used - $11.02 0 May/28/23 May/28/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
farmoninc NEW - $2,000.00 0 May/30/23 Aug/31/23
Description: AMAT 0090-01148 LID HEATER POLYMIDE 0035-00069, Base, RF Filter Box, 118479
sfwish Used - $1,799.90 1 May/30/23 Oct/17/23
Description: Applied Materials/AMAT 0041-43070 Rev.03 SLIT VALVE for Heater Plate *OPEN BOX!*
athomemarket Used - $64.99 12 May/30/23 Jul/25/23
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
labedge Used - $109.69 0 May/31/23 Jun/10/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
labedge Used - $278.44 0 May/31/23 Jun/10/23
Description: CTI Cryogenics Cryo-Torr On-Board 8135240G001 Splitter Box Tool
generalbonaparte Used - $10.00 0 Jun/04/23 Jun/04/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
generalbonaparte Used - $11.69 0 Jun/04/23 Jun/04/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
generalbonaparte Used - $11.02 0 Jun/04/23 Jun/04/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
generalbonaparte Used - $2.09 0 Jun/04/23 Jun/04/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
generalbonaparte Used - $11.71 0 Jun/04/23 Jun/04/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
nissiglobal Used - $143.99 0 Jun/08/23 Jul/19/23
Description: OVER TEMP CONTROL BOX ASSY / AMAT 0040-47153 REV 004
farmoninc Used - $1,100.00 0 Jun/09/23 Mar/07/24
Description: Lam Research 853-017163-001-D-1339 RF Switch Box 853-017163-001, 116717
hhulo Used - $10.00 0 Jun/11/23 Jun/11/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
hhulo Used - $2.09 0 Jun/11/23 Jun/11/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
hhulo Used - $19.63 0 Jun/11/23 Jun/11/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
hhulo Used - $11.02 0 Jun/11/23 Jun/11/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
labedge Used - $208.83 0 Jun/11/23 Jun/21/23
Description: CTI Cryogenics Cryo-Torr On-Board 8135240G001 Splitter Box Tool
labedge Used - $82.27 0 Jun/11/23 Jun/21/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
stdc2012 Used - $800.00 0 Jun/13/23 Jul/05/23
Description: NIKON 4S782-164 TEMP/AMP CONTROL MODULE BOX
yiannisshop Used - $1,777.00 1 Jun/22/23 Jun/24/23
Description: AMAT 0190-35634 MODEL1014-03 HTR 1~208V 50/60HZ NEW NO BOX FOR PRICE OF USED
farmoninc NEW - $450.00 0 Jun/22/23 Mar/01/24
Description: AMAT 0020-10482, MAC BOARD, Fluid BOARD, BOX, TEMP SENSOR, 233-3045-03, 120162
outletmp3 Used - $10.00 0 Jun/24/23 Jun/24/23
Description: Applied Materials AMAT 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/SDPS+
outletmp3 Used - $19.63 0 Jun/24/23 Jun/24/23
Description: NEW LAM Research 832-034908-009 Rev.G FRUASSY.ENCL. TCP MTCH KIYO MATCHING BOX
outletmp3 Used - $11.02 0 Jun/24/23 Jun/24/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W/ MATCH BOX 853-025103-440 852-011632-003
outletmp3 Used - $2.09 0 Jun/24/23 Jun/24/23
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG
outletmp3 Used - $7.76 0 Jun/24/23 Jun/24/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
labedge Used - $61.70 0 Jun/24/23 Jul/04/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
labedge Used - $156.62 0 Jun/24/23 Jul/04/23
Description: CTI Cryogenics Cryo-Torr On-Board 8135240G001 Splitter Box Tool
gefen-technology Used - $11,160.00 0 Jun/27/23 Sep/20/23
Description: AMAT Applied Materials 0041-86999 GAS BOX SRP PRODUCER GT
nigo2009 NEW - $4,610.00 0 Jun/28/23 Sep/12/23
Description: Genuine New in Box AMAT AKT 0100-01996 Expedited Shipping DHL Fedex Shipping
techequipsales Used - $750.00 1 Jul/07/23 Aug/04/23
Description: AMAT Applied Materials 0010-14507 ESC Remote AC Box 300MM Assy 41423R01-PJ *new
d-dog0818 Used - $499.95 0 Jul/08/23 Jul/15/23
Description: AMAT 5000 Cleanroom Ergo Pneumatics Box 0010-70415 Rev. P2
labedge Used - $117.47 0 Jul/08/23 Jul/18/23
Description: CTI Cryogenics Cryo-Torr On-Board 8135240G001 Splitter Box Tool
labedge Used - $46.28 0 Jul/08/23 Jul/18/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
onestopbuy2014 Used - $7.76 0 Jul/08/23 Jul/08/23
Description: 29034 LAM RESEARCH LOWER CHAMBER W MATCH BOX 853-025103-440 852-011632-003
yenlimited-27 Used - $2,675.00 0 Jul/08/23 Nov/28/23
Description: 810-077433-002 / LAM RESEARCH PCB, A4 GAS BOX INTERFACE, VMIVME 5530S 8
farmoninc Used - $4,500.00 0 Jul/11/23 Nov/27/23
Description: AMAT Gas Box Feedthru, Teflon, AMS/AMZ/STI Double CVGF, 0021-23550, 121756