[click to login]
WSEMI


TAGS > mm

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 5
in stock
$250.00
Description: AMAT 0150-76560 Cable Assy, Devicenet Trunk, System AC, 300 mm
farmoninc
[view on eBay]
NEW 6
in stock
$225.00
Description: AMAT 0021-02200 Manifold Port, KF 25, Microwave, 200 mm, St, New
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: NEW AMAT 3700-01686 Oring ID 49.5 MM x 3 MM CSD FKM
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0150-05469 Cable assy, computer interface, EVC, MM
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0270-01316 stand, cell build, 300 mm dual cell IECP
grandbirdnet
[view on eBay]
NEW 1
in stock
$850.00
Description: AMAT 0010-08114 ASSY, GRIPPER, UPGRADE, 200 MM MESA, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$55.00
Description: NEW AMAT 0040-41085 Foreline, Vertical Producer 200MM, 200 MM, 2-15688701-383
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: NEW AMAT 0040-85376 Shield Front, Cleaner, 200MM Desica, 200 MM
farmoninc
[view on eBay]
NEW 4
in stock
$695.00
Description: NEW AMAT 0200-09638 Cylinder QTZ 200MM EXT CATCH CERAMIC, 200 MM Quartz
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 0190-22161 FeedThru, Flex Sleeve, 300 mm, Contact
farmoninc
[view on eBay]
NEW 1
in stock
$2,200.00
Description: 2 NEW AMAT 0020-97974 Blade 125mm Saw-Tooth, 125 mm
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0010-02433 Assy, FCW Valve, 300 MM Centura
farmoninc
[view on eBay]
NEW 4
in stock
$125.00
Description: AMAT 0021-39608 Throttle Valve seal, 300 mm SACVD
farmoninc
[view on eBay]
NEW 5
in stock
$350.00
Description: AMAT 0200-10448 Insert Silicon Ring Etch Chamber 200 MM Flat
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0200-09918 Cover Quartz, shadow ring, Etch chember 200 MM High Profile Flat
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-31803 ESC Assembly, Chuck 200 MM, Notch 0020-34096
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-32263 ESC Assembly, Chuck 200 MM, Notch with Paperwork
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-31803 ESC Assembly, Chuck 200 MM, Notch 327101
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0040-23318 Bracket, 300 mm source conncetor
farmoninc
[view on eBay]
NEW 1
in stock
$35.00
Description: AMAT 3060-01227 BRG BALL ANG CONT 25X52X15X mm, SKF 7205 BECBV
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0200-09422 RING FOCUSING QTZ ALUM 200 MM, 0.89 HT NOTC, 399406
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0200-09422 RING FOCUSING QTZ ALUM 200 MM, 0.89 HT NOTC
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-04401 CABLE ASSY, STEELHEAD 0, 300 MM XGEN CHAMBER, 399532
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-04401 CABLE ASSY, STEELHEAD 0, 300 MM XGEN CHAMBER
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-76409 CABLE ASSY 300 MM WAFER ON BLADE,CHB, 400080
grandbirdnet
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0040-82292 GIMBAL SUPPORT, 300 MM PROFILER , USED
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0150-05938 Ground, Lamp Head, 300 mm Radiance Cable 402079
farmoninc
[view on eBay]
NEW 5
in stock
$195.00
Description: AMAT 0150-05938 Ground, Lamp Head, 300 mm Radiance Cable 402074
farmoninc
[view on eBay]
NEW 5
in stock
$195.00
Description: AMAT 0150-05938 Ground, Lamp Head, 300 mm Radiance Cable 402080
auctionrus
[view on eBay]
Used 1
in stock
$245.00
Description: AMAT 0020-60028 SUSCEPTOR OVERLAY 150 MM TEOS (PLATE STOCK) 407115
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0040-31803 Shell Assembly, Chuck 200 MM, Notch (1T), Solid, Thin, 409519
ecomicron
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 0200-09706 RING,CENTERING,200MM 194 MM,NOTCH(200.5), AMAT
auctionrus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0150-76401 Cable 300 MM Motion Control Interconnect, Harness, 412829
ecomicron
[view on eBay]
NEW 1
in stock
$3,000.00
Description: 0200-35108, AMAT, SUSC PROFILE 150 MM EPI R3 ROTATION
bornalliancecom
[view on eBay]
Used 1
in stock
$6,995.00
Description: Applied Materials 0010-09806 Gas Box, WSI 150 MM AMAT
bornalliancecom
[view on eBay]
NEW 1
in stock
$3,090.00
Description: Applied Materials 0010-02991 Susceptor, 150 MM WCVD AMAT
bornalliancecom
[view on eBay]
Used 4
in stock
$2,995.00
Description: Applied Materials 0010-70078 Tray, Assy. 200 MM 29 Slot AMAT
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,750.00
Description: Applied Materials 0010-09732 Pedestal, Assy. 200 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 1
in stock
$8,500.00
Description: Applied Materials 0010-30246 Susceptor, Assy. 150 MM SW Cheese DCS AMAT
bornalliancecom
[view on eBay]
NEW 10
in stock
$2,395.00
Description: Applied Materials 0010-30059 Pedestal, 200 MM, Flat, ESC AMAT Etch
bornalliancecom
[view on eBay]
Used 1
in stock
$2,900.00
Description: Applied Materials 0010-10636 P-Chuck, Assy. 200 MM CVD AMAT
bornalliancecom
[view on eBay]
NEW 3
in stock
$950.00
Description: Applied Materials 0020-04058 Ring Pedestal, 200 MM Ox
bornalliancecom
[view on eBay]
NEW 5
in stock
$1,900.00
Description: Applied Materials 0010-60013 Susceptor, 125 MM BWCVD CVD
bornalliancecom
[view on eBay]
NEW 5
in stock
$1,225.00
Description: Applied Materials 0200-35113 Susceptor, Rotation 200 MM EPI AMAT Centura
bornalliancecom
[view on eBay]
NEW 6
in stock
$1,495.00
Description: Applied Materials 0200-35320 Ring Focus, Flat 195 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 4
in stock
$1,200.00
Description: Applied Materials 0200-35460 Adapter, Ring 200 MM AMAT Centura
bornalliancecom
[view on eBay]
NEW 1
in stock
$2,100.00
Description: Applied Materials 0200-35690 Susceptor, Solid Pin 200 MM Poly AMAT
bornalliancecom
[view on eBay]
NEW 1
in stock
$3,695.00
Description: Applied Materials 0240-31632 Kit, Wafer Pos.Sensor 29 Slot, 200 MM P-5000
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,550.00
Description: Applied Materials 0200-10380 RING,SINGLE,195 MM SEMI NOTCH, LOW CERAMIC AMAT
bornalliancecom
[view on eBay]
NEW 5
in stock
$820.00
Description: Applied Materials 0200-15004 Shield, 150 MM BWCVD AMAT CVD
bornalliancecom
[view on eBay]
NEW 3
in stock
$2,995.00
Description: Applied Materials 0040-09958 Shell, Assy. 200 MM Notch AMAT
bornalliancecom
[view on eBay]
NEW 3
in stock
$3,995.00
Description: Applied Materials 0040-09817 Heater Weldment, WXZ 150 MM AMAT CVD
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,750.00
Description: Applied Materials 0040-03083 WXZ Heater, 200 MM
bornalliancecom
[view on eBay]
NEW 8
in stock
$600.00
Description: Applied Materials 0020-30073 Clamp Cylinder, 200 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 3
in stock
$500.00
Description: Applied Materials 0021-03488 Face Plate, Delta MF Nitride, 200 MM AMAT CVD
bornalliancecom
[view on eBay]
NEW 12
in stock
$525.00
Description: Applied Materials 0020-31020 Blocker Plate, 200 MM BSE BWCVD AMAT
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,550.00
Description: Applied Materials 0020-30602 Pumping Plate, 200 MM CVD AMAT
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,150.00
Description: Applied Materials 0020-25071 Clamp Ring, 200 MM Chamber E Degas AMAT PVD
bornalliancecom
[view on eBay]
NEW 15
in stock
$1,695.00
Description: Applied Materials 0020-24386 Cover Ring , 150 MM AMAT PVD
bornalliancecom
[view on eBay]
NEW 3
in stock
$1,150.00
Description: Applied Materials 0200-10064 Ring Cent 200/194 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,595.00
Description: Applied Materials 0200-10239 Clamp, Ring 200 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 5
in stock
$2,250.00
Description: Applied Materials 0200-10006 Wafer, ALN 150 MM JMF WXZ AMAT CVD
bornalliancecom
[view on eBay]
NEW 3
in stock
$995.00
Description: Applied Materials 0020-23065 Pedestal, 150 MM TIN 101% AMAT PVD
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,900.00
Description: Applied Materials 0020-22476 Susceptor, 125 MM MAC AMAT PVD
bornalliancecom
[view on eBay]
NEW 7
in stock
$1,395.00
Description: Applied Materials 0200-09678 Focus Ring, 150 MM AL AMAT Etch
bornalliancecom
[view on eBay]
NEW 9
in stock
$385.00
Description: Applied Materials 0200-09567 Shield, 200 MM Detlta Nitride CVD AMAT
bornalliancecom
[view on eBay]
NEW 1
in stock
$330.00
Description: Applied Materials 0200-09424 Focus Ring, Quartz Alum 200 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 3
in stock
$1,595.00
Description: Applied Materials 0200-09342 Ring, 125 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 1
in stock
$795.00
Description: Applied Materials 0200-09318 Ring, Inner 200 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,275.00
Description: Applied Materials 0200-09219 Cover Ring, Quartz 125 MM Metal Etch AMAT Etch
bornalliancecom
[view on eBay]
NEW 21
in stock
$495.00
Description: Applied Materials 0200-09135 Adapter, Pumping Plate 150 MM AMAT CVD
bornalliancecom
[view on eBay]
NEW 3
in stock
$500.00
Description: Applied Materials 0200-09082 Shield, 200 MM Sputter Etch AMAT
bornalliancecom
[view on eBay]
NEW 1
in stock
$500.00
Description: Applied Materials 0200-08742 Shadow Ring, Quartz 200 MM AMAT
bornalliancecom
[view on eBay]
NEW 39
in stock
$795.00
Description: Applied Materials 0200-00221 Insulator, 200 MM PCII AMAT Endura PVD
bornalliancecom
[view on eBay]
NEW 22
in stock
$795.00
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 4
in stock
$1,895.00
Description: Applied Materials 0190-35386 High Emissivity Wafer, 150 MM AMAT CVD
bornalliancecom
[view on eBay]
NEW 2
in stock
$2,695.00
Description: Applied Materials 0200-01955 Deposition Ring, 200 MM SIP TA/TAN AMAT PVD
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,395.00
Description: Applied Materials 0200-35359 Susceptor, 200 MM AMAT
bornalliancecom
[view on eBay]
NEW 1
in stock
$750.00
Description: Applied Materials 0200-09557 RING, FOCUSING, QZ, 150 MM POLY, EXT CATH AMAT
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,250.00
Description: Applied Materials 0200-18078 Collar, 200 MM SNNF Ultima
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,050.00
Description: Applied Materials 0200-10231 Ring, Clamp Ceramic, 150/147 MM
bornalliancecom
[view on eBay]
NEW 5
in stock
$1,995.00
Description: Applied Materials 0200-00195 Ring, Pedestal 200 MM AMAT Etch
bornalliancecom
[view on eBay]
Used 1
in stock
$2,100.00
Description: Applied Materials 0020-31147 Insert Base, 150-200 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,895.00
Description: Applied Materials 0020-27439 Deposition Ring, 200 MM AMAT PVD
bornalliancecom
[view on eBay]
NEW 8
in stock
$1,295.00
Description: Applied Materials 0200-09343 Collar, SI OX/MLR/NIT 125 MM Flat
bornalliancecom
[view on eBay]
Used 1
in stock
$795.00
Description: Applied Materials 0020-27439 Deposition Ring, 200 MM AMAT Endura PVD
bornalliancecom
[view on eBay]
NEW 2
in stock
$1,495.00
Description: Applied Materials 0200-10196 Shield, Tapered 125 MM AMAT CVD
bornalliancecom
[view on eBay]
NEW 1
in stock
$500.00
Description: Applied Materials 0200-10192 Shield, Delta Nitride 200 MM AMAT CVD
bornalliancecom
[view on eBay]
NEW 8
in stock
$600.00
Description: Applied Materials 0010-00339W Pedestal, Assy. 150 MM Oxide (lot of 10)
bornalliancecom
[view on eBay]
NEW 2
in stock
$2,795.00
Description: Applied Materials 0010-10177 Pedestal Assy, Ni 5, 200 MM, Notch ESC
bornalliancecom
[view on eBay]
NEW 2
in stock
$1,050.00
Description: Applied Materials 0020-31940 Pedestal, AL 200 MM
bornalliancecom
[view on eBay]
Used 2
in stock
$1,250.00
Description: Applied Materials 0020-31503 Chuck, 200 MM AMAT ASP
bornalliancecom
[view on eBay]
NEW 1
in stock
$175.00
Description: Applied Materials 3020-01147 Cylinder, Air 32 MM Bore 20 MM Stroke DBL ACT
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,400.00
Description: Applied Materials 0021-21910 Clamp Ring, 200 MM SNNF SST AMAT PVD
bornalliancecom
[view on eBay]
NEW 2
in stock
$550.00
Description: Applied Materials 0020-35048 Platform, Cassette 200 MM AMAT Centura
bornalliancecom
[view on eBay]
NEW 1
in stock
$450.00
Description: Applied Materials 0020-31638 Cover, 200 MM, ESC AMAT Etch
bornalliancecom
[view on eBay]
Used 1
in stock
$2,500.00
Description: Applied Materials 0040-36180 Heater, TXZ 200 MM SNNF
bornalliancecom
[view on eBay]
Used 2
in stock
$2,195.00
Description: Applied Materials 0020-21755 Clamp Ring, 150 MM AMAT PVD
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,800.00
Description: Applied Materials 0010-10128 Susceptor, Assy. BWCVD 200 MM
bornalliancecom
[view on eBay]
Used 1
in stock
$2,595.00
Description: Applied Materials 0010-09174 Throttle Valve, Assy. 200 MM AMAT CVD
grandbirdnet
[view on eBay]
NEW 1
in stock
$8,350.00
Description: AMAT 0010-19010 MOTORIZED LIFT, 300 MM ASSEMBLY, NEW
bornalliancecom
[view on eBay]
NEW 1
in stock
$2,995.00
Description: Applied Materials 0040-39077 Universal Lift Plate 150/200 MM
bornalliancecom
[view on eBay]
NEW 1
in stock
$2,195.00
Description: Applied Materials 0200-18018 Collar, 200 MM
bornalliancecom
[view on eBay]
Used 1
in stock
$1,000.00
Description: Applied Materials 0200-09746 COLLAR AL 200 MM CERAMIC AMAT Etch
bornalliancecom
[view on eBay]
NEW 3
in stock
$1,450.00
Description: Applied Materials 0020-31019 Perf. Plate, 200 MM
bornalliancecom
[view on eBay]
NEW 6
in stock
$1,200.00
Description: Applied Materials 0020-31019 Perf. Plate, 200 MM AMAT CVD
j316gallery
[view on eBay]
Used 3
in stock
$1,580.45
Description: 8965 APPLIED MATERIALS FACEPLATE, APF, 300 MM PRODUCER SE 0020-49785
j316gallery
[view on eBay]
Used 1
in stock
$883.68
Description: 9206 APPLIED MATERIALS PS FLAT PANEL MIRRA 300 MM, 02-01046-01 0190-02820
bornalliancecom
[view on eBay]
NEW 1
in stock
$3,995.00
Description: Applied Materials 0010-76270 Robot Blade, 150 MM JMF HTHU AMAT Endura
bornalliancecom
[view on eBay]
Used 2
in stock
$1,995.00
Description: Applied Materials 0010-09053 Tray, Assy. 200 MM AMAT P-5000
testeqe
[view on eBay]
NEW 1
in stock
$2,499.99
Description: NEW Applied Materials AMAT 0010-00813 Flatfinder Assembly 150 MM Stretch Endura
bornalliancecom
[view on eBay]
NEW 7
in stock
$425.00
Description: Applied Materials 0020-31020 Blocker Plate, 200 MM BSE BWCVD
odysseystudios
[view on eBay]
Used 1
in stock
$3,900.00
Description: Applied Materials 0242-14110 300 mm ESC CHM Controller with 14 day warranty
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 348-0501// AMAT APPLIED 0040-21367 PEDESTAL,UNIVERSAL 200 MM 3 PO USED
bornalliancecom
[view on eBay]
Used 1
in stock
$2,995.00
Description: Applied Materials 0020-31502 Chuck, 150 MM ASP AMAT ASP
bornalliancecom
[view on eBay]
Used 1
in stock
$1,595.00
Description: Applied Materials 0020-31147 Insert Base, 150-200 MM AMAT Etch
bornalliancecom
[view on eBay]
NEW 1
in stock
$2,195.00
Description: Applied Materials 0020-21754 Pocket Plate, 150 MM AMAT Endura
bornalliancecom
[view on eBay]
Used 2
in stock
$1,885.00
Description: Applied Materials 0020-21754 Pocket Plate, 150 MM AMAT PVD
dnd_surplus
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
lwltech
[view on eBay]
Used 1
in stock
$230.00
Description: APPLIED CERAMICS 91-01311A ,, AMAT 0200-35327, FOCUS RING, 195 MM, NOTCH
bornalliancecom
[view on eBay]
Used 2
in stock
$1,895.00
Description: Applied Materials 0200-35324 Ring, 195 MM AMAT DPS
bornalliancecom
[view on eBay]
Used 3
in stock
$2,595.00
Description: Applied Materials 0010-09052 Tray, Assy. 150 MM AMAT P-5000
bornalliancecom
[view on eBay]
Used 1
in stock
$6,995.00
Description: Applied Materials 0040-09221 Chamber Body, 200 MM SAVCD
bornalliancecom
[view on eBay]
Used 1
in stock
$6,550.00
Description: Applied Materials 0040-09221 Chamber Body, 200 MM AMAT SAVCD
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,250.00
Description: Applied Materials 0200-00873 Clamp, Upper, Gas Inlet, 300 MM AMAT
spsglobal
[view on eBay]
Used 1
in stock
$1,900.00
Description: 125-0303// AMAT APPLIED 0020-30073 CLAMPING CYLINDER, 200 MM, OXI NEW
spsglobal
[view on eBay]
Used 1
in stock
$1,100.00
Description: 125-0304// AMAT APPLIED 0021-39657 PLATE, UNIVERSAL LIFT, 150/200 MM DCSXZ NEW
bornalliancecom
[view on eBay]
NEW 4
in stock
$895.00
Description: Applied Materials 0200-09318 Ring, Inner 200 MM AMAT
bornalliancecom
[view on eBay]
Used 1
in stock
$2,995.00
Description: Applied Materials 0010-04542 Heater, Assy. 200 MM FC WXZ AMAT CVD
bornalliancecom
[view on eBay]
NEW 3
in stock
$675.00
Description: Applied Materials 0020-10204 Susceptor, Plate 200 MM AMAT CVD
bornalliancecom
[view on eBay]
NEW 2
in stock
$1,050.00
Description: Applied Materials 0200-09707 Ring, Centering 150 MM
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 125-0301// AMAT APPLIED 0020-10122 wPLATE PERF 125 MM OXIDE USED
testeqe
[view on eBay]
NEW 1
in stock
$374.99
Description: NEW AMAT/Applied Materials PN: 0020-22196 8" (200 mm) Pedestal
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 3870-04001 Valve Gate 35 x 336 mm, Seal w/ Short PLA, VAT 229643, 451401
roundtable1
[view on eBay]
NEW 5
in stock
$199.00
Description: Applied Materials 0050-75344 Adapter Gas Feed-thru 300 MM P2K
artsemi
[view on eBay]
NEW 2
in stock
$1,450.00
Description: 716-330167-245 100 MM CLAMP RING CERAMIC
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0021-78217 Bracket, Switch Autolift Retrofit, 200 MM, 451713
farmoninc
[view on eBay]
Used 1
in stock
$19,000.00
Description: AMAT 0010-37176 ESC Assembly, Chuck, 300 MM , DPS AE Etch Chamber, 326850
giga-hertz
[view on eBay]
Used 1
in stock
$1,500.00
Description: Applied Materials AMAT 0010-32218 0041-00747 0041-00729 300 mm ESC Vacuum Chuck
giga-hertz
[view on eBay]
Used 1
in stock
$1,500.00
Description: Applied Materials 0190-24048 0041-00747 300 mm ESC Vacuum Chuck
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 323-0201// AMAT APPLIED 0030-09055 SEAL, LARGE FACE. 125 MM CHEMR NEW
spsglobal
[view on eBay]
Used 3
in stock
$720.00
Description: 116-0103// AMAT APPLIED 0200-09672 RING, CLAMPING,AL,200 MM ,1.38H,NOTCH,CE USED
spsglobal
[view on eBay]
Used 2
in stock
$1,000.00
Description: 116-0601// AMAT APPLIED 0200-35597 FOCUS RING, 145 mm, JEIDA R2 C NEW
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 116-0501// AMAT APPLIED 0200-09646 RING,FOCUS,QTZ, 125 MM, 135 MM USED
j316gallery
[view on eBay]
NEW 1
in stock
$1,282.69
Description: 15622 APPLIED MATERIALS RING FOCUS ESC 150/151 MM SMF, W, WEB (NEW) 0200-10265
roundtable1
[view on eBay]
NEW 1
in stock
$399.00
Description: Applied Materials 0040-03667 BASE WRIST 300 MM REV: 001 New
techse11
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0200-10265 RING FOCUS ESC, 150/151 MM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$887.57
Description: 0200-09787 / FOCUS RING, 209 MM NOTCH, POLY ESC / APPLIED MATERIAL AMAT
spsglobal
[view on eBay]
Used 1
in stock
$900.00
Description: 120-0501// AMAT APPLIED 0020-20691 PEDESTAL CD/PT WAFER200 MM [USED]
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0225-34936, 40 mm Tube OD 90 Degree Elbow 1/4 FVCR Fitting, New
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 111-0201// AMAT APPLIED 0020-10731 PLATE, PUMPING 100MM-150 MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 351-0202// AMAT APPLIED 1290-01581 TERM BLOCK JUMPER 10.5 MM CTR 6POS 3.7M [NEW]
capitolareatech
[view on eBay]
NEW 1
in stock
$450.95
Description: AMAT 0020-33589 Plate Pumping, Uniform 200 MM
capitolareatech
[view on eBay]
NEW 1
in stock
$3,149.95
Description: AMAT 0200-09706 RING,CENTERING,200MM 194 MM,NOTCH(200.5)
capitolareatech
[view on eBay]
NEW 3
in stock
$41.95
Description: Applied Materials (AMAT) 0200-09575 Lift Pin, REV 1 Ceramic Hoop, 200 MM
capitolareatech
[view on eBay]
NEW 1
in stock
$375.95
Description: Applied Materials (AMAT) 0010-00339 Pedestal, Assy. 150 MM Oxide
capitolareatech
[view on eBay]
NEW 1
in stock
$1,695.95
Description: Applied Materials (AMAT) 0200-35112 5200 6" EPI R3--SUSC, Rotation 150 mm
capitolareatech
[view on eBay]
NEW 29
in stock
$50.95
Description: LAM RESEARCH (LAM) 713-071621-002 Cap, Insulator, Horn Mtg, 17.5 MM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$565.95
Description: 0200-39346 / RING - SHADOW 200 MM SNNF SI QUARTZ / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
Used 7
in stock
$1,087.36
Description: 21896 APPLIED MATERIALS PERF. PLATE OX. 200 MM TEOS (REFURBISHED) 0021-39792
banyanteam
[view on eBay]
Used 1
in stock
$95.89
Description: Novellus Type 17-126650-00 Plate Geneva Flag 200 mm Rev E
testeqe
[view on eBay]
Used 1
in stock
$424.99
Description: NEW Applied Materials/AMAT PN: 0200-09313 Ring, Edge Mask 6"/150 mm
ntsurplus302
[view on eBay]
NEW 22
in stock
$300.00
Description: 5607 Applied Materials 0020-04257 Hexode Pedestal, 150 mm.
ntsurplus302
[view on eBay]
Used 1
in stock
$500.00
Description: 5609 Applied Materials 0010-07540 Assy, Water Box, 300 MM SLT ESC
ntsurplus302
[view on eBay]
Used 3
in stock
$850.00
Description: 5608 Applied Materials 0200-35019 Insert, Quartz Inject, EPI 200 mm.
ntsurplus302
[view on eBay]
Used 1
in stock
$500.00
Description: 5610 Applied Materials 0010-07540 Assy, Water Box, 300 MM SLT ESC
ntsurplus302
[view on eBay]
Used 2
in stock
$350.00
Description: 5623 Applied Materials 0021-35946 Edge Ring, TXZ, 200 mm, SNNF
ntsurplus302
[view on eBay]
Used 1
in stock
$340.00
Description: 5625 BOC Edwards AMAT 0021-35749 Ring, Isolator TXZ, 200 mm, SNNF
capitolareatech
[view on eBay]
Used 3
in stock
$3,395.95
Description: Applied Materials (AMAT) 0040-32243 BASE, PEDESTAL, 200 MM POLY ETCH
capitolareatech
[view on eBay]
Used 3
in stock
$1,495.95
Description: Applied Materials (AMAT) 0200-10265 RING FOCUS ESC, 150/151 MM, SMF, W, WEB
capitolareatech
[view on eBay]
Used 1
in stock
$209.95
Description: Applied Materials (AMAT) 0090-76115 ASSY, 300 Mm Wafer Sensor
capitolareatech
[view on eBay]
Used 1
in stock
$999.95
Description: Applied Materials (AMAT) 0200-09342 RING INNER 125 MM 115 MM 2 FLT 180 SHADO
semistarcorp
[view on eBay]
Used 5
in stock
$2,750.00
Description: AMAT Applied Materials 0200-09824 Shield Flat 125 Mm
katiil3
[view on eBay]
Used 1
in stock
$68.60
Description: AMAT 0200-00602 RING SINGLE 195 MM SEMI
amat-sparescom
[view on eBay]
NEW 1
in stock
$525.00
Description: Applied Materials 0200-00071 Insulation Pipe, Quartz 200 MM AMAT Etch
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-09996 EXCLUSION RING,OUTER,ALN 200 MM WxZ, 104098
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-09996 EXCLUSION RING,OUTER,ALN 200 MM WxZ, 104097
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0200-09996 EXCLUSION RING,OUTER,ALN 200 MM WxZ, 104096
christyhtx
[view on eBay]
Used 2
in stock
$1,800.00
Description: AMAT 0010-13242 HP Robot Wrist with 0200-36638 200 mm quartz Blade
cosplity
[view on eBay]
Used 2
in stock
$2,500.00
Description: AMAT 0021-12412 BASE, INNER, 4 ROLLER ASSEMBLY, 200 MM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,589.68
Description: 0041-79433 / FACEPLATE TEOS HIGH DEP RATE 300 MM PRODUCER SA / AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0050-87996 HOSE ASSY HIGH FREQ. RF GEN SUPPLY PIGTAIL, HART 300 MM, 106134
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0050-87996 HOSE ASSY HIGH FREQ. RF GEN SUPPLY PIGTAIL, HART 300 MM, 106133
farmoninc
[view on eBay]
NEW 2
in stock
$2,500.00
Description: AMAT 0190-09291 RING, WAFER LIFT, REV 1 CERAMIC, 200 MM, 108288, 108289
myriadindustrial
[view on eBay]
NEW 8
in stock
$39.99
Description: AMAT Applied Materials 3440-01027 Lens Plano Convex Type BK7 25.4 MM
roundtable1
[view on eBay]
NEW 1
in stock
$199.99
Description: Applied Materials 0150-78429 Cable Assy Mirra 300 MM LI 1-11938000-27 REV: 201
farmoninc
[view on eBay]
NEW 2
in stock
$1,200.00
Description: AMAT 0200-40120 SPACER, ESC 200 MM, 109775
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109841
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109839
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109838
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109837
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109840
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109859
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109858
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109857
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109886
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109885
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 109884
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 110022
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 110048
grandbirdnet
[view on eBay]
Used 13
in stock
$500.00
Description: AMAT 0021-35749 Ring, Isolator TXZ, 200 mm, , REFURBISHED
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-03610 SUPPORT, BLADE, 100 MM, 110626
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 111017
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$817.56
Description: 0200-09177 / 200 MM, WRAP AROUND, COVER, QUARTZ / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 2
in stock
$1,100.00
Description: AMAT 0200-09278 RING, INNER 125 MM 115 MM 2 FLT 90 SHADOW, 111349
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QUARTZ, 111452
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QUARTZ, 111451
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QUARTZ, 111465
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QUARTZ, 111464
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QUARTZ, 111497
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 111530
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 111529
farmoninc
[view on eBay]
Used 1
in stock
$1,395.00
Description: AMAT 0010-09732 Pedestal, Assy. 200 MM Etch, 111541
farmoninc
[view on eBay]
Used 1
in stock
$1,395.00
Description: AMAT 0010-09732 Pedestal, Assy. 200 MM Etch, 111540
farmoninc
[view on eBay]
Used 1
in stock
$1,395.00
Description: AMAT 0010-09732 Pedestal, Assy. 200 MM Etch, 111539
farmoninc
[view on eBay]
NEW 10
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 112063
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 3020-01164 CYL AIR 50 MM BORE 50MM STRK DBL-ACT, 112076
gteprimo
[view on eBay]
Used 1
in stock
$34,666.20
Description: AMAT Applied 0010-32275 Cathode Base 300 mm HT DPS2 AE Chamber Heated Filter
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0010-60013 Susceptor, 125 MM BWCVD CVD, 112405
amat-sparescom
[view on eBay]
NEW 1
in stock
$2,995.00
Description: Applied Materials 0200-01225 Cathode Ring Isolator, 300 MM Producer AMAT
amat-sparescom
[view on eBay]
Used 1
in stock
$5,995.00
Description: Applied Materials 0010-09790 Gas Box, Assy. Com. Silane 100-150 MM AMAT CVD
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 112930
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 112929
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 112928
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 112927
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 112914
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 112913
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 112990
capitolareatech
[view on eBay]
Used 1
in stock
$2,299.95
Description: Applied Materials 0010-60015 ASSY SUSCEPTOR 150 MM 6" TEOS BB
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0200-00071 INSULATING PIPE 200 MM EXT QTZ, 113600
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 114167
j316gallery
[view on eBay]
Used 2
in stock
$7,999.00
Description: 32051 APPLIED MATERIALS ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM 0010-03151
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,500.00
Description: 0020-03509C /GRIPPER ASSY AMP -264-017, 8330 150 MM, 0100-00027, 0100-00036/AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,400.82
Description: 0020-02344 / SHIELD, LOWER 300 MM SIP / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0020-10829 NUT PLATE, BELT GUARD, 300 MM REFLEXION, USED
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,494.00
Description: 0020-30073 / CLAMPING CYLINDER, 200 MM, OXIDE / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0020-30595 REV C , NDM-226-012, PEDESTAL 200 mm, 5200, 4174491-0001, 104457
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0020-30595 PEDESTAL 200 mm, 5200, 4174491-0001, 104456
amat-sparescom
[view on eBay]
Used 1
in stock
$9,995.00
Description: Applied Materials 0010-76018 Cassette Handler, Top 150 MM AMAT P-5000
j316gallery
[view on eBay]
Used 2
in stock
$35,000.00
Description: 32540 APPLIED MATERIALS HTR/PURGE RING ASSY SST HTR 300 MM TXZ 0010-02441
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$15,550.00
Description: 0020-01995 /HY-11 MAGNET 300 MM ASSEMBLED WITH 0010-03485 /AMAT
xihu888
[view on eBay]
NEW 20
in stock
$5.00
Description: ADVANCED ENERGY 25930015 Light Head Screw Silver M 5 x 20 mm nsn 10.9
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0010-60013 Susceptor, 125 MM BWCVD CVD, 104817
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0010-60013 Susceptor, 125 MM BWCVD CVD, 104816
logansemi
[view on eBay]
Used 1
in stock
$1,675.00
Description: LAM RESEARCH ELECTRODE, SILICON, 8", 200 MM, P/N 839-011907-001, NOS
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,920.38
Description: 853-185107-001 / LPB, WTS-1 MM, MAX, KOR-ENG(PLATFORM) / LAM RESEARCH
j316gallery
[view on eBay]
Used 1
in stock
$35,000.00
Description: 32706 APPLIED MATERIALS HTR/PURGE RING ASSY SST HTR 300 MM TXZ 0010-02441
j316gallery
[view on eBay]
Used 1
in stock
$35,000.00
Description: 32690 APPLIED MATERIALS HTR/PURGE RING ASSY SST HTR 300 MM TXZ 0010-02441
liquiditech
[view on eBay]
Used 1
in stock
$117.00
Description: Amat 3860-01450 S2OR4-WB pollsher misc tbg plstc 4mm o.d x 2.5 mm i.d white
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0021-21770 SHIM, .75MM(.029")SST 300 MM PVD, 117475
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 117560
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 117601
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 117600
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 117599
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 117897
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0020-24719 COVER RING 8 INCH 101 AL COVERAGE, 200 mm COVER RING, 117896
jtechsemi
[view on eBay]
Used 1
in stock
$600.00
Description: Applied Materials 0190-02820 POWER SUPPLY FLAT PANEL MIRRA 300 MM AMAT
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0020-60028 SUSCEPTOR OVERLAY 150 MM TEOS (PLATE STOCK), 116698
albsemicon
[view on eBay]
NEW 1
in stock
$1,650.88
Description: Applied Materials (AMAT) 0200-35597 FOCUS RING, 145 MM, JEIDA R2 CHMBR, METC
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,289.00
Description: 0190-09400 / SUSCEPTOR ASSY T2 WELDED, 125 MM SO/N / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: AMAT 0010-00829 LOWER CLIP ASSY, W/HALF SPR. 150 MM, 120436
farmoninc
[view on eBay]
Used 2
in stock
$1,000.00
Description: AMAT 0021-21807 ZLS CLAMP RING, 8" SNNF, SST, E/E 2.743 MM, 121111
farmoninc
[view on eBay]
Used 1
in stock
$2,100.00
Description: AMAT 0200-09833 RING FOCUS ESC, 150/162 MM, TIN WEB, 81-57144, 121755

This tag has been viewed 10 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
ptb-sales Used - $875.00 0 Aug/24/12 Sep/03/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Sep/04/12 Sep/14/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Sep/17/12 Sep/27/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Nov/26/12 Dec/06/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Dec/06/12 Dec/16/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
testeqe NEW - $725.48 0 May/11/12 Sep/03/13
Description: NEW ASM PN: 16-190353D01 200MM Susceptor-Passivated, 8" 200 mm
ptb-sales Used - $875.00 0 Dec/17/12 Dec/27/12
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
capitolareatech Used - $1,500.00 1 Aug/24/12 Dec/17/12
Description: APPLIED MATERIALS 0190-17416 CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD
athomemarket NEW - $242.99 0 Dec/22/12 Jan/21/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
solanotraders Used - $45.00 0 Dec/24/12 Jan/23/13
Description: MODUS MODEL DA-4-02M-0-RR 0-5.00MM OF WATER METER- MM H20
ptb-sales Used - $875.00 0 Dec/27/12 Jan/06/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
capitolareatech NEW - $9,562.50 0 Jan/04/13 Sep/26/14
Description: AMAT 0010-03488 MAGNET, IMP 300 MM PVD; 0010-03488-006
capitolareatech NEW - $1,800.00 0 Jan/04/13 Jun/03/13
Description: AMAT 0227-04585 RGLTR, SQ60 MM 100PSI 2 PORT 0; PARKER VERIFLO PART#: 45400171
athomemarket NEW - $1,803.59 0 Jan/05/13 Feb/04/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
ptb-sales Used - $875.00 0 Jan/07/13 Jan/17/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $170.09 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
capitolareatech NEW - $87.00 2 Jan/04/13 Jan/07/13
Description: TURCK BC10-P30SR-VP4X2 SENSOR CAPACITIVE 30 MM
bobsgoodies NEW - $115.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies Used - $135.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
svo413 NEW - $400.00 0 Jan/16/13 Jan/23/13
Description: AMAT P/N 0040-03349 Cooling Water Box 200 MM TXZ
ptb-sales Used - $875.00 0 Jan/18/13 Jan/28/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
artsemi NEW - $220.00 0 Jan/18/13 Jun/18/14
Description: Quartz Ring 200mm Sputter Etch 0200-09086 Amat 09086A 09086B 09086C qtz 200 mm
systasemi NEW - $900.00 0 Jan/21/13 Feb/21/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
athomemarket NEW - $269.99 0 Jan/22/13 Feb/21/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Jan/28/13 Feb/07/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
esolutions1 NEW - $899.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials 0190-16140 MP ULTRA ROBOT LOW TEMP 150 MM AMAT
athomemarket NEW - $2,003.99 0 Feb/04/13 Mar/06/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $188.99 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Feb/07/13 Feb/17/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
bobsgoodies NEW - $115.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies Used - $135.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
ptb-sales Used - $875.00 0 Feb/18/13 Feb/28/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $269.99 0 Feb/21/13 Mar/23/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
systasemi Used - $150,000.00 0 Feb/22/13 Mar/24/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 Feb/24/13 Mar/26/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
ptb-sales Used - $875.00 0 Feb/28/13 Mar/10/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,003.99 0 Mar/07/13 Apr/06/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $188.99 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Mar/11/13 Mar/21/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
bobsgoodies NEW - $115.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
ptb-sales Used - $875.00 0 Mar/21/13 Mar/31/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $269.99 0 Mar/24/13 Apr/23/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
systasemi Used - $150,000.00 0 Mar/25/13 Apr/24/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 Mar/27/13 Apr/26/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
ptb-sales Used - $875.00 0 Apr/01/13 Apr/11/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,003.99 0 Apr/06/13 May/06/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $188.99 0 Apr/08/13 May/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Apr/11/13 Apr/21/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Apr/22/13 May/02/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $269.99 0 Apr/23/13 May/23/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
systasemi Used - $150,000.00 0 Apr/24/13 May/24/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 Apr/29/13 May/29/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
ptb-sales Used - $875.00 0 May/03/13 May/13/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,003.99 0 May/06/13 Jun/05/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $188.99 0 May/09/13 Jun/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
athomemarket NEW - $269.99 0 May/23/13 Jun/22/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 May/24/13 Jun/03/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
systasemi Used - $150,000.00 0 May/26/13 Jun/25/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 May/30/13 Jun/29/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
ptb-sales Used - $875.00 0 Jun/05/13 Jun/15/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,003.99 0 Jun/06/13 Jul/06/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
bobsgoodies NEW - $115.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies Used - $135.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
athomemarket NEW - $188.99 0 Jun/08/13 Jul/08/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
bobsgoodies Used - $135.00 0 Jun/10/13 Jul/09/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
ptb-sales Used - $875.00 0 Jun/17/13 Jun/27/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $189.99 0 Jun/22/13 Jul/22/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
capitolareatech NEW - $725.00 2 Jun/25/13 Jul/03/13
Description: AMAT 0200-36666 ISOLATOR CERAMIC PRODUCER 200 MM
systasemi Used - $150,000.00 0 Jun/26/13 Jul/26/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
ptb-sales Used - $875.00 0 Jun/27/13 Jul/07/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
systasemi NEW - $900.00 0 Jul/01/13 Jul/31/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
athomemarket NEW - $1,803.59 0 Jul/06/13 Aug/05/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
ptb-sales Used - $875.00 0 Jul/08/13 Jul/18/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $170.09 0 Jul/08/13 Aug/07/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Jul/18/13 Jul/28/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $170.99 0 Jul/22/13 Aug/21/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Jul/29/13 Aug/08/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
systasemi Used - $150,000.00 0 Jul/29/13 Aug/28/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
farmoninc NEW - $350.00 0 Jul/31/13 May/18/23
Description: AMAT 0021-13794 shield, back, upper 200 mm IECP
systasemi NEW - $900.00 0 Jul/31/13 Aug/30/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
athomemarket NEW - $1,803.59 0 Aug/05/13 Sep/04/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $170.09 0 Aug/07/13 Sep/06/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Aug/08/13 Aug/18/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Aug/19/13 Aug/29/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $170.99 0 Aug/21/13 Sep/20/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Aug/29/13 Sep/08/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
systasemi NEW - $900.00 0 Sep/01/13 Oct/01/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
bobsgoodies Used - $135.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
athomemarket NEW - $170.09 0 Sep/06/13 Oct/06/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Sep/09/13 Sep/19/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
capitolareatech NEW - $46.82 0 Sep/17/13 Feb/24/14
Description: LAM 734-061978-274 9274-SC513 O-RING Kalrez, 9.984X0.139 IN., 253.59X3.53 MM.
ptb-sales Used - $875.00 0 Sep/20/13 Sep/30/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $189.99 0 Sep/21/13 Oct/21/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ultra_clean_warehouse NEW - $764.94 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-77064 FEEDTHRU SOLID 300 MM GEMINI II - REV 03
ptb-sales Used - $875.00 0 Sep/30/13 Oct/10/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
systasemi Used - $150,000.00 0 Sep/30/13 Oct/30/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 Oct/03/13 Nov/02/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
athomemarket NEW - $2,003.99 0 Oct/04/13 Nov/03/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $188.99 0 Oct/06/13 Nov/05/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Oct/11/13 Oct/21/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
sparepartssolution NEW - $1,000.00 0 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21868 COOLDOWN MANIFOLD 200 MM NEW
ptb-sales Used - $875.00 0 Oct/21/13 Oct/31/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $189.99 0 Oct/21/13 Nov/20/13
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Oct/31/13 Nov/10/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,003.99 0 Nov/03/13 Dec/03/13
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
systasemi Used - $150,000.00 0 Nov/03/13 Dec/03/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 Nov/03/13 Dec/03/13
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
athomemarket NEW - $188.99 0 Nov/05/13 Dec/05/13
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Dec/02/13 Dec/12/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
keykorea Used - $3,800.00 0 Dec/03/13 Jan/14/16
Description: AMAT 0010-03151 ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM, WORKING
farmoninc NEW - $60.00 1 Dec/03/13 Nov/25/16
Description: NEW AMAT 0021-08157 PAD, GAS PANEL DOOR LATCH, 300 MM TPG, 300MM
athomemarket NEW - $2,003.99 0 Dec/03/13 Jan/02/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
farmoninc NEW - $770.00 0 Dec/04/13 Dec/13/13
Description: NEW AMAT 0200-35003 Blade Robot 150MM QUARTZ, Roboto blade 150 MM, 0200-35003D
systasemi Used - $150,000.00 0 Dec/04/13 Jan/03/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 Dec/04/13 Jan/03/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
athomemarket NEW - $188.99 0 Dec/05/13 Jan/04/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
capitolareatech NEW - $70.29 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
ptb-sales Used - $875.00 0 Dec/13/13 Dec/23/13
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $191.99 0 Dec/20/13 Jan/19/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Dec/26/13 Jan/05/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,023.99 0 Jan/02/14 Feb/01/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $190.99 0 Jan/04/14 Feb/03/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
systasemi Used - $150,000.00 0 Jan/05/14 Feb/04/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 Jan/05/14 Feb/04/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
ptb-sales Used - $875.00 0 Jan/06/14 Jan/16/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
nationwidesurplus NEW - $29.99 0 Jan/08/14 Sep/24/16
Description: Novellus 15-041335-00 Tool Alignment 200MM 200 mm Alignment Tool
athomemarket NEW - $191.99 0 Jan/19/14 Feb/18/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Jan/27/14 Feb/06/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,023.99 0 Feb/01/14 Mar/03/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $190.99 0 Feb/03/14 Mar/05/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
systasemi Used - $150,000.00 0 Feb/05/14 Mar/07/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 Feb/05/14 Mar/07/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
ptb-sales Used - $875.00 0 Feb/06/14 Feb/16/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $191.99 0 Feb/18/14 Mar/20/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
farmoninc NEW - $450.00 0 Mar/12/14 Dec/02/15
Description: AMAT 0040-76504 Cooler Weldment, 300 MM DEGAS
systasemi NEW - $900.00 0 Mar/09/14 Apr/08/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
athomemarket NEW - $191.99 0 Mar/21/14 Apr/20/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
fablogic NEW - $900.00 0 Mar/20/14 Aug/17/14
Description: 0040-03083 Applied Materials WXZ Heater, 200 mm ( New)
ptb-sales Used - $875.00 0 Mar/21/14 Mar/31/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Mar/31/14 Apr/10/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,023.99 0 Apr/03/14 May/03/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $190.99 0 Apr/05/14 May/05/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
systasemi Used - $150,000.00 0 Apr/08/14 May/08/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi NEW - $900.00 0 Apr/08/14 May/08/14
Description: Novellus Oem New Showerhead 16-033931-00 , Showerhead, Pinned, 200 mm
farmoninc Used - $2,500.00 0 Apr/09/14 May/09/14
Description: AMAT 0040-31803 ESC Assembly, Chuck 200 MM, Notch 327104
farmoninc NEW - $450.00 0 Apr/17/14 Apr/26/17
Description: NEW AMAT 0200-00411 Top Ring, Quartz, 200MM, Oxide, 200 mm
athomemarket NEW - $191.99 0 Apr/20/14 May/20/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Apr/21/14 May/01/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 May/01/14 May/11/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,023.99 0 May/03/14 Jun/02/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $191.99 0 May/20/14 Jun/19/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 May/22/14 Jun/01/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
fablogic NEW - $8,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials CVD 0010-30246 Susceptor, Assy. 150 MM SW cheese DCS (NEW)
fablogic Used - $3,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials Metal Etch 0010-70078 Tray, Assy. 200 MM 29 slot (USED) AMAT
fablogic Used - $6,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-09806 Gas Box, WSI 150 MM (USED) AMAT
fablogic NEW - $2,750.00 0 Jul/29/14 Aug/28/14
Description: Applied Materials 0010-02991 Susceptor, 150 MM WCVD (New) AMAT
fablogic NEW - $2,200.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-30059 Pedestal, 200 MM, Flat, ESC (NEW) AMAT Etch
fablogic NEW - $5,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-10636 P-Chuck, Assy. 200 MM (NEW) CVD AMAT
fablogic NEW - $3,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0200-10173 Plate, Cover 200 MM DXZ Heater (NEW) AMAT
fablogic NEW - $1,600.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0020-30602 Pumping Plate, 200 MM (NEW) CVD AMAT
fablogic NEW - $1,900.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-60013 Susceptor, 125 MM BWCVD (NEW) CVD
athomemarket NEW - $2,023.99 0 Aug/01/14 Aug/31/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
fablogic NEW - $2,500.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0200-35325 Susceptor, Solid Pin 200 MM Poly (NEW) AMAT
fablogic NEW - $1,900.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-22476 Susceptor, 125 MM MAC (NEW) AMAT PVD
fablogic NEW - $600.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-30073 Clamp Cylinder, 200 mm (NEW) AMAT Etch
fablogic NEW - $695.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-24386 Cover Ring , 150 MM (NEW) AMAT PVD
fablogic NEW - $400.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0020-23065 Pedestal, 150 MM TIN 101% (NEW) AMAT PVD
fablogic NEW - $2,900.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials 0021-03488 Face Plate, Delta MF Nitride, 200 MM (NEW) AMAT CVD
fablogic NEW - $800.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09318 Ring, Inner 200 MM (NEW) AMAT Etch
fablogic NEW - $450.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09082 Shield, 200 MM Sputter Etch (NEW) AMAT
fablogic NEW - $475.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-09426 Focus Ring, Quartz 200 MM (NEW) AMAT Etch
fablogic NEW - $1,125.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-35113 Susceptor, Rotation 200 MM EPI (NEW) AMAT
athomemarket NEW - $190.99 0 Aug/03/14 Sep/02/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
fablogic NEW - $1,200.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-35460 Adapter, Ring 200 MM (NEW) AMAT Centura
ptb-sales Used - $875.00 0 Aug/04/14 Aug/14/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
fablogic NEW - $1,495.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-09678 Focus Ring, 150 MM AL (NEW) AMAT Etch
fablogic NEW - $320.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0200-09135 Adapter, Pumping Plate 150 MM (NEW) AMAT CVD
fablogic NEW - $1,950.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-75226 Throttle Valve, CVD 200 MM (NEW) AMAT
fablogic NEW - $350.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0200-09424 Focus Ring, Quartz Alum 200 MM (NEW) AMAT Etch
fablogic NEW - $1,150.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-25071 Clamp Ring, 200 MM Chamber E Degas (NEW) AMAT PVD
fablogic NEW - $1,795.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0040-09958 Shell, Assy. 200 MM Notch (NEW) AMAT
fablogic NEW - $1,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-60019 Susceptor, Shadow Ring 100 MM (NEW) AMAT CVD
fablogic NEW - $465.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0020-31020 Blocker Plate, 200 MM BSE BWCVD (NEW) AMAT
fablogic NEW - $1,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-09732 Pedestal, Assy. 200 MM (NEW) AMAT Etch
athomemarket NEW - $191.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
systasemi Used - $150,000.00 0 Aug/20/14 Sep/19/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
ptb-sales Used - $875.00 0 Aug/24/14 Sep/03/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,023.99 0 Aug/31/14 Sep/30/14
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $190.99 0 Sep/02/14 Oct/02/14
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Sep/04/14 Sep/14/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
jhkkim7636 Used - $66.00 0 Dec/05/14 Dec/10/14
Description: 0140-03951 HRNS INTRLK CABLE ATM PRES SW 300 MM PRO
grandbirdnet NEW - $850.00 1 Dec/10/14 Jul/05/22
Description: AMAT 0190-14995 SPECIFICATION GRIPPER. CRYO PUMP 300 MM, NEW
jhkkim7636 Used - $66.00 0 Dec/10/14 Jan/04/16
Description: AMAT 0140-03951 HRNS INTRLK CABLE ATM PRES SW 300 MM PRODUCER
ptb-sales Used - $875.00 0 Dec/11/14 Dec/21/14
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $1,500.00 1 Dec/15/14 Dec/15/14
Description: Applied Materials 8 Inch, 200 MM Preclean II RF Match AMAT 0010-20524
benta09 NEW - $249.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
athomemarket NEW - $194.99 0 Dec/17/14 Jan/16/15
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
semiexpert NEW - $650.00 0 Dec/18/14 Jan/17/15
Description: AMAT 0010-08114 ASSY, GRIPPER, UPGRADE, 200 MM MESA, NEW
farmoninc NEW - $450.00 0 Dec/19/14 Jun/10/15
Description: AMAT 0020-30287 ADAPTER RING, PUMPING PLATE, 200 MM COM, 399792
farmoninc NEW - $450.00 0 Dec/19/14 Jun/10/15
Description: AMAT 0020-30287 ADAPTER RING, PUMPING PLATE, 200 MM COM
ptb-sales Used - $875.00 0 Dec/22/14 Jan/01/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
capitolareatech NEW - $300.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06470 Crit, Pedestal, 150 MM Oxide
capitolareatech NEW - $195.14 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06470 Crit, Pedestal, 150 MM Oxide
capitolareatech NEW - $1,800.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-04585 PARKER 45400171 RGLTR, VERIFLO SQ60 MM 100P
capitolareatech NEW - $144.59 0 Dec/27/14 Jan/21/16
Description: NOVELLUS 60-024123-00 VAT 84427-R1 KIT MONOVAT SEAT 32X2366 MM; VAT 84427-R1
systasemi Used - $150,000.00 0 Dec/29/14 Jan/28/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
farmoninc NEW - $150.00 0 Dec/30/14 Mar/02/23
Description: AMAT 0150-76409 CABLE ASSY 300 MM WAFER ON BLADE,CHB
athomemarket NEW - $2,034.99 0 Dec/30/14 Jan/29/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
bornalliancecom NEW - $7,500.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-09806 Gas Box, Assy. WSI 150 MM (New) AMAT
bornalliancecom NEW - $3,095.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-02991 Susceptor, 150 MM WCVD (New) AMAT
bornalliancecom NEW - $1,750.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-22476 Susceptor, 125 MM MAC (NEW) AMAT PVD
bornalliancecom NEW - $400.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-23065 Pedestal, 150 MM TIN 101% (NEW) AMAT PVD
bornalliancecom NEW - $1,750.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-60013 Susceptor, 125 MM BWCVD (NEW) CVD
bornalliancecom NEW - $5,000.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-10636 P-Chuck, Assy. 200 MM (NEW) CVD AMAT
bornalliancecom NEW - $1,150.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-25071 Clamp Ring, 200 MM Chamber E Degas (NEW) AMAT PVD
bornalliancecom NEW - $1,750.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-60019 Susceptor, Shadow Ring 100 MM (NEW) AMAT CVD
bornalliancecom NEW - $1,700.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-30059 Pedestal, 200 MM, Flat, ESC (NEW) AMAT Etch
bornalliancecom NEW - $1,675.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-09732 Pedestal, Assy. 200 MM (NEW) AMAT Etch
bornalliancecom NEW - $695.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0020-24386 Cover Ring , 150 MM (NEW) AMAT PVD
athomemarket NEW - $192.99 0 Jan/01/15 Jan/31/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
farmoninc NEW - $295.00 0 Jan/02/15 Mar/02/23
Description: AMAT 0190-21640 Radiation Shield/Stand - OFFS 300 MM PVD C
ptb-sales Used - $875.00 0 Jan/05/15 Jan/15/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
bornalliancecom NEW - $8,500.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-30246 Susceptor, Assy. 150 MM SW Cheese DCS (NEW) AMAT
bornalliancecom Used - $3,795.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials Metal Etch 0010-70078 Tray, Assy. 200 MM 29 slot (USED) AMAT
bornalliancecom NEW - $2,150.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35690 Susceptor, Solid Pin 200 MM Poly (NEW) AMAT
bornalliancecom NEW - $1,200.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35460 Adapter, Ring 200 MM (NEW) AMAT Centura
bornalliancecom NEW - $1,125.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-35113 Susceptor, Rotation 200 MM EPI (NEW) AMAT
bornalliancecom NEW - $3,995.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-10173 Plate, Cover 200 MM DXZ Heater (NEW) AMAT
bornalliancecom NEW - $1,495.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09678 Focus Ring, 150 MM AL (NEW) AMAT Etch
bornalliancecom NEW - $350.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09424 Focus Ring, Quartz Alum 200 MM (NEW) AMAT Etch
bornalliancecom NEW - $800.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09318 Ring, Inner 200 MM (NEW) AMAT Etch
bornalliancecom NEW - $1,795.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0040-09958 Shell, Assy. 200 MM Notch (NEW) AMAT
bornalliancecom NEW - $475.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09426 Focus Ring, Quartz 200 MM (NEW) AMAT Etch
bornalliancecom NEW - $250.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09135 Adapter, Pumping Plate 150 MM (NEW) AMAT CVD
bornalliancecom NEW - $450.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-09082 Shield, 200 MM Sputter Etch (NEW) AMAT
bornalliancecom NEW - $1,550.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-30602 Pumping Plate, 200 MM (NEW) CVD AMAT
bornalliancecom NEW - $600.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-30073 Clamp Cylinder, 200 mm (NEW) AMAT Etch
bornalliancecom NEW - $4,000.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0021-03488 Face Plate, Delta MF Nitride, 200 MM (NEW) AMAT CVD
bornalliancecom NEW - $465.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-31020 Blocker Plate, 200 MM BSE BWCVD (NEW) AMAT
helixtek NEW - $50.00 0 Jan/14/15 May/07/15
Description: AMAT 3400 CMP MIRRA POLISHER 200 MM HEAD CLAMP 0015-00580
ptb-sales Used - $875.00 0 Jan/15/15 Jan/25/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $179.99 0 Jan/16/15 Feb/15/15
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
benta09 NEW - $249.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
ptb-sales Used - $875.00 0 Jan/26/15 Feb/05/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
systasemi Used - $150,000.00 0 Jan/28/15 Feb/27/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
athomemarket NEW - $2,003.99 0 Jan/29/15 Feb/28/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $163.99 0 Jan/31/15 Mar/02/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Feb/06/15 Feb/16/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
dr.fantom NEW - $120.00 0 Feb/12/15 Dec/18/19
Description: Applied Materials / AMAT 0010-17338 HOSE ASSY ESC SLT 300 MM PIB
getspares.com_sparesllc09 Used - $2,200.00 0 Feb/12/15 Nov/03/16
Description: 0040-09957 / E-CHUCK ASSY POLY 200 MM NOTCH OLD/ APPLIED MATERIALS
athomemarket NEW - $179.99 0 Feb/15/15 Mar/17/15
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Feb/16/15 Feb/26/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
benta09 NEW - $249.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
ptb-sales Used - $875.00 0 Feb/27/15 Mar/09/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,003.99 0 Feb/28/15 Mar/30/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
systasemi Used - $150,000.00 0 Mar/02/15 Apr/01/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
athomemarket NEW - $163.99 0 Mar/02/15 Apr/01/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
sparesllc09 NEW - $1,000.00 1 Nov/21/14 Feb/26/15
Description: 0020-84596 / 300 MM FACEPLATE SILANE TESTED / APPLIED MATERIALS
bobsgoodies NEW - $87.00 1 Mar/04/15 Jun/15/18
Description: AMAT 0010-70823 SMC NCDQ2WB63-UIA981037 Pneumatic Cylinder 2.5L Stroke x 63 mm
esprprts NEW - $20.00 1 Mar/06/15 Jun/29/15
Description: Lot of 5 - APPLIED MATERIALS 0200-09575 Lift Pin, REV A Ceramic Hoop, 200 MM
ptb-sales Used - $875.00 0 Mar/10/15 Mar/20/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
farmoninc Used - $3,500.00 1 Mar/10/15 Aug/22/16
Description: AMAT 0010-15487 Top RF Match Assy 200 mm Ultima HDPCVD
crochrc NEW - $159.99 1 Feb/05/15 Mar/13/15
Description: NEW Exsil 100 mm Reclaim Wafers type P LOT of 25 PN#- PKV-FZ-530-0054
athomemarket NEW - $179.99 0 Mar/17/15 Apr/16/15
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
benta09 NEW - $249.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
ptb-sales Used - $875.00 0 Mar/20/15 Mar/30/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
bobsgoodies NEW - $145.00 1 Mar/23/15 Jul/28/15
Description: AMAT 0010-70828 SMC NCDQ2WB63-UIA981070 Pneumatic Cylinder 2.5L Stroke x 63 mm
athomemarket NEW - $2,003.99 0 Mar/30/15 Apr/29/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
ptb-sales Used - $875.00 0 Apr/13/15 Apr/23/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $179.99 0 Apr/16/15 May/16/15
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Apr/23/15 May/03/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
farmoninc NEW - $75.00 0 Apr/24/15 Mar/02/23
Description: AMAT 0150-76412 Cable 300 MM Motion Control Interconnect 401431
farmoninc NEW - $75.00 0 Apr/24/15 Apr/25/15
Description: AMAT 0150-76412 Cable 300 MM Motion Control Interconnect
athomemarket NEW - $2,003.99 0 Apr/29/15 May/29/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $163.99 0 May/02/15 Jun/01/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 May/04/15 May/14/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 May/14/15 May/24/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $179.99 0 May/17/15 Jun/16/15
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 May/26/15 Jun/05/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $2,003.99 0 May/30/15 Jun/29/15
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $163.99 0 Jun/01/15 Jul/01/15
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Jun/05/15 Jun/15/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
systasemi Used - $150,000.00 0 Jun/07/15 Jun/26/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
ptb-sales Used - $875.00 0 Jun/15/15 Jun/25/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $152.99 0 Jun/16/15 Jul/16/15
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Jun/29/15 Jul/09/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $250.00 0 Jun/29/15 Jun/17/17
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
athomemarket NEW - $30.00 14 Jul/01/15 Aug/16/17
Description: NEW AMAT 0020-27272 PVD QMS Lower Shield 200 mm 8"
ptb-sales Used - $875.00 0 Jul/09/15 Jul/19/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
athomemarket NEW - $49.99 0 Jul/16/15 Jul/04/17
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
ptb-sales Used - $875.00 0 Jul/20/15 Jul/30/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Jul/30/15 Aug/09/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Aug/10/15 Aug/20/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
used1eqsales Used - $754.07 2 Aug/19/15 Dec/02/15
Description: AMAT 9090-01408 Arc Control DSP PCB Revision A Quantum X 300 mm used working
ptb-sales Used - $875.00 0 Aug/21/15 Aug/31/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Aug/31/15 Sep/10/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
systasemi Used - $400.00 0 Sep/10/15 Nov/09/15
Description: Hub, 200 mm, Pedestal Concentric Lift, 15-146603-00
ptb-sales Used - $875.00 0 Sep/10/15 Sep/20/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
farmoninc Used - $3,500.00 0 Sep/16/15 May/04/23
Description: AMAT 0040-31803 Shell Assembly, Chuck 200 MM, Notch (1T), Solid, Thin, 409520
ptb-sales Used - $875.00 0 Sep/21/15 Oct/01/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Oct/02/15 Oct/12/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Oct/12/15 Oct/22/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Oct/22/15 Nov/01/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Nov/02/15 Nov/12/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
logansemi Used - $240.00 0 Nov/01/15 Aug/13/22
Description: Novellus 200 mm Heater Plate, P/N 15-048844-00 120V, 1000 Watts
ptb-sales Used - $875.00 0 Nov/12/15 Nov/22/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
ptb-sales Used - $875.00 0 Nov/23/15 Dec/03/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
esl-elektronik NEW - $12,999.00 0 Nov/30/15 Jun/27/16
Description: Lam Research ESC 300 mm Cer, Mono, 839-800327-312 New / Original Sealed
esl-elektronik NEW - $1,499.00 0 Dec/03/15 Jun/30/16
Description: Lam Research Assy TCP Coil 300 mm 853-037606-300 New / Originally Packed
ptb-sales Used - $875.00 0 Dec/03/15 Dec/13/15
Description: Magmotor Controller Box P/N 71-100-1856, 200 mm AMAT # 0190-00312
be4049 NEW - $325.00 0 Dec/04/15 Aug/04/20
Description: 0200-10448 AMAT Insert Silicon Ring Etch Chamber 200 MM Flat
ecomicron NEW - $950.00 0 Jan/04/16 Oct/17/18
Description: 0200-04180 Cover, Quartz 200 mm, AMAT
russellyd Used - $60.00 0 Jan/04/16 Jan/31/16
Description: 0140-03951 HRNS INTRLK CABLE ATM PRES SW 300 MM PRODUCER
capitolareatech NEW - $144.59 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 60-024123-00 VAT 84427-R1 KIT MONOVAT SEAT 32X2366 MM; VAT 84427-R1
capitolareatech Refurbished - $75.00 0 Jan/23/16 Feb/24/16
Description: NOVELLUS SYSTEMS INC. 15-041335-00 TOOL ALIGNMENT, 200 MM
capitolareatech NEW - $1,800.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-04585 PARKER 45400171 RGLTR, VERIFLO SQ60 MM 100P
capitolareatech NEW - $291.25 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06470 Crit, Pedestal, 150 MM Oxide
capitolareatech NEW - $300.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06470 Crit, Pedestal, 150 MM Oxide
ecomicron NEW - $1,800.00 0 Feb/01/16 Oct/17/18
Description: 0200-09511, AMAT, 200 mm Adaptor
gesemiconductor NEW - $280.00 0 Feb/19/16 Sep/23/19
Description: Applied Materials 0040-91315 Insert, Heat Sink Coated, 150 mm, 0 Deg
gesemiconductor NEW - $25.00 0 Feb/19/16 Sep/11/17
Description: Applied Materials 0020-81546 Cap, Pressure, 100-150 mm
capitolareatech NEW - $450.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-33589 Plate Pumping, Uniform 200 MM
capitolareatech NEW - $327.56 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-60005 SUSC. TOP MOUNT 100 MM SILANE (PLATE S
capitolareatech NEW - $1,800.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09706 RING,CENTERING,200MM 194 MM,NOTCH(200.5)
capitolareatech NEW - $41.55 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09575 Lift Pin, REV 1 Ceramic Hoop, 200 MM
capitolareatech NEW - $144.59 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 60-024123-00 KIT MONOVAT SEAT 32X2366 MM; VAT 84427-R1; 286751
capitolareatech Refurbished - $75.00 0 Feb/29/16 Jun/28/16
Description: NOVELLUS 15-041335-00 TOOL ALIGNMENT, 200 MM
pic_insit Used - $995.00 0 Mar/08/16 Mar/18/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM
pic_insit Used - $995.00 0 Mar/26/16 Apr/05/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM
capitolareatech Used - $875.00 0 Apr/04/16 Apr/07/16
Description: AMAT 0010-09337 AMAT 0010-09337, 200 MM Lamp Module, IMF, ASSY, for CVD 5000
pic_insit Used - $995.00 0 Apr/05/16 May/05/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM
capitolareatech Used - $3,450.00 0 Apr/07/16 Jul/06/16
Description: AMAT 0010-09337 AMAT 0010-09337, 200 MM Lamp Module, IMF, ASSY, for CVD 5000
capitolareatech NEW - $1,470.00 0 Apr/12/16 Sep/15/16
Description: AMAT 0010-03488 MAGNET, IMP 300 MM PVD; 0010-03488-006
pic_insit Used - $995.00 0 May/05/16 Jun/04/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM
ecomicron NEW - $600.00 0 May/17/16 Jun/22/23
Description: 0240-07855, AMAT, KIT, EXHAUST SENSOR BOX, 300MM MM MESA,
pic_insit Used - $990.00 0 Jun/20/16 Jul/20/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM
bobsgoodies Used - $135.00 1 Jun/21/16 Jan/20/17
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
esl-elektronik NEW - $12,999.00 1 Jun/28/16 Feb/02/18
Description: Lam Research ESC 300 mm Cer, Mono, 839-800327-312 New / Original Sealed
esl-elektronik NEW - $1,499.00 0 Jun/30/16 Jul/03/19
Description: Lam Research Assy TCP Coil 300 mm 853-037606-300 New / Originally Packed
capitolareatech NEW - $1,008.45 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-30073 CLAMPING CYLINDER, 200 MM, OXIDE
capitolareatech NEW - $1,500.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-01700 PWBA, X-Y SENSOR,200 MM, QX
capitolareatech NEW - $1,800.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0227-04585 RGLTR, VERIFLO SQ60 MM 100PSI 2 PORT 0
capitolareatech NEW - $144.59 0 Jul/09/16 Sep/15/16
Description: NOVELLUS 60-024123-00 KIT MONOVAT SEAT 32X2366 MM; VAT 84427-R1; 286751
capitolareatech Refurbished - $75.00 0 Jul/09/16 Sep/15/16
Description: NOVELLUS 15-041335-00 TOOL ALIGNMENT, 200 MM
randmgoods NEW - $49.99 1 Jul/15/16 Nov/23/20
Description: Applied Materials AMAT 0200-05829 Reflector, Primary Center, Blasted 300 mm
pic_insit Used - $990.00 0 Jul/20/16 Aug/19/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM
testeqe NEW - $2,999.99 0 Jul/22/16 Jul/17/17
Description: NEW Applied Materials AMAT 0010-00813 Flatfinder Assembly 150 MM Stretch Endura
bornalliancecom Used - $1,995.00 2 Jul/25/16 Dec/08/22
Description: Applied Materials 0010-09919 Pedestal, 200 MM AMAT Etch
bornalliancecom NEW - $1,895.00 1 Jul/26/16 Jul/31/24
Description: Applied Materials 0200-35605 Focus Ring, .845HT, 195 MM, R2 Chamber AMAT Etch
bornalliancecom NEW - $1,295.00 2 Jul/26/16 Sep/10/20
Description: Applied Materials 0020-30628 Ring, Clamp, Vespal Poly/WSI 200 MM AMAT Etch
bornalliancecom Used - $5,495.00 0 Jul/26/16 Dec/22/22
Description: Applied Materials 0200-10173 Plate, Cover 200 MM DXZ Heater A.K.A 0200-36630
bornalliancecom NEW - $2,495.00 1 Jul/26/16 Apr/13/21
Description: Applied Materials 0010-60019 Susceptor, Shadow Ring 100 MM AMAT CVD
bornalliancecom NEW - $475.00 0 Jul/26/16 Jul/14/20
Description: Applied Materials 0200-09426 Focus Ring, Quartz 200 MM AMAT Etch
bornalliancecom NEW - $595.00 0 Jul/26/16 Jul/14/20
Description: Applied Materials 0200-09222 Ring, External 200 MM AMAT Etch
bornalliancecom NEW - $695.00 3 Jul/27/16 Nov/17/22
Description: Applied Materials 0200-35782 Shadow Ring, 200 MM Flat AMAT Etch
bornalliancecom NEW - $955.00 1 Aug/02/16 May/23/18
Description: Applied Materials 0200-36780 Isolator, Pumping Ring Bottom 200 MM SACVD AMAT
ami1617182 NEW - $3,500.00 0 Aug/04/16 Aug/28/16
Description: Reflector Plate Radiance 200 mm purge Radiance 0020-08621 Applied Materials
systasemi Used - $1,000.00 0 Aug/04/16 Oct/19/16
Description: Novellus 15-134732-00, Hub, 200 mm, Ped Concentric Lift
autoquip7 NEW - $2,500.00 0 Aug/11/16 Jul/25/22
Description: 0190-09291, APPLIED MATERIALS, RING, WAFER LIFT, REV 1 CERAMIC, 200 MM
pic_insit Used - $990.00 0 Aug/19/16 Sep/18/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM
bornalliancecom NEW - $365.00 2 Aug/26/16 Apr/06/20
Description: Applied Materials 0200-09182 Adapter, Pumping Plate 200 MM AMAT CVD
bornalliancecom NEW - $455.00 1 Aug/26/16 Mar/15/17
Description: Applied Materials 0020-30314 6 Pedestal, Alum/Poly/WSI 150 MM Flat AMAT Etch
bornalliancecom NEW - $1,050.00 1 Aug/31/16 Feb/13/17
Description: Applied Materials 0200-00221 Insulator, 200 MM PCII (LOT of 3)
kakkisung-6 NEW - $4,999.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0200-03837 INSULATOR INTERNAL 300 MM
ami1617182 NEW - $2,500.00 1 Sep/12/16 Feb/02/17
Description: Reflector Plate Radiance 200 mm purge Radiance 0020-08621 Applied Materials
autoquip7 NEW - $2,500.00 1 Sep/12/16 Jul/13/22
Description: 0190-09292, APPLIED MATERIALS, RING,WLIFT,REV 1 CERAMIC 150 MM UNIV CHA
lwltech NEW - $449.00 1 Sep/13/16 Sep/20/16
Description: Applied Materials AMAT, 0040-03349, TXZ 200 MM COOLING WATER BOX
pic_insit Used - $985.00 0 Sep/19/16 Oct/19/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM
capitolareatech NEW - $1,500.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-01700 PWBA, X-Y SENSOR,200 MM, QX
capitolareatech NEW - $1.62 0 Sep/20/16 Nov/19/16
Description: AMAT 3300-90613 Socket Union Nut Size 20 mm
capitolareatech NEW - $144.59 0 Sep/20/16 Nov/19/16
Description: NOVELLUS 60-024123-00 KIT MONOVAT SEAT 32X2366 MM; VAT 84427-R1; 286751
capitolareatech Refurbished - $75.00 0 Sep/20/16 Nov/19/16
Description: NOVELLUS 15-041335-00 TOOL ALIGNMENT, 200 MM
bornalliancecom NEW - $1,995.00 1 Sep/27/16 Jul/20/17
Description: Applied Materials 0010-60007 Susceptor, Assy. Teos 125 MM AMAT CVD
bornalliancecom NEW - $995.00 3 Sep/28/16 Nov/27/18
Description: Applied Materials 0010-21720 Shield, ADV-101 Vectra IMP 200 MM AMAT PVD
autoquip7 NEW - $3,200.00 0 Oct/03/16 Jul/25/22
Description: 0040-21367, APPLIED MATERIALS, PEDESTAL,UNIVERSAL 200 MM 3 POINT
pic_insit Used - $985.00 0 Oct/25/16 Nov/24/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM
sparesllc09 Used - $1,800.00 0 Nov/07/16 Aug/06/18
Description: 0040-09957 / E-CHUCK ASSY POLY 200 MM NOTCH OLD/ APPLIED MATERIALS
spsglobal NEW - $50.00 0 Nov/09/16 Mar/26/18
Description: AMAT APPLIED MATERIALS 0020-20716 FINGER HOOP CD/PT 125/150 MM 2ND SOURCE NEW
systasemi Used - $1,000.00 0 Nov/21/16 Dec/12/16
Description: Novellus Hub, 200 mm, Ped Concentric Lift, 15-134732-00
pic_insit Used - $985.00 0 Nov/27/16 Dec/27/16
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
gigabitpartsolutions NEW - $44.00 0 Dec/13/16 Feb/14/19
Description: OEM Part Applied Materails (AMAT) 0020-29640 REST BUTTON,ADV. 101,3.4 MM, FULL-C
capitolareatech Used - $3,450.00 0 Dec/19/16 Mar/07/19
Description: AMAT 0010-09337 AMAT 0010-09337, 200 MM Lamp Module, IMF, ASSY, for CVD 5000
capitolareatech NEW - $300.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-06470 Crit, Pedestal, 150 MM Oxide
capitolareatech NEW - $272.37 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-60031 SUSC. 100 MM (PLATE STOCK)
bornalliancecom Used - $2,495.00 0 Dec/19/16 Aug/31/21
Description: Applied Materials 0010-76019 Cassette Handler, Top 200 MM AMAT P-5000
capitolareatech NEW - $492.22 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-40120 SPACER, ESC 200 MM
capitolareatech NEW - $165.38 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
capitolareatech NEW - $330.20 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-39197 WINDOW, MANOMETER, 300 MM DPS
capitolareatech NEW - $116.49 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-36615 Nozzle, Blank Off, Gas Feed, 300 MM DPS
capitolareatech NEW - $144.59 0 Dec/20/16 Sep/22/19
Description: NOVELLUS 60-024123-00 KIT MONOVAT SEAT 32X2366 MM; VAT 84427-R1; 286751
capitolareatech Refurbished - $75.00 0 Dec/20/16 Jun/20/20
Description: NOVELLUS 15-041335-00 TOOL ALIGNMENT, 200 MM
bornalliancecom Used - $1,995.00 0 Dec/21/16 Apr/17/22
Description: Applied Materials 0010-09174 Throttle Valve, Assy. 200 MM CVD
pic_insit Used - $980.00 0 Dec/27/16 Jan/26/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
bornalliancecom Used - $2,995.00 0 Jan/20/17 Jun/15/23
Description: Applied Materials 0010-00706 Clamp Ring, Vespal XTAL 150 MM AMAT Etch
bornalliancecom NEW - $1,995.00 0 Jan/22/17 Jun/15/23
Description: Applied Materials 0010-01098 Refelector, Assy. Cassette Handler 200 MM
bornalliancecom NEW - $1,595.00 0 Jan/22/17 Jun/15/23
Description: Applied Materials 0200-18037 Collar, Bottom 200 MM SNNF
bornalliancecom NEW - $1,495.00 0 Jan/29/17 Jun/15/23
Description: Applied Materials 0020-21704 Chuck, Orientor 125 MM AMAT PVD
pic_insit Used - $970.00 0 Jan/30/17 Mar/01/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
bornalliancecom NEW - $500.00 0 Feb/01/17 Jun/15/23
Description: Applied Materials 0200-10202 Ring, Graphite 200 MM Sputter/Etch
bornalliancecom NEW - $1,795.00 0 Feb/01/17 Jun/15/23
Description: Applied Materials 0200-09869 Ring, Clamping Flat AL, 125 MM AMAT Etch
bornalliancecom NEW - $695.00 0 Feb/01/17 Jun/15/23
Description: Applied Materials 0200-00019 Applied Materials Collar, Pedestal 125 MM
bornalliancecom NEW - $550.00 0 Feb/02/17 Jun/15/23
Description: Applied Materials 0200-09187 Cover, QTZ. 8 EXT, Poly, 4.4 MM AMAT Etch
ami1617182 NEW - $2,500.00 1 Feb/03/17 Apr/25/17
Description: Reflector Plate Radiance 200 mm purge Radiance 0020-08621 Applied Materials
lagerwerk_gmbh NEW - $201.93 0 Feb/07/17 Feb/08/17
Description: LAM RESEARCH 715-057852-002, Liner, LWR, 300 mm, BEVEL - NEW
intek22 NEW - $95.00 0 Feb/14/17 Oct/17/18
Description: NEW!!! LAM Research Focus Ring - 716-080600-001 - SPCL, 150 MM, ESC, 384T
yericomfg NEW - $1,100.00 0 Feb/15/17 Aug/08/18
Description: Applied Materials, 0041-36122, Outer Ring, PPS, 20.5 mm Width, 300 mm Titan Edge
bornalliancecom Used - $990.00 0 Feb/17/17 Jun/15/23
Description: Applied Materials 0200-00221 Insulator, 200 MM PCII (LOT of 2)
lwltech Used - $647.00 1 Feb/28/17 Mar/01/17
Description: Applied Materials AMAT P-5000 CVD 100, 125, 150 MM, THROTTLE VALVE, 0010-09035
kakkisung-6 NEW - $8,999.00 1 Mar/02/17 Apr/20/17
Description: AMAT 0041-27029 Reflector Plate RTP 300 mm #
pic_insit Used - $965.00 0 Mar/13/17 Apr/12/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
bornalliancecom NEW - $1,000.00 0 Mar/27/17 Jun/15/23
Description: Applied Materials 0020-30362 Top Ring, SXTAL 200 MM AMAT Etch
pic_insit Used - $960.00 0 Apr/19/17 May/19/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
ami1617182 NEW - $2,900.00 1 Apr/28/17 Jan/02/18
Description: Reflector Plate Radiance 200 mm purge Radiance 0020-08621 Applied Materials
katiil3 Used - $98.00 0 May/10/17 Oct/23/21
Description: AMAT 0200-00602 RING SINGLE 195 MM SEMI
pic_insit Used - $950.00 0 May/20/17 Jun/19/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
sparesllc09 NEW - $3,500.00 0 May/24/17 Jul/11/19
Description: 0010-15262 / 200/300 MM UPPER RING ASSY /APPLIED MATERIALS
athomemarket NEW - $187.50 1 Jun/17/17 Dec/19/17
Description: NEW AMAT 0021-17718 Shield, Inner, SiP TNT 200 mm
pic_insit Used - $925.00 0 Jun/22/17 Jul/22/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
spsglobal NEW - $400.00 0 Jun/26/17 Jul/26/17
Description: 140-0501// AMAT APPLIED 0020-21219 BASE CD/PT WAFER 150 MM 2ND SOURCE NEW
spsglobal NEW - $400.00 0 Jun/26/17 Nov/02/17
Description: 140-0501// AMAT APPLIED 0020-21218 BASE CD WAFER 200 MM 2ND SOURCE NEW
testeqe NEW - $2,999.99 0 Jun/28/17 Aug/27/17
Description: NEW Applied Materials AMAT 0010-00813 Flatfinder Assembly 150 MM Stretch Endura
athomemarket NEW - $49.99 0 Jul/04/17 Oct/26/18
Description: Applied Materials 0200-10044 Quartz Cover 125 mm POLY
pic_insit Used - $920.00 0 Jul/23/17 Aug/22/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
qrecycle Used - $1,999.00 1 Jul/31/17 Aug/03/17
Description: APPLIED MATERIAL DPS Cathode 200 mm 0010-14621
tgs816 Used - $35.00 0 Aug/17/17 Aug/19/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $35.00 0 Aug/19/17 Aug/19/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $25.00 0 Aug/19/17 Aug/26/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
pic_insit Used - $915.00 0 Aug/22/17 Sep/21/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
tgs816 Used - $25.00 0 Aug/27/17 Sep/03/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
lwltech NEW - $519.20 1 Sep/03/17 Dec/07/17
Description: Applied Materials AMAT 0200-35327, FOCUS RING, 195 MM, NOTCH
tgs816 Used - $30.00 1 Sep/04/17 Dec/12/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
dnd_surplus Refurbished - $900.00 0 Sep/19/17 Mar/27/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
dcdirecttech Used - $674.95 0 Oct/03/17 Oct/10/17
Description: Applied Materials 0015-09077 P5000 Throttle Valve, Assy. 200 MM AMAT CVD
pic_insit Used - $815.00 0 Oct/05/17 Nov/04/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
dcdirecttech Used - $649.95 0 Oct/12/17 Oct/19/17
Description: Applied Materials 0015-09077 P5000 Throttle Valve, Assy. 200 MM AMAT CVD
rubeorda0 NEW - $750.00 1 Oct/15/17 Nov/06/17
Description: 0020-31247, Applied Materials, AMAT, RING WAFER LIFT 100-150 MM UNIV. CHAMBER
orapma12012 Used - $799.00 0 Oct/15/17 Oct/22/17
Description: Applied Materials 0010-09174 Throttle Valve, Assy. 200 MM CVD
dcdirecttech Used - $624.95 1 Oct/20/17 Oct/27/17
Description: Applied Materials 0015-09077 P5000 Throttle Valve, Assy. 200 MM AMAT CVD
orapma12012 Used - $799.00 0 Oct/24/17 Oct/31/17
Description: Applied Materials 0010-09174 Throttle Valve, Assy. 200 MM CVD
spsglobal Used - $1,600.00 0 Oct/26/17 Jun/18/23
Description: 348-0501//AMAT APPLIED 0040-21367 PEDESTAL,UNIVERSAL 200 MM 3 POI 2ND SOURCE NEW
orapma12012 Used - $799.00 0 Nov/01/17 Nov/08/17
Description: Applied Materials 0010-09174 Throttle Valve, Assy. 200 MM CVD
pic_insit Used - $805.00 0 Nov/15/17 Dec/15/17
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
bornalliancecom NEW - $725.00 1 Nov/18/17 Feb/23/18
Description: Applied Materials 0020-34775 Blocker, Perf Silox 200 MM Universal CH AMAT CVD
orapma12012 Used - $799.00 0 Nov/22/17 Nov/29/17
Description: Applied Materials 0010-09174 Throttle Valve, Assy. 200 MM CVD
lagerwerk_gmbh NEW - $219.59 0 Dec/05/17 Dec/22/17
Description: LAM RESEARCH 715-057852-002, Liner, LWR, 300 mm, BEVEL - NEW
bobsgoodies2 Used - $30.00 0 Dec/17/17 Mar/31/22
Description: AMAT 0910-01128 FUSE FAST ACTING 4 A 250V 5X20 MM GLASS (Lot of 5 fuses)
bobsgoodies2 Used - $30.00 0 Dec/17/17 Mar/31/22
Description: AMAT 0910-01069 FUSE FAST ACTING 2A IEC 127 III 250V 5X20 MM GL (Lot of 5 fuses)
bobsgoodies2 Used - $30.00 0 Dec/17/17 Mar/31/22
Description: AMAT 0910-01126 FUSE FAST ACTING 6.3 A 250V 5X20 MM GLASS (Lot of 5 fuses)
bobsgoodies2 Used - $30.00 0 Dec/17/17 Mar/31/22
Description: AMAT 0910-01129 FUSE FAST ACTING 2 A 250V 5X20 MM GLASS (Lot of 5 fuses)
pic_insit Used - $795.00 0 Dec/17/17 Jan/16/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
lagerwerk_gmbh Used - $210.90 0 Dec/27/17 Mar/03/22
Description: LAM RESEARCH 715-057852-002, Liner, LWR, 300 mm, BEVEL - NEW
pic_insit Used - $785.00 0 Jan/16/18 Feb/15/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
capitolareatech NEW - $375.00 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0200-09567 SHIELD 200 MM DELTA NITRIDE
capitolareatech NEW - $1,095.00 0 Jan/19/18 Sep/19/19
Description: Applied Materials (AMAT) 0200-10054 RING INNER ALN, SML, 8", 3 mm, 20 1/194, NOT
capitolareatech NEW - $1,095.00 0 Jan/24/18 Nov/30/19
Description: Applied Materials (AMAT) 0020-30628 Ring, Clamp, Vespal Poly/WSI 200 MM AMAT Etc
capitolareatech Used - $52.95 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21771 SHIM, .50MM(.019")SST 300 MM PVD
capitolareatech Used - $89.50 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21769 SHIM, 1MM(.039")SST 300 MM PVD
capitolareatech NEW - $1,595.00 4 Jan/31/18 Sep/03/19
Description: Applied Materials (AMAT) 0200-35352 200 MM EPI 5200-SUSCEPTOR, TEMP CAL.,R3
capitolareatech NEW - $1,695.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-35112 5200 6" EPI R3--SUSC, Rotation 150 mm
capitolareatech NEW - $375.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-00339 Pedestal, Assy. 150 MM Oxide
capitolareatech NEW - $695.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31019 Perf. Plate, 200 MM AMAT CVD--233-4137-22
capitolareatech NEW - $845.00 0 Feb/07/18 Nov/13/19
Description: Applied Materials (AMAT) 0020-30608 Pedestal, Sealless 150 mm HEWEB
capitolareatech NEW - $695.00 0 Feb/09/18 Nov/20/19
Description: Applied Materials (AMAT) 0200-09343 Collar, SI OX/MLR/NIT 125 MM Flat
spsglobal Used - $80.00 0 Feb/12/18 Mar/03/22
Description: 321-0301// AMAT 0020-29640 (#1) REST BUTTON,ADV. 101,3.4 MM, 2ND SOURCE NEW
capitolareatech NEW - $995.00 0 Feb/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31940 Pedestal, AL 200 MM
esl-elektronik NEW - $12,999.00 0 Feb/13/18 Jul/03/19
Description: Lam Research ESC 300 mm Cer, Mono, 839-800327-312 New / Original Sealed
pic_insit Used - $775.00 0 Feb/19/18 Mar/21/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
bornalliancecom Used - $3,495.00 1 Feb/24/18 Aug/11/22
Description: Applied Materials 0010-09174 Throttle Valve, Assy. 200 MM CVD AMAT
lwltech NEW - $575.00 1 Feb/26/18 Sep/29/18
Description: APPLIED CERAMICS 91-01311A ,, AMAT 0200-35327, FOCUS RING, 195 MM, NOTCH
capitolareatech NEW - $595.00 0 Mar/06/18 Aug/09/19
Description: Applied Materials (AMAT) 0200-09083 SHIELD 150 MM SPUTTER ETCH
spsglobal Used - $50.00 0 Mar/19/18 Jun/18/23
Description: 347-0402// AMAT APPLIED 0020-20716 FINGER HOOP CD/PT 125/150 MM 2ND SOURCE NEW
capitolareatech NEW - $495.00 0 Mar/21/18 Mar/21/20
Description: Applied Materials (AMAT) 0020-60037 SUSC. 150 MM BB (PLATE STOCK)
capitolareatech NEW - $295.00 0 Mar/21/18 Jun/27/18
Description: Applied Materials (AMAT) 0200-09758 C Pedestal Collar 6 150 Mm Al Finger
ses122017 Used - $1,800.00 0 Mar/22/18 Apr/21/18
Description: Applied Materials 0010-70078 Tray, Assy. 200 MM 29 Slot AMAT
capitolareatech NEW - $1,295.00 0 Mar/24/18 Aug/09/19
Description: Applied Materials (AMAT) 0020-32953 SUSC T2 WELDED, 150 MM
capitolareatech NEW - $1,295.00 0 Mar/24/18 Mar/24/18
Description: Applied Materials (AMAT) 0020-32953 SUSC T2 WELDED, 150 MM
dnd_surplus Refurbished - $900.00 0 Mar/27/18 Apr/26/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
pic_insit Used - $750.00 0 Mar/27/18 Apr/26/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
grandbirdnet Used - $4,500.00 0 Mar/27/18 Nov/25/22
Description: AMAT 0190-07312 AMPLIFIER, MEGASONIC, 200 MM SYSTEM, NRTL COMPLIANT , USED
capitolareatech NEW - $795.00 0 Mar/31/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-36666 ISOLATOR CERAMIC PRODUCER 200 MM
spsglobal Used - $100.00 0 Apr/18/18 Nov/23/22
Description: 321-0301// AMAT APPLIED 0020-29640 REST BUTTON,ADV. 101,3.4 MM, NEW
ses122017 Used - $1,800.00 0 Apr/24/18 May/15/18
Description: Applied Materials 0010-70078 Tray, Assy. 200 MM 29 Slot AMAT
dnd_surplus Refurbished - $900.00 0 Apr/26/18 May/26/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
pic_insit Used - $740.00 0 Apr/27/18 May/27/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
storemanager-2009 NEW - $400.00 0 Mar/07/18 Jun/18/18
Description: LIFT PIN, TIP, 2 MM RADIANCE
capitolareatech NEW - $395.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0021-21770 SHIM, .75MM(.029")SST 300 MM PVD
capitolareatech NEW - $29.95 0 May/20/18 Jun/20/20
Description: LAM RESEARCH (LAM) 713-071621-002 Cap, Insulator, Horn Mtg, 17.5 MM
capitolareatech NEW - $895.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0200-00694 Guard, Silicon, 200 mm
bornalliancecom NEW - $955.00 0 May/23/18 Jun/27/24
Description: Applied Materials 0200-36780 Isolator, Pumping Ring Bottom 200 MM SACVD AMAT
pic_insit Used - $730.00 0 Jun/13/18 Jul/13/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
pic_insit Used - $500.00 2 Jun/18/18 Jun/25/18
Description: AMAT 0010-98306 Controller Pneumatic, 200 mm Class. I/F, Stock #
dnd_surplus Refurbished - $810.00 0 Jun/27/18 Jul/27/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
storemanager-2009 Used - $250.00 0 Jul/11/18 Aug/09/18
Description: AMAT 0020-21221 HOOP CD 200 MM USED
yericomfg NEW - $160.00 0 Jul/12/18 Aug/08/18
Description: AMAT 0020-99758 Pad PBI Rear Ht 3,8 Mm Modular End Effector 300mm
semiconductorsolution NEW - $11,500.00 1 Jul/16/18 Jul/06/20
Description: AMAT, Applied Materials, 0200-36120, ADAPTER RING, 150 MM WAFER, SI BATCH COA
pic_insit Used - $720.00 0 Jul/16/18 Aug/15/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
dnd_surplus Refurbished - $810.00 0 Jul/28/18 Aug/27/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
yericomfg NEW - $160.00 0 Aug/08/18 Aug/27/19
Description: AMAT 0020-99758 Pad PBI Rear Ht 3,8 Mm Modular End Effector 300mm
pic_insit Used - $710.00 0 Aug/18/18 Sep/17/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
dnd_surplus Refurbished - $810.00 0 Aug/27/18 Sep/26/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
capitolareatech NEW - $1,695.95 0 Sep/10/18 Aug/09/19
Description: Applied Materials (AMAT) 0190-09400 SUSC ASSY T2 WELDED, 125 MM SO/N
capitolareatech NEW - $1,470.00 0 Sep/10/18 Nov/01/18
Description: AMAT 0010-03488 MAGNET, IMP 300 MM PVD; 0010-03488-006
pic_insit Used - $700.00 0 Sep/20/18 Oct/20/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
prism_electronics7 Used - $646.00 0 Sep/26/18 Aug/12/20
Description: APPLIED MATERIALS 0021-76237 AMAT LIFTER ORIENTER 300 MM ENDUR
prism_electronics7 Used - $3,325.00 0 Sep/26/18 Mar/02/20
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
athomemarket NEW - $199.99 0 Oct/10/18 Oct/17/18
Description: NEW Applied Materials 0200-06099 Ceramic Deposition Ring 995 w/ Cleancoat 300 mm
intek22 NEW - $50.00 0 Oct/17/18 May/11/20
Description: Lot of 4 * New LAM Research Focus Ring - 716-080600-001 - SPCL 150 MM ESC 384T
athomemarket NEW - $177.04 1 Oct/18/18 May/24/19
Description: NEW Applied Materials 0200-06099 Ceramic Deposition Ring 995 w/ Cleancoat 300 mm
pic_insit Used - $690.00 0 Oct/22/18 Nov/21/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
pic_insit Used - $5,000.00 0 Nov/21/18 Dec/21/18
Description: AMAT P/N 0010-12223 Magnet Assyrian, 300 MM PVD Niv, Stock #601
pic_insit Used - $500.00 0 Nov/21/18 Dec/21/18
Description: AMAT P/N 0090-09274 Shell Assyrian, ESC, 195 MM Notch, Stock #600
pic_insit Used - $680.00 0 Nov/21/18 Dec/21/18
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
pic_insit Used - $4,900.00 0 Dec/22/18 Jan/21/19
Description: AMAT P/N 0010-12223 Magnet Assy, 300 MM PVD Niv, Stock #601
pic_insit Used - $490.00 0 Dec/22/18 Jan/21/19
Description: AMAT P/N 0090-09274 Shell Assy, ESC, 195 MM Notch, Stock #600
pic_insit Used - $670.00 0 Dec/22/18 Jan/21/19
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
amte648608 NEW - $60.00 20 Jan/09/19 Jul/21/19
Description: Applied Materials 0200-03314, Lift Pin 170 Fixed Floating 300 mm Producer
pic_insit Used - $4,800.00 0 Jan/25/19 Feb/24/19
Description: AMAT P/N 0010-12223 Magnet Assy, 300 MM PVD Niv, Stock #601
pic_insit Used - $480.00 0 Jan/25/19 Feb/24/19
Description: AMAT P/N 0090-09274 Shell Assy, ESC, 195 MM Notch, Stock #600
pic_insit Used - $660.00 0 Jan/25/19 Feb/24/19
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
wfeng0308 NEW - $1,100.00 0 Feb/08/19 Mar/11/19
Description: Applied Materials 0200-10265 RING FOCUS ESC, 150/151 MM
spsglobal Used - $900.00 0 Feb/17/19 Jun/18/23
Description: 125-0301// AMAT APPLIED 0020-10122 wPLATE PERF 125 MM OXIDE 2ND SOURCE NEW
spsglobal Used - $1,000.00 1 Feb/17/19 Oct/17/23
Description: 346-0402// AMAT APPLIED 0010-21868 COOLDOWN MANIFOLD 200 MM USED
pic_insit Used - $420.00 0 Mar/27/19 Jun/27/21
Description: AMAT P/N 0020-31147 Cathode Base Extension 150/200 MM, Stock #120
pic_insit Used - $430.00 0 Mar/27/19 Jun/27/21
Description: AMAT P/N 0090-09274 Shell Assy, ESC, 195 MM Notch, Stock #600
pic_insit Used - $4,300.00 0 Mar/27/19 Jun/27/21
Description: AMAT P/N 0010-12223 Magnet Assy, 300 MM PVD Niv, Stock #601
getspares.com_sparesllc09 NEW - $2,600.98 0 Jul/11/19 Aug/31/23
Description: 0010-15262 / 200/300 MM UPPER RING ASSY /APPLIED MATERIALS
spsglobal Used - $10.00 0 Jul/29/19 Jun/29/23
Description: 345-0101// AMAT APPLIED 0950-90035 IC MM 74C14N NEW
getspares.com_sparesllc09 Used - $7,110.11 0 Aug/13/19 Nov/29/23
Description: 0021-19705 / SHIELD, UPPER, 60/70 MM P.D., 300MM / APPLIED MATERIALS AMAT
highhelix NEW - $500.00 0 Aug/17/19 Sep/19/21
Description: Applied Materials 0200-35113 Susceptor, Rotation 200 MM EPI AMAT Centura
getspares.com_sparesllc09 Used - $15,550.00 0 Aug/29/19 Apr/06/23
Description: 0020-01995 / HY-11 MAGNET 300 MM ASSEMBLED WITH 0020-28491 / AMAT
capitolareatech Used - $499.95 0 Sep/05/19 Nov/13/19
Description: Applied Materials (AMAT) 0020-30608 Pedestal, Sealless 150 MM HEWEB
capitolareatech Used - $199.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0010-00343 Pedestal, 125 MM
capitolareatech Used - $139.95 0 Sep/05/19 Nov/25/19
Description: Applied Materials (AMAT) 0020-22292 SHIELD 150 mm SEMICONDUCTOR PART 
capitolareatech Used - $1,235.30 0 Sep/05/19 Sep/19/19
Description: Applied Materials ( Amat ) 0020-31164 Anneau, Focusing, Alun , 150 mm, Prsp 3
logansemi Used - $675.00 0 Oct/09/19 Apr/19/21
Description: AMAT Applied Materials, TXZ 200 MM HEATER, P/N 0040-36180
logansemi Used - $600.00 1 Oct/15/19 Jul/29/20
Description: Novellus 200 mm ALTUS HEATER PEDESTAL P/N 02-033134-00
getspares.com_sparesllc09 Used - $758.64 0 Oct/25/19 Jun/20/24
Description: 0020-10122 / PLATE PERFORATED OXIDE125 MM ACE CO. PN S33-094A / AMAT
gesemiconductor NEW - $390.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 3700-06604 O-Ring 11.975 ID x 0.210 IN. 304.17 ID x 5.33 mm
gesemiconductor NEW - $400.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 3700-06812 O-Ring 13.975ID x 0.210 IN. 354.97 ID x 5.33 mm
cosplity Used - $3,900.00 0 Nov/19/19 Jun/16/20
Description: AMAT 0010-03151 ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM
spsglobal Used - $800.00 1 Nov/28/19 Jan/13/22
Description: 116-0501// AMAT APPLIED 0200-09646 RING,FOCUS,QTZ, 125 MM, 135 MM NEW
capitolareatech Used - $11.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0030-00210 Lower, 125 mm Oxide
lagerwerk_gmbh Used - $199.28 0 Dec/07/19 Mar/13/23
Description: LAM Research 715-057852-002, Liner, Lwr , 300 MM, Biseau - Neuf
logansemi Used - $650.00 0 Dec/11/19 Mar/10/24
Description: LAM / Drytek 384T PLASMA ETCHER ESC Electrode 150 MM P/N 839-080570-001, NOS
dr.dantom Used - $120.00 1 Dec/18/19 Apr/25/24
Description: Applied Materials / AMAT 0010-17338 HOSE ASSY ESC SLT 300 MM PIB
roundtable1 NEW - $199.99 1 Jan/02/20 Nov/02/23
Description: Applied Materials 0020-29640 REST BUTTON,ADV. 101,3.4 MM, FULL-COV TIM Lot Of 3
capitolareatech NEW - $1,295.00 0 Jan/04/20 Aug/13/20
Description: Applied Materials AMAT 0200-35320 Ring Focus Flat 195 MM
capitolareatech NEW - $64.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-29335 Rest Button, AVD-101 5.64 mm Electrolized
capitolareatech Used - $24.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-76109 COVER, 200 MM ROBOT BLADE
capitolareatech NEW - $16.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-42102 200 MM TEOS LIFT SHIM
capitolareatech NEW - $24.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-76042 Bushing 200 mm Wafer Cassette Handler
capitolareatech NEW - $779.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-09278 RING, INNER 125 MM 115 MM 2 FLT 90 SHADOW
capitolareatech NEW - $2,795.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-36092 Window, 300 MM
surplusssam NEW - $29.99 0 Feb/13/20 Feb/23/20
Description: Kalrez AS-568A O-RING K#033 1.989 X 0.070 in 50.52 x 1.78 mm AMAT 3700-02173
surplusssam NEW - $29.99 0 Feb/25/20 Mar/06/20
Description: Kalrez AS-568A O-RING K#033 1.989 X 0.070 in 50.52 x 1.78 mm AMAT 3700-02173
capitolareatech NEW - $9.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 0140-05947 HARNESS ASSY 300 MM PRODUCER E PNEUMAT
prism_electronics12 Used - $999.99 1 Mar/02/20 Jul/22/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
spsglobal Used - $20.00 0 Mar/05/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0040-07630 BLANK PLATE, BACKSIDE GAS, 300 MM WATER NEW
spsglobal Used - $20.00 0 Mar/05/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0020-81547 CAP,PRESSURE,200 MM. NEW
surplusssam NEW - $33.99 1 Mar/10/20 Aug/22/20
Description: Kalrez AS-568A O-RING K#033 1.989 X 0.070 in 50.52 x 1.78 mm AMAT 3700-02173
spsglobal Used - $300.00 0 Mar/23/20 Aug/25/22
Description: 324-0203// AMAT APPLIED 0200-39199 NOZZLE, OUTER, GAS FEED, 300 MM DPS [NEW]
clrslice Used - $100.00 0 May/27/20 Sep/06/21
Description: Applied Materials 0200-35113 Susceptor, Rotation 200 MM EPI Centura
novusferro Used - $1,499.00 0 Jun/22/20 Jul/19/23
Description: Applied Materials 0010-14748 300 MM Temp Control Unit
storemanager-2009 Refurbished - $2,000.00 0 Jun/23/20 Oct/20/20
Description: AMAT 0010-60015 ASSY SUSCEPTOR 150 MM
spsglobal Used - $1,800.00 0 Jul/08/20 Jun/18/23
Description: 120-0501// AMAT APPLIED 0020-20691 PEDESTAL CD/PT WAFER200 MM [2ND NEW SOURCE]
getspares.com_sparesllc09 NEW - $1,115.07 0 Jul/20/20 Jan/06/21
Description: 0020-31247 / RING WAFER LIFT 100-150 MM UNIV. CHAMBER / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $2,289.00 1 Jul/20/20 Jun/08/23
Description: 0190-09400 / SUSCEPTOR ASSY T2 WELDED, 125 MM SO/N / APPLIED MATERIALS AMAT
spsglobal NEW - $3,500.00 0 Jul/29/20 Jun/03/21
Description: 350-0303// AMAT APPLIED 0040-32243 BASE, PEDESTAL, 200 MM POLY ETCH [NEW]
prism_electronics12 Used - $237.49 0 Aug/12/20 Jul/25/22
Description: APPLIED MATERIALS 0021-76237 AMAT LIFTER ORIENTER 300 MM ENDUR
capitolareatech NEW - $1,595.00 0 Aug/14/20 Feb/05/21
Description: Applied Materials (AMAT) 0200-35352 200 MM EPI 5200-SUSCEPTOR, TEMP CAL.,R3
capitolareatech Refurbished - $75.00 0 Aug/17/20 Apr/14/21
Description: NOVELLUS 15-041335-00 TOOL ALIGNMENT, 200 MM
capitolareatech NEW - $1,695.95 5 Aug/19/20 Apr/19/22
Description: Applied Materials (AMAT) 0190-09400 SUSC ASSY T2 WELDED, 125 MM SO/N
ym3116-fmz6ox NEW - $580.00 1 Aug/25/20 Jun/12/22
Description: AMAT 0200-10265 RING FOCUS ESC, 150/151 MM
techequipsales Used - $850.00 0 Sep/10/20 Mar/07/24
Description: LAM 810-800031-400 A System Interlock 300 mm LAM 2300 KIYO3X Process Chamber
logansemi Used - $149.00 0 Oct/18/20 Aug/07/22
Description: Drytek/Lam Focus Ring, 150 MM, ESC, 384T, P/N 716-080600-001
christyhtx Used - $925.00 0 Oct/21/20 Mar/21/22
Description: Applied Materials AMAT 0200-36638 200 mm quartz Blade
christyhtx Used - $3,400.00 0 Oct/21/20 Mar/21/22
Description: AMAT 0010-13242 HP Robot Wrist with 0200-36638 200 mm quartz Blade
testeqe NEW - $2,499.99 1 Dec/15/20 Feb/20/21
Description: NEW Applied Materials/AMAT PN: 0020-03435 Pedestal, Ext, 6 (6"/150 mm)
xl-t_com NEW - $750.00 0 Dec/15/20 Oct/19/21
Description: APPLIED MATERIALS - 0190-09291 - RING, WAFER LIFT, REV 1 CERAMIC, 200 MM
xl-t_com Used - $433.00 0 Dec/31/20 Oct/19/21
Description: APPLIED MATERIALS - 0021-21770 - SHIM, .75MM(.029")SST 300 MM PVD
all-of-cpu Used - $47.07 0 Jan/21/21 Nov/21/21
Description: SMC 10-CDQ2B12-10DC DOUBLE ACTION PNEUMATIC CYLINDER 12 MM
logansemi Used - $350.00 0 Feb/02/21 Jul/21/22
Description: LAM RESEARCH (DRYTEK 384T) 150 MM ESC FOCUS RING 716-080177-001, NOS
fel7255 Used - $59.00 0 Mar/09/21 Aug/14/21
Description: Applied Materials 0021-13265 racket, Gauge Controller 300 mm
logansemi Used - $360.00 0 Mar/17/21 Aug/06/21
Description: LAM 150 MM CERAMIC P/N 716-080074-002, NOS
capitolareatech NEW - $2,295.95 0 Jul/03/21 Mar/16/23
Description: Applied Materials (AMAT) 0200-35597 FOCUS RING, 145 MM, JEIDA R2 CHMBR, METC
capitolareatech Used - $799.95 2 Aug/15/21 May/26/22
Description: Applied Materials (AMAT) 0200-09787 FOCUS RING, 209 MM NOTCH, POLY ESC
capitolareatech NEW - $719.95 0 Aug/18/21 Feb/17/23
Description: Applied Materials (AMAT) 0200-36666 ISOLATOR CERAMIC PRODUCER 200 MM
roundtable1 NEW - $99.99 1 Sep/07/21 Jun/03/23
Description: applied materials 0041-04807 ROD, CONDUCTOR, RF FEEDTHROUGH, PRODUCER 200 MM
j316gallery Used - $1,500.00 0 Sep/30/21 Feb/11/22
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
capitolareatech NEW - $599.95 1 Oct/20/21 Oct/20/22
Description: Applied Materials (AMAT) 0020-30608 Pedestal, Sealless 150 mm HEWEB
avro66 Used - $975.00 0 Feb/11/22 Mar/11/22
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch
prism_electronics12 Used - $999.99 0 Mar/02/22 Mar/09/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
j316gallery Used - $4,468.00 1 Mar/07/22 Mar/07/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
avro66 Used - $975.00 0 Mar/16/22 Apr/16/22
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch
christyhtx Used - $925.00 1 Mar/27/22 Feb/26/23
Description: Applied Materials AMAT 0200-36638 200 mm quartz Blade
avro66 Used - $975.00 0 Apr/19/22 May/18/22
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch
farmoninc Used - $1,800.00 1 Apr/28/22 Jun/22/23
Description: AMAT 0010-03661 6" Universal Susceptor P5000, TEOS, 150 MM, 105235
j316gallery Used - $4,500.00 0 Apr/29/22 Dec/19/22
Description: 27878 NOVELLUS CASCADE CONTROLS CU, ASSY, BOX, CCHK, 50A, 300 MM 02-288245-00
j316gallery Used - $4,800.00 0 Apr/29/22 Dec/19/22
Description: 27877 NOVELLUS CASCADE CONTROLS CU, ASSY, BOX, CCHK, 50A, 300 MM 02-288245-00
prism_electronics12 Used - $999.99 0 May/09/22 May/14/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
avro66 Used - $975.00 0 May/18/22 Aug/18/22
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch
prism_electronics12 Used - $499.99 0 May/24/22 May/27/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
halane3 Used - $50.00 1 Jun/16/22 Jun/17/22
Description: Applied Materials 0200-35113 Susceptor, Rotation 200 MM EPI AMAT Centura
prism_electronics12 Used - $499.99 1 Jul/14/22 Jul/17/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
prism_electronics12 Used - $499.99 1 Jul/22/22 Jul/25/22
Description: APPLIED MATERIALS 0190-00312 AMAT MAGMOTOR CONTROLLER BOX 200 MM 71-100-1856
visionsemi Used - $850.00 0 Aug/02/22 Feb/02/23
Description: AMAT 0190-10208 APPLIED MATERIALS 300 mm PCB BOARD ASSY REV 002
j316gallery Used - $1,863.00 0 Aug/25/22 May/27/24
Description: 337 APPLIED MATERIALS ELECTRODE ESC POLYMIDE 195 MM SEMI NOTCH 0040-77771
avro66 Used - $975.00 0 Aug/31/22 Oct/31/22
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch
j316gallery Used - $250.00 0 Oct/05/22 Jan/04/23
Description: 29939 APPLIED MATERIALS PCB ASSY, HEAD PNEU CTLR BD, UPA PCB, 300 MM 0100-00946
mmabr1999 Used - $2,695.00 0 Oct/09/22 May/03/23
Description: 0190-24854 APPLIED MATERIALS/MKS AMAT REV1.8 G4 PLUS 4 -PORT UPA 300 MM REFL
avro66 Used - $975.00 0 Oct/31/22 Nov/30/22
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch
getspares.com_sparesllc09 Used - $907.54 0 Nov/09/22 Nov/09/22
Description: 0200-09177 / 200 MM, WRAP AROUND, COVER, QUARTZ / APPLIED MATERIALS AMAT
farmoninc NEW - $1,000.00 0 Nov/10/22 Dec/22/22
Description: AMAT 0200-09278 RING, INNER 125 MM 115 MM 2 FLT 90 SHADOW, 111410
grandbirdnet Used - $4,500.00 0 Nov/30/22 Dec/01/22
Description: AMAT 0190-07312 AMPLIFIER, MEGASONIC, 200 MM SYSTEM, NRTL COMPLIANT , USED
avro66 Used - $975.00 0 Dec/07/22 Feb/07/23
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch
giftwearonline Used - $23.50 0 Dec/18/22 Dec/18/22
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
grandbirdnet Used - $6,800.00 0 Dec/28/22 Apr/27/23
Description: AMAT 0190-07312 AMPLIFIER, MEGASONIC, 200 MM SYSTEM, NRTL COMPLIANT , USED
amat-sparescom Used - $4,795.00 1 Dec/30/22 Feb/21/23
Description: Applied Materials 0010-76018 Cassette Handler, Top 150 MM AMAT P-5000
onestopbuys Used - $23.50 0 Jan/12/23 Jan/12/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
avro66 Used - $975.00 0 Feb/22/23 Mar/22/23
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch
avoneverywhere Used - $23.50 0 Feb/26/23 Feb/27/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
ledamoiseau Used - $23.50 0 Mar/05/23 Mar/05/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
tfstech NEW - $1,299.99 0 Mar/08/23 Aug/29/23
Description: Applied Materials 0020-10727 AMAT TEOS Cover Top 100 mm 125 mm 150 mm Wafers
papyrus10 Used - $23.50 0 Mar/12/23 Mar/13/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
avro66 Used - $975.00 0 Mar/22/23 May/22/23
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch
en.avant.les.histoires Used - $6,300.00 0 Mar/25/23 Mar/25/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
astrade2012 Used - $399.00 1 Mar/31/23 Sep/21/23
Description: AMAT APPLIED MATERIALS 0040-50657 BASE WRIST 300 MM ROBOT LCF+NON-PLT
bo19531 Used - $3.74 0 Apr/02/23 Apr/03/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
semitec-69 Used - $2,700.00 0 Apr/06/23 Apr/07/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
semitec-69 Used - $2,430.00 0 Apr/08/23 May/04/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
mmabr1999 NEW - $2,500.00 1 May/25/23 Jul/23/24
Description: APPLIED MATERIALS/MKS , 0190- 24854 Plus 4-PORT UPA 300 MM REFL
ssarah712 Used - $23.50 0 May/28/23 May/29/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
grandbirdnet Used - $7,500.00 1 May/28/23 Sep/06/23
Description: AMAT 0190-07312 AMPLIFIER, MEGASONIC, 200 MM SYSTEM, NRTL COMPLIANT , USED
generalbonaparte Used - $14.28 0 Jun/04/23 Jun/04/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
outletmp3 Used - $23.50 0 Jun/24/23 Jun/24/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
avro66 Used - $875.00 0 Jun/27/23 Jul/26/23
Description: Applied Materials 0200-00071 Insulation Pipe, 200 MM Quartz AMAT Etch