[click to login]
WSEMI


TAGS > 300 mm

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 5
in stock
$250.00
Description: AMAT 0150-76560 Cable Assy, Devicenet Trunk, System AC, 300 mm
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0270-01316 stand, cell build, 300 mm dual cell IECP
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 0190-22161 FeedThru, Flex Sleeve, 300 mm, Contact
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0010-02433 Assy, FCW Valve, 300 MM Centura
farmoninc
[view on eBay]
NEW 4
in stock
$125.00
Description: AMAT 0021-39608 Throttle Valve seal, 300 mm SACVD
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0040-23318 Bracket, 300 mm source conncetor
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-04401 CABLE ASSY, STEELHEAD 0, 300 MM XGEN CHAMBER, 399532
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-04401 CABLE ASSY, STEELHEAD 0, 300 MM XGEN CHAMBER
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-76409 CABLE ASSY 300 MM WAFER ON BLADE,CHB, 400080
grandbirdnet
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0040-82292 GIMBAL SUPPORT, 300 MM PROFILER , USED
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0150-05938 Ground, Lamp Head, 300 mm Radiance Cable 402079
farmoninc
[view on eBay]
NEW 5
in stock
$195.00
Description: AMAT 0150-05938 Ground, Lamp Head, 300 mm Radiance Cable 402074
farmoninc
[view on eBay]
NEW 5
in stock
$195.00
Description: AMAT 0150-05938 Ground, Lamp Head, 300 mm Radiance Cable 402080
auctionrus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0150-76401 Cable 300 MM Motion Control Interconnect, Harness, 412829
grandbirdnet
[view on eBay]
NEW 1
in stock
$8,350.00
Description: AMAT 0010-19010 MOTORIZED LIFT, 300 MM ASSEMBLY, NEW
j316gallery
[view on eBay]
Used 3
in stock
$1,580.45
Description: 8965 APPLIED MATERIALS FACEPLATE, APF, 300 MM PRODUCER SE 0020-49785
j316gallery
[view on eBay]
Used 1
in stock
$883.68
Description: 9206 APPLIED MATERIALS PS FLAT PANEL MIRRA 300 MM, 02-01046-01 0190-02820
odysseystudios
[view on eBay]
Used 1
in stock
$3,900.00
Description: Applied Materials 0242-14110 300 mm ESC CHM Controller with 14 day warranty
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,250.00
Description: Applied Materials 0200-00873 Clamp, Upper, Gas Inlet, 300 MM AMAT
roundtable1
[view on eBay]
NEW 5
in stock
$199.00
Description: Applied Materials 0050-75344 Adapter Gas Feed-thru 300 MM P2K
farmoninc
[view on eBay]
Used 1
in stock
$19,000.00
Description: AMAT 0010-37176 ESC Assembly, Chuck, 300 MM , DPS AE Etch Chamber, 326850
giga-hertz
[view on eBay]
Used 1
in stock
$1,500.00
Description: Applied Materials AMAT 0010-32218 0041-00747 0041-00729 300 mm ESC Vacuum Chuck
giga-hertz
[view on eBay]
Used 1
in stock
$1,500.00
Description: Applied Materials 0190-24048 0041-00747 300 mm ESC Vacuum Chuck
roundtable1
[view on eBay]
NEW 1
in stock
$399.00
Description: Applied Materials 0040-03667 BASE WRIST 300 MM REV: 001 New
ntsurplus302
[view on eBay]
Used 1
in stock
$500.00
Description: 5609 Applied Materials 0010-07540 Assy, Water Box, 300 MM SLT ESC
ntsurplus302
[view on eBay]
Used 1
in stock
$500.00
Description: 5610 Applied Materials 0010-07540 Assy, Water Box, 300 MM SLT ESC
capitolareatech
[view on eBay]
Used 1
in stock
$209.95
Description: Applied Materials (AMAT) 0090-76115 ASSY, 300 Mm Wafer Sensor
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,589.68
Description: 0041-79433 / FACEPLATE TEOS HIGH DEP RATE 300 MM PRODUCER SA / AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0050-87996 HOSE ASSY HIGH FREQ. RF GEN SUPPLY PIGTAIL, HART 300 MM, 106134
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0050-87996 HOSE ASSY HIGH FREQ. RF GEN SUPPLY PIGTAIL, HART 300 MM, 106133
roundtable1
[view on eBay]
NEW 1
in stock
$199.99
Description: Applied Materials 0150-78429 Cable Assy Mirra 300 MM LI 1-11938000-27 REV: 201
gteprimo
[view on eBay]
Used 1
in stock
$34,666.20
Description: AMAT Applied 0010-32275 Cathode Base 300 mm HT DPS2 AE Chamber Heated Filter
amat-sparescom
[view on eBay]
NEW 1
in stock
$2,995.00
Description: Applied Materials 0200-01225 Cathode Ring Isolator, 300 MM Producer AMAT
j316gallery
[view on eBay]
Used 2
in stock
$7,999.00
Description: 32051 APPLIED MATERIALS ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM 0010-03151
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,400.82
Description: 0020-02344 / SHIELD, LOWER 300 MM SIP / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0020-10829 NUT PLATE, BELT GUARD, 300 MM REFLEXION, USED
j316gallery
[view on eBay]
Used 2
in stock
$35,000.00
Description: 32540 APPLIED MATERIALS HTR/PURGE RING ASSY SST HTR 300 MM TXZ 0010-02441
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$15,550.00
Description: 0020-01995 /HY-11 MAGNET 300 MM ASSEMBLED WITH 0010-03485 /AMAT
j316gallery
[view on eBay]
Used 1
in stock
$35,000.00
Description: 32706 APPLIED MATERIALS HTR/PURGE RING ASSY SST HTR 300 MM TXZ 0010-02441
j316gallery
[view on eBay]
Used 1
in stock
$35,000.00
Description: 32690 APPLIED MATERIALS HTR/PURGE RING ASSY SST HTR 300 MM TXZ 0010-02441
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0021-21770 SHIM, .75MM(.029")SST 300 MM PVD, 117475
jtechsemi
[view on eBay]
Used 1
in stock
$600.00
Description: Applied Materials 0190-02820 POWER SUPPLY FLAT PANEL MIRRA 300 MM AMAT

This tag has been viewed 2 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
capitolareatech Used - $1,500.00 1 Aug/24/12 Dec/17/12
Description: APPLIED MATERIALS 0190-17416 CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD
capitolareatech NEW - $9,562.50 0 Jan/04/13 Sep/26/14
Description: AMAT 0010-03488 MAGNET, IMP 300 MM PVD; 0010-03488-006
bobsgoodies NEW - $115.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies Used - $135.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
bobsgoodies NEW - $115.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies Used - $135.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
systasemi Used - $150,000.00 0 Feb/22/13 Mar/24/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
bobsgoodies NEW - $115.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
systasemi Used - $150,000.00 0 Mar/25/13 Apr/24/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi Used - $150,000.00 0 Apr/24/13 May/24/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi Used - $150,000.00 0 May/26/13 Jun/25/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
bobsgoodies NEW - $115.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0090-76115 Assy, 300 mm Wafer Sensor
bobsgoodies Used - $135.00 0 Jun/07/13 Jun/29/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
bobsgoodies Used - $135.00 0 Jun/10/13 Jul/09/13
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
systasemi Used - $150,000.00 0 Jun/26/13 Jul/26/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi Used - $150,000.00 0 Jul/29/13 Aug/28/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
bobsgoodies Used - $135.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
ultra_clean_warehouse NEW - $764.94 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-77064 FEEDTHRU SOLID 300 MM GEMINI II - REV 03
systasemi Used - $150,000.00 0 Sep/30/13 Oct/30/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi Used - $150,000.00 0 Nov/03/13 Dec/03/13
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
keykorea Used - $3,800.00 0 Dec/03/13 Jan/14/16
Description: AMAT 0010-03151 ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM, WORKING
farmoninc NEW - $60.00 1 Dec/03/13 Nov/25/16
Description: NEW AMAT 0021-08157 PAD, GAS PANEL DOOR LATCH, 300 MM TPG, 300MM
systasemi Used - $150,000.00 0 Dec/04/13 Jan/03/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
capitolareatech NEW - $70.29 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
systasemi Used - $150,000.00 0 Jan/05/14 Feb/04/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi Used - $150,000.00 0 Feb/05/14 Mar/07/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
farmoninc NEW - $450.00 0 Mar/12/14 Dec/02/15
Description: AMAT 0040-76504 Cooler Weldment, 300 MM DEGAS
systasemi Used - $150,000.00 0 Apr/08/14 May/08/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
systasemi Used - $150,000.00 0 Aug/20/14 Sep/19/14
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
jhkkim7636 Used - $66.00 0 Dec/05/14 Dec/10/14
Description: 0140-03951 HRNS INTRLK CABLE ATM PRES SW 300 MM PRO
grandbirdnet NEW - $850.00 1 Dec/10/14 Jul/05/22
Description: AMAT 0190-14995 SPECIFICATION GRIPPER. CRYO PUMP 300 MM, NEW
jhkkim7636 Used - $66.00 0 Dec/10/14 Jan/04/16
Description: AMAT 0140-03951 HRNS INTRLK CABLE ATM PRES SW 300 MM PRODUCER
systasemi Used - $150,000.00 0 Dec/29/14 Jan/28/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
farmoninc NEW - $150.00 0 Dec/30/14 Mar/02/23
Description: AMAT 0150-76409 CABLE ASSY 300 MM WAFER ON BLADE,CHB
farmoninc NEW - $295.00 0 Jan/02/15 Mar/02/23
Description: AMAT 0190-21640 Radiation Shield/Stand - OFFS 300 MM PVD C
systasemi Used - $150,000.00 0 Jan/28/15 Feb/27/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
dr.fantom NEW - $120.00 0 Feb/12/15 Dec/18/19
Description: Applied Materials / AMAT 0010-17338 HOSE ASSY ESC SLT 300 MM PIB
systasemi Used - $150,000.00 0 Mar/02/15 Apr/01/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
sparesllc09 NEW - $1,000.00 1 Nov/21/14 Feb/26/15
Description: 0020-84596 / 300 MM FACEPLATE SILANE TESTED / APPLIED MATERIALS
farmoninc NEW - $75.00 0 Apr/24/15 Mar/02/23
Description: AMAT 0150-76412 Cable 300 MM Motion Control Interconnect 401431
farmoninc NEW - $75.00 0 Apr/24/15 Apr/25/15
Description: AMAT 0150-76412 Cable 300 MM Motion Control Interconnect
systasemi Used - $150,000.00 0 Jun/07/15 Jun/26/15
Description: AMAT P3I 300 MM CHAMBER, 0010-29011
used1eqsales Used - $754.07 2 Aug/19/15 Dec/02/15
Description: AMAT 9090-01408 Arc Control DSP PCB Revision A Quantum X 300 mm used working
esl-elektronik NEW - $12,999.00 0 Nov/30/15 Jun/27/16
Description: Lam Research ESC 300 mm Cer, Mono, 839-800327-312 New / Original Sealed
esl-elektronik NEW - $1,499.00 0 Dec/03/15 Jun/30/16
Description: Lam Research Assy TCP Coil 300 mm 853-037606-300 New / Originally Packed
russellyd Used - $60.00 0 Jan/04/16 Jan/31/16
Description: 0140-03951 HRNS INTRLK CABLE ATM PRES SW 300 MM PRODUCER
capitolareatech NEW - $1,470.00 0 Apr/12/16 Sep/15/16
Description: AMAT 0010-03488 MAGNET, IMP 300 MM PVD; 0010-03488-006
bobsgoodies Used - $135.00 1 Jun/21/16 Jan/20/17
Description: Applied Materials 0010-13753 Assembly, 300 mm Mainframe Wafer Sensor
esl-elektronik NEW - $12,999.00 1 Jun/28/16 Feb/02/18
Description: Lam Research ESC 300 mm Cer, Mono, 839-800327-312 New / Original Sealed
esl-elektronik NEW - $1,499.00 0 Jun/30/16 Jul/03/19
Description: Lam Research Assy TCP Coil 300 mm 853-037606-300 New / Originally Packed
randmgoods NEW - $49.99 1 Jul/15/16 Nov/23/20
Description: Applied Materials AMAT 0200-05829 Reflector, Primary Center, Blasted 300 mm
kakkisung-6 NEW - $4,999.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0200-03837 INSULATOR INTERNAL 300 MM
capitolareatech NEW - $165.38 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
capitolareatech NEW - $330.20 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-39197 WINDOW, MANOMETER, 300 MM DPS
capitolareatech NEW - $116.49 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-36615 Nozzle, Blank Off, Gas Feed, 300 MM DPS
lagerwerk_gmbh NEW - $201.93 0 Feb/07/17 Feb/08/17
Description: LAM RESEARCH 715-057852-002, Liner, LWR, 300 mm, BEVEL - NEW
yericomfg NEW - $1,100.00 0 Feb/15/17 Aug/08/18
Description: Applied Materials, 0041-36122, Outer Ring, PPS, 20.5 mm Width, 300 mm Titan Edge
kakkisung-6 NEW - $8,999.00 1 Mar/02/17 Apr/20/17
Description: AMAT 0041-27029 Reflector Plate RTP 300 mm #
tgs816 Used - $35.00 0 Aug/17/17 Aug/19/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $35.00 0 Aug/19/17 Aug/19/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $25.00 0 Aug/19/17 Aug/26/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $25.00 0 Aug/27/17 Sep/03/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
tgs816 Used - $30.00 1 Sep/04/17 Dec/12/17
Description: AMAT Applied Materials 0100-00500 300 mm TXZ DLI Distribution Board
lagerwerk_gmbh NEW - $219.59 0 Dec/05/17 Dec/22/17
Description: LAM RESEARCH 715-057852-002, Liner, LWR, 300 mm, BEVEL - NEW
lagerwerk_gmbh Used - $210.90 0 Dec/27/17 Mar/03/22
Description: LAM RESEARCH 715-057852-002, Liner, LWR, 300 mm, BEVEL - NEW
capitolareatech Used - $52.95 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21771 SHIM, .50MM(.019")SST 300 MM PVD
capitolareatech Used - $89.50 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21769 SHIM, 1MM(.039")SST 300 MM PVD
esl-elektronik NEW - $12,999.00 0 Feb/13/18 Jul/03/19
Description: Lam Research ESC 300 mm Cer, Mono, 839-800327-312 New / Original Sealed
capitolareatech NEW - $395.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0021-21770 SHIM, .75MM(.029")SST 300 MM PVD
capitolareatech NEW - $1,470.00 0 Sep/10/18 Nov/01/18
Description: AMAT 0010-03488 MAGNET, IMP 300 MM PVD; 0010-03488-006
prism_electronics7 Used - $646.00 0 Sep/26/18 Aug/12/20
Description: APPLIED MATERIALS 0021-76237 AMAT LIFTER ORIENTER 300 MM ENDUR
athomemarket NEW - $199.99 0 Oct/10/18 Oct/17/18
Description: NEW Applied Materials 0200-06099 Ceramic Deposition Ring 995 w/ Cleancoat 300 mm
athomemarket NEW - $177.04 1 Oct/18/18 May/24/19
Description: NEW Applied Materials 0200-06099 Ceramic Deposition Ring 995 w/ Cleancoat 300 mm
pic_insit Used - $5,000.00 0 Nov/21/18 Dec/21/18
Description: AMAT P/N 0010-12223 Magnet Assyrian, 300 MM PVD Niv, Stock #601
pic_insit Used - $4,900.00 0 Dec/22/18 Jan/21/19
Description: AMAT P/N 0010-12223 Magnet Assy, 300 MM PVD Niv, Stock #601
amte648608 NEW - $60.00 20 Jan/09/19 Jul/21/19
Description: Applied Materials 0200-03314, Lift Pin 170 Fixed Floating 300 mm Producer
pic_insit Used - $4,800.00 0 Jan/25/19 Feb/24/19
Description: AMAT P/N 0010-12223 Magnet Assy, 300 MM PVD Niv, Stock #601
pic_insit Used - $4,300.00 0 Mar/27/19 Jun/27/21
Description: AMAT P/N 0010-12223 Magnet Assy, 300 MM PVD Niv, Stock #601
getspares.com_sparesllc09 Used - $15,550.00 0 Aug/29/19 Apr/06/23
Description: 0020-01995 / HY-11 MAGNET 300 MM ASSEMBLED WITH 0020-28491 / AMAT
cosplity Used - $3,900.00 0 Nov/19/19 Jun/16/20
Description: AMAT 0010-03151 ASSY, ELECTRONIC BOX, BRUSH, 300 MM HVM
lagerwerk_gmbh Used - $199.28 0 Dec/07/19 Mar/13/23
Description: LAM Research 715-057852-002, Liner, Lwr , 300 MM, Biseau - Neuf
dr.dantom Used - $120.00 1 Dec/18/19 Apr/25/24
Description: Applied Materials / AMAT 0010-17338 HOSE ASSY ESC SLT 300 MM PIB
capitolareatech NEW - $2,795.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-36092 Window, 300 MM
capitolareatech NEW - $9.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 0140-05947 HARNESS ASSY 300 MM PRODUCER E PNEUMAT
spsglobal Used - $20.00 0 Mar/05/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0040-07630 BLANK PLATE, BACKSIDE GAS, 300 MM WATER NEW
spsglobal Used - $300.00 0 Mar/23/20 Aug/25/22
Description: 324-0203// AMAT APPLIED 0200-39199 NOZZLE, OUTER, GAS FEED, 300 MM DPS [NEW]
novusferro Used - $1,499.00 0 Jun/22/20 Jul/19/23
Description: Applied Materials 0010-14748 300 MM Temp Control Unit
prism_electronics12 Used - $237.49 0 Aug/12/20 Jul/25/22
Description: APPLIED MATERIALS 0021-76237 AMAT LIFTER ORIENTER 300 MM ENDUR
techequipsales Used - $850.00 0 Sep/10/20 Mar/07/24
Description: LAM 810-800031-400 A System Interlock 300 mm LAM 2300 KIYO3X Process Chamber
xl-t_com Used - $433.00 0 Dec/31/20 Oct/19/21
Description: APPLIED MATERIALS - 0021-21770 - SHIM, .75MM(.029")SST 300 MM PVD
fel7255 Used - $59.00 0 Mar/09/21 Aug/14/21
Description: Applied Materials 0021-13265 racket, Gauge Controller 300 mm
j316gallery Used - $1,500.00 0 Sep/30/21 Feb/11/22
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
j316gallery Used - $4,468.00 1 Mar/07/22 Mar/07/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
j316gallery Used - $4,500.00 0 Apr/29/22 Dec/19/22
Description: 27878 NOVELLUS CASCADE CONTROLS CU, ASSY, BOX, CCHK, 50A, 300 MM 02-288245-00
j316gallery Used - $4,800.00 0 Apr/29/22 Dec/19/22
Description: 27877 NOVELLUS CASCADE CONTROLS CU, ASSY, BOX, CCHK, 50A, 300 MM 02-288245-00
visionsemi Used - $850.00 0 Aug/02/22 Feb/02/23
Description: AMAT 0190-10208 APPLIED MATERIALS 300 mm PCB BOARD ASSY REV 002
j316gallery Used - $250.00 0 Oct/05/22 Jan/04/23
Description: 29939 APPLIED MATERIALS PCB ASSY, HEAD PNEU CTLR BD, UPA PCB, 300 MM 0100-00946
mmabr1999 Used - $2,695.00 0 Oct/09/22 May/03/23
Description: 0190-24854 APPLIED MATERIALS/MKS AMAT REV1.8 G4 PLUS 4 -PORT UPA 300 MM REFL
giftwearonline Used - $23.50 0 Dec/18/22 Dec/18/22
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
onestopbuys Used - $23.50 0 Jan/12/23 Jan/12/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
avoneverywhere Used - $23.50 0 Feb/26/23 Feb/27/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
ledamoiseau Used - $23.50 0 Mar/05/23 Mar/05/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
papyrus10 Used - $23.50 0 Mar/12/23 Mar/13/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
en.avant.les.histoires Used - $6,300.00 0 Mar/25/23 Mar/25/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
astrade2012 Used - $399.00 1 Mar/31/23 Sep/21/23
Description: AMAT APPLIED MATERIALS 0040-50657 BASE WRIST 300 MM ROBOT LCF+NON-PLT
bo19531 Used - $3.74 0 Apr/02/23 Apr/03/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
semitec-69 Used - $2,700.00 0 Apr/06/23 Apr/07/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
semitec-69 Used - $2,430.00 0 Apr/08/23 May/04/23
Description: 26260 APPLIED MATERIALS CALIBRATION TOOL 4 CHANNEL WTM 300 MM HDPCVD 0190-17416
mmabr1999 NEW - $2,500.00 1 May/25/23 Jul/23/24
Description: APPLIED MATERIALS/MKS , 0190- 24854 Plus 4-PORT UPA 300 MM REFL
ssarah712 Used - $23.50 0 May/28/23 May/29/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
generalbonaparte Used - $14.28 0 Jun/04/23 Jun/04/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
outletmp3 Used - $23.50 0 Jun/24/23 Jun/24/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT