[click to login]
WSEMI


TAGS > feed

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
j316gallery
[view on eBay]
Used 1
in stock
$695.94
Description: 2654 APPLIED MATERIALS FEED THRU ASSY, 20212-280 0190-22173
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0021-27877 30056500-466-038, 0021-88686 gas feed assy
farmoninc
[view on eBay]
Used 1
in stock
$2,250.00
Description: AMAT 0021-27877, 3011602-307-003, 0050-88686 gas feed assy, with Extra gas tube
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 0270-02883 BLANK OFF, BLOCK GAS FEED, HDPCVD, NEW
farmoninc
[view on eBay]
NEW 3
in stock
$75.00
Description: NEW AMAT 0021-36699 CLAMP SIDE FEED 1/4 LINE RTP
farmoninc
[view on eBay]
NEW 3
in stock
$300.00
Description: AMAT 0021-10695 Stem, HE Feed
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: 2 AMAT 0020-41318 COVER,GAS FEED W/PORT LINER,CGF
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 5 AMAT 0020-41303 Blankoff, bottom gas feed, etch
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-98322 Tube, Gas Feed #1
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0040-98322 TUBE, GAS FEED #1
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0040-39375 ENCLOSURE, LOWER, BOTTOM FEED, PRODUCER, 400632
grandbirdnet
[view on eBay]
Used 88
in stock
$100.00
Description: AMAT 0200-35296 PLUG GAS FEED DPS , NEW
auctionrus
[view on eBay]
NEW 1
in stock
$525.00
Description: AMAT 0021-38781 Manifold, block, M/W Feed, Lid, 300mm, 407188
auctionrus
[view on eBay]
NEW 90
in stock
$31.50
Description: AMAT 0021-06681 Spacer Elect Feed, Thru Dual Cell ECP, 407996
auctionrus
[view on eBay]
NEW 4
in stock
$275.00
Description: AMAT 0020-34312 Inlet, Gas Feed, P5000, 410002
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0010-01004 Line Gas Feed Assembly, 411328
ecomicron
[view on eBay]
NEW 1
in stock
$700.00
Description: 0242-35278, AMAT, KIT, BOTTOM FEED ODD SLD SINGLE LINE
gigabitpartsolutions
[view on eBay]
NEW 2
in stock
$16.50
Description: OEM Part (LAM) 715-220438-002 SLEEVE, BRACKET, GAS FEED TUBE
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,550.00
Description: Applied Materials 0200-00262 Dome, Center Gas Feed AMAT
j316gallery
[view on eBay]
Used 2
in stock
$191.48
Description: 5266 LAM RESEARCH PCB - SIGNAL FEED THROUGH BOARD 810-017088-002
todd1455
[view on eBay]
NEW 3
in stock
$65.00
Description: Applied Materials 0021-87423 Feed Through Bush Rev: 05 AMAT 422277N1-WB 102757
j316gallery
[view on eBay]
Used 10
in stock
$313.49
Description: 7415 APPLIED MATERIALS GAS FEED ADAPTER DPS METAL 0020-33804 REV A
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0020-88986 Sleeve, Feed Through, 419424
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-01071 Gas Feed Connector, 420587
nissiglobal
[view on eBay]
Used 2
in stock
$64.79
Description: Applied Materials 0200-35814 TUBE GAS FEED TXZ
bigg.logistics101
[view on eBay]
Used 10
in stock
$149.99
Description: APPLIED MATERIALS LOWER CENTER GAS FEED CLAMP DPS2 0104-09123
spsglobal
[view on eBay]
Used 4
in stock
$90.00
Description: 342-0103// AMAT APPLIED 0200-35296 PLUG, INNER, GAS FEED, DPS A3 NEW
spsglobal
[view on eBay]
Used 3
in stock
$30.00
Description: 341-0501// AMAT APPLIED 0020-21863 SHIM,ROTARY FEED THRU .06 THIC USED
j316gallery
[view on eBay]
Used 1
in stock
$566.13
Description: 11771 APPLIED MATERIALS INSERT, GAS FEED, LOWER CHAMBER, POLY, DPS-A 0050-39361
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: 2 AMAT 0020-29348 Cup, Outer Feed Thru Support, 500-0398-74, 450105
amte648608
[view on eBay]
Used 20
in stock
$29.00
Description: Applied Materials 0200-35296, Plug, Inner Gas Feed DPS Poly
amte648608
[view on eBay]
Used 10
in stock
$78.00
Description: Applied Materials 0200-35295, Housing Gas Feed DPS Poly
amte648608
[view on eBay]
Used 20
in stock
$30.00
Description: Applied Materials 0200-35291, Plug, Inner Gas Feed DPS MEC
j316gallery
[view on eBay]
Used 1
in stock
$968.84
Description: 13499 APPLIED MATERIALS GASLINE INSERT, CHBR FEED THRU, 300MM 0050-90653
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0242-07469 Kit, Gas Feed Thru, Common Lid, 451411
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0242-07469 Kit, Gas Feed Thru, Common Lid, 451412
j316gallery
[view on eBay]
Used 1
in stock
$7,980.91
Description: 14373 APPLIED MATERIALS CHAMBER BODY,R2,EDGES GAS FEED 0040-09893
j316gallery
[view on eBay]
Used 7
in stock
$5,576.00
Description: 13962 APPLIED MATERIALS DOME,TOP FEED,300MM HDPCVD ULTIMA PLUS 0200-01347
roundtable1
[view on eBay]
Used 2
in stock
$399.00
Description: Applied Materials 0040-86710 Adpter Disc Optic Dual Gas Side Feed 300MM
techse11
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0200-09450, Tube Gas Feed, OD6.35mm. BWCVD/DCVC
bntyhunter07
[view on eBay]
NEW 1
in stock
$301.19
Description: LAM 839-011520-001 WELDMENT GAS FEED LINE FLEX 1000342540
quange141004
[view on eBay]
NEW 2
in stock
$70.00
Description: LAM 715-330092-002 Cover Nut Bottom Gas Feed
grandbirdnet
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0140-02244 HARNESS BRUSH #2 LDM DIRECT FEED CLEANER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0090-00790 CHEM FLOW SWITCH, LOW FLOW, DIRECT FEED, USED
bornalliancecom
[view on eBay]
Used 3
in stock
$1,995.00
Description: Applied Materials 0040-09115 Gas Feed Thru AMAT CVD
expertsurplus
[view on eBay]
NEW 1
in stock
$600.00
Description: AMAT, 0050-30920, Insert Gas Feed B & C R2 5000, New
expertsurplus
[view on eBay]
NEW 8
in stock
$500.00
Description: AMAT, Insert, Gas Feed, MXP+, 5200 A-D, 0040-31965, New
expertsurplus
[view on eBay]
NEW 3
in stock
$600.00
Description: AMAT Gas Feed Weldment, ELECW/TRODE, 0050-09877, New
spsglobal
[view on eBay]
Used 5
in stock
$1,200.00
Description: 348-0301// AMAT APPLIED 0190-20004 FEED THRU ROTARY ASSY SOURCE BASIC [USED]
expertsurplus
[view on eBay]
NEW 4
in stock
$150.00
Description: AMAT, 0050-31063, Insert, Gas Feed R2 P-5000 A&D, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-33020, Top Feed Even No VLV Connector, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-33055, BTM Feed ODD VLV Lower Connector, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT, 0050-33021, Weldment Top Feed ODC No Valve, New
expertsurplus
[view on eBay]
NEW 17
in stock
$150.00
Description: AMAT, 0050-26778, Gasline, Top Vent Feed, Line 3, New
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0160-00018 Thermo Couple, Feed Thru, High K CVD, 417651
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0160-00018 Thermo Couple, Feed Thru, High K CVD, 453022
j316gallery
[view on eBay]
Used 1
in stock
$510.27
Description: 19680 APPLIED MATERIALS FEED THRU INSUL ADJ AR MIX W/ BRKT 0020-23441 0050-24802
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0200-00974 Gas Inlet, Center Gas Feed, 300mm DPS, 327771
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$400.99
Description: 0010-01004 / LINE GAS FEED ASSY / APPLIED MATERIALS AMAT
capitolareatech
[view on eBay]
Used 5
in stock
$85.95
Description: Applied Materials (AMAT) 0050-18587 GAS, TOP FEED, WELDMENT
capitolareatech
[view on eBay]
NEW 56
in stock
$275.95
Description: Applied Materials (AMAT) 0015-65138 BULKHEAD FEED THRU
capitolareatech
[view on eBay]
NEW 9
in stock
$52.95
Description: AMAT 0020-34562 BRKT, GAS FEED, SGD, MxP
capitolareatech
[view on eBay]
Used 1
in stock
$310.95
Description: Applied Materials (AMAT) 0020-33096 COVER,GAS FEED,R2 CHAMBER
capitolareatech
[view on eBay]
Used 2
in stock
$88.95
Description: Applied Materials (AMAT) 0020-21863 SHIM, ROTARY FEED THRU .06 THICK
capitolareatech
[view on eBay]
Used 1
in stock
$386.95
Description: Applied Materials (AMAT) 0090-09016 FEED THRU ASSY,CAP SENSOR
spsglobal
[view on eBay]
Used 4
in stock
$900.00
Description: 176-0503// AMAT APPLIED 0050-32141 INSERT GAS FEED SGD B & C R2 5000 [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$1,080.00
Description: 176-0503// AMAT APPLIED 0050-30920 INSERT GAS FEED B & C R2 5000, FLEXABLE [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$1,280.00
Description: 176-0402// AMAT APPLIED 0050-30762 FEED,GAS BY PASS,INLET CENTER [NEW]
capitolareatech
[view on eBay]
Used 1
in stock
$318.95
Description: Lam Research (LAM) 713-031390-001 RING, UPR GAS DUAL FEED 200MM
capitolareatech
[view on eBay]
NEW 1
in stock
$33.95
Description: LAM RESEARCH (LAM) 713-073096-001 SLEEVE, INSULTR-PWR FEED
capitolareatech
[view on eBay]
Used 1
in stock
$1,181.95
Description: LAM RESEARCH (LAM) 715-011441-001 Ring, Gas Feed 9 "
capitolareatech
[view on eBay]
NEW 1
in stock
$212.95
Description: LAM 715-220438-002 LAM Sleeve, Bracket, Gas Feed Tube
j316gallery
[view on eBay]
NEW 1
in stock
$669.49
Description: 20611 APPLIED MATERIALS FEED THROUGH ASSEMBLY, 16CM (NEW) 0048-20048
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$991.30
Description: 0020-33810 / COVER, GAS FEED ASSY, DSP A3 / APPLIED MATERIALS AMAT
expertsurplus
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT, Weldment, Gas Feed, 1.82L, RPSC, 0050-01082, Used
expertsurplus
[view on eBay]
Used 2
in stock
$75.00
Description: AMAT, UNION, GAS, FEED, MXP+,5200 A-D, 0040-31966, New
expertsurplus
[view on eBay]
Used 19
in stock
$125.00
Description: AMAT, LINE,SPOOL,SINGLE FEED,RTP, 0050-39399, New
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, GASLINE SLD EVEN MNL VLV EXT BOT FEED, 0050-41039, New
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,338.00
Description: 0010-07261 / ASSY, WIRE FEED, 300MM IBC, IECP / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,083.00
Description: 0040-33258 / INSERT, CH FEED THRU, SHORT, 300MM TICL4 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$280.68
Description: 0050-88507 / GAS LINE CH FEED THRU OUTER TO 3-WAY VALVE DPS / APPLIED MATERIALS
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$420.68
Description: 0050-88506 GAS LINE CH FEED THRU INNER TO 3-WAY VALVE DPS / APPLIED MATERIALS
visionsemi
[view on eBay]
Used 2
in stock
$175.00
Description: APPLIED MATERIALS AMAT BLANK OFF GAS FEED THROUGH PRODUCER 0020-98339 NEW
j316gallery
[view on eBay]
NEW 2
in stock
$1,934.42
Description: 24197 APPLIED MATERIALS GAS FEED THRU TUBE (NEW) 0040-09920
capitolareatech
[view on eBay]
Used 11
in stock
$419.95
Description: APPLIED MATERIALS (AMAT) 0020-33808 ADAPTER, GAS FEED ASSY, A3 DPS
capitolareatech
[view on eBay]
NEW 7
in stock
$399.95
Description: APPLIED MATERIALS (AMAT) 0020-33810 COVER, GAS FEED ASSY, DSP A3
farmoninc
[view on eBay]
NEW 1
in stock
$20.00
Description: AMAT 3700-01120 O-Ring, Load Vent Feed, 101819
capitolareatech
[view on eBay]
NEW 23
in stock
$89.95
Description: Applied Materials AMAT 0200-35290 HOUSING GAS FEED DPS MEC
capitolareatech
[view on eBay]
Used 7
in stock
$89.95
Description: Applied Materials (AMAT) 0200-35296 PLUG, INNER, GAS FEED, DPS A3
roundtable1
[view on eBay]
NEW 1
in stock
$199.99
Description: applied materials 0050-33055 (Lot of 2) BTM FEED ODD VLV LOWER CONN
capitolareatech
[view on eBay]
Used 1
in stock
$7,995.95
Description: Applied Materials (AMAT) 0040-09893 CHAMBER BODY,R2,EDGES GAS FEED
capitolareatech
[view on eBay]
Used 8
in stock
$629.95
Description: APPLIED MATERIALS (AMAT) 0020-33805 COVER, GAS FEED, DPS MEC
capitolareatech
[view on eBay]
Used 8
in stock
$699.95
Description: Applied Materials (AMAT) 0020-33804 ADAPTER, GAS FEED, DPS MEC
katiil3
[view on eBay]
Used 1
in stock
$83.30
Description: Applied materials 0050-46868 Gas Line O2 Top Feed AL Ultima HDPCVD
roundtable1
[view on eBay]
NEW 1
in stock
$299.99
Description: Applied Materials 0040-07101 Weldment, Short Feed Thru Gas WC 200mm
usedeqsales
[view on eBay]
Used 1
in stock
$1,002.22
Description: AMAT Applied Materials 0020-10200 CVG Single Feed Silane plug Lot of 6 New Spare
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-29280 RETURN FLOW SWITCH ASSY, COOLED GAS FEED BLOCK, 104169
j316gallery
[view on eBay]
Used 5
in stock
$4,244.60
Description: 28242 APPLIED MATERIALS HUB, CENTER, DUAL GAS FEED SIDE 3, 12" 0200-08585
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-29280 RETURN FLOW SWITCH ASSY, COOLED GAS FEED BLOCK, 108112
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-29280 RETURN FLOW SWITCH ASSY, COOLED GAS FEED BLOCK, 108111
grandbirdnet
[view on eBay]
NEW 2
in stock
$500.00
Description: AMAT 0050-88506 GAS LINE CH FEED THRU INNER TO 3-WAY VALVE DPS, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$500.00
Description: AMAT 0050-88507 GAS LINE CH FEED THRU OUTER TO 3-WAY VALVE DPS, NEW
albsemicon
[view on eBay]
NEW 1
in stock
$799.99
Description: LAM RESEARCH 713-025164-004 REV. A RING, PTN,GAS FEED, DUAL ZONE NEW IN BAG
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: Applied Materials (AMAT) 0040-09832 Feed Through, 111021
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: Applied Materials (AMAT) 0040-09832 Feed Through, 111022
sgcequipment
[view on eBay]
Used 1
in stock
$500.00
Description: Applied Materials/AMAT 0020-33804 Gas Feed Adapter
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: APPLIED MATERIALS 0242-35277 KIT, BOTTOM FEED EVEN SLD SINLE LINE, 111923
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$279.56
Description: 0041-30286 / MANIFOLD BASE PLATE FEED THROUGH REFLE / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 120
in stock
$81.00
Description: AMAT 0021-22576 CLAMP FEED THRU COIL SUPPORT ELECTRA, 112351
amat-sparescom
[view on eBay]
Used 1
in stock
$995.00
Description: Applied Materials 0050-32141 INSERT GAS FEED SGD B & C R2 5000 AMAT ETCH
roundtable1
[view on eBay]
NEW 1
in stock
$299.99
Description: Applied Materials 0040-96600 Clamp Upper Dual AL203 Gas Side Feed 300MM DPS
j316gallery
[view on eBay]
Used 1
in stock
$16,900.80
Description: 16201 APPLIED MATERIALS CHAMBER BODY, ETCH, OXIDE, SIDE GAS FEED 0040-31942
amat-sparescom
[view on eBay]
Used 1
in stock
$1,995.00
Description: Applied Materials 0040-32001 Entended Tube, Teos Feed Thru, Gas DXZ AMAT
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: Applied Materials (AMAT) 0040-09832 Feed Through, 114639
eisale1535
[view on eBay]
NEW 1
in stock
$189.00
Description: 01 AMAT 0200-09450 Tube Gas Feed OD6 35mm BWCVD/DCVD
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 0020-09604 GAS FEED THROUGH TEFLON, 402576
part-supplier
[view on eBay]
Used 3
in stock
$459.95
Description: Applied Materials INSERT, GAS FEED, LOWER CHAMBER, POLY, DPS-A / 0050-39361
grandbirdnet
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0040-20292 SHORT FEED, LAMP LOT OF 2 , NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,650.82
Description: 0200-06405 / LID AG1000 DUAL GAS FEED 300MM DPS 2/ AMAT
farmoninc
[view on eBay]
Used 3
in stock
$430.00
Description: AMAT 0010-01004 Line Gas Feed Assembly REV E, 104690
part-supplier
[view on eBay]
Used 2
in stock
$271.10
Description: LAM Research RING,GAS FEED,DUAL ZONE / 713-080706-104
part-soulution
[view on eBay]
Used 2
in stock
$978.99
Description: Lam Research Gas Feed Line 839-031197-004 (As-Is)
farmoninc
[view on eBay]
NEW 1
in stock
$190.00
Description: 2 AMAT 0021-22576 CLAMP FEED THRU COIL SUPPORT ELECTRA, 118361
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0200-00780 Ceramic Guide, Insert, Feed Thru, 0200-00780-001, 118442
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0040-05464 BUS BAR SIDE PANEL FEED, 118598
farmoninc
[view on eBay]
Used 1
in stock
$125.00
Description: 2 AMAT 0045-00091 FEED ROD SET, 118655
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116302
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116301
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116300
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116299
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0040-13226 OBS-BUS BAR, MAIN PANEL FEED, PH B, CENTURA, 208V, 116298
farmoninc
[view on eBay]
NEW 5
in stock
$150.00
Description: AMAT 0020-21863 SHIM,ROTARY FEED THRU .06 THICK, 120230
olemanjohnson
[view on eBay]
NEW 1
in stock
$3,900.00
Description: 28242 APPLIED MATERIALS HUB, CENTER, DUAL GAS FEED SIDE 3, 12" 0200-08585
csi.usa
[view on eBay]
NEW 1
in stock
$475.00
Description: APPLIED MATERIALS 0190-26369 NPMEX HOSE ASSY. GAS FEED 3/8TS 20L 300MM

This tag has been viewed 12 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
acesemi2010 NEW - $360.00 1 Jul/04/12 Dec/18/12
Description: Applied Materials 0050-21983 FEED THRU ADAPTER AMAT
bobsgoodies NEW - $7,750.00 0 Jan/02/13 Feb/01/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
capitolareatech NEW - $93.75 1 Jan/04/13 May/30/14
Description: LAM 839-007173-001 WLDMNT, GAS FEED LINE, FLEX
capitolareatech NEW - $676.35 1 Jan/13/12 Jan/07/13
Description: AMAT 0040-32200 FEED,CATHODE SUPPLY,DPS
bobsgoodies NEW - $145.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0050-18657 Weldment, Gas Feed, RPSC, Ultima
capitolareatech NEW - $1.35 2 Sep/30/11 Jan/20/13
Description: LAM 714-140242-001 STANDOFF GAS FEED 2
40-30sourcing NEW - $229.00 1 Apr/11/11 Jan/29/13
Description: 0720-02672 - Conn 6 Cond Rotating Feed Through 630
semiconusa Used - $950.00 0 Jan/31/13 Jun/10/16
Description: GASLINE INSERT LONG CHBR FEED THRU HT T AMAT
bobsgoodies NEW - $7,750.00 0 Feb/01/13 Mar/03/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
capitolareatech NEW - $104.00 0 Feb/08/13 Jun/08/13
Description: APPLIED MATERIALS 0050-39399 LINE,SPOOL,SINGLE FEED,RTP
capitolareatech NEW - $90.00 1 Feb/14/13 Mar/14/13
Description: AMAT 0200-35295 HOUSING, GAS FEED, DPS A3 CHAMBER
smtechkoreacom NEW - $478.00 0 Feb/28/13 Sep/26/13
Description: Applied Materials 0050-30928 INSERT GAS FEED SGD B&D R2 5000, FLEXIBL AMAT
bobsgoodies NEW - $7,750.00 0 Mar/04/13 Mar/18/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
bobsgoodies NEW - $7,750.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
capitolareatech NEW - $15.00 0 Mar/19/13 Sep/15/13
Description: LAM 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS.
capitolareatech NEW - $25.00 0 Mar/19/13 Sep/15/13
Description: LAM 715-031458-002 BRACKET GAS FEED TUBE FOR NEW DIS FILTER
bonezwear NEW - $225.00 0 Mar/30/13 Apr/18/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
bobsgoodies NEW - $7,750.00 0 Apr/17/13 May/17/13
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
bonezwear NEW - $225.00 0 Apr/18/13 May/18/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
capitolareatech NEW - $900.00 0 May/08/13 Sep/05/13
Description: AMAT 0050-09877 GAS FEED WELDMENT W/ELECTRODE PRSP
bonezwear NEW - $225.00 0 May/23/13 May/31/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
bonezwear NEW - $195.00 0 May/31/13 Jun/30/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
capitolareatech NEW - $168.00 1 Jun/19/13 Nov/05/13
Description: LAM 839-014757-057 WELDMT GAS FEED LINE FLEX 57"
bonezwear NEW - $195.00 0 Jun/30/13 Jul/12/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
wedone NEW - $365.00 0 Jul/05/13 Aug/04/13
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP
bonezwear NEW - $175.00 0 Jul/12/13 Jul/31/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
capitolareatech NEW - $70.13 0 Jul/19/13 Sep/12/14
Description: AMAT 0040-39558 LHF GASLINE INSERT, SHORT CHBR FEED THRU HT
farmoninc NEW - $50.00 0 Jul/26/13 Apr/14/14
Description: 3 new AMAT 0020-12752 nut, thumb, block, clean, gas feed
bonezwear NEW - $175.00 1 Jul/31/13 Aug/08/13
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line
wedone NEW - $265.00 0 Aug/05/13 Sep/04/13
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP
capitolareatech Refurbished - $31.87 0 Aug/14/13 Oct/08/14
Description: AMAT 0020-47364 FEED THRU BULKHEAD, WATER COOLED FACEPLA
hot!cardz NEW - $6,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
gesemiconductor NEW - $400.00 2 Aug/16/13 Apr/14/15
Description: New Applied Materials 0020-33808 Adapter Gas Feed DPS+ Poly
gesemiconductor Used - $420.00 1 Aug/27/13 May/12/14
Description: Applied Materials 0020-33804 Gas Feed Adapter DPS Metal
hot!cardz NEW - $6,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $6,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
farmoninc NEW - $1,500.00 1 Sep/09/13 Dec/29/14
Description: AMAT 0021-23644-001 30056500-173, 0021-88686 gas feed assy AGN IEP 300MM
farmoninc NEW - $1,500.00 0 Sep/09/13 May/22/23
Description: AMAT 0021-16355-003 11858400, 0021-88686 gas feed assy AGN IEP 300MM
hot!cardz NEW - $6,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $6,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
capitolareatech NEW - $93.48 0 Oct/11/13 Jul/01/14
Description: LAM 713-011517-001 713-011517-001 STANDOFF GAS FEED
wedone NEW - $265.00 0 Oct/17/13 Nov/07/13
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP
sparepartssolution Used - $330.00 1 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0050-39361 INSERT,GAS FEED,LOWER CHAMBER,POLY,DPS-A USED
bobsgoodies NEW - $175.00 0 Nov/01/13 Jun/17/14
Description: Applied Materials AMAT 0100-00366 Feed Through Board
sparepartssolution Used - $350.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0040-20055 FEED THRU ADJ AR USED
hot!cardz NEW - $6,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
capitolareatech NEW - $70.29 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
capitolareatech NEW - $22.59 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0020-01002 Housing, Gas Feed Line
wedone NEW - $265.00 0 Dec/22/13 Jan/21/14
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP
wedone NEW - $265.00 0 Jan/30/14 Mar/01/14
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP
tcchie NEW - $599.99 0 Mar/11/14 Mar/16/14
Description: applied materials 0020-34482 insulator feed
tcchie NEW - $599.99 0 Mar/16/14 Mar/21/14
Description: applied materials 0020-34482 insulator feed
tcchie NEW - $599.99 0 Mar/26/14 Mar/31/14
Description: applied materials 0020-34482 insulator feed
wedone NEW - $185.00 0 Apr/01/14 May/01/14
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP
keykorea Used - $9,000.00 0 Apr/30/14 Apr/11/18
Description: AMAT 0010-07903 LDM ASSY BRUSH DIRECT FEED 300MM , USED
tcchie NEW - $599.99 0 May/18/14 May/23/14
Description: applied materials 0020-34482 insulator feed
keykorea Used - $20,000.00 0 May/26/14 Sep/03/15
Description: AMAT 0010-17445 & 0010-14606 DIRECT FEED BRUSH LDM FOR 300MM DESICA , USED
tcchie NEW - $599.99 0 May/29/14 Jun/03/14
Description: applied materials 0020-34482 insulator feed
fablogic NEW - $950.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0200-00262 Dome, Center Gas Feed (NEW) AMAT
bobsgoodies NEW - $87.50 1 Aug/27/14 Dec/28/18
Description: Applied Materials AMAT 0100-00366 Feed Through Board
catalystparts NEW - $175.00 2 Jun/30/14 Dec/01/14
Description: applied materials, Glass, Tube Gas feed. 0200-09450 Rev. 002
onlinesmt Refurbished - $35.00 0 Dec/17/14 Jan/16/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
capitolareatech NEW - $14.40 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0021-00151 BRACKET, SIMPLE CATHODE HE FEED GASLINE
capitolareatech NEW - $40.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-00152 BRACE, SIMPLE CATHODE HE FEED GASLINE
capitolareatech NEW - $68.08 1 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09115 FEED THROUGH
capitolareatech NEW - $20.00 0 Dec/26/14 Jul/24/15
Description: Applied Materials (AMAT) 0140-40203 Harness, Feed Thru Assembly, RF Ground
capitolareatech Refurbished - $187.50 0 Dec/27/14 Feb/24/16
Description: LAM RESEARCH (LAM) 713-031390-001 RING, UPR GAS DUAL FEED 200MM
capitolareatech NEW - $12.75 0 Dec/27/14 Dec/01/15
Description: LAM RESEARCH (LAM) 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS.
capitolareatech Used - $63.75 0 Dec/28/14 Dec/23/15
Description: LAM RESEARCH (LAM) 839-014757-057 WELDMT GAS FEED LINE FLEX 57"
capitolareatech NEW - $48.45 1 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0200-35296-003 PLUG INNER GAS FEED DPS A3
bornalliancecom NEW - $950.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0200-00262 Dome, Center Gas Feed (NEW) AMAT
onlinesmt Refurbished - $35.00 0 Jan/17/15 Feb/16/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
farmoninc NEW - $400.00 0 Feb/03/15 Mar/02/23
Description: AMAT 0040-39375 ENCLOSURE, LOWER, BOTTOM FEED, PRODUCER, 400631
farmoninc NEW - $400.00 0 Feb/03/15 Mar/02/23
Description: AMAT 0040-39375 ENCLOSURE, LOWER, BOTTOM FEED, PRODUCER
onlinesmt Refurbished - $35.00 0 Feb/17/15 Mar/19/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
jhopkinssnnf NEW - $4,500.00 0 Feb/17/15 Feb/23/15
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED
prism_electronics11 Used - $69.95 0 Feb/18/15 Feb/23/15
Description: Lam Research 713-039874-003 INSUL FR STRAP RF FEED WIDE
prism_electronics11 Used - $69.95 0 Feb/18/15 Feb/23/15
Description: Lam Research 713-039875-004 INSUL FR STRAP FR FEED WIDE
gesemiconductor Used - $350.00 8 Aug/15/12 Mar/02/15
Description: Applied Materials 0020-33808 Adapter Gas Feed DPS+ Poly
gesemiconductor NEW - $85.00 0 Mar/10/15 Apr/01/15
Description: Applied Materials 0200-09450 Tube Gas Feed OD 6.35mm BWCVD/DCVD Quartz
bosch_shx NEW - $69.99 0 Mar/11/15 Sep/26/17
Description: NEW LAM RESEARCH 714-034328-001 STRAP WIDE RF FEED KIYO TCP
supertechshop NEW - $199.00 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
onlinesmt Refurbished - $21.70 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
prism_electronics8 NEW - $199.95 1 Apr/07/15 Feb/10/17
Description: Lam Research 715-350036-001 Ring Gas Feed
catalystparts Used - $125.00 0 Apr/13/15 May/26/17
Description: Applied Materials 0040-79321 Adapter Block SWLLA-FI Alignment w/Gas Feed
svcompucycle NEW - $199.00 0 Apr/17/15 May/17/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
onlinesmt Refurbished - $21.70 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
bobsgoodies2 Used - $1,950.00 0 May/11/15 Mar/31/22
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD
svcompucycle NEW - $199.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
value-pack NEW - $550.00 0 May/19/15 Oct/16/15
Description: AMAT Gas Feed Thru Tube 0040-75457
value-pack NEW - $450.00 0 May/19/15 Nov/15/15
Description: AMAT Manifold, Teflon Feed Thru, Lid, CH PRDC 0021-06499
onlinesmt Refurbished - $21.70 0 May/21/15 Jun/20/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
gigabitpartsolutions NEW - $27.50 0 Jun/15/15 Jun/09/16
Description: OEM Part Applied Materails (AMAT) 0200-36428 TUBE, CERAMIC GAS FEED, MW CLEAN
svcompucycle NEW - $199.00 0 Jun/18/15 Jul/18/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
onlinesmt Refurbished - $21.70 0 Jun/23/15 Jul/23/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
usedeqsales Used - $157.15 0 Jul/17/15 Apr/02/23
Description: AMAT Applied Materials 0040-44814 Lamp Feed Through Cap Lot of 16 New
onlinesmt Refurbished - $21.70 0 Jul/24/15 Aug/23/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
svcompucycle NEW - $199.00 0 Aug/03/15 Sep/02/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
powersell007 NEW - $99.00 14 Aug/20/15 Apr/04/18
Description: NEW APPLIED MATERIALS 0200-35291 PLUG, INNER, GAS FEED ASSY, DPS A1 CERAMIC AMAT
onlinesmt Refurbished - $21.70 0 Aug/24/15 Sep/23/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
svcompucycle NEW - $199.00 0 Sep/02/15 Oct/02/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
gigabitpartsolutions NEW - $16.50 0 Sep/15/15 Jun/11/16
Description: OEM Part LAM RESEARCH (LAM) 715-220438-002 SLEEVE, BRACKET, GAS FEED TUBE
gigabitpartsolutions NEW - $28.60 0 Sep/17/15 Jun/13/16
Description: OEM Part Applied Materails (AMAT) 0270-02883 BLANK OFF, BLOCK GAS FEED, HDPCVD
catalystparts NEW - $350.00 0 Sep/21/15 Aug/17/16
Description: Applied Materials 0010-01004 Gas Line Feed Assembly
onlinesmt Refurbished - $21.70 0 Sep/24/15 Oct/24/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
svcompucycle NEW - $199.00 0 Oct/02/15 Nov/01/15
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
gosemicat NEW - $250.00 0 Oct/06/15 Nov/05/15
Description: AMAT 0020-18385 Block, Daul Gas Feed HDPCVD
onlinesmt Refurbished - $21.70 0 Oct/26/15 Nov/25/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
gosemicat NEW - $250.00 0 Nov/10/15 Mar/28/16
Description: AMAT 0020-18385 Block, Daul Gas Feed HDPCVD
esl-elektronik Used - $149.00 0 Nov/26/15 Jul/03/19
Description: Lam Research Assy Injector Top Gas Feed 853-003793-004
supertechshop NEW - $99.00 0 Dec/24/15 Jan/23/16
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
tjtechseller Used - $150.00 0 Jan/14/16 Jan/24/16
Description: 0040-09115 Applied Material AMAT Centura P5000 CVD Feed Through
dqtren NEW - $1,200.00 0 Jan/18/16 Feb/17/16
Description: 0041-06736, NEW, AMAT 300MM TOP GAS FEED ASSY
capitolareatech NEW - $197.64 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-90339 Fitting Feed Through 19 Pin
capitolareatech NEW - $158.18 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-49268 RF Bias Feed, Axiom
capitolareatech NEW - $76.29 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39557 GASLINE INSERT, LONG CHBR FEED THRU HT T
capitolareatech NEW - $56.06 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10695 STEM, HE FEED
capitolareatech NEW - $40.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-00152 BRACE, SIMPLE CATHODE HE FEED GASLINE
supertechshop NEW - $99.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
gosemicat NEW - $675.00 0 Feb/02/16 Sep/30/19
Description: 0050-31102 Applied Materials Etch, HE Feed Thru Line, Long
tjtechseller Used - $150.00 4 Feb/03/16 Feb/27/17
Description: 0040-09115 Applied Material AMAT Centura P5000 CVD Feed Through
ssssayag NEW - $95.00 0 Feb/21/16 Mar/22/16
Description: Applied Materials AMAT 0021-40918 insulator gas feed
ecomicron NEW - $300.00 0 Feb/24/16 Jul/18/17
Description: 0200-35290, 0200-35291, AMAT, HOUSING & PLUG GAS FEED, DPS MEC
capitolareatech NEW - $95.35 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-40620 GROUND FEED RF, HDP ETCH CHAMBER
capitolareatech NEW - $30.20 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-34562 BRKT, GAS FEED, SGD, MxP
capitolareatech NEW - $130.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-80784 Baking Plate, Chill Plate Feed Thru, 300
capitolareatech NEW - $158.18 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-49268 RF Bias Feed, Axiom
capitolareatech NEW - $88.40 0 Feb/24/16 Jun/24/16
Description: APPLIED MATERIALS 0050-39399 Line ,Spool ,Single Feed, RTP
capitolareatech NEW - $197.64 0 Feb/25/16 Jun/24/16
Description: AMAT 3300-90339 Fitting Feed Through 19 Pin
supertechshop NEW - $99.00 0 Feb/25/16 Mar/26/16
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
capitolareatech NEW - $721.77 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-30924 INSERT GAS FEED A & D R2 5000, FLEXABLE
capitolareatech Refurbished - $187.50 0 Feb/29/16 Jun/28/16
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM
dqtren NEW - $1,200.00 0 Mar/18/16 Apr/17/16
Description: 0041-06736, NEW, AMAT 300MM TOP GAS FEED ASSY
ssssayag NEW - $75.00 0 Mar/22/16 Apr/21/16
Description: Applied Materials AMAT 0021-40918 insulator gas feed
supertechshop NEW - $99.00 0 Mar/26/16 Apr/25/16
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
ecomicron NEW - $600.00 0 Apr/04/16 May/05/16
Description: 0040-34339 (or 0040-86016), AMAT, CENTER GAS FEED BLOCK TOP CLEAN ULTIMA 1
capitolareatech NEW - $21.25 0 Apr/06/16 Jul/05/16
Description: LAM 715-031458-002 BRACKET GAS FEED TUBE FOR NEW DIS FILTER
gosemicat NEW - $235.00 1 Apr/06/16 May/23/19
Description: 0020-34033 AMAT Etch, He Feed Thru Block
capitolareatech NEW - $13.86 0 Apr/21/16 Sep/15/16
Description: LAM 715-330406-001 RETAINING CLAMP GAS FEED
capitolareatech NEW - $12.75 0 Apr/21/16 Sep/15/16
Description: LAM 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS.
ssssayag NEW - $50.00 0 Apr/21/16 May/21/16
Description: Applied Materials AMAT 0021-40918 insulator gas feed
supertechshop NEW - $99.00 0 Apr/25/16 May/19/16
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials
sammy_etek NEW - $27,950.00 0 May/14/16 Oct/02/17
Description: 0040-31942, Applied Materials, CHAMBER BODY, ETCH, OXIDE, SIDE GAS FEED
jinhyucle_0 NEW - $3,200.00 0 May/19/16 Nov/18/16
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED, BRNAD NEW ORIGINAL PACK
ecomicron NEW - $600.00 0 Jun/08/16 Oct/26/16
Description: 0090-09016, AMAT, FEED THRU ASSY,CAP SENSOR
gigabitpartsolutions NEW - $27.50 0 Jun/10/16 Jun/05/17
Description: OEM Part Applied Materails (AMAT) 0200-36428 TUBE, CERAMIC GAS FEED, MW CLEAN
gigabitpartsolutions NEW - $28.60 0 Jun/14/16 May/25/19
Description: OEM Part Applied Materails (AMAT) 0270-02883 BLANK OFF, BLOCK GAS FEED, HDPCVD
capitolareatech NEW - $28.36 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-95375 INSULATOR FEED THROUGH
capitolareatech NEW - $125.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-85417 Manifold, Pump Feed
capitolareatech NEW - $130.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-80784 Baking Plate, Chill Plate Feed Thru, 300
capitolareatech NEW - $158.18 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-49268 RF Bias Feed, Axiom
capitolareatech NEW - $233.75 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-39558 GASLINE INSERT, SHORT CHBR FEED THRU HT
capitolareatech NEW - $76.29 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-39557 GASLINE INSERT, LONG CHBR FEED THRU HT T
capitolareatech NEW - $88.40 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIALS 0050-39399 Line ,Spool ,Single Feed, RTP
capitolareatech NEW - $21.25 0 Jul/09/16 Sep/15/16
Description: LAM 715-031458-002 BRACKET GAS FEED TUBE FOR NEW DIS FILTER
capitolareatech Refurbished - $187.50 0 Jul/09/16 Sep/15/16
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM
ecomicron NEW - $800.00 0 Jul/21/16 Oct/02/18
Description: 0140-18154, AMAT, HARNESS ASSY, POWER FEED TO SECOND SERIP
surplusseller13 NEW - $56.45 0 Aug/03/16 Dec/06/17
Description: LAM Research 839-011520-001 Weldment Flex Gas Feed Line New
j316gallery NEW - $100.20 0 Aug/12/16 Sep/10/21
Description: 5628 APPLIED MATERIALS TUBE GAS FEED (NEW) 0200-09450
gti-semi Used - $60.00 0 Aug/19/16 Nov/26/19
Description: Applied Materials, AMAT, STUD BLOCK CLEAN GAS FEED, Set of 2, p/n 0020-12753
amte648608 NEW - $70.00 0 Sep/10/16 Oct/10/16
Description: AMAT Housing, Gas Feed, Nozzle DPS, 0200-35295
amte648608 NEW - $70.00 0 Sep/10/16 Oct/10/16
Description: AMAT Housing, Gas Feed, Nozzle DPS MEC, 0200-10203
capitolareatech NEW - $197.64 0 Sep/20/16 Nov/19/16
Description: AMAT 3300-90339 Fitting Feed Through 19 Pin
capitolareatech Refurbished - $187.50 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM
amte648608 NEW - $70.00 0 Oct/10/16 Nov/09/16
Description: AMAT Housing, Gas Feed, Nozzle DPS MEC, 0200-35290
yericomfg NEW - $200.00 0 Oct/12/16 Aug/08/18
Description: Applied Materials; 0240-15986, Kit, Facility Interface, Horizontal Feed
farmoninc NEW - $300.00 0 Oct/13/16 Mar/16/23
Description: AMAT 0021-13295 Rev.001, Bottom Feed SLD. 417207
catalystparts NEW - $350.00 0 Oct/19/16 Jul/06/18
Description: Applied Materials 0010-01004 Gas Line Feed Assy
pohyh Used - $500.00 0 Oct/21/16 Aug/09/18
Description: 7352 APPLIED MATERIAL ADAPTER GAS FEED DPS+POLY 0020-33808 A
spsglobal Used - $1,700.00 1 Oct/24/16 Apr/06/17
Description: AMAT APPLIED MATERIALS 0190-20004 FEED THRU ROTARY ASSY SOURCE BASIC USED
spsglobal Used - $200.00 1 Oct/24/16 Mar/16/23
Description: 176-0401// AMAT APPLIED 0050-39361 INSERT,GAS FEED,LOWER CHAMBER,POLY,DPS-A USED
f2d-express NEW - $150.00 1 Oct/25/16 Feb/07/21
Description: Applied Materials AMAT Tube, Gas Feed, OD 6.35mm BWCVD -- 0200-09450 -- New
farmoninc NEW - $250.00 0 Oct/26/16 Jul/08/20
Description: AMAT 0160-00018 Thermo Couple, Feed Thru, High K CVD, 417651
farmoninc NEW - $1,250.00 1 Nov/09/16 Nov/25/17
Description: AMAT 0190-21372, TC Wafer 8" JMF Bare SI FFlat Cable Feed, Sensor Array. 418322
amte648608 NEW - $70.00 0 Nov/09/16 Dec/09/16
Description: AMAT Housing, Gas Feed, Nozzle DPS MEC, 0200-35290
pohyh Used - $750.00 0 Nov/23/16 Aug/09/18
Description: 7396 APPLIED MATERIALS COVER,GAS FEED ASSY,DSP A3 0020-33810 REV.A
pohyh NEW - $150.00 1 Nov/30/16 Jan/24/17
Description: 4707 APPLIED MATERIAL KIT (GAS FEED, FILTER/3-WAY VALVE, FUJIKIN) (NE 0240-37536
allforsale555 NEW - $345.00 0 Dec/01/16 Mar/03/20
Description: APPLIED MATERIALS 0040-82245 REV.2 GAS FEED BLOCK
vizko2017 Used - $170.00 1 Dec/17/16 Dec/12/17
Description: APPLIED MATERIALS FEED THROUGH BOARD BIASABLE ELECTRODES 300mm 0100-01025 REV004
capitolareatech NEW - $90.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-54080 FEED TUBE
capitolareatech NEW - $88.40 0 Dec/19/16 Jun/19/20
Description: APPLIED MATERIALS 0050-39399 Line ,Spool ,Single Feed, RTP
capitolareatech NEW - $165.38 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
capitolareatech NEW - $116.49 0 Dec/19/16 Jun/19/20
Description: AMAT 0200-36615 Nozzle, Blank Off, Gas Feed, 300 MM DPS
capitolareatech NEW - $13.86 1 Dec/20/16 Jul/18/17
Description: LAM 715-330406-001 RETAINING CLAMP GAS FEED
capitolareatech Refurbished - $187.50 0 Dec/20/16 Jun/20/20
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM
spsglobal Used - $60.00 6 Jan/16/17 Jun/15/23
Description: 323-0301// AMAT APPLIED 0200-09450 TUBE GAS FEED OD 6.35MM BWCVD 2ND SOURCE NEW
jinhyucle_0 NEW - $3,500.00 0 Jan/20/17 Dec/18/17
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED, BRNAD NEW ORIGINAL PACK
csi.usa NEW - $750.00 0 Jan/26/17 Jan/21/18
Description: LAM 839-014757-057 WELDMT GAS FEED LINE FLEX
allforsale555 Used - $399.00 0 Feb/03/17 Jul/29/21
Description: APPLIED MATERIALS 0050-46867 GAS LINE, SILANE TOP FEED, AL, ULTIMA HD
cubit001 NEW - $359.00 0 Feb/20/17 Mar/22/17
Description: Applied Materials 0050-21983 FEED THRU ADAPTER AMAT
kakkisung-6 NEW - $6,000.00 0 Mar/02/17 Feb/09/22
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials #
levmucciacciar0 Used - $90.00 0 Mar/29/17 Mar/15/20
Description: AMAT 0100-20268 PCB Assembly, Feed Through Board, 300mm,
katiil3 NEW - $399.00 1 May/07/17 Jul/03/17
Description: AMAT 0720-02672 CONN 6COND ROTATING FEED THROUGH
j316gallery Used - $1,870.00 0 May/25/17 Sep/19/19
Description: 8705 APPLIED MATERIAL DEUBLIN FEED THRU ROTARY ASSY SOURCE BASIC (881 0190-20004
capitolareatech NEW - $8.95 0 Jun/03/17 Aug/24/18
Description: LAM 715-330302-001 Gas Feed Seal
logansemi NEW - $550.00 1 Jun/07/17 Feb/15/18
Description: AMAT Applied Materials 0040-32001 EXTENDED TUBE TEOS FEED THRU, NOS
systasemi NEW - $220.00 0 Jun/29/17 Aug/25/17
Description: Applied Materials 0200-09450, AMAT, Gas Feed Tube
ainevjb NEW - $75.00 0 Jul/28/17 Jan/17/18
Description: AMAT 0020-30356 Gas Feed Thru NEW IN PACKAGE Leopold APPLIED MATERIALS P5000
bornalliancecom Used - $995.00 4 Aug/21/17 Mar/11/20
Description: Applied Materials 0040-09115 Gas Feed Thru AMAT CVD
farmoninc Used - $1,100.00 0 Sep/01/17 May/22/23
Description: AMAT 0021-23644 0021-16355 DPS, Tetra, Gas Feed Manifold, 423644
allforsale555 Used - $349.00 0 Oct/16/17 Jul/29/21
Description: AMAT/APPLIED MATERIALS 0050-46867 GAS LINE, SILANE TOP FEED, AL, ULTIMA HD
spsglobal Used - $320.00 0 Oct/31/17 Mar/03/22
Description: 342-0202// AMAT APPLIED 0020-34312 INLET, GAS FEED, SGD NEW
j316gallery NEW - $500.00 1 Nov/08/17 May/22/18
Description: 10052 APPLIED MATERIAL EXTENDED TUBE, TEOS FEED THRU, GAS, DXZ (NEW) 0040-32001
j316gallery Used - $150.00 0 Nov/16/17 Jan/16/22
Description: 10181 APPLIED MATERIALS BLOCK,DUAL GAS FEED,HDPCVD 0020-18385
phxinn NEW - $450.00 0 Dec/06/17 Jan/05/18
Description: Applied Materials 0040-09832 Feed Through
bosch_shx NEW - $69.99 0 Dec/03/17 Mar/03/22
Description: NEW LAM RESEARCH 714-034328-001 STRAP WIDE RF FEED KIYO TCP
asmtk Used - $100.00 8 Dec/13/17 Oct/01/21
Description: Applied Materials 0020-33810 COVER, GAS FEED ASSY, DSP A3 AMAT
asmtk Used - $250.00 10 Dec/13/17 Dec/17/19
Description: Applied Materials 0020-33808 ADAPTER, GAS FEED ASSY, A3 DPS AMAT
semi-spares NEW - $265.00 0 Jan/03/18 Mar/20/18
Description: AMAT 0200-09450 APPLIED MATERIALS TUBE GAS FEED REV. 002 - NEW
phxinn NEW - $400.00 0 Jan/05/18 Feb/04/18
Description: Applied Materials 0040-09832 Feed Through
phxinn NEW - $125.00 0 Jan/30/18 Mar/01/18
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD
farmoninc NEW - $1,250.00 0 Jan/30/18 Mar/03/22
Description: AMAT 0190-22372 TC Wafer 8" JMF Bare SI Flat Cable Feed, 1501A-8-0564, 418322
phxinn NEW - $400.00 0 Feb/05/18 Mar/07/18
Description: Applied Materials 0040-09832 Feed Through
capitolareatech NEW - $325.00 0 Feb/21/18 Mar/09/19
Description: Applied Materials (AMAT) 0040-20292 Short Feed, Lamp
capitolareatech NEW - $1,195.00 0 Feb/21/18 Mar/23/18
Description: Applied Materials (AMAT) 0040-32200 FEED,CATHODE SUPPLY,DPS
capitolareatech NEW - $175.00 0 Feb/24/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-33054 BTM FEED ODD VLV UPPER CONN
phxinn NEW - $125.00 0 Mar/01/18 Mar/31/18
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD
capitolareatech NEW - $95.00 0 Mar/03/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-33055 BOTTOM FEED ODD VALVE LOWER CONNECTOR
capitolareatech NEW - $145.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-07102 WELDMENT FEED THRU GAS
phxinn NEW - $400.00 0 Mar/07/18 Apr/06/18
Description: Applied Materials 0040-09832 Feed Through
capitolareatech NEW - $650.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-40180 GAS FEED,IMPROVED,CGF
ok24surplus NEW - $35.00 0 Mar/16/18 Mar/26/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
capitolareatech NEW - $275.00 0 Mar/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0015-65138 BULKHEAD FEED THRU
spsglobal NEW - $250.00 0 Mar/20/18 Oct/24/19
Description: 321-0301// AMAT APPLIED 0020-34482 INSULATOR, FEED, BULKHEAD, 2ND SOURCE NEW
capitolareatech NEW - $375.00 0 Mar/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0040-09832 Feed Through
capitolareatech Refurbished - $295.00 0 Mar/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0050-48279 WELDMENT, CENTER GAS FEED, 300MM DPS2
capitolareatech NEW - $29.95 0 Mar/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-36428 Tube, Ceramic Gas Feed, MW Clean
capitolareatech NEW - $185.00 0 Mar/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-03742 FEEDTHRU CENTER,GAS FEED, 5RA, 300MM DPS-P
visionsemi NEW - $500.00 1 Mar/29/18 Jun/28/18
Description: APPLIED MATERIALS AMAT DPS PLUG INNER GAS FEED 0021-35291
capitolareatech NEW - $39.95 4 Apr/05/18 Jun/29/18
Description: Applied Materials (AMAT) 0200-35290 HOUSING, GAS FEED, DPS MEC
ok24surplus NEW - $29.00 0 Apr/08/18 Apr/18/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
phxinn NEW - $400.00 0 Apr/12/18 May/12/18
Description: Applied Materials 0040-09832 Feed Through
phxinn NEW - $125.00 0 Apr/12/18 May/12/18
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD
powersell007 NEW - $99.00 2 Apr/16/18 Jun/28/18
Description: NEW APPLIED MATERIALS 0200-35291 PLUG, INNER, GAS FEED ASSY, DPS A1 CERAMIC AMAT
katiil3 NEW - $3,199.00 0 Apr/26/18 Apr/27/18
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED
ok24surplus NEW - $45.00 0 Apr/26/18 May/06/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
katiil3 Used - $999.00 1 Apr/28/18 Feb/28/20
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED
powersell007 NEW - $99.00 5 Apr/30/18 Oct/23/19
Description: APPLIED MATERIALS 0200-35296 PLUG, INNER, GAS FEED, DPS A3 AMAT *UNUSED, SEALED*
powersell007 NEW - $69.00 15 May/03/18 Jun/29/18
Description: APPLIED MATERIALS 0200-35290 HOUSING, GAS FEED DPS MEC CHAMBER AMAT *UNUSED*
ok24surplus NEW - $45.00 0 May/09/18 May/19/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
phxinn NEW - $25.00 0 May/14/18 Jun/13/18
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD
capitolareatech NEW - $159.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0050-05256 GAS FEED 5RA, WALL, 300MM, DPS
phxinn NEW - $25.00 0 Jun/15/18 Jul/15/18
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD
farmoninc NEW - $450.00 1 Jun/18/18 Apr/03/19
Description: AMAT 0200-35291 Plug, Inner, Gas Feed Assembly, DPS A1, 424606
farmoninc NEW - $450.00 1 Jun/18/18 Apr/03/19
Description: AMAT 0200-35291 Plug, Inner, Gas Feed Assembly, DPS A1, 424605
farmoninc NEW - $95.00 0 Jun/18/18 Aug/13/20
Description: AMAT 0200-35290 Housing, Gas Feed DPS MEC Chamber, 424610
spsglobal Used - $260.00 1 Jun/26/18 Dec/09/21
Description: 323-0302// AMAT APPLIED 0040-20055 FEED THRU ADJ AR NEW
liquiditech Used - $220.50 0 Jun/28/18 Dec/08/21
Description: APPLIED MAT. FEED THROUGH BOARD BIASABLE ELECTRODES COPPER/300MM 0100-20268
yericomfg Used - $250.00 0 Jul/31/18 Aug/08/18
Description: LAM 713-080706-003 RING GAS FEED DUAL ZONE
dnd_surplus NEW - $500.00 0 Aug/02/18 Sep/01/18
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody
ok24surplus NEW - $25.00 0 Aug/05/18 Aug/15/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
phxinn NEW - $400.00 0 Aug/06/18 Sep/05/18
Description: Applied Materials 0040-09832 Feed Through
phxinn NEW - $35.00 1 Aug/06/18 Sep/05/18
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD
storemanager-2009 NEW - $1,450.00 0 Aug/09/18 Aug/09/18
Description: 0050-31195 ADAPTOR, GAS FEED
yericomfg NEW - $200.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; 0240-15986, Kit, Facility Interface, Horizontal Feed
capitolareatech NEW - $125.00 0 Aug/23/18 Jun/23/20
Description: LAM 715-220438-002 LAM Sleeve, Bracket, Gas Feed Tube
capitolareatech NEW - $19.95 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 713-073096-001 SLEEVE, INSULTR-PWR FEED
capitolareatech Used - $695.00 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 715-011441-001 Ring, Gas Feed 9 "
ssssayag Used - $25.00 0 Sep/01/18 Sep/11/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
dnd_surplus NEW - $500.00 0 Sep/01/18 Oct/01/18
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody
johanmcleo_0 NEW - $40.00 0 Sep/26/18 Oct/26/18
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD
prism_electronics5 Used - $238.01 1 Sep/27/18 Aug/16/22
Description: APPLIED MATERIALS AMAT 0040-20055 FEED THRU ADJ AR
dnd_surplus NEW - $500.00 0 Oct/01/18 Oct/31/18
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody
ok24surplus NEW - $35.00 0 Oct/02/18 Nov/01/18
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD
phxinn NEW - $400.00 0 Oct/02/18 Nov/01/18
Description: Applied Materials 0040-09832 Feed Through
qrecycle NEW - $299.00 0 Oct/02/18 Oct/05/18
Description: applied materials Dual gas feed 0020-18385
prism_electronics8 Used - $99.99 0 Oct/04/18 Aug/30/22
Description: APPLIED MATERIALS 0200-35771 300MM Gas Feed Ceramic Resistor
qrecycle NEW - $299.00 0 Oct/06/18 Oct/11/18
Description: applied materials Dual gas feed 0020-18385
prism_electronics8 Used - $199.99 0 Oct/09/18 Dec/23/19
Description: APPLIED MATERIALS 0021-01861 RTP Side Feed Line Bracket
engin-15 NEW - $70.00 0 Oct/09/18 Nov/08/18
Description: PN 0200-09450 TUBE GAS FEED OD 6.35MM BWCVD
csi.usa Used - $499.99 0 Oct/18/18 Jan/16/19
Description: AMAT APPLIED MATERIALS 0041-03276 GAS FEED ASSY, TOP CLEAN, Aln 300mm
prism_electronics5 Used - $85.00 0 Oct/19/18 Aug/03/22
Description: APPLIED MATERIALS AMAT 0020-40620 GROUND FEED RF, HDP ETCH CHAMBER
vizvik16 Used - $200.00 1 Nov/02/18 May/25/21
Description: Applied Materials 1310-00050 TC assy dual circuit gas feed blk 300mm Working
engin-15 NEW - $70.00 0 Nov/09/18 Dec/09/18
Description: PN 0200-09450 TUBE GAS FEED OD 6.35MM BWCVD
allforsale555 Used - $99.00 1 Nov/22/18 Aug/14/19
Description: Applied Materials 0040-82245 Rev 2 Center Gas Feed Block, Extended, top cle
spsglobal NEW - $10.00 4 Nov/28/18 Jun/03/19
Description: 343-0402// AMAT APPLIED 0020-10464 NUT, FEED THRU NEW
dnd_surplus NEW - $500.00 0 Nov/30/18 Dec/30/18
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody
cnlholdings NEW - $313.50 0 Dec/26/18 Jan/17/21
Description: LAM Research RING,GAS FEED,DUAL ZONE 713-080706-003
dnd_surplus NEW - $500.00 0 Dec/30/18 Jan/29/19
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody
phxinn NEW - $400.00 0 Dec/31/18 Jan/30/19
Description: Applied Materials 0040-09832 Feed Through
engin-15 NEW - $70.00 0 Jan/08/19 Feb/07/19
Description: PN 0200-09450 TUBE GAS FEED OD 6.35MM BWCVD
powersell007 NEW - $499.00 1 Jan/11/19 Dec/05/19
Description: APPLIED MATERIALS 0020-33808 ADAPTER GAS FEED DPS AMAT *UNUSED, SEALED*
vizvik16 Used - $80.00 1 Jan/15/19 May/08/22
Description: APPLIED MATERIALS 0100-01025 REV 004, FEED THROUGH BOARD BIASABLE ELECTRODES 300
tjtechseller NEW - $800.00 1 Jan/21/19 Jan/21/24
Description: 0040-34339 Applied Materials CENTER GAS FEED BLOCK TOP CLEAN ULTIMA 1.5
dnd_surplus NEW - $500.00 0 Jan/29/19 Feb/28/19
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody
csi.usa Used - $499.99 1 Feb/08/19 Mar/10/19
Description: AMAT APPLIED MATERIALS 0041-03276 GAS FEED ASSY, TOP CLEAN, Aln 300mm
csi.usa NEW - $99.99 1 Feb/08/19 Sep/25/19
Description: NEW AMAT APPLIED MATERIALS 0190-27008 GAS FEED 3/8" BLOCK TO BLOCK HOSE ASSY
farmoninc NEW - $600.00 1 Feb/13/19 Feb/21/19
Description: AMAT 0720-02672 Conn 6Cond Rotating Feed Through, 450878
dnd_surplus NEW - $250.00 1 Mar/30/19 Dec/02/21
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody
getspares.com_sparesllc09 Used - $280.00 0 Apr/01/19 Nov/30/22
Description: 0041-30286 / MANIFOLD BASE PLATE FEED THROUGH REFLE / APPLIED MATERIALS AMAT
asmtk NEW - $300.00 0 Apr/30/19 Oct/01/21
Description: Applied Materials 0040-18174 BLOCK,WATER FEED,CATHODE,ULTIMA 300MM HD AMAT
grandbirdnet NEW - $2,800.00 1 Apr/30/19 Nov/18/21
Description: AMAT 0041-03276 Assy, Gas Feed, Top Clean, Aln 300m, NEW
bt_store22 Used - $1,100.00 0 May/29/19 Jul/15/21
Description: AMAT 0040-75457 GAS FEED THRU TUBE
jake_russell NEW - $740.00 0 Jun/05/19 Jul/05/19
Description: [AMAT] 0021-06499, MAINFORD, TEFLON FEED THRU
spsglobal Used - $10.00 0 Jun/10/19 Jan/30/23
Description: 343-0402// AMAT APPLIED 0020-10464 NUT, FEED THRU NEW
jake_russell NEW - $740.00 0 Jun/17/19 Jan/13/21
Description: [AMAT] 0021-06499, MAINFORD, TEFLON FEED THRU
jake_russell NEW - $480.00 0 Jun/27/19 Mar/05/20
Description: [AMAT] 0020-18378, THREAD,DUAL HE FEED,MID,HDP-CVD, ULTIMA 200MM
jake_russell NEW - $540.00 0 Jun/27/19 Mar/05/20
Description: [AMAT] 0020-18379, THREAD,DUAL HE FEED,CENTER,HDP-CVD, ULTIMA 200MM
j316gallery Used - $133.02 0 Jul/16/19 May/24/23
Description: 13995 APPLIED MATERIALS MANIFOLD,FEED THRU LID CHAMBER,PMD PRO 0040-53410
bt_store22 Used - $405.00 0 Jul/19/19 Jul/15/21
Description: AMAT 0021-06499 man ifold teflon feed thru lid chprdc
j316gallery Used - $725.90 0 Jul/21/19 Jun/21/20
Description: 13981 APPLIED MATERIALS BLOCK,GAS FEED,TOP CLEAN,ALN,300MM 0040-82246
j316gallery NEW - $1,114.11 4 Jul/30/19 May/24/23
Description: 14398 APPLIED MATERIALS MANF TEFLON FEED THRU LID CH PRDC NEW 0021-06499
j316gallery Used - $200.00 1 Jul/29/19 Oct/16/19
Description: 14466 LAM RESEARCH WELDMENT, GAS FEED LINE, FLEX 839-014757-057
j316gallery NEW - $12.42 0 Jul/29/19 Aug/11/22
Description: 14863 APPLIED MATERIALS NUT, FEED THRU (NEW) 0020-10464
autoquip7 Used - $3,330.00 0 Aug/02/19 Jul/25/22
Description: 0010-01989; AMAT, SS Gas Heater Assembly with 0160-00018 TC Feed Thru High K
capitolareatech Used - $59.95 0 Aug/29/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-03551 PIPE, NEEDLE FEED THRU ANODIZED
semigooods NEW - $250.00 0 Aug/29/19 May/26/21
Description: AMAT 0021-03790 BRACKET, ROD SUPPORT, HWRF FEED, CERAMIC ESC - OEM NEW
semigooods NEW - $15.00 0 Aug/30/19 May/26/21
Description: AMAT (Applied Materials) - 0040-52157 Clamp Lower Center Gas Feed 300M - OEM NEW
semigooods NEW - $15.00 0 Sep/03/19 May/26/21
Description: AMAT 0040-52157 CLAMP LOWER CENTER GAS FEED 300MM DPS2 - OEM NEW
capitolareatech Used - $2.95 0 Sep/05/19 Dec/05/19
Description: LAM RESEARCH (LAM) 716-052609-001 PLUG, CTR, FEED , SEMICONDUCTOR  
capitolareatech Used - $228.08 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0050-33053 BTM FEED EVEN NO VLV CONNECTOR
dom0808 Used - $185.90 0 Sep/16/19 Feb/11/22
Description: Lam P/N 713-065332-050 SPCR,INSUL,FEED ROD,MTCH SIDE,ADJ
j316gallery Used - $1,401.40 0 Sep/22/19 Sep/08/22
Description: 8705 APPLIED MATERIALS DEUBLIN FEED THRU ROTARY ASSY 0190-20004
dom0808 Used - $328.90 0 Sep/24/19 Feb/11/22
Description: AMAT Applied Materials Feed Through Board Biasable Electrodes 300mm 0100-01025
powersell007 Used - $99.00 0 Oct/25/19 Nov/25/23
Description: APPLIED MATERIALS 0200-35296 PLUG, INNER, GAS FEED, DPS A3 AMAT *UNUSED, SEALED*
dom0808 Used - $1,250.00 2 Oct/28/19 Jun/02/20
Description: Lam Research KIYO 45 GAS FEED LINE 839-031197-103
gesemiconductor NEW - $50.00 4 Nov/07/19 Dec/04/20
Description: Applied Materials 0200-08039 Housing Gas Feed Nozzle
gesemiconductor NEW - $120.00 4 Nov/07/19 Mar/07/20
Description: Applied Materials 0020-33805 Cover Gas Feed DPS MEC
gesemiconductor NEW - $225.00 4 Nov/07/19 Jul/03/22
Description: Applied Materials 0200-35291 Plug Inner Gas Feed Assembly DPS A1
gesemiconductor NEW - $600.00 4 Nov/07/19 Apr/30/20
Description: Applied Materials 0020-33804 Adapter Gas Feed Assembly DPS MEC
j316gallery Used - $14,371.90 0 Dec/04/19 Jan/04/23
Description: 16201 APPLIED MATERIALS CHAMBER BODY, ETCH, OXIDE, SIDE GAS FEED 0040-31942
capitolareatech Used - $76.29 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0040-39557 GASLINE INSERT LONG CHBR FEED THRU HT T
capitolareatech Used - $746.05 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0227-43674 WELDMENTBOTTOM FEED SLDCH3FETCHCAJON
capitolareatech Used - $199.95 0 Dec/11/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-28789 SPACER, FEED THRU SEAL
prism_electronics8 Used - $249.99 1 Jan/17/20 Jul/25/22
Description: AMAT 0020-18385 HDPCVD BLOCK DUAL GAS FEED
capitolareatech Used - $285.95 0 Jan/21/20 Jun/21/20
Description: Applied Materials (AMAT) 0090-09016 FEED THRU ASSY,CAP SENSOR
capitolareatech Used - $649.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-09223 Plate, Pneumatic Feed Thru
capitolareatech NEW - $46.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-21863 SHIM, ROTARY FEED THRU .06 THICK
capitolareatech Used - $499.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-39376 Cover, Bottom Feed Producer
capitolareatech Used - $659.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-39373 ENCLOSURE, UPPER, BOTTOM FEED, PRODUCER
comwaysind Used - $95.00 0 Feb/26/20 Feb/17/22
Description: Applied Materials 0195-01645 Rev 02 301568 3418 Feed Thir Heater Assy 97953-013
dom0808 Used - $1,255.00 2 Mar/02/20 Jun/02/20
Description: Lam Research Lam Kiyo 45 Gas Feed Line 839-031197-103
manufacturingequipment Used - $1,518.75 0 Mar/02/20 Sep/21/21
Description: AMAT Applied Materials 0200-06405 Ceramic Lid AG1000 Dual Gas Feed 300MM-Cleaned
farmoninc Used - $1,750.00 0 Mar/09/20 Feb/17/22
Description: AMAT 0040-75457 Gas Feed Thru Tube, 452794
getspares.com_sparesllc09 Used - $350.68 1 Mar/24/20 Apr/03/22
Description: 0190-35894 / RESISTOR GAS FEED, TXZ / APPLIED MATERIALS AMAT
spsglobal Used - $300.00 0 Mar/23/20 Aug/25/22
Description: 324-0203// AMAT APPLIED 0200-39199 NOZZLE, OUTER, GAS FEED, 300 MM DPS [NEW]
manufacturingequipment NEW - $2,794.50 0 Apr/28/20 Sep/21/21
Description: AMAT Applied Materials 0200-06405 Ceramic Lid AG1000 Dual Gas Feed 300MM DPS-New
usedeqsales Used - $2,505.20 1 May/01/20 Jul/21/22
Description: AMAT Applied Materials 0220-06108 300mm Lid HPM Dual Gas Feed DPS 2 New Surplus
dom0808 Used - $1,255.00 3 Jun/04/20 Jun/05/20
Description: Lam Research Lam Kiyo 45 Gas Feed Line 839-031197-103
dom0808 Used - $1,375.00 1 Jun/04/20 Feb/11/22
Description: Lam Research Dual Gas Feed Line 715-008249-007
semigooods NEW - $75.00 0 Jun/30/20 Oct/01/21
Description: AMAT 0020-20523 CAP, LAMP FEED THRU - SECOND SOURCE NEW
capitolareatech NEW - $36.95 0 Aug/17/20 Apr/17/24
Description: Lam Research (LAM) 715-031458-002 BRACKET GAS FEED TUBE FOR NEW DIS FILTER
capitolareatech NEW - $21.95 0 Aug/17/20 Mar/28/24
Description: Lam Research (LAM) 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS.
capitolareatech Used - $669.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0050-30920 INSERT GAS FEED B & C R2 5000, FLEXABLE
grandbirdnet Used - $500.00 0 Sep/03/20 Sep/20/23
Description: AMAT 0021-06499 MANIFOLD, TEFLON FEED THRU, LID, CH PRDC, REFURBISHED
ym3116-fmz6ox Used - $15.00 1 Sep/12/20 Jun/02/22
Description: AMAT 0020-18377 FLANGE,DUAL HE FEED, HDP-CVD
grandbirdnet NEW - $1,600.00 1 Sep/22/20 Apr/01/22
Description: AMAT 0040-09920 TUBE FEED THRU GAS, NEW
expertsurplus Used - $250.00 1 Oct/16/20 May/17/23
Description: AMAT, FEED THRU INSULATOR ADJ AR,MIX, 0050-24802, New
j316gallery Used - $1,277.65 0 Oct/30/20 May/26/22
Description: 21665 APPLIED MATERIALS GAS LINE, NF3 GAS FEED, AE, AL, ULTIMA P 0050-62135
bobsgoodies2 Used - $85.00 0 Oct/30/20 Mar/31/22
Description: AMAT 0020-76111 BLADE FEED THRU 200MM
dgold32 Used - $199.99 0 Nov/03/20 May/26/22
Description: Lam Research, Face Plate, CNTR Feed, 839-800332-019
capitolareatech NEW - $259.95 0 Nov/06/20 May/16/24
Description: Applied Materials (AMAT) 0050-33054 BTM FEED ODD VLV UPPER CONN
capitolareatech Used - $189.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-30927 INSERT GAS FEED SGD A & D R2 5000, FLEXA
capitolareatech Used - $299.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-31063 INSERT, GAS FEED, R2, 5000, A & D, .400
capitolareatech Used - $399.95 0 Nov/10/20 May/26/22
Description: Applied Materials (AMAT) 0050-24802 GAS LINE, INSULATOR, FEED THRU ADJ AR,MIX
asac.korea NEW - $3,180.00 0 Nov/12/20 Feb/06/22
Description: AMAT 0041-03276 ASSY GAS FEED TOP CLEAN 300MM
nri-industrial NEW - $218.38 2 Dec/22/20 Jan/07/21
Description: Applied Materials 0200-35291 Gas Feed Assembly Inner Plug
getspares.com_sparesllc09 Used - $213.86 1 Dec/31/20 Jul/12/22
Description: 0020-09604 / GAS FEED THROUGH TEFLON / APPLIED MATERIALS AMAT
banyanteam NEW - $257.95 1 Jan/12/21 Oct/31/21
Description: Applied Materials 0190-09184 VAC Feed Thru T/C PRSP Marchi SDXM-759
banyanteam Used - $125.00 4 Jan/20/21 Jul/03/22
Description: Applied Materials 0200-35291 Ceramic Plug Inner Gas Feed DPS A1 MEC
ym3116-fmz6ox NEW - $110.00 1 Jan/23/21 Apr/12/21
Description: LAM 839-014757-067 Weldment. Gas Feed Line, FLEX
myriadindustrial NEW - $195.00 3 Feb/21/21 Dec/06/21
Description: Insulator Seal Inc 0861801 8618-1 AMAT Applied Materials 0015-76133 Feed Through
wfeng0308 Used - $150.00 1 Mar/02/21 Oct/07/21
Description: LAM 839-007158-001 GAS FEED LINE FLEX
semiconductorspareparts NEW - $900.00 0 Mar/04/21 Sep/27/21
Description: APPLIED MATERIALS MANF TEFLON FEED THRU LID CH PRDC BRAND NEW 0021-06499
visionsemi NEW - $300.00 1 Mar/10/21 Feb/10/23
Description: APPLIED MATERIALS AMAT NOMEX HOSE ASSY GAS FEED BLOCK TO BLK HD 3/8 0190-27008
gosemicat NEW - $15.00 0 Mar/26/21 Jan/16/24
Description: AMAT (Applied Materials) - 0040-52157 CLAMP LOWER CENTER GAS FEED 300M - OEM NEW
gosemicat NEW - $250.00 0 Mar/26/21 Jan/16/24
Description: AMAT - 0021-03790 BRACKET, ROD SUPPORT, HWRF FEED, CERAMIC ESC - OEM NEW
visionsemi Used - $125.00 0 Mar/30/21 Sep/12/22
Description: LAM COVER NUT BOTTOM GAS FEED 715-330092-002 NEW
ace_stellar_seller NEW - $1,200.00 0 Mar/31/21 Jan/31/23
Description: LAM RESEARCH 715-025724-001 RING, GAS FEED, 8 HOLE
spsglobal Used - $4,000.00 1 May/14/21 Jun/14/21
Description: 106-0201// AMAT APPLIED 0040-39883 CHAMBER, WXZ, DUAL FEED THROUGHS [ASIS]
levmucciacciar0 Used - $100.00 0 Jun/23/21 Jul/11/23
Description: 0100-20268, Applied Materials, AMAT, FEED THROUGH BD, BIASABLE ELECTRODES, 300MM
lagpat Used - $1,700.00 1 Jul/10/21 Feb/20/23
Description: AMAT 0040-82246 REV 003 BLOK GAS FEED, TOP CLEAN, ALN 300MM ULTIMA X
sgcequipment NEW - $155.00 1 Jan/20/22 Nov/13/23
Description: Applied Materials (AMAT) 0200-04381 GAS INSERT, SINGLE FEED,300MM,DPN PLUS
excessdealer Used - $99.00 1 Feb/02/22 Sep/27/22
Description: Lam Research, Face Plate, CNTR Feed, 839-800332-019
sj_tech Used - $328.90 0 Apr/04/22 Nov/28/22
Description: AMAT Applied Materials Feed Through Board Biasable Electrodes 300mm 0100-01025
midwestreverselogistics2 NEW - $499.99 0 Apr/27/22 Jun/09/22
Description: Applied Materials (AMAT) 0041-06901 Gas Feed Thru SST HVCR Metal ALD 300MM New
sgcequipment Used - $550.00 0 Jun/20/22 Jun/30/22
Description: Applied Materials/AMAT 0041-06901 GAS FEED THRU SST HVCR METAL ALD 300MM
waste-not-recycling Used - $220.00 0 Jul/01/22 Aug/08/22
Description: AMAT Applied Materials 0020-09604 & 0020-10153B GAS FEED THROUGH TEFLON
getspares.com_sparesllc09 Used - $213.68 0 Jul/13/22 Jul/22/22
Description: 0020-09604 / GAS FEED THROUGH TEFLON / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $213.68 1 Jul/22/22 Sep/06/22
Description: 0020-09604 / GAS FEED THROUGH TEFLON / APPLIED MATERIALS AMAT
waste-not-recycling Used - $220.00 1 Aug/08/22 Jan/31/23
Description: AMAT Applied Materials 0020-09604 & 0020-10153B GAS FEED THROUGH TEFLON
j316gallery NEW - $12.42 0 Sep/07/22 Mar/30/23
Description: 14863 APPLIED MATERIALS NUT, FEED THRU (NEW) 0020-10464
sgcequipment Used - $500.00 0 Oct/11/22 Oct/19/22
Description: Applied Materials/AMAT 0020-33804 Gas Feed Adapter
getspares.com_sparesllc09 Used - $213.68 1 Oct/13/22 Nov/10/22
Description: 0020-09604 / GAS FEED THROUGH TEFLON / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $213.68 0 Nov/11/22 May/16/24
Description: 0020-09604 / GAS FEED THROUGH TEFLON / APPLIED MATERIALS AMAT
farmoninc NEW - $300.00 0 Nov/30/22 Jun/15/23
Description: AMAT 0100-20268 FEED THROUGH BD, BIASABLE ELECTRODES, 300MM, 111921
jtechsemi Used - $4,000.00 0 Dec/28/22 Apr/03/23
Description: Applied Materials 0050-88884 WELDMENT DUAL GAS FEED 3 LEGS 300MM DPS AMAT
farmoninc Used - $17,500.00 0 Dec/29/22 Aug/21/23
Description: AMAT 0040-39883 CVD CHAMBER, WXZ, DUAL FEED THROUGHS, AMAT 0020-42155, 112749
jabedow NEW - $700.00 0 Jan/13/23 Nov/23/23
Description: Amat, conn assy, 8 cond, rotating feed thru, 0190-23375
bigg.logistics101 NEW - $199.99 0 Jan/17/23 Aug/31/23
Description: APPLIED MATERIALS CLAMP FEED THRU COIL SUPPORT 0020-29974
myriadindustrial NEW - $49.75 1 Mar/20/23 Sep/26/23
Description: Applied Materials AMAT 0150-00918 Cable Resistor Jump Gas Feed Thru Com
part-soulution Used - $1,385.12 0 Apr/27/23 Jun/15/23
Description: Lam Research Gas Feed Line 839-031197-809 (As-Is)
getspares.com_sparesllc09 Used - $1,783.00 0 May/25/23 May/16/24
Description: 853-140410-002 / POWER FEED LOWER RF MATCH ABC AL4520 / LAM RESEARCH CORPORATION
farmoninc NEW - $700.00 0 Jun/02/23 Sep/05/24
Description: AMAT 0200-35612 PLUG GAS FEED TXZ, 118775
farmoninc NEW - $125.00 0 Jun/05/23 Apr/01/24
Description: 2 AMAT 0045-00091 FEED ROD SET, 118656