[click to login]
WSEMI


TAGS > 300mm used

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
usedeqsales
[view on eBay]
Used 1
in stock
$254.07
Description: AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0090-77207 HARNESS, LEVEL SW, INPUT MODULE 300MM, USED
grandbirdnet
[view on eBay]
Used 17
in stock
$6,500.00
Description: AMAT 0010-77307 ASSY, SPINDLE 300MM, USED
grandbirdnet
[view on eBay]
Used 6
in stock
$5,500.00
Description: AMAT 0010-12525 FLYWHEEL ASSY, 45 DEGREE PLUNGER 300MM , USED
usedeqsales
[view on eBay]
Used 6
in stock
$2,006.14
Description: AMAT Applied Materials 0020-26374 Clamp Middle Shield 300MM Used Working
spsglobal
[view on eBay]
Used 1
in stock
$1,800.00
Description: 130-0102// AMAT APPLIED 0010-76967 ASSY,OTF CENTER FINDER RECEIVER,300MM USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-40222 PLATE, X-ADJ, BACK, MEG HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$40.00
Description: AMAT 0021-12660 BRACKET, EMO, FRONT SKIN, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 8
in stock
$30.00
Description: AMAT 0021-12518 BRACKET HANDLE, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-07813 CAP, LONG, UPPER DRIP PAN, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-34942 PLATE, Z-ADJ, BACK, MEG HVM 300MM, USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 342-0303// AMAT APPLIED 0021-19366 BRACKET, MOUNTING, BLOCK VALVE, 300MM [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$1,950.00
Description: AMAT 0010-14718 MANIFOLD ASSY, ZONE 1/IT UPA 300MM, USED
spsglobal
[view on eBay]
Used 4
in stock
$900.00
Description: 151-0301 AMAT APPLIED 0010-76968 ASSY,OTF CENTER FINDER EMITTER,300MM [USED]
spsglobal
[view on eBay]
Used 5
in stock
$900.00
Description: 151-0301 AMAT APPLIED 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM [USED]
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 124-0203// AMAT APPLIED 0021-15657 (CLEANED) SHUTTER DISC, MDP 300MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$6,000.00
Description: 329-0501// AMAT APPLIED 0010-08322 ASSEMBLY, LOCAL MATCH, TOP, 300MM [USED}
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 177-0103// AMAT APPLIED 0021-13741 PLENUM WATER, UPPER CHAMBER, 300MM [USED]
spsglobal
[view on eBay]
Used 3
in stock
$300.00
Description: 318-0103// AMAT APPLIED 0040-61257 0021-18996 BRACKET, CAP DPS II, 300MM [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0090-00836 MOTOR ASSY., ROTATION, 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 999ZZZ-0610 W / 0021-12682 BRACKET, TOP, RIGHT SKIN, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0190-04681 ROTARY UNION AND SLIP RING ASSY, 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,900.00
Description: AMAT 0190-12126 HEATER, POLYIMIDE, FLAT, LID ASSY, 300MM, USED

This tag has been viewed 3 times

Most recent views:

Korea (Republic of) Thursday, May/09/2024 at 8:30 am CST
Korea (Republic of) Thursday, May/09/2024 at 8:25 am CST
Korea (Republic of) Thursday, May/09/2024 at 8:10 am CST
Korea (Republic of) Thursday, May/09/2024 at 8:06 am CST
Russian Federation Thursday, May/09/2024 at 7:59 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 7:55 am CST
United States of America Thursday, May/09/2024 at 7:41 am CST
Korea (Republic of) Thursday, May/09/2024 at 7:25 am CST
Korea (Republic of) Thursday, May/09/2024 at 6:38 am CST
Japan Thursday, May/09/2024 at 6:35 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
usedeqsales Used - $1,009.12 1 Sep/10/12 Dec/18/12
Description: Asyst 300FL, S2.1, HAMA ROX Load Port 9700-8106-01 300mm Used, Working
bruce135 Used - $499.00 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
bruce135 Used - $499.00 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
bruce135 Used - $499.00 0 Aug/01/13 Aug/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
bruce135 Used - $499.00 0 Oct/03/13 Nov/02/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
bruce135 Used - $499.00 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
bruce135 Used - $449.00 0 Feb/07/14 Mar/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
bruce135 Used - $449.00 0 Mar/10/14 Apr/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
keykorea Used - $9,000.00 0 Apr/30/14 Apr/11/18
Description: AMAT 0010-07903 LDM ASSY BRUSH DIRECT FEED 300MM , USED
keykorea Used - $2,500.00 0 May/20/14 Apr/11/18
Description: AMAT 0190-16834 HEPA FILTER PRESSURE SENSOR READY 300MM , USED
grandbirdnet Used - $300.00 0 May/29/14 Jun/01/23
Description: AMAT 0040-64457 BRACKET, WAFER PRESENT SENSOR, MEG 300MM , USED
keykorea Used - $4,500.00 0 May/29/14 Apr/11/18
Description: AMAT 0010-33479 ROLLER 1 ASSY,MEGASONIC TANK 300MM , USED
keykorea Used - $35,000.00 0 May/31/14 Apr/11/18
Description: AMAT 0240-15860 MEGASONIC MODULE ASSEMBLY, 300MM , USED
usedeqsales Used - $3,506.11 0 Aug/15/14 May/01/15
Description: AMAT Applied Materials 0010-28724 RF Match Vectra IMP Source 300mm Used Working
used1eqsales Used - $6,008.14 0 Aug/19/14 May/26/17
Description: AMAT 0010-22568 Chamber Source Rev 001 AMAT Endura 300mm used working
used1eqsales Used - $705.15 0 Dec/26/14 Jun/24/15
Description: AMAT 0100-20458 HDPCVD Interlock PCB 0130-20458 Centura HDP-CVD 300mm used works
usedeqsales Used - $5,001.15 0 Jan/21/15 May/21/15
Description: AMAT Applied Materials 0010-29882 Endura 2 SST Chamber Assembly 300mm Used
usedeqsales Used - $405.15 0 May/29/15 Apr/21/23
Description: AMAT Applied Materials 0270-03559 Calbration Tool Cooldown/DEGAS 300mm Used
used1eqsales Used - $1,606.15 0 Jun/04/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
usedeqsales Used - $207.15 1 Jul/08/15 Nov/20/17
Description: AMAT Applied Materials 0040-34330 Insert External Standard 300MM Used Working
used1eqsales Used - $6,007.15 0 Jul/14/15 May/26/17
Description: AMAT 0010-10976 Degas Chamber E AMAT 5500 Endura CU Barrier/Seed 300mm used
used1eqsales Used - $5,008.15 0 Aug/18/15 Nov/16/15
Description: AMAT 9090-01158 PSU Chassis Revision A Quantum X 300mm used working
usedeqsales Used - $308.15 0 Aug/25/15 Jan/18/18
Description: DIP 15039103 CDN391 PCB Card DIP-011-148 AMAT 0660-01865 Endura 300mm Used
usedeqsales Used - $308.15 1 Aug/25/15 Feb/08/17
Description: DIP 15039603 CDN396 PCB Card DIP-101-462 AMAT 0190-01270 Endura 300mm Used
usedeqsales Used - $808.15 1 Aug/25/15 Mar/08/18
Description: AMAT Applied Materials 0100-00573 Preclean Chamber Interlock Endura 300mm Used
used1eqsales Used - $3,509.15 1 Sep/21/15 Apr/14/16
Description: AMAT 0010-14528 Magnetic Source 1 PVD CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $5,010.15 0 Oct/27/15 Oct/28/15
Description: AMAT 9090-01406 PSU Chassis Rev B Quantum X 300mm used working
used1eqsales Used - $15,002.20 0 Feb/02/16 Feb/04/16
Description: AMAT 0010-24076 Electrostatic Chuck ESC 300mm used working
neilan1987 Used - $49.99 0 May/03/16 Jan/10/17
Description: Applied Materials AMAT 0010-21740 TC Amplifier 300MM USED
used1eqsales Used - $607.16 0 Jul/14/16 Mar/29/18
Description: DIP 15049105 Circuit Board CDN491(c) AMAT 0190-08860 Rev 003 Endura 300mm used
used1eqsales Used - $753.58 1 Jul/14/16 Oct/04/16
Description: Kensington Newport 15-3600-0300-01 Pre-Aligner 0190-16360 AMAT Endura 300mm used
jabedow Used - $1,300.00 1 Jul/27/16 Aug/12/16
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
jabedow Used - $600.00 1 Oct/09/16 Mar/10/17
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
usedeqsales Used - $112.16 0 Dec/07/16 Sep/13/18
Description: TDK TAS300 Load Port Power Supply Assembly AMAT 0190-17837 Endura 300mm Used
usedeqsales Used - $801.17 2 Jan/06/17 Jan/29/19
Description: AMAT Applied Materials 0021-20838 Pedestal LG OD Preclean 300MM Used Working
grandbirdnet Used - $180.00 0 Mar/23/17 Jun/15/23
Description: AMAT 0020-16859 BASE PLATE UPA 300MM, USED
used1eqsales Used - $2,504.14 0 Jun/05/17 Mar/29/18
Description: AMAT 0010-22567 Source Chamber Top CPI-VMO AMAT Endura 300mm used as-is
used1eqsales Used - $4,007.15 1 Jun/06/17 Sep/18/17
Description: AMAT 0010-10976 Degas Chamber E AMAT 5500 Endura CU Barrier/Seed 300mm used
used1eqsales Used - $4,008.14 0 Jun/08/17 Mar/27/18
Description: AMAT 0010-22568 CPI-VMO Chamber Source 4 Rev 001 AMAT Endura 300mm used working
used1eqsales Used - $3,509.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Jun/12/17 Nov/20/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $2,004.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0010-25341 CPI-VMO Chamber Source 1 Rev004 AMAT Endura 300mm used sold a is
used1eqsales Used - $1,606.15 1 Jun/12/17 Aug/29/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
usedeqsales Used - $705.15 2 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 0100-00546 Circuit Board PCB Endura 300mm Used Working
usedeqsales Used - $305.15 2 Mar/16/18 Feb/24/22
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Endura 300mm Used
usedeqsales NEW - $2,005.14 2 Mar/19/18 Nov/25/20
Description: Novellus 03-417236-00 PCA GEN II FE INTF PCB C3VCTR Vector 300mm Used Working
usedeqsales Used - $607.16 0 Mar/19/18 Mar/20/18
Description: DIP 15049105 PCB Card CDN491(c) AMAT 0190-08860 Rev 003 Endura 300mm Used
usedeqsales Used - $1,203.15 1 Mar/20/18 Feb/21/19
Description: AMAT Applied Materials 0200-76058 Quartz Pedestal Large Pin Diameter 300mm Used
tm_semi Used - $175.00 0 Mar/20/18 Apr/19/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 May/08/18 Jun/07/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Jun/27/18 Jul/27/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Aug/07/18 Sep/06/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Sep/07/18 Oct/07/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Oct/07/18 Nov/06/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Nov/06/18 Dec/06/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Dec/06/18 Jan/05/19
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Jan/05/19 Feb/04/19
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Feb/04/19 Mar/06/19
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $175.00 2 Apr/05/19 Mar/19/21
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
grandbirdnet Used - $8,500.00 1 Sep/25/19 May/27/21
Description: AMAT 0040-79912 LINER CHAMBER W/ LEAK CHECK PORT, 300MM, USED
katiil3 Used - $399.00 0 Oct/02/19 Oct/23/21
Description: Applied materials/ AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM Used good
techequipsales Used - $1,000.00 1 Jul/14/20 Dec/04/20
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
techequipsales Used - $2,000.00 1 Jul/14/20 Jan/18/21
Description: LAM Research 839-800327-385 ESC ASSY DZ CLG 2 FNSH 300MM *used working*
usedeqsales Used - $1,003.19 0 Jul/23/20 Jan/18/21
Description: AMAT Applied Materials 0040-98491 Siconic Clean Chiller Assembly 300mm Used
techequipsales Used - $2,000.00 1 Jan/19/21 Jun/24/21
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
levmucciacciar0 Used - $350.00 5 Jun/16/21 Oct/13/21
Description: AMAT Applied Materials 0100-00546 Circuit Board PCB Endura 300mm Used
jabedow Used - $590.00 1 Oct/20/21 Feb/18/22
Description: 0040-13509, Quartz, Bell Jar, ZCoat, Preclean 300mm used
katiil3 Used - $899.00 0 Nov/09/21 Jan/04/22
Description: Applied materials/ AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM Used good
grandbirdnet Used - $1,900.00 1 Sep/16/22 Jun/12/23
Description: AMAT 0190-12126 HEATER, POLYIMIDE, FLAT, LID ASSY, 300MM, USED
grandbirdnet Used - $8,500.00 0 Feb/20/23 Mar/16/23
Description: AMAT 0010-42900 MATCHING UNIT FOR CVD-CO/METAL ALS 300MM, USED