The following pricing information is available for this item. |
Vendor | Condition | Source | List Price | Quantity Sold |
Listed | Ended | |
supertechshop |
Used |
- |
$299.00
|
0 |
Aug/11/12 |
Sep/10/12 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
farmoninc |
NEW |
- |
$1,100.00
|
0 |
Oct/09/12 |
Dec/08/12 |
|
Description: NEW UNIT 1661E MFC, UFC-1661, NH3 gas, 5 SLM range, novellus 22-190305-00 |
farmoninc |
NEW |
- |
$1,100.00
|
0 |
Oct/09/12 |
Dec/08/12 |
|
Description: NEW UNIT 1661 MFC, UFC-1661, NH3 gas, 10 SLM range, novellus 22-144882-00 |
supertechshop |
Used |
- |
$74.99
|
1 |
Oct/26/12 |
Nov/25/12 |
|
Description: AMAT Unit UFC-1100A Mass Flow Controller MFC Gas O2 1-SLM 760 Torr 3030-01366 |
farmoninc |
Used |
- |
$750.00
|
0 |
Nov/01/12 |
Dec/03/15 |
|
Description: Advanced Energy Aera TC-PC-PA7800C MFC, 1 SLM, Ar Gas, Novellus 22-268673-00 |
farmoninc |
Used |
- |
$1,250.00
|
0 |
Nov/01/12 |
Apr/20/23 |
|
Description: Advanced Energy Aera FC-7800CD MFC, 2 SLM, N2 gas, Novellus 22-126240-00 |
farmoninc |
Used |
- |
$750.00
|
0 |
Nov/01/12 |
Apr/20/23 |
|
Description: Aera FC-7810CD MFC, 5 SLM range, NF3(0.500) gas, S6076, Novellus 22-117957-00 |
farmoninc |
Used |
- |
$750.00
|
0 |
Nov/01/12 |
Oct/01/14 |
|
Description: Advanced Energy Aera FC-7810CD MFC, 10 SLM, N2 gas, Novellus 22-117954-00 |
avagohc |
Used |
- |
$1,500.00
|
0 |
Nov/18/10 |
Mar/21/13 |
|
Description: LAM Research Gas Box, Part # 853-490626-200-E8-C221 |
mmmarch67 |
NEW |
- |
$1,490.00
|
0 |
Nov/18/10 |
Feb/06/22 |
|
Description: 810-494010-001 Lam Research A6 Gas Box I/O Interlock PCB NEW!! |
logansemi |
NEW |
- |
$775.00
|
0 |
Sep/20/10 |
May/20/13 |
|
Description: Lam Research Ceramic Gas Ring 716-330068-001 NEW |
usedeqsales |
Used |
- |
$3,503.12
|
1 |
Mar/14/12 |
Apr/17/15 |
|
Description: LAM Research 4420 Etcher 853-024401-100-9 Orbital Gas Panel Assembly as-is |
usedeqsales |
Used |
- |
$1,751.56
|
4 |
Mar/14/12 |
Jun/20/21 |
|
Description: Lam Research 853-024403-100-10 Orbital Gas Panel Assembly 4420 Etcher As-Is |
ntsurplus302 |
Used |
- |
$2,000.00
|
1 |
Oct/24/12 |
Dec/07/12 |
|
Description: 2507 Temptronic SA139590 Gas Dryer |
supertechshop |
Used |
- |
$299.00
|
0 |
Dec/15/12 |
Jan/14/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
athomemarket |
NEW |
- |
$214.99
|
0 |
Dec/14/12 |
Jan/13/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
fa-parts |
Used |
- |
$500.00
|
1 |
Dec/13/12 |
Dec/13/19 |
|
Description: NOVELLUS 02-054128-00 7/8 CHANNEL GAS BOX INTLK FAB 27-054128-00,SCH 76-054128-0 |
systasemi |
Used |
- |
$300.00
|
0 |
Dec/04/12 |
Jan/03/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Dec/04/12 |
Jan/03/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
selectsurplusmd |
NEW |
- |
$499.99
|
0 |
Nov/18/12 |
Dec/18/12 |
|
Description: Novellus New Lot Gas Tube Assembly, Centering Ring + 02-388264-00 16-126002-01 |
macgyvrmdse |
Used |
- |
$32.50
|
1 |
Dec/15/12 |
Dec/18/12 |
|
Description: Tescom Gas Valve PV72HK-2320-159 Mini GasKleen Filter |
usedeqsales |
Used |
- |
$3,507.78
|
1 |
Dec/11/12 |
Dec/18/12 |
|
Description: AMAT Quantum Leap 9010-00049 Gas Box 0010-99158 good working |
capitolareatech |
NEW |
- |
$90.00
|
0 |
Oct/22/12 |
Sep/12/14 |
|
Description: LAM RESEARCH 955-001177-003 TUBE FLEX CHAMBER GAS; 4FT |
athomemarket |
Used |
- |
$284.39
|
0 |
Dec/19/12 |
Jan/18/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$722.69
|
0 |
Dec/19/12 |
Jan/18/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$239.39
|
0 |
Dec/19/12 |
Jan/18/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$916.99
|
0 |
Dec/19/12 |
Jan/18/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$38.69
|
0 |
Dec/19/12 |
Jan/18/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
capitolareatech |
NEW |
- |
$200.00
|
2 |
Aug/24/12 |
Dec/19/12 |
|
Description: PALL GLFPF3000VMM4 FILTER 1/4 VCR/VCO FOR MFC GAS SHELE |
athomemarket |
NEW |
- |
$283.49
|
0 |
Dec/20/12 |
Jan/19/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
mkcraftmkr |
NEW |
- |
$45.00
|
0 |
Dec/23/12 |
Jan/22/13 |
|
Description: Wafergard F Inline Gas Filter 1/4" Compression Seal WGFG06WS1 - New |
bobsgoodies |
NEW |
- |
$7,750.00
|
0 |
Jan/02/13 |
Feb/01/13 |
|
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD |
supertechshop |
NEW |
- |
$99.99
|
0 |
Jan/04/13 |
Feb/03/13 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
capitolareatech |
NEW |
- |
$93.75
|
1 |
Jan/04/13 |
May/30/14 |
|
Description: LAM 839-007173-001 WLDMNT, GAS FEED LINE, FLEX |
capitolareatech |
NEW |
- |
$250.00
|
0 |
Jan/04/13 |
Jun/03/13 |
|
Description: LAM 839-016641-002 WELDMENT, INJECTOR GAS NOZZLE |
capitolareatech |
NEW |
- |
$35.00
|
0 |
Jan/04/13 |
Sep/01/13 |
|
Description: LAM 839-020965-113 PIPE, CENTER GAS FLOW |
capitolareatech |
NEW |
- |
$225.00
|
0 |
Jan/04/13 |
Oct/29/13 |
|
Description: AMAT 0242-38036 KIT GAS NAME LABEL |
capitolareatech |
NEW |
- |
$45.00
|
0 |
Jan/04/13 |
Jun/03/13 |
|
Description: AMAT 0242-38116 KIT GAS FLOW LABEL |
systasemi |
Used |
- |
$300.00
|
0 |
Jan/06/13 |
Feb/05/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Jan/06/13 |
Feb/05/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
athomemarket |
NEW |
- |
$60.29
|
0 |
Jan/07/13 |
Feb/06/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$768.59
|
0 |
Jan/07/13 |
Feb/06/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
tdindustrial |
NEW |
- |
$149.50
|
1 |
Jan/09/13 |
Jan/10/13 |
|
Description: AMAT Part No: 0190-20015 Gas Line No. 3 Preclean Chamber (Reactive Preclean) |
metal505oc |
Used |
- |
$80.99
|
1 |
Jan/02/13 |
Jan/09/13 |
|
Description: GOW-MAC INSTRUMENTS GAS LEAK DETECTOR MODEL 21-250 |
capitolareatech |
NEW |
- |
$40.00
|
20 |
Nov/05/12 |
Jan/09/13 |
|
Description: PALL GLFPF6101VXM4AM GASKLEEN GAS FILTER, Pall; P2106219 MPHK570 |
capitolareatech |
NEW |
- |
$40.00
|
2 |
Jan/04/13 |
Jan/09/13 |
|
Description: FISHER 72F4843 Gas Mask DRAGER PANORAMA NOVA SILICONE P FULL FACE MASK |
ati_semi |
NEW |
- |
$1,500.00
|
2 |
Nov/01/12 |
Jan/09/13 |
|
Description: AXCELIS SOURCE GAS CONTROL USED ON NV6200A NV6200AV 0342-1433-0001 B |
bobsgoodies |
NEW |
- |
$145.00
|
0 |
Jan/11/13 |
Feb/10/13 |
|
Description: Applied Materials 0050-18657 Weldment, Gas Feed, RPSC, Ultima |
bobsgoodies |
NEW |
- |
$160.00
|
0 |
Jan/11/13 |
Feb/10/13 |
|
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines |
bobsgoodies |
Scrap, for parts |
- |
$195.00
|
0 |
Jan/11/13 |
Feb/10/13 |
|
Description: AMAT 0090-35032 Assembly, Arsine Detector 0-1000PPB Type 4565 Gas Transmitter |
bobsgoodies |
NEW |
- |
$199.00
|
0 |
Jan/11/13 |
Feb/10/13 |
|
Description: AMAT 4020-01391 Waferpure CO Gas Purifier Moisture Remover Filter 1PPB WPRV200CO |
athomemarket |
NEW |
- |
$193.49
|
0 |
Jan/13/13 |
Feb/12/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
electronicswest |
NEW |
- |
$1,000.00
|
0 |
Jan/14/13 |
Jan/21/13 |
|
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63 |
usedeqsales |
NEW |
- |
$355.68
|
1 |
Aug/17/12 |
Jan/10/13 |
|
Description: Applied Materials AMAT ESC 3/8OD Gas Lines Kit 0240-40458 New |
surplusdepot1 |
NEW |
- |
$395.00
|
1 |
Jan/02/13 |
Jan/14/13 |
|
Description: NEW FT-IR Purge Gas Generator Parker Balston Analytical Gas Systems 75-45-12VDC |
usedeqsales |
Used |
- |
$5,011.12
|
0 |
Jan/15/13 |
Jan/17/13 |
|
Description: AMAT Quantum Leap 9010-00049 Gas Box 0010-99158 good working |
supertechshop |
Used |
- |
$299.00
|
0 |
Jan/17/13 |
Feb/16/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
NEW |
- |
$499.00
|
0 |
Jan/17/13 |
Feb/16/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
supertechshop |
Used |
- |
$99.99
|
0 |
Jan/17/13 |
Feb/16/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
capitolareatech |
NEW |
- |
$100.00
|
3 |
Jun/11/12 |
Jan/17/13 |
|
Description: AMAT 3870-02042 VALVE GAS NC FVCR X FVCR APTECH 710J0017; APTECH AP3550SM 2PW FV |
bobsgoodies |
Scrap, for parts |
- |
$100.00
|
0 |
Jan/18/13 |
Feb/17/13 |
|
Description: INSITORR SAES Pure Gas, Inc. PS12-IT2000-R SS Assembly |
srlsales |
Used |
- |
$399.00
|
1 |
Jan/18/13 |
Apr/01/14 |
|
Description: Electroglas Temptronic Gas Dryer SA139991 NICE!! |
athomemarket |
Used |
- |
$315.99
|
0 |
Jan/18/13 |
Feb/17/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$802.99
|
0 |
Jan/18/13 |
Feb/17/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$42.99
|
0 |
Jan/18/13 |
Feb/17/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$265.99
|
0 |
Jan/18/13 |
Feb/17/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$916.99
|
0 |
Jan/18/13 |
Feb/17/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$314.99
|
0 |
Jan/19/13 |
Feb/18/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
janlar5 |
Used |
- |
$95.00
|
0 |
Jan/20/13 |
Feb/19/13 |
|
Description: Semi Gas Systems automatic switchover unit, Model AS-200 |
surplusa2z |
NEW |
- |
$2,499.99
|
0 |
Jan/10/13 |
Jan/20/13 |
|
Description: ASML CELERITY 4022.486.05902 GAS PANEL MODULE |
capitolareatech |
NEW |
- |
$40.53
|
0 |
Nov/03/10 |
Sep/13/14 |
|
Description: AMAT TUBE, GAS SUPPLY B&C 0050-09597 |
farmoninc |
NEW |
- |
$108.75
|
10 |
Jan/21/13 |
Oct/30/20 |
|
Description: NEW Novellus 20-102707-00 Millipore WG2F02PS1, Inline gas filter, 1/4" swagelok |
farmoninc |
NEW |
- |
$145.00
|
0 |
Jan/21/13 |
May/13/13 |
|
Description: NEW Novellus 22-043729-00 gas filter, 1/4 VCR, 316L SS, POU-3-SV1 |
electronicswest |
NEW |
- |
$900.00
|
0 |
Jan/21/13 |
Jan/28/13 |
|
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63 |
capitolareatech |
NEW |
- |
$4.72
|
0 |
Sep/29/11 |
May/06/13 |
|
Description: NOVELLUS 16-00104-00 ELB, GAS BOX EXHAUST |
svcstore |
Used |
- |
$20,000.00
|
0 |
Jan/21/13 |
Jan/24/13 |
|
Description: MKS ASTeX REVOLUTION AX7690 REV.C Remote RF Plasma Source Reactive Gas Generator |
capitolareatech |
NEW |
- |
$1.35
|
2 |
Sep/30/11 |
Jan/20/13 |
|
Description: LAM 714-140242-001 STANDOFF GAS FEED 2 |
shadow15b |
NEW |
- |
$200.00
|
2 |
Dec/09/11 |
Jul/22/13 |
|
Description: MYKROLIS WAFERGARD SEMICONDUCTOR GAS FILTER WGMXMSRR2 - 1/4" VCR - NEW IN BOX |
prism_electronics1 |
NEW |
- |
$99.99
|
1 |
Sep/16/11 |
Mar/13/12 |
|
Description: Mykrolis MAX SILVER WGMXMSRR4 Ultrapure Semiconductor Gas Filter |
systechaz6024370100 |
Used |
- |
$249.99
|
1 |
Jan/22/13 |
Feb/23/15 |
|
Description: Applied Materials Model: 0100-00208 Gas Panel III Board, Rev. A < |
metrology123 |
Used |
- |
$1,299.00
|
0 |
Jan/23/13 |
Sep/20/13 |
|
Description: Applied materials 300MM FEOL GAS PANEL INTLK 0090-03870 |
semisouth_labs |
NEW |
- |
$50.00
|
0 |
Jan/28/13 |
Feb/04/13 |
|
Description: Entegris Wafergard Gas Filter - WGFG40KP1 |
usedeqsales |
NEW |
- |
$2,001.13
|
0 |
Jan/28/13 |
May/01/15 |
|
Description: AMAT Applied Materials 0270-05669 Neon Gas Spring Tool Compression new |
electronicswest |
NEW |
- |
$750.00
|
0 |
Jan/29/13 |
Feb/05/13 |
|
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63 |
88ford |
Used |
- |
$999.99
|
1 |
Jan/29/13 |
Jan/29/13 |
|
Description: Johnson Matthey HP-10 Hydrogen Gas Purifier |
capitolareatech |
NEW |
- |
$500.00
|
0 |
Jan/29/13 |
May/29/13 |
|
Description: LAM 716-027963-001 REV A RING WP GENERIC DUAL GAS |
capitolareatech |
NEW |
- |
$495.00
|
0 |
Jan/29/13 |
Sep/26/13 |
|
Description: TOSHIBA TM1S-VR-02PB TM1S-P CE PURE IN-LINE GAS FILTER COULD BE AMAT 4020-01199 |
sparepartssolution |
Used |
- |
$149.99
|
1 |
Jan/30/13 |
Feb/22/13 |
|
Description: (126-0103) AMAT APPLIED MATERIALS 0050-21983 GAS LINE USED WORKING |
gesemiconductor |
NEW |
- |
$150.00
|
0 |
Jan/31/13 |
Dec/19/17 |
|
Description: New ASM 16-196830-01 Retainer Reactor Gas Line |
gesemiconductor |
NEW |
- |
$160.00
|
0 |
Jan/31/13 |
Dec/19/17 |
|
Description: New ASM 16-196828-01 Retainer Reactor Gas Line |
gesemiconductor |
NEW |
- |
$65.00
|
0 |
Jan/31/13 |
Dec/19/17 |
|
Description: New ASM 1012-272-01 Line Gas OW Adapter Gasline Rev.A |
gesemiconductor |
NEW |
- |
$565.00
|
0 |
Jan/31/13 |
Dec/19/17 |
|
Description: New ASM 1012-264-01 Line Gas OW PCSR Inlet 1-MXG Manifold ALDG |
gesemiconductor |
NEW |
- |
$480.00
|
0 |
Jan/31/13 |
Dec/19/17 |
|
Description: New ASM 1042-219-01 Panel Door Gas Box Rear |
gesemiconductor |
NEW |
- |
$640.00
|
0 |
Jan/31/13 |
Dec/19/17 |
|
Description: New ASM 1042-220-01 Panel Door Gas Box Side |
gesemiconductor |
NEW |
- |
$1,485.00
|
0 |
Jan/31/13 |
Dec/19/17 |
|
Description: New ASM 1034-770-01 Enclosure Gas Panel Rear |
dts_ind_llc |
NEW |
- |
$112.25
|
2 |
Jan/30/13 |
May/15/13 |
|
Description: New Pall Gaskleen GLF6101SM4S Gas Filter 6101 Series |
surplusa2z |
NEW |
- |
$2,499.99
|
0 |
Jan/30/13 |
Feb/09/13 |
|
Description: ASML CELERITY 4022.486.05902 GAS PANEL MODULE |
surplusssam |
Used |
- |
$689.99
|
1 |
Feb/01/13 |
Feb/11/13 |
|
Description: HONEYWELL MIDAS-E-PH3 TRANSMITTER WITH SENSOR CARTRIDGE GAS DETECTOR |
bobsgoodies |
NEW |
- |
$7,750.00
|
0 |
Feb/01/13 |
Mar/03/13 |
|
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD |
outback6 |
Used |
- |
$2,999.99
|
1 |
Feb/17/11 |
Jan/31/13 |
|
Description: C77139 Unisem Co., Ltd. UN2004A-PGD Gas Scrubber |
usedeqsales |
Used |
- |
$2,501.07
|
1 |
Feb/01/13 |
Mar/29/13 |
|
Description: Delatech CDO 862 Gas Scrubber TE-7-MD-CK as-is 979450 |
esolutions1 |
NEW |
- |
$89.00
|
1 |
Feb/02/13 |
Sep/25/14 |
|
Description: Applied Materials 0020-22846 GAS TRENCH COVER AMAT |
esolutions1 |
NEW |
- |
$89.10
|
0 |
Feb/02/13 |
Dec/21/14 |
|
Description: APPLIED MATERIALS AMAT 0242-34634 KIT, MOUNTING BRACKET GAS LINE TO FI LEFT,300 |
capitolareatech |
NEW |
- |
$350.00
|
0 |
Feb/05/13 |
Sep/03/13 |
|
Description: LAM 853-021640-001 WELDMT PRIM SHUTOFF GAS 6 7 1 |
electronicswest |
NEW |
- |
$500.00
|
0 |
Feb/05/13 |
Feb/12/13 |
|
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63 |
capitolareatech |
NEW |
- |
$288.00
|
0 |
Feb/05/13 |
Jun/05/13 |
|
Description: AMAT 734-092038-003 O-RING 10-1/2ID*1/8CS CMRZ513 (OUTER GAS |
capitolareatech |
NEW |
- |
$300.00
|
0 |
Feb/05/13 |
Jun/05/13 |
|
Description: LAM 839-014453-001 WELDMENT GAS DELIVERY |
supertechshop |
NEW |
- |
$99.99
|
0 |
Feb/05/13 |
Mar/07/13 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
athomemarket |
NEW |
- |
$66.99
|
0 |
Feb/06/13 |
Mar/08/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$853.99
|
0 |
Feb/06/13 |
Mar/08/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
d-dog0818 |
Used |
- |
$284.95
|
0 |
Feb/10/13 |
Feb/06/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
bobsgoodies |
Scrap, for parts |
- |
$75.00
|
0 |
Feb/11/13 |
Mar/07/13 |
|
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C |
bobsgoodies |
NEW |
- |
$160.00
|
0 |
Feb/11/13 |
Mar/13/13 |
|
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines |
bobsgoodies |
NEW |
- |
$199.00
|
0 |
Feb/11/13 |
Mar/13/13 |
|
Description: AMAT 4020-01391 Waferpure CO Gas Purifier Moisture Remover Filter 1PPB WPRV200CO |
bobsgoodies |
Scrap, for parts |
- |
$195.00
|
0 |
Feb/11/13 |
Mar/13/13 |
|
Description: AMAT 0090-35032 Assembly, Arsine Detector 0-1000PPB Type 4565 Gas Transmitter |
systasemi |
Used |
- |
$300.00
|
0 |
Feb/13/13 |
Mar/15/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Feb/13/13 |
Mar/15/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
electronicswest |
NEW |
- |
$400.00
|
0 |
Feb/13/13 |
Feb/20/13 |
|
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63 |
farmoninc |
NEW |
- |
$125.00
|
0 |
Feb/13/13 |
Apr/11/18 |
|
Description: NEW Watlow ES2951 Gas line heater jacket, Novellus 34-261375-00 |
athomemarket |
NEW |
- |
$214.99
|
0 |
Feb/13/13 |
Mar/15/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
capitolareatech |
NEW |
- |
$90.00
|
1 |
Feb/14/13 |
Mar/14/13 |
|
Description: AMAT 0200-35295 HOUSING, GAS FEED, DPS A3 CHAMBER |
athomemarket |
Used |
- |
$315.99
|
0 |
Feb/18/13 |
Mar/20/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$42.99
|
0 |
Feb/18/13 |
Mar/20/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$265.99
|
0 |
Feb/18/13 |
Mar/20/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$916.99
|
0 |
Feb/18/13 |
Mar/20/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$802.99
|
0 |
Feb/18/13 |
Mar/20/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$314.99
|
0 |
Feb/19/13 |
Mar/21/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
supertechshop |
Used |
- |
$299.00
|
0 |
Feb/19/13 |
Mar/21/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
NEW |
- |
$499.00
|
0 |
Feb/19/13 |
Mar/21/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
supertechshop |
Used |
- |
$99.99
|
0 |
Feb/19/13 |
Mar/21/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
electronicswest |
NEW |
- |
$300.00
|
0 |
Feb/21/13 |
Feb/26/13 |
|
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63 |
electronicswest |
NEW |
- |
$300.00
|
0 |
Feb/26/13 |
Mar/08/13 |
|
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63 |
smtechkoreacom |
NEW |
- |
$478.00
|
0 |
Feb/28/13 |
Sep/26/13 |
|
Description: Applied Materials 0050-30928 INSERT GAS FEED SGD B&D R2 5000, FLEXIBL AMAT |
bobsgoodies |
NEW |
- |
$7,750.00
|
0 |
Mar/04/13 |
Mar/18/13 |
|
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD |
tdindustrial |
Refurbished |
- |
$150.00
|
0 |
Mar/06/13 |
Aug/23/20 |
|
Description: ORBA TECH Recert, Gas Line w/Filter P/N: LAM 839-332254-004 Sealed |
logansemi |
NEW |
- |
$60.00
|
0 |
Mar/07/13 |
Jul/05/13 |
|
Description: Lam Research Gas Inlet Weldment Manifold, 839-008054-001 |
bobsgoodies |
Scrap, for parts |
- |
$75.00
|
0 |
Mar/07/13 |
Apr/06/13 |
|
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C |
bobsgoodies |
NEW |
- |
$175.00
|
0 |
Mar/07/13 |
Jul/09/13 |
|
Description: Applied Materials 0150-09373 Cable Heated Gas Line Chamber B |
supertechshop |
NEW |
- |
$99.99
|
17 |
Mar/07/13 |
Apr/01/13 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
athomemarket |
NEW |
- |
$66.99
|
0 |
Mar/09/13 |
Apr/08/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$853.99
|
0 |
Mar/09/13 |
Apr/08/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
bobsgoodies |
NEW |
- |
$160.00
|
0 |
Mar/13/13 |
Apr/12/13 |
|
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines |
bobsgoodies |
NEW |
- |
$117.00
|
0 |
Mar/13/13 |
Apr/12/13 |
|
Description: AMAT 4020-01391 Waferpure CO Gas Purifier Moisture Remover Filter 1PPB WPRV200CO |
bobsgoodies |
Scrap, for parts |
- |
$195.00
|
0 |
Mar/13/13 |
Apr/12/13 |
|
Description: AMAT 0090-35032 Assembly, Arsine Detector 0-1000PPB Type 4565 Gas Transmitter |
tdindustrial |
NEW |
- |
$43.75
|
0 |
Mar/13/13 |
Nov/19/21 |
|
Description: LAM Gas Assembly 839-024371-100 Rev D, New |
tdindustrial |
Refurbished |
- |
$1,197.00
|
0 |
Mar/13/13 |
Nov/01/18 |
|
Description: LAM Top Gas Disk 716-331157-014 Refurbished |
athomemarket |
NEW |
- |
$214.99
|
0 |
Mar/15/13 |
Apr/14/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
systasemi |
Used |
- |
$300.00
|
0 |
Mar/15/13 |
Apr/14/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Mar/15/13 |
Apr/14/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
bobsgoodies |
NEW |
- |
$7,750.00
|
0 |
Mar/18/13 |
Apr/17/13 |
|
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD |
capitolareatech |
NEW |
- |
$95.00
|
0 |
Mar/18/13 |
May/13/13 |
|
Description: APPLIED MATERIALS 0020-31692 FTG,ELBOW,GAS SUPPLY, UNIBODY |
capitolareatech |
NEW |
- |
$2,869.71
|
0 |
Mar/19/13 |
Sep/15/13 |
|
Description: AMAT 0150-04505 CABLE ASSY SYSTEM INTLK GAS PNL INTLK, P |
capitolareatech |
NEW |
- |
$350.00
|
1 |
Mar/19/13 |
Apr/19/13 |
|
Description: AMAT 0100-09106 PCB ASSY EXPANDED GAS PANEL |
capitolareatech |
NEW |
- |
$950.00
|
0 |
Mar/19/13 |
Sep/15/13 |
|
Description: AMAT 0020-31536 PLATE, GAS DIST.,STEALTH OXIDE , 96 HOLE |
capitolareatech |
NEW |
- |
$131.25
|
0 |
Mar/19/13 |
Sep/10/14 |
|
Description: AMAT 0100-35148 PCBA, TWO CHBR GAS BACKPLANE |
capitolareatech |
NEW |
- |
$15.00
|
0 |
Mar/19/13 |
Sep/15/13 |
|
Description: LAM 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS. |
capitolareatech |
NEW |
- |
$25.00
|
0 |
Mar/19/13 |
Sep/15/13 |
|
Description: LAM 715-031458-002 BRACKET GAS FEED TUBE FOR NEW DIS FILTER |
capitolareatech |
NEW |
- |
$150.00
|
0 |
Mar/19/13 |
May/31/13 |
|
Description: APPLIED MATERIALS (AMAT) 4020-01192 FILTER,GAS BOX CORROSIVE |
capitolareatech |
NEW |
- |
$5.63
|
0 |
Mar/19/13 |
Sep/10/14 |
|
Description: AMAT 0020-34265 BRKT,GAS LINES,SIDE,TOP,CENTURA |
capitolareatech |
NEW |
- |
$46.87
|
0 |
Mar/19/13 |
Sep/10/14 |
|
Description: AMAT 0050-31597 CH A MXP,PROCESS #3 PH II CMN MNFRM,CHAMBER A GAS LINE TO FRAME |
capitolareatech |
NEW |
- |
$70.31
|
4 |
Mar/20/13 |
Aug/04/14 |
|
Description: APPLIED MATERIALS (AMAT) 4020-01145 FILTER, INLINE, GAS, UHP |
athomemarket |
Used |
- |
$315.99
|
0 |
Mar/20/13 |
Apr/19/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$265.99
|
0 |
Mar/20/13 |
Apr/19/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$802.99
|
0 |
Mar/20/13 |
Apr/19/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$916.99
|
0 |
Mar/20/13 |
Apr/19/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$42.99
|
0 |
Mar/20/13 |
Apr/19/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$314.99
|
0 |
Mar/21/13 |
Apr/20/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
supertechshop |
Used |
- |
$299.00
|
0 |
Mar/22/13 |
Apr/21/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$99.99
|
0 |
Mar/22/13 |
Apr/21/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
supertechshop |
NEW |
- |
$499.00
|
0 |
Mar/22/13 |
Apr/21/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
bobsgoodies |
Used |
- |
$250.00
|
0 |
Mar/23/13 |
Apr/22/13 |
|
Description: SMC Sierra Monitor Corp Hydride (Silane) Gas Monitor CM98-411 AMAT 0190-36566 |
semiguy2596 |
NEW |
- |
$0.99
|
0 |
Mar/26/13 |
Apr/02/13 |
|
Description: NO RESERVE!!! AMAT MXP gas fitting weldment 3300-01904 |
bonezwear |
NEW |
- |
$225.00
|
0 |
Mar/30/13 |
Apr/18/13 |
|
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line |
semiguy2596 |
NEW |
- |
$0.99
|
0 |
Apr/03/13 |
Apr/10/13 |
|
Description: NO RESERVE!!! AMAT MXP gas fitting weldment 3300-01904 |
bobsgoodies |
Scrap, for parts |
- |
$25.00
|
0 |
Apr/08/13 |
May/08/13 |
|
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C |
mayraytan |
NEW |
- |
$395.00
|
0 |
Apr/08/13 |
Sep/05/13 |
|
Description: Applied Materials, AMAT, Pall,In-Line VCR Gas Filter, GLF6101VM6/8, 4020-01072 |
mayraytan |
Used |
- |
$325.00
|
0 |
Apr/08/13 |
Nov/06/13 |
|
Description: Applied Materials, AMAT, Pall, In-Line VCR Gas Filter, GLFPF3111VMM4, 4020-01409 |
electronicswest |
NEW |
- |
$250.00
|
1 |
Apr/08/13 |
Apr/08/13 |
|
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63 |
athomemarket |
Used |
- |
$4,465.99
|
0 |
Apr/08/13 |
Apr/15/13 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
athomemarket |
NEW |
- |
$66.99
|
0 |
Apr/08/13 |
May/08/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$853.99
|
0 |
Apr/08/13 |
May/08/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
smartelektronikgmbh |
NEW |
- |
$117.00
|
0 |
Apr/10/13 |
Jun/23/16 |
|
Description: AMAT 0040-39482 // BRACKET GAS LINE MIDDLE CH. A/B/C |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Apr/15/13 |
Apr/22/13 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
mayraytan |
Used |
- |
$9.25
|
2 |
Apr/14/13 |
May/24/18 |
|
Description: Applied Materials AMAT Gas Line, 0050-14441 |
athomemarket |
NEW |
- |
$214.99
|
0 |
Apr/14/13 |
May/14/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
systasemi |
Used |
- |
$300.00
|
0 |
Apr/14/13 |
May/14/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Apr/14/13 |
May/14/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
bobsgoodies |
NEW |
- |
$7,750.00
|
0 |
Apr/17/13 |
May/17/13 |
|
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD |
athomemarket |
Used |
- |
$4,465.99
|
0 |
Apr/17/13 |
May/17/13 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
bonezwear |
NEW |
- |
$225.00
|
0 |
Apr/18/13 |
May/18/13 |
|
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line |
athomemarket |
Used |
- |
$315.99
|
0 |
Apr/19/13 |
May/19/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$802.99
|
0 |
Apr/19/13 |
May/19/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$916.99
|
0 |
Apr/19/13 |
May/19/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$265.99
|
0 |
Apr/19/13 |
May/19/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$42.99
|
0 |
Apr/19/13 |
May/19/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$314.99
|
0 |
Apr/20/13 |
May/20/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
supertechshop |
Used |
- |
$299.00
|
0 |
Apr/21/13 |
May/21/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$99.99
|
0 |
Apr/21/13 |
May/21/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
supertechshop |
NEW |
- |
$499.00
|
0 |
Apr/21/13 |
May/21/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
bobsgoodies |
Used |
- |
$250.00
|
0 |
Apr/23/13 |
May/17/13 |
|
Description: SMC Sierra Monitor Corp Hydride (Silane) Gas Monitor CM98-411 AMAT 0190-36566 |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Apr/23/13 |
May/23/13 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
semiguy2596 |
NEW |
- |
$0.99
|
0 |
Apr/25/13 |
May/02/13 |
|
Description: NO RESERVE!!! AMAT MXP gas fitting weldment 3300-01904 |
supertechshop |
NEW |
- |
$99.99
|
0 |
Apr/25/13 |
May/25/13 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
nationwidehytech |
NEW |
- |
$31,200.00
|
0 |
May/05/13 |
Sep/02/13 |
|
Description: LAM Research 853-024401-100 Gas Box NEW |
athomemarket |
Used |
- |
$3,999.99
|
0 |
May/07/13 |
May/14/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,299.99
|
0 |
May/07/13 |
May/14/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
capitolareatech |
NEW |
- |
$16.50
|
10 |
May/08/13 |
Sep/26/13 |
|
Description: APPLIED MATERIALS (AMAT) 3700-01455 O-RING, GAS PASSAGE KAL |
capitolareatech |
NEW |
- |
$700.00
|
0 |
May/08/13 |
Sep/05/13 |
|
Description: AMAT 0090-00811 ASSEMBLY, PCB DUAL GAS LEAK DETECTOR |
capitolareatech |
NEW |
- |
$900.00
|
0 |
May/08/13 |
Sep/05/13 |
|
Description: AMAT 0050-09877 GAS FEED WELDMENT W/ELECTRODE PRSP |
sisco-deals |
Used |
- |
$85.00
|
2 |
Sep/27/12 |
May/07/13 |
|
Description: MILLIPORE WGGB01KAN GAS GN GUN WAFERGARD WGGB 01 KAN |
athomemarket |
NEW |
- |
$66.99
|
0 |
May/09/13 |
Jun/08/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$853.99
|
0 |
May/09/13 |
Jun/08/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
bobsgoodies |
Scrap, for parts |
- |
$25.00
|
0 |
May/10/13 |
May/17/13 |
|
Description: Applied Materials 0100-09115 Gas Panel Analog Board Assembly, Rev. C |
athomemarket |
Used |
- |
$3,999.99
|
0 |
May/15/13 |
Jun/14/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,299.99
|
0 |
May/15/13 |
Jun/14/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
NEW |
- |
$214.99
|
0 |
May/15/13 |
Jun/14/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
systasemi |
Used |
- |
$300.00
|
0 |
May/15/13 |
Jun/14/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
May/15/13 |
Jun/14/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
athomemarket |
Used |
- |
$4,465.99
|
0 |
May/17/13 |
Jun/16/13 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
logansemi |
NEW |
- |
$499.00
|
0 |
May/20/13 |
May/27/13 |
|
Description: Lam Research Ceramic Gas Ring 716-330068-001 NEW |
athomemarket |
Used |
- |
$315.99
|
0 |
May/20/13 |
Jun/19/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$802.99
|
0 |
May/20/13 |
Jun/19/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$265.99
|
0 |
May/20/13 |
Jun/19/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$916.99
|
0 |
May/20/13 |
Jun/19/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$42.99
|
0 |
May/20/13 |
Jun/19/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$314.99
|
0 |
May/21/13 |
Jun/20/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
supertechshop |
Used |
- |
$299.00
|
0 |
May/22/13 |
Jun/21/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$99.99
|
0 |
May/22/13 |
Jun/21/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
supertechshop |
NEW |
- |
$499.00
|
0 |
May/22/13 |
Jun/21/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
athomemarket |
Used |
- |
$3,999.99
|
0 |
May/23/13 |
Jun/22/13 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
bonezwear |
NEW |
- |
$225.00
|
0 |
May/23/13 |
May/31/13 |
|
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line |
supertechshop |
NEW |
- |
$99.99
|
0 |
May/29/13 |
Jun/28/13 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
usedeqsales |
NEW |
- |
$306.13
|
0 |
May/31/13 |
May/05/15 |
|
Description: T.E.M. Filter Co. TEM1515-8P Inline Gas Filter AMAT No: 4020-01206 New |
bonezwear |
NEW |
- |
$195.00
|
0 |
May/31/13 |
Jun/30/13 |
|
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line |
bobsgoodies |
NEW |
- |
$117.00
|
0 |
Jun/07/13 |
Jul/09/13 |
|
Description: AMAT 4020-01391 Waferpure CO Gas Purifier Moisture Remover Filter 1PPB WPRV200CO |
bobsgoodies |
NEW |
- |
$160.00
|
0 |
Jun/07/13 |
Jul/09/13 |
|
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines |
athomemarket |
NEW |
- |
$699.99
|
0 |
Jun/08/13 |
Jul/08/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$66.99
|
0 |
Jun/08/13 |
Jul/08/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Jun/14/13 |
Jul/14/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,299.99
|
0 |
Jun/14/13 |
Jul/14/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
NEW |
- |
$214.99
|
0 |
Jun/14/13 |
Jul/14/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
systasemi |
Used |
- |
$300.00
|
0 |
Jun/16/13 |
Jul/16/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Jun/16/13 |
Jul/16/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
athomemarket |
Used |
- |
$4,465.99
|
0 |
Jun/17/13 |
Jul/17/13 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
athomemarket |
Used |
- |
$315.99
|
0 |
Jun/19/13 |
Jul/19/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$42.99
|
0 |
Jun/19/13 |
Jul/19/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$699.99
|
0 |
Jun/19/13 |
Jul/19/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$916.99
|
0 |
Jun/19/13 |
Jul/19/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$265.99
|
0 |
Jun/19/13 |
Jul/19/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
capitolareatech |
NEW |
- |
$67.50
|
0 |
Jun/19/13 |
Sep/17/13 |
|
Description: APPLIED MATERIAL (AMAT) 0050-10140 FTG, GAS SUPPLY, ADAPTER RING |
capitolareatech |
Refurbished |
- |
$140.00
|
0 |
Jun/19/13 |
Sep/17/13 |
|
Description: AMAT 0020-26967 PC II GAS TRENCH COVER |
capitolareatech |
NEW |
- |
$10.75
|
0 |
Jun/19/13 |
Sep/12/14 |
|
Description: AMAT 0050-09595 TUBE,GAS SUPPLY,POS A&D EGEC |
capitolareatech |
NEW |
- |
$95.00
|
3 |
Jun/19/13 |
Feb/05/14 |
|
Description: APPLIED MATERIALS 0020-31692 FTG,ELBOW,GAS SUPPLY, UNIBODY |
capitolareatech |
NEW |
- |
$85.39
|
0 |
Jun/19/13 |
Sep/17/13 |
|
Description: LAM 775-008331-001 FILTER VENT GAS LINE LOAD LOCK WGFG01RH2 |
capitolareatech |
NEW |
- |
$168.00
|
1 |
Jun/19/13 |
Nov/05/13 |
|
Description: LAM 839-014757-057 WELDMT GAS FEED LINE FLEX 57" |
supertechshop |
Used |
- |
$299.00
|
0 |
Jun/21/13 |
Jul/21/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
capitolareatech |
NEW |
- |
$100.00
|
2 |
Jun/21/13 |
Jul/23/13 |
|
Description: APPLIED MATERIAL (AMAT) 0200-36680 LINER, QUARTZ, UPPER, GAS DIST, GROUND, |
capitolareatech |
NEW |
- |
$95.63
|
0 |
Jun/21/13 |
Sep/14/14 |
|
Description: APPLIED MATERIAL (AMAT) 0100-35267 PCBA, GAS PALLET INTERLOCKS MATRIX, |
athomemarket |
NEW |
- |
$314.99
|
0 |
Jun/20/13 |
Jul/20/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
supertechshop |
Used |
- |
$99.99
|
0 |
Jun/21/13 |
Jul/21/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
supertechshop |
NEW |
- |
$499.00
|
0 |
Jun/21/13 |
Jul/18/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Jun/22/13 |
Jul/22/13 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
capitolareatech |
NEW |
- |
$47.81
|
0 |
Jun/25/13 |
Sep/18/14 |
|
Description: LAM 716-801306-004 WINDOW, GAS INJECTION FIREPOL QTZ |
capitolareatech |
NEW |
- |
$159.37
|
0 |
Jun/25/13 |
Sep/18/14 |
|
Description: NOVELLUS 10-155415-00 GAS DISTRIBUTION MANIFOLD |
capitolareatech |
NEW |
- |
$79.69
|
0 |
Jun/28/13 |
Sep/21/14 |
|
Description: AMAT 0020-42262 MANIFOLD GAS OUT BWCVD |
bonezwear |
NEW |
- |
$195.00
|
0 |
Jun/30/13 |
Jul/12/13 |
|
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line |
allpart2013 |
Used |
- |
$600.00
|
0 |
Jul/01/13 |
Aug/03/13 |
|
Description: AMAT 0090-00811 ASSEMBLY, PCB DUAL GAS LEAK DETECTOR 30 DAY WARRANTY |
supertechshop |
NEW |
- |
$99.99
|
0 |
Jul/02/13 |
Aug/01/13 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
allpart2013 |
Used |
- |
$600.00
|
0 |
Jul/03/13 |
Aug/03/13 |
|
Description: AMAT 0090-00811 ASSEMBLY, PCB DUAL GAS LEAK DETECTOR card |
wedone |
NEW |
- |
$365.00
|
0 |
Jul/05/13 |
Aug/04/13 |
|
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP |
dr.fantom |
Used |
- |
$250.00
|
0 |
Jul/08/13 |
Feb/12/15 |
|
Description: Applied Materials GAS BOX DISTRIBUTION 0100-00567 SCHEM REF 0130-00567 Board |
athomemarket |
NEW |
- |
$60.29
|
0 |
Jul/08/13 |
Aug/07/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$629.99
|
0 |
Jul/08/13 |
Aug/07/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
athomemarket |
Used |
- |
$4,019.39
|
0 |
Jul/17/13 |
Aug/16/13 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
athomemarket |
NEW |
- |
$193.49
|
0 |
Jul/14/13 |
Aug/13/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
bonezwear |
NEW |
- |
$175.00
|
0 |
Jul/12/13 |
Jul/31/13 |
|
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line |
systasemi |
Used |
- |
$300.00
|
0 |
Jul/17/13 |
Aug/16/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Jul/17/13 |
Aug/16/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
athomemarket |
Used |
- |
$284.39
|
0 |
Jul/19/13 |
Aug/18/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$629.99
|
0 |
Jul/19/13 |
Aug/18/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$825.29
|
0 |
Jul/19/13 |
Aug/18/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$38.69
|
0 |
Jul/19/13 |
Aug/18/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$239.39
|
0 |
Jul/19/13 |
Aug/18/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
odysseystudios |
Used |
- |
$1,900.00
|
0 |
Jul/19/13 |
Oct/14/14 |
|
Description: Novellus 02-266868-00 SESIOC 0 IXT HV Gas Box Firmware Ver 4.72 with Warranty |
athomemarket |
NEW |
- |
$283.49
|
0 |
Jul/20/13 |
Aug/19/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
supertechshop |
NEW |
- |
$499.00
|
0 |
Jul/20/13 |
Aug/19/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
athomemarket |
Used |
- |
$3,599.99
|
0 |
Jul/22/13 |
Aug/21/13 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
supertechshop |
Used |
- |
$299.00
|
0 |
Jul/24/13 |
Aug/23/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$99.99
|
0 |
Jul/24/13 |
Aug/23/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
farmoninc |
NEW |
- |
$50.00
|
0 |
Jul/26/13 |
Apr/14/14 |
|
Description: 3 new AMAT 0020-12752 nut, thumb, block, clean, gas feed |
farmoninc |
Used |
- |
$150.00
|
0 |
Jul/30/13 |
May/18/23 |
|
Description: AMAT 0021-12759 bracket support gas lines SST HTR 300mm |
odysseystudios |
NEW |
- |
$900.00
|
0 |
Jul/30/13 |
Mar/20/20 |
|
Description: NEW Applied Materials 0040-08492 Gas Distribution Plate with 60 day warranty |
bonezwear |
NEW |
- |
$175.00
|
1 |
Jul/31/13 |
Aug/08/13 |
|
Description: Lam Research ~ Weldment 839-014757-067 Flex Gas Feed Line |
svcstore |
Used |
- |
$1,999.99
|
0 |
Jul/31/13 |
Aug/03/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
allpart2013 |
Used |
- |
$600.00
|
0 |
Aug/03/13 |
Sep/02/19 |
|
Description: AMAT 0090-00811 ASSEMBLY, PCB DUAL GAS LEAK DETECTOR card |
farmoninc |
NEW |
- |
$200.00
|
0 |
Aug/03/13 |
May/18/23 |
|
Description: 2 AMAT 0020-13873 Ball Stud LWR Gas Spring 323580 |
svcstore |
Used |
- |
$1,999.99
|
0 |
Aug/03/13 |
Aug/06/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
wtservicecompany2011 |
NEW |
- |
$25,000.00
|
0 |
Aug/05/13 |
Oct/15/13 |
|
Description: 0200-06615 AMAT LID HPM DUAL GAS FLAT IEP 300MM DPS232 |
wedone |
NEW |
- |
$265.00
|
0 |
Aug/05/13 |
Sep/04/13 |
|
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP |
supertechshop |
NEW |
- |
$99.99
|
0 |
Aug/05/13 |
Sep/04/13 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
farmoninc |
NEW |
- |
$300.00
|
0 |
Aug/05/13 |
May/18/23 |
|
Description: 2 new AMAT 0040-97414 Gas line pigtail, SDS BF3 fujikin U/C |
farmoninc |
NEW |
- |
$100.00
|
0 |
Aug/06/13 |
May/18/23 |
|
Description: AMAT 0020-13873 ball stud LWR gas spring |
svcstore |
Used |
- |
$1,999.99
|
0 |
Aug/06/13 |
Aug/09/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$629.99
|
0 |
Aug/07/13 |
Sep/06/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$60.29
|
0 |
Aug/07/13 |
Sep/06/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
farmoninc |
NEW |
- |
$950.00
|
0 |
Aug/09/13 |
May/14/21 |
|
Description: AMAT 0050-06327 gas manifold w/4 isolation valves |
svcstore |
Used |
- |
$1,999.99
|
0 |
Aug/09/13 |
Aug/12/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Aug/09/13 |
Aug/12/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
farmoninc |
Used |
- |
$950.00
|
0 |
Aug/12/13 |
Jan/25/17 |
|
Description: AMAT 0200-09200 plate gas dist upper quartz 8" |
farmoninc |
Used |
- |
$10,000.00
|
0 |
Aug/12/13 |
Aug/16/13 |
|
Description: AMAT 0200-09200 plate gas dist upper quartz 8" |
svcstore |
Used |
- |
$1,999.99
|
0 |
Aug/12/13 |
Aug/15/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Aug/12/13 |
Aug/15/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$193.49
|
0 |
Aug/13/13 |
Sep/12/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
athomemarket |
Used |
- |
$2,969.99
|
0 |
Aug/13/13 |
Sep/12/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,599.99
|
0 |
Aug/13/13 |
Sep/12/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
capitolareatech |
NEW |
- |
$750.00
|
0 |
Aug/14/13 |
Oct/08/14 |
|
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS) |
farmoninc |
NEW |
- |
$750.00
|
0 |
Aug/14/13 |
Mar/06/15 |
|
Description: 3 new AMAT 0050-40928 gas line IHC DUMP 2 ULTIMA HDPCVD |
hot!cardz |
NEW |
- |
$99,500.00
|
0 |
Aug/15/13 |
Aug/25/13 |
|
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials |
hot!cardz |
NEW |
- |
$6,000.00
|
0 |
Aug/15/13 |
Aug/25/13 |
|
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials |
svcstore |
Used |
- |
$1,999.99
|
0 |
Aug/15/13 |
Aug/18/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Aug/15/13 |
Aug/18/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
gesemiconductor |
NEW |
- |
$400.00
|
2 |
Aug/16/13 |
Apr/14/15 |
|
Description: New Applied Materials 0020-33808 Adapter Gas Feed DPS+ Poly |
athomemarket |
Used |
- |
$4,019.39
|
0 |
Aug/16/13 |
Sep/15/13 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
athomemarket |
Used |
- |
$284.39
|
0 |
Aug/18/13 |
Sep/17/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$825.29
|
0 |
Aug/18/13 |
Sep/17/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$629.99
|
0 |
Aug/18/13 |
Sep/17/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$38.69
|
0 |
Aug/18/13 |
Sep/17/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$239.39
|
0 |
Aug/18/13 |
Sep/17/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
systasemi |
Used |
- |
$300.00
|
0 |
Aug/18/13 |
Sep/17/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Aug/18/13 |
Sep/17/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
svcstore |
Used |
- |
$1,999.99
|
0 |
Aug/18/13 |
Aug/21/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Aug/18/13 |
Aug/21/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$283.49
|
0 |
Aug/19/13 |
Sep/18/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
supertechshop |
NEW |
- |
$499.00
|
0 |
Aug/21/13 |
Sep/20/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
svcstore |
Used |
- |
$1,999.99
|
0 |
Aug/21/13 |
Aug/24/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$3,599.99
|
0 |
Aug/22/13 |
Sep/21/13 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcstore |
NEW |
- |
$399.99
|
0 |
Aug/22/13 |
Aug/25/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
NEW |
- |
$399.99
|
0 |
Aug/28/13 |
Aug/31/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
gesemiconductor |
Used |
- |
$420.00
|
1 |
Aug/27/13 |
May/12/14 |
|
Description: Applied Materials 0020-33804 Gas Feed Adapter DPS Metal |
hot!cardz |
NEW |
- |
$6,000.00
|
0 |
Aug/27/13 |
Sep/06/13 |
|
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials |
hot!cardz |
NEW |
- |
$99,500.00
|
0 |
Aug/27/13 |
Sep/06/13 |
|
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials |
semisparesinc |
NEW |
- |
$375.00
|
2 |
Aug/26/13 |
Aug/24/14 |
|
Description: AMAT Applied Materials 0020-31492 Plate Gas Distribution |
svcstore |
Used |
- |
$1,999.99
|
0 |
Aug/30/13 |
Sep/02/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Aug/31/13 |
Sep/03/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Sep/05/13 |
Sep/08/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
bobsgoodies |
NEW |
- |
$160.00
|
0 |
Sep/04/13 |
Jun/17/14 |
|
Description: Applied Materials 0020-76980 Mount, Clamp, Rear Chamber A&B, Centura gas lines |
supertechshop |
NEW |
- |
$99.99
|
0 |
Sep/06/13 |
Oct/06/13 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
athomemarket |
NEW |
- |
$629.99
|
0 |
Sep/06/13 |
Oct/06/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$60.29
|
0 |
Sep/06/13 |
Oct/06/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
svcstore |
Used |
- |
$1,999.99
|
0 |
Sep/08/13 |
Sep/11/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
hot!cardz |
NEW |
- |
$6,000.00
|
0 |
Sep/09/13 |
Sep/19/13 |
|
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials |
hot!cardz |
NEW |
- |
$99,500.00
|
0 |
Sep/09/13 |
Sep/19/13 |
|
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials |
svcstore |
NEW |
- |
$399.99
|
0 |
Sep/09/13 |
Sep/12/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
farmoninc |
NEW |
- |
$1,500.00
|
1 |
Sep/09/13 |
Dec/29/14 |
|
Description: AMAT 0021-23644-001 30056500-173, 0021-88686 gas feed assy AGN IEP 300MM |
farmoninc |
NEW |
- |
$1,500.00
|
0 |
Sep/09/13 |
May/22/23 |
|
Description: AMAT 0021-16355-003 11858400, 0021-88686 gas feed assy AGN IEP 300MM |
svcstore |
Used |
- |
$1,999.99
|
0 |
Sep/11/13 |
Sep/14/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
capitolareatech |
NEW |
- |
$170.00
|
1 |
Sep/12/13 |
Oct/17/13 |
|
Description: AMAT 4020-01061 GLFPF6101VXM4 FLTR IN-LN GAS 6101PSIG 1/4-GSKT MLIN M-VCR SST |
capitolareatech |
NEW |
- |
$33.75
|
0 |
Sep/12/13 |
Oct/07/14 |
|
Description: AMAT 0021-36821 BRKT, FLAT, VERIFLO, SINGLE VALVE, GAS P |
athomemarket |
NEW |
- |
$193.49
|
0 |
Sep/12/13 |
Oct/12/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
NEW |
- |
$399.99
|
0 |
Sep/12/13 |
Sep/15/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$3,599.99
|
0 |
Sep/13/13 |
Oct/13/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$2,969.99
|
0 |
Sep/13/13 |
Oct/13/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
Used |
- |
$1,999.99
|
0 |
Sep/14/13 |
Sep/17/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Sep/15/13 |
Sep/18/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$4,019.39
|
0 |
Sep/15/13 |
Oct/15/13 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
capitolareatech |
NEW |
- |
$978.02
|
0 |
Sep/17/13 |
Feb/24/14 |
|
Description: LAM Research 716-330068-001 GAS RING COVER |
athomemarket |
Used |
- |
$284.39
|
0 |
Sep/17/13 |
Oct/17/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$629.99
|
0 |
Sep/17/13 |
Oct/17/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$825.29
|
0 |
Sep/17/13 |
Oct/17/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$38.69
|
0 |
Sep/17/13 |
Oct/17/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$239.39
|
0 |
Sep/17/13 |
Oct/17/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
svcstore |
Used |
- |
$1,999.99
|
0 |
Sep/17/13 |
Sep/20/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$283.49
|
0 |
Sep/18/13 |
Oct/18/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
svcstore |
NEW |
- |
$399.99
|
0 |
Sep/18/13 |
Sep/21/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Sep/20/13 |
Sep/23/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
supertechshop |
NEW |
- |
$499.00
|
0 |
Sep/21/13 |
Oct/21/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Sep/21/13 |
Oct/21/13 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcstore |
NEW |
- |
$399.99
|
0 |
Sep/21/13 |
Sep/24/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
systasemi |
Used |
- |
$300.00
|
0 |
Sep/22/13 |
Oct/22/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Sep/22/13 |
Oct/22/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
svcstore |
Used |
- |
$1,999.99
|
0 |
Sep/23/13 |
Sep/26/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
hot!cardz |
NEW |
- |
$6,000.00
|
0 |
Sep/24/13 |
Oct/04/13 |
|
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials |
hot!cardz |
NEW |
- |
$99,500.00
|
0 |
Sep/24/13 |
Oct/04/13 |
|
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials |
svcstore |
NEW |
- |
$399.99
|
0 |
Sep/24/13 |
Sep/27/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
wideerp01 |
Refurbished |
- |
$699.00
|
0 |
Sep/25/13 |
Oct/02/13 |
|
Description: APPLIED MATERIALS BOX,GAS AMO,DXZ P500 0021-09760 |
supertechshop |
Used |
- |
$299.00
|
0 |
Sep/26/13 |
Oct/26/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$99.99
|
0 |
Sep/26/13 |
Oct/26/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
jammin928 |
NEW |
- |
$350.00
|
0 |
Sep/27/13 |
Oct/27/13 |
|
Description: Lam Research SMC Pnuematic manifold 853-021435-001 New Gas box control |
svcstore |
NEW |
- |
$399.99
|
0 |
Sep/27/13 |
Sep/30/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
jammin928 |
Used |
- |
$750.00
|
0 |
Sep/28/13 |
Oct/28/13 |
|
Description: Lam Research Gas interlock PCB PN 810-077391-004 Rev E1. |
jammin928 |
Used |
- |
$750.00
|
0 |
Sep/28/13 |
Oct/28/13 |
|
Description: Lam Research Gas interlock PCB PN 810-077391-003 Rev E3. |
svcstore |
NEW |
- |
$399.99
|
0 |
Sep/30/13 |
Oct/03/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Sep/30/13 |
Oct/03/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Oct/03/13 |
Oct/06/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Oct/03/13 |
Oct/06/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
wideerp01 |
Refurbished |
- |
$300.00
|
0 |
Oct/05/13 |
Oct/12/13 |
|
Description: APPLIED MATERIALS BOX,GAS AMO,DXZ P500 0021-09760 |
athomemarket |
NEW |
- |
$699.99
|
0 |
Oct/06/13 |
Nov/05/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$66.99
|
0 |
Oct/06/13 |
Nov/05/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
svcstore |
Used |
- |
$1,999.99
|
0 |
Oct/06/13 |
Oct/09/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
prism_electronics7 |
Used |
- |
$129.99
|
0 |
Oct/07/13 |
Jul/27/22 |
|
Description: Novellus 839-170150-100 Rev 1 Weldment Ext. Exit L/L Unit Gas Box |
svcstore |
NEW |
- |
$399.99
|
0 |
Oct/07/13 |
Oct/10/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
prism_electronics3 |
Used |
- |
$264.99
|
0 |
Oct/08/13 |
Aug/23/22 |
|
Description: LAM RESEARCH 810-707022-001 GAS BOX EXPANSION CIRCUIT BOARD "Brand New" |
wideerp01 |
NEW |
- |
$29.99
|
0 |
Oct/09/13 |
Oct/16/13 |
|
Description: Applied Materials 0021-11514 (Gas Panel) Welddement Bracket |
sparepartssolution |
Used |
- |
$1,000.00
|
0 |
Oct/11/13 |
Sep/02/15 |
|
Description: AMAT APPLIED MATERIALS 0010-09497 ASSY LID GAS BOX WSI USED |
svcstore |
NEW |
- |
$399.99
|
0 |
Oct/10/13 |
Oct/13/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
hot!cardz |
NEW |
- |
$6,000.00
|
0 |
Oct/10/13 |
Oct/20/13 |
|
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials |
hot!cardz |
NEW |
- |
$99,500.00
|
0 |
Oct/10/13 |
Oct/20/13 |
|
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials |
usedeqsales |
Used |
- |
$310.13
|
2 |
Oct/10/13 |
Mar/30/15 |
|
Description: AMAT Applied Materials 0100-90863 Hi-Standard Gas Interlock XR80 Used Working |
usedeqsales |
Used |
- |
$310.13
|
2 |
Oct/10/13 |
Oct/14/14 |
|
Description: Applied Materials AMAT 0110-99011 Hi Standard Gas Interlock XR80 Used Working |
supertechshop |
NEW |
- |
$99.99
|
0 |
Oct/10/13 |
Nov/09/13 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
capitolareatech |
NEW |
- |
$93.48
|
0 |
Oct/11/13 |
Jul/01/14 |
|
Description: LAM 713-011517-001 713-011517-001 STANDOFF GAS FEED |
svcstore |
Used |
- |
$1,999.99
|
0 |
Oct/11/13 |
Oct/14/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$214.99
|
0 |
Oct/12/13 |
Nov/11/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
athomemarket |
Used |
- |
$3,299.99
|
0 |
Oct/13/13 |
Nov/12/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Oct/13/13 |
Nov/12/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
NEW |
- |
$399.99
|
0 |
Oct/13/13 |
Oct/16/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
visionsemi |
Used |
- |
$355.00
|
0 |
Oct/15/13 |
Nov/14/13 |
|
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055 |
athomemarket |
Used |
- |
$4,465.99
|
0 |
Oct/15/13 |
Nov/14/13 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
Used |
- |
$1,999.99
|
0 |
Oct/15/13 |
Oct/18/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
wideerp01 |
NEW |
- |
$29.99
|
0 |
Oct/16/13 |
Oct/23/13 |
|
Description: Applied Materials 0021-11514 (Gas Panel) Welddement Bracket |
svcstore |
NEW |
- |
$399.99
|
0 |
Oct/16/13 |
Oct/19/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$314.99
|
0 |
Oct/18/13 |
Nov/17/13 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
athomemarket |
Used |
- |
$315.99
|
0 |
Oct/17/13 |
Nov/16/13 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
wedone |
NEW |
- |
$265.00
|
0 |
Oct/17/13 |
Nov/07/13 |
|
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP |
athomemarket |
NEW |
- |
$42.99
|
0 |
Oct/17/13 |
Nov/16/13 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$699.99
|
0 |
Oct/17/13 |
Nov/16/13 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$916.99
|
0 |
Oct/17/13 |
Nov/16/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$265.99
|
0 |
Oct/17/13 |
Nov/16/13 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
svcstore |
Used |
- |
$1,999.99
|
0 |
Oct/18/13 |
Oct/21/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Oct/19/13 |
Oct/22/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
yayais2012 |
Used |
- |
$300.00
|
0 |
Oct/21/13 |
Nov/20/13 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
yayais2012 |
Used |
- |
$45.00
|
0 |
Oct/21/13 |
Nov/20/13 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Oct/21/13 |
Nov/20/13 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcstore |
Used |
- |
$1,999.99
|
0 |
Oct/21/13 |
Oct/24/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
supertechshop |
NEW |
- |
$499.00
|
0 |
Oct/22/13 |
Nov/21/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
svcstore |
Used |
- |
$1,999.99
|
0 |
Oct/24/13 |
Oct/27/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
systasemi |
Used |
- |
$300.00
|
0 |
Oct/22/13 |
Nov/21/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Oct/22/13 |
Nov/21/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
svcstore |
NEW |
- |
$399.99
|
0 |
Oct/25/13 |
Oct/28/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
sparepartssolution |
Used |
- |
$100.00
|
0 |
Oct/25/13 |
Sep/02/15 |
|
Description: AMAT APPLIED MATERIALS 0050-54970 GASLINE, 3/8 OD BACKSIDE GAS, 300MM ESC, USED |
supertechshop |
Used |
- |
$2,995.00
|
1 |
Oct/27/13 |
Nov/08/13 |
|
Description: AMAT MKS Astex Semozon AX8407A Gas Ozone Generator Hi-Con 0190-17159 / Warranty |
supertechshop |
Used |
- |
$299.00
|
0 |
Oct/28/13 |
Nov/26/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$99.99
|
0 |
Oct/28/13 |
Nov/26/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
visionsemi |
Used |
- |
$355.00
|
0 |
Oct/28/13 |
Dec/27/13 |
|
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055 |
athomemarket |
Used |
- |
$229.99
|
0 |
Oct/28/13 |
Nov/04/13 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
svcstore |
NEW |
- |
$399.99
|
0 |
Oct/28/13 |
Oct/31/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
ntsurplus302 |
Used |
- |
$250.00
|
0 |
Oct/30/13 |
May/12/15 |
|
Description: 3010 Applied Materials P/N: 0040-00290 Gas Distribution Manifold |
athomemarket |
Used |
- |
$119.99
|
0 |
Oct/30/13 |
Nov/06/13 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
svcstore |
Used |
- |
$1,999.99
|
0 |
Oct/30/13 |
Nov/02/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Oct/31/13 |
Nov/03/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
sparepartssolution |
Used |
- |
$100.00
|
0 |
Nov/01/13 |
Sep/02/15 |
|
Description: AMAT APPLIED MATERIALS 3780-01130 SPR GAS 7.88 STROKE120LB USED |
sparepartssolution |
Used |
- |
$330.00
|
1 |
Nov/01/13 |
Sep/02/15 |
|
Description: AMAT APPLIED MATERIALS 0050-39361 INSERT,GAS FEED,LOWER CHAMBER,POLY,DPS-A USED |
wideerp01 |
NEW |
- |
$29.99
|
0 |
Nov/01/13 |
Nov/11/15 |
|
Description: Applied Materials 0021-11514 (Gas Panel) Welddement Bracket |
svcstore |
Used |
- |
$1,999.99
|
0 |
Nov/02/13 |
Nov/05/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Nov/04/13 |
Nov/07/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$207.99
|
0 |
Nov/05/13 |
Nov/12/13 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
NEW |
- |
$66.99
|
0 |
Nov/05/13 |
Dec/05/13 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$699.99
|
0 |
Nov/05/13 |
Dec/05/13 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
svcstore |
Used |
- |
$1,999.99
|
0 |
Nov/05/13 |
Nov/08/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
dr.fantom |
Used |
- |
$150.00
|
0 |
Nov/07/13 |
Feb/12/15 |
|
Description: AMAT / UNIT UFM-9150 6SLM Gas He 0240-32230 Mass Flow Controller |
athomemarket |
Used |
- |
$108.99
|
0 |
Nov/07/13 |
Nov/14/13 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
svcstore |
NEW |
- |
$399.99
|
0 |
Nov/07/13 |
Nov/10/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Nov/08/13 |
Nov/11/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$3,299.99
|
0 |
Nov/12/13 |
Dec/12/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Nov/12/13 |
Dec/12/13 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$207.99
|
0 |
Nov/13/13 |
Dec/13/13 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
svcstore |
NEW |
- |
$399.99
|
0 |
Nov/13/13 |
Nov/16/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$4,465.99
|
0 |
Nov/14/13 |
Dec/14/13 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
Used |
- |
$1,999.99
|
0 |
Nov/11/13 |
Nov/14/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$214.99
|
0 |
Nov/11/13 |
Dec/11/13 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
Used |
- |
$1,999.99
|
0 |
Nov/14/13 |
Nov/17/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$108.99
|
0 |
Nov/15/13 |
Dec/15/13 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
svcstore |
NEW |
- |
$399.99
|
0 |
Nov/22/13 |
Nov/25/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
supertechshop |
NEW |
- |
$499.00
|
0 |
Nov/21/13 |
Dec/21/13 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
svcstore |
Used |
- |
$1,999.99
|
0 |
Nov/20/13 |
Nov/23/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
Used |
- |
$1,999.99
|
0 |
Nov/23/13 |
Nov/26/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
systasemi |
Used |
- |
$300.00
|
0 |
Nov/25/13 |
Dec/25/13 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Nov/25/13 |
Dec/25/13 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
svcstore |
NEW |
- |
$399.99
|
0 |
Nov/25/13 |
Nov/28/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Nov/27/13 |
Nov/30/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
supertechshop |
Used |
- |
$299.00
|
0 |
Nov/28/13 |
Dec/28/13 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$99.99
|
0 |
Nov/28/13 |
Dec/28/13 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
svcstore |
NEW |
- |
$399.99
|
0 |
Nov/28/13 |
Dec/01/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Nov/30/13 |
Dec/03/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
hot!cardz |
NEW |
- |
$6,000.00
|
0 |
Dec/01/13 |
Dec/11/13 |
|
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials |
hot!cardz |
NEW |
- |
$99,500.00
|
0 |
Dec/01/13 |
Dec/11/13 |
|
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials |
conquer_2011 |
NEW |
- |
$650.00
|
0 |
Dec/02/13 |
Jan/01/14 |
|
Description: AMAT 3030-01413 STEC INC SEC-4400MC-G2 MFC, GAS: AR, MAX FLOW: 500 SCCM |
svcstore |
NEW |
- |
$399.99
|
0 |
Dec/02/13 |
Dec/05/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
wtservicecompany2011 |
Used |
- |
$500.00
|
0 |
Dec/03/13 |
Apr/23/14 |
|
Description: AMAT ASSY PCB GAS PANEL ANALOG / 0100-09115 |
farmoninc |
NEW |
- |
$60.00
|
1 |
Dec/03/13 |
Nov/25/16 |
|
Description: NEW AMAT 0021-08157 PAD, GAS PANEL DOOR LATCH, 300 MM TPG, 300MM |
svcstore |
Used |
- |
$1,999.99
|
0 |
Dec/03/13 |
Dec/06/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$699.99
|
0 |
Dec/05/13 |
Jan/04/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$66.99
|
0 |
Dec/05/13 |
Jan/04/14 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
svcstore |
NEW |
- |
$399.99
|
0 |
Dec/05/13 |
Dec/08/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
capitolareatech |
Used |
- |
$750.00
|
0 |
Dec/06/13 |
Oct/02/14 |
|
Description: Applied Materials (AMAT) 0010-09257 Precision 5000 CVD 8" WSI Gas Box |
capitolareatech |
NEW |
- |
$600.00
|
0 |
Dec/06/13 |
Dec/11/13 |
|
Description: LAM Research (LAM) 716-330061-008 Ring Gas Protective Shower Head, 8" |
svcstore |
Used |
- |
$1,999.99
|
0 |
Dec/06/13 |
Dec/09/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Dec/08/13 |
Dec/11/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Dec/09/13 |
Dec/12/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$216.99
|
0 |
Dec/11/13 |
Jan/10/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
NEW |
- |
$399.99
|
0 |
Dec/11/13 |
Dec/14/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
capitolareatech |
NEW |
- |
$70.29
|
0 |
Dec/12/13 |
Oct/08/14 |
|
Description: Applied Materials (AMAT) 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS |
capitolareatech |
NEW |
- |
$13.95
|
0 |
Dec/12/13 |
Oct/08/14 |
|
Description: Applied Materials (AMAT) 0020-04048 Plate, Gas Tubing Guide |
capitolareatech |
NEW |
- |
$74.31
|
0 |
Dec/12/13 |
Oct/08/14 |
|
Description: Applied Materials (AMAT) 0020-30356 Elbow, Gas Supply EGEC |
capitolareatech |
NEW |
- |
$487.50
|
1 |
Dec/12/13 |
Feb/21/14 |
|
Description: Applied Materials (AMAT) 0190-22286 Centura AP Gas Panel Temperature Controller |
capitolareatech |
NEW |
- |
$184.19
|
0 |
Dec/12/13 |
Oct/08/14 |
|
Description: Applied Materials 0020-04013 Pressure Cap, GAS Cooled |
capitolareatech |
NEW |
- |
$71.72
|
0 |
Dec/12/13 |
Oct/08/14 |
|
Description: Applied Materials (AMAT) 0020-34381 Gas Box, EXZ |
hot!cardz |
NEW |
- |
$99,500.00
|
0 |
Dec/12/13 |
Dec/22/13 |
|
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials |
capitolareatech |
NEW |
- |
$135.40
|
0 |
Dec/12/13 |
Oct/08/14 |
|
Description: LAM Research 810-077391-001 Gas INTLK |
athomemarket |
Used |
- |
$3,331.99
|
0 |
Dec/12/13 |
Jan/11/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Dec/12/13 |
Jan/11/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
supertechshop |
NEW |
- |
$99.99
|
0 |
Dec/12/13 |
Jan/11/14 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
svcstore |
Used |
- |
$1,999.99
|
0 |
Dec/12/13 |
Dec/15/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$209.99
|
0 |
Dec/13/13 |
Jan/12/14 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
Used |
- |
$4,508.99
|
0 |
Dec/14/13 |
Jan/13/14 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
NEW |
- |
$399.99
|
0 |
Dec/14/13 |
Dec/17/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$110.99
|
0 |
Dec/15/13 |
Jan/14/14 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
svcstore |
Used |
- |
$1,999.99
|
0 |
Dec/15/13 |
Dec/18/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
used1eqsales |
Used |
- |
$282.06
|
0 |
Dec/16/13 |
Mar/15/16 |
|
Description: AMAT SDS Gas Interlock 0100-01691 Circuit Board AMAT Quantum X Gas Cabinet Rev B |
used1eqsales |
Used |
- |
$317.32
|
0 |
Dec/16/13 |
Jul/20/15 |
|
Description: AMAT HP Gas Interlock 0100-00985 Circuit Board AMAT Quantum X Gas Cabinet Rev B |
athomemarket |
NEW |
- |
$267.99
|
0 |
Dec/16/13 |
Jan/15/14 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
Used |
- |
$317.99
|
0 |
Dec/16/13 |
Jan/15/14 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$701.99
|
0 |
Dec/16/13 |
Jan/15/14 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$918.99
|
0 |
Dec/16/13 |
Jan/15/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$43.99
|
0 |
Dec/16/13 |
Jan/15/14 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
svcstore |
NEW |
- |
$399.99
|
0 |
Dec/20/13 |
Dec/23/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Dec/20/13 |
Jan/19/14 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
capitolareatech |
NEW |
- |
$22.59
|
0 |
Dec/18/13 |
Sep/14/14 |
|
Description: Applied Materials (AMAT) 0020-01002 Housing, Gas Feed Line |
svcstore |
Used |
- |
$1,999.99
|
0 |
Dec/18/13 |
Dec/21/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
yayais2012 |
Used |
- |
$300.00
|
0 |
Dec/21/13 |
Jan/20/14 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
yayais2012 |
Used |
- |
$45.00
|
0 |
Dec/21/13 |
Jan/20/14 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
svcstore |
Used |
- |
$1,999.99
|
0 |
Dec/21/13 |
Dec/24/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
wedone |
NEW |
- |
$265.00
|
0 |
Dec/22/13 |
Jan/21/14 |
|
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP |
svcstore |
NEW |
- |
$399.99
|
0 |
Dec/23/13 |
Dec/26/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
NEW |
- |
$399.99
|
0 |
Dec/26/13 |
Dec/29/13 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Dec/27/13 |
Dec/30/13 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
bbs_express |
Used |
- |
$265.00
|
1 |
Dec/28/13 |
Oct/25/21 |
|
Description: AERA FC-7800CD 50 SCCM CF4 (0.424) GAS Novellus 22-170369-00 |
equipment_recycling |
Used |
- |
$500.00
|
0 |
Dec/29/13 |
Jan/05/14 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector PCB |
visionsemi |
Used |
- |
$355.00
|
0 |
Dec/30/13 |
May/26/17 |
|
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055 |
svcstore |
Used |
- |
$1,999.99
|
0 |
Dec/30/13 |
Jan/02/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
supertechshop |
Used |
- |
$299.00
|
0 |
Jan/02/14 |
Feb/01/14 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
svcstore |
NEW |
- |
$399.99
|
0 |
Jan/01/14 |
Jan/04/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Jan/02/14 |
Jan/05/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
systasemi |
Used |
- |
$300.00
|
0 |
Jan/01/14 |
Jan/31/14 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Jan/01/14 |
Jan/31/14 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
usedeqsales |
Used |
- |
$351.14
|
1 |
Jan/03/14 |
Mar/24/23 |
|
Description: Kinetics KM405618 Gas Flow Box AMAT 0240-97138 Millipore FC2900 Used Working |
athomemarket |
NEW |
- |
$68.99
|
0 |
Jan/04/14 |
Feb/03/14 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$700.99
|
0 |
Jan/04/14 |
Feb/03/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
svcstore |
NEW |
- |
$399.99
|
0 |
Jan/04/14 |
Jan/07/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
equipment_recycling |
Used |
- |
$500.00
|
0 |
Jan/05/14 |
Jan/12/14 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector PCB |
farmoninc |
Used |
- |
$1,100.00
|
1 |
Jan/05/14 |
Dec/01/14 |
|
Description: 6 AMAT 3820-02221 gas line manifold valve, with purge, Fujikin 316L-P, 043926 |
svcstore |
Used |
- |
$1,999.99
|
0 |
Jan/05/14 |
Jan/08/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
hot!cardz |
NEW |
- |
$99,500.00
|
0 |
Jan/07/14 |
Jan/17/14 |
|
Description: AMAT 0200-06615 LID HPM DUAL GAS FLAT DPS Applied Materials |
svcstore |
NEW |
- |
$399.99
|
0 |
Jan/07/14 |
Jan/10/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$4,508.99
|
0 |
Jan/13/14 |
Feb/12/14 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
athomemarket |
Used |
- |
$209.99
|
0 |
Jan/12/14 |
Feb/11/14 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
Used |
- |
$3,331.99
|
0 |
Jan/11/14 |
Feb/10/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Jan/11/14 |
Feb/10/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
Used |
- |
$1,999.99
|
0 |
Jan/12/14 |
Jan/15/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$216.99
|
0 |
Jan/10/14 |
Feb/09/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
NEW |
- |
$399.99
|
0 |
Jan/13/14 |
Jan/16/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
capitolareatech |
NEW |
- |
$4.78
|
0 |
Jan/14/14 |
Sep/11/14 |
|
Description: Applied Materials (AMAT) 0020-04019 Ring,Top Pedestal 150mm OX Gas Cooled |
athomemarket |
NEW |
- |
$90.99
|
0 |
Jan/17/14 |
Jan/24/14 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
svcstore |
NEW |
- |
$399.99
|
0 |
Jan/16/14 |
Jan/19/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$316.99
|
0 |
Jan/16/14 |
Feb/15/14 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
svcstore |
Used |
- |
$1,999.99
|
0 |
Jan/15/14 |
Jan/18/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
Used |
- |
$1,999.99
|
0 |
Jan/18/14 |
Jan/21/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
equipment_recycling |
Used |
- |
$300.00
|
0 |
Jan/19/14 |
Jan/26/14 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector PCB |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Jan/19/14 |
Feb/18/14 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcstore |
NEW |
- |
$399.99
|
0 |
Jan/19/14 |
Jan/22/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
farmoninc |
NEW |
- |
$250.00
|
0 |
Jan/21/14 |
Feb/18/14 |
|
Description: NEW AMAT 0190-20015 GAS LINE NO.3 PRECLEAN CHAMBER, Veriflo 43700513 |
svcstore |
Used |
- |
$1,999.99
|
0 |
Jan/21/14 |
Jan/24/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
yayais2012 |
Used |
- |
$300.00
|
0 |
Jan/22/14 |
Feb/21/14 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
yayais2012 |
Used |
- |
$45.00
|
0 |
Jan/22/14 |
Feb/21/14 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
svcstore |
NEW |
- |
$399.99
|
0 |
Jan/22/14 |
Jan/25/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
supertechshop |
NEW |
- |
$499.00
|
0 |
Jan/25/14 |
Feb/24/14 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
svcstore |
NEW |
- |
$399.99
|
0 |
Jan/25/14 |
Jan/28/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
equipment_recycling |
Used |
- |
$300.00
|
0 |
Jan/26/14 |
Feb/02/14 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector PCB |
usedeqsales |
NEW |
- |
$601.14
|
1 |
Jan/27/14 |
Nov/07/14 |
|
Description: AMAT Applied Materials 0240-30104 Delta TEOS Or Delta Nitride Gas Box Kit New |
athomemarket |
NEW |
- |
$90.99
|
0 |
Jan/28/14 |
Feb/27/14 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
wedone |
NEW |
- |
$265.00
|
0 |
Jan/30/14 |
Mar/01/14 |
|
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP |
conquer_2011 |
NEW |
- |
$335.00
|
0 |
Jan/31/14 |
Mar/02/14 |
|
Description: AMAT 3030-01413 STEC INC SEC-4400MC-G2 MFC, GAS: AR, MAX FLOW: 500 SCCM |
athomemarket |
NEW |
- |
$700.99
|
0 |
Feb/03/14 |
Mar/05/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$68.99
|
0 |
Feb/03/14 |
Mar/05/14 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
equipment_recycling |
Used |
- |
$300.00
|
0 |
Feb/04/14 |
Feb/11/14 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector PCB |
supertechshop |
Used |
- |
$299.00
|
0 |
Feb/04/14 |
Mar/06/14 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$99.99
|
1 |
Feb/04/14 |
Feb/12/14 |
|
Description: AMAT MFC UFC-8565C Mass Flow Controller AR Gas 150 SCCM 3030-13510 / Warranty |
systasemi |
Used |
- |
$300.00
|
0 |
Feb/05/14 |
Mar/07/14 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Feb/05/14 |
Mar/07/14 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
farmoninc |
NEW |
- |
$250.00
|
1 |
Feb/05/14 |
Jan/25/16 |
|
Description: AMAT 0820-01002 Gas Detection, Positive Flow Detection, Scott Series 9000 |
usedeqsales |
NEW |
- |
$1,202.14
|
0 |
Feb/05/14 |
May/04/15 |
|
Description: Riken Keiki GD-K71D-DVAII Smart Gas Detector AMAT 0820-00069 New |
usedeqsales |
NEW |
- |
$1,202.14
|
0 |
Feb/05/14 |
May/04/15 |
|
Description: Riken Keiki GD-K71D-DVA Smart Gas Detector AMAT 0820-00068 New |
electro-mavin |
Used |
- |
$49.95
|
0 |
Jan/30/14 |
Mar/01/14 |
|
Description: Varian 0981F8473 Calibrated Helium (He) Gas Leak |
usedeqsales |
Used |
- |
$502.14
|
0 |
Feb/06/14 |
Nov/16/20 |
|
Description: Hitachi K8-022604-23 Gas Flow Control Box AMAT 0190-33364 Used Working |
stifflersequipmentllc |
Used |
- |
$1,815.00
|
0 |
Feb/07/14 |
Dec/01/15 |
|
Description: NOVELLUS 03-255517-04 REV B INTERLOCK BOARD 26-255517-00 REVA GAS BOX |
athomemarket |
NEW |
- |
$216.99
|
0 |
Feb/09/14 |
Mar/11/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
athomemarket |
Used |
- |
$3,331.99
|
0 |
Feb/10/14 |
Mar/12/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Feb/10/14 |
Mar/12/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$209.99
|
0 |
Feb/11/14 |
Mar/13/14 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
equipment_recycling |
Used |
- |
$250.00
|
0 |
Feb/12/14 |
Feb/19/14 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector PCB |
athomemarket |
Used |
- |
$4,508.99
|
0 |
Feb/12/14 |
Mar/14/14 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
NEW |
- |
$399.99
|
0 |
Feb/12/14 |
Feb/15/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Feb/12/14 |
Feb/15/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$399.99
|
0 |
Feb/18/14 |
Feb/21/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Feb/18/14 |
Mar/20/14 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcstore |
Used |
- |
$1,999.99
|
0 |
Feb/18/14 |
Feb/21/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
empire1138 |
Scrap, for parts |
- |
$750.00
|
0 |
Feb/15/14 |
Feb/22/14 |
|
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001 |
svcstore |
NEW |
- |
$399.99
|
0 |
Feb/21/14 |
Feb/24/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Feb/21/14 |
Feb/24/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
yayais2012 |
Used |
- |
$300.00
|
0 |
Feb/22/14 |
Mar/24/14 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
yayais2012 |
Used |
- |
$45.00
|
0 |
Feb/22/14 |
Mar/24/14 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
empire1138 |
Scrap, for parts |
- |
$500.00
|
0 |
Feb/22/14 |
Mar/01/14 |
|
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001 |
svcstore |
NEW |
- |
$399.99
|
0 |
Feb/24/14 |
Feb/27/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Feb/24/14 |
Feb/27/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
farmoninc |
Used |
- |
$1,180.00
|
0 |
Feb/26/14 |
Mar/30/18 |
|
Description: 4 UNIT MFC UFC-1100A, AMAT 3030-01065 N2, 20 SCCM Gas Range, |
farmoninc |
Used |
- |
$3,900.00
|
0 |
Feb/26/14 |
Apr/02/15 |
|
Description: 25 AMAT 3820-02221 gas line manifold valve, with purge, Fujikin 316L-P, DCS400 |
ecomicron |
Used |
- |
$1,000.00
|
0 |
Feb/26/14 |
Apr/25/14 |
|
Description: AMAT GAS LINE, DUAL HELIUM, ULTIMA HDPCVD, 0050-40927 |
athomemarket |
NEW |
- |
$90.99
|
0 |
Feb/27/14 |
Mar/29/14 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
supertechshop |
NEW |
- |
$499.00
|
0 |
Feb/27/14 |
Mar/29/14 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
svcstore |
NEW |
- |
$399.99
|
0 |
Feb/27/14 |
Mar/02/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,999.99
|
0 |
Feb/27/14 |
Mar/02/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
usedeqsales |
Used |
- |
$602.14
|
1 |
Feb/28/14 |
Dec/07/17 |
|
Description: AMAT Applied Materials 0100-00581 300mm Gas Interlock Card Used Working |
equipment_recycling |
Used |
- |
$300.00
|
0 |
Feb/28/14 |
Mar/30/14 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector PCB |
wpvbekins |
NEW |
- |
$75.00
|
0 |
Jul/14/11 |
Aug/20/16 |
|
Description: AMAT Applied Materials Gas Line P/N 0050-14943 Rev A |
athomemarket |
NEW |
- |
$216.99
|
0 |
Mar/12/14 |
Apr/11/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
empire1138 |
Scrap, for parts |
- |
$500.00
|
0 |
Mar/08/14 |
Mar/15/14 |
|
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001 |
systasemi |
Used |
- |
$300.00
|
0 |
Mar/09/14 |
Apr/08/14 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Mar/09/14 |
Apr/08/14 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
farmoninc |
NEW |
- |
$400.00
|
0 |
Mar/13/14 |
Dec/03/15 |
|
Description: NEW AMAT 0190-12567 PHOTOHELIC SWITCH 0-125 PA, 300MM GAS PA |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Mar/13/14 |
Apr/12/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,331.99
|
0 |
Mar/13/14 |
Apr/12/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$209.99
|
0 |
Mar/14/14 |
Apr/13/14 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
Used |
- |
$4,508.99
|
0 |
Mar/15/14 |
Apr/14/14 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
athomemarket |
Used |
- |
$110.99
|
0 |
Mar/16/14 |
Apr/15/14 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
craigbred |
NEW |
- |
$830.00
|
0 |
Mar/17/14 |
Apr/16/14 |
|
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Mar/21/14 |
Apr/20/14 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcstore |
NEW |
- |
$299.99
|
0 |
Mar/20/14 |
Mar/23/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
ptb-sales |
Used |
- |
$5,000.00
|
0 |
Mar/21/14 |
Mar/31/14 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
Used |
- |
$1,499.99
|
0 |
Mar/20/14 |
Mar/23/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
supertechshop |
NEW |
- |
$99.99
|
0 |
Mar/22/14 |
Apr/21/14 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
svcstore |
Used |
- |
$1,499.99
|
0 |
Mar/23/14 |
Mar/26/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$299.99
|
0 |
Mar/23/14 |
Mar/26/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
yayais2012 |
Used |
- |
$45.00
|
0 |
Mar/25/14 |
Apr/24/14 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
yayais2012 |
Used |
- |
$300.00
|
0 |
Mar/25/14 |
Apr/24/14 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
svcstore |
NEW |
- |
$299.99
|
0 |
Mar/26/14 |
Mar/29/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
Mar/26/14 |
Mar/29/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
empire1138 |
Scrap, for parts |
- |
$1,000.00
|
0 |
Mar/28/14 |
Apr/27/14 |
|
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001 |
svcstore |
Used |
- |
$1,499.99
|
0 |
Mar/29/14 |
Apr/01/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$299.99
|
0 |
Mar/29/14 |
Apr/01/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$90.99
|
0 |
Mar/30/14 |
Apr/29/14 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
equipment_recycling |
Used |
- |
$300.00
|
0 |
Mar/31/14 |
Apr/30/14 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector PCB |
ewell |
NEW |
- |
$179.99
|
0 |
Mar/31/14 |
Apr/25/14 |
|
Description: AMAT 4020-01187 Mott POU-05-NSV1 Filter In-Line Gas, 3750 PSIG, 1/4" Face Seal |
athomemarket |
NEW |
- |
$69.99
|
0 |
Mar/31/14 |
Apr/07/14 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Mar/31/14 |
Apr/07/14 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
Mar/31/14 |
Apr/07/14 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Mar/31/14 |
Apr/07/14 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Mar/31/14 |
Apr/07/14 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
ptb-sales |
Used |
- |
$5,000.00
|
0 |
Mar/31/14 |
Apr/10/14 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
supertechshop |
NEW |
- |
$499.00
|
0 |
Mar/31/14 |
Apr/30/14 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
used1eqsales |
Used |
- |
$705.15
|
0 |
Apr/01/14 |
May/26/17 |
|
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working |
testeqe |
NEW |
- |
$399.99
|
0 |
Apr/01/14 |
Jun/19/16 |
|
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly |
wedone |
NEW |
- |
$185.00
|
0 |
Apr/01/14 |
May/01/14 |
|
Description: AMAT 0050-09877 Gas Feed Weldment w/ Electrode PRSP |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/01/14 |
Apr/04/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
conquer_2011 |
NEW |
- |
$215.00
|
0 |
Apr/01/14 |
May/01/14 |
|
Description: AMAT 3030-01413 STEC INC SEC-4400MC-G2 MFC, GAS: AR, MAX FLOW: 500 SCCM |
athomemarket |
NEW |
- |
$69.99
|
0 |
Apr/02/14 |
Apr/09/14 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Apr/02/14 |
Apr/09/14 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
NEW |
- |
$299.99
|
0 |
Apr/02/14 |
Apr/05/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
systasemi |
NEW |
- |
$350.00
|
0 |
Apr/03/14 |
Jun/01/23 |
|
Description: AMAT 0050-90965 GAS TUBE, WELDMENT NF3 MIDDLE, INTERCONNECT PRODUCER SE+ |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/04/14 |
Apr/07/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$68.99
|
0 |
Apr/05/14 |
May/05/14 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$700.99
|
0 |
Apr/05/14 |
May/05/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
svcstore |
NEW |
- |
$299.99
|
0 |
Apr/05/14 |
Apr/08/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
systasemi |
NEW |
- |
$570.00
|
0 |
Apr/06/14 |
Jun/01/23 |
|
Description: AMAT 0050-92816 GAS LINE, POS 1 NF3 |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/07/14 |
Apr/10/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$69.99
|
0 |
Apr/08/14 |
May/08/14 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
supertechshop |
Used |
- |
$299.00
|
0 |
Apr/08/14 |
May/08/14 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
athomemarket |
NEW |
- |
$69.99
|
0 |
Apr/08/14 |
May/08/14 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Apr/08/14 |
May/08/14 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
Apr/08/14 |
May/08/14 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Apr/08/14 |
May/08/14 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
NEW |
- |
$299.99
|
0 |
Apr/08/14 |
Apr/11/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
systasemi |
Used |
- |
$300.00
|
0 |
Apr/08/14 |
May/08/14 |
|
Description: NOVELLUS / 03-258416-00 REV A / 7/8 CHANNEL GAS BOX INTLK BD |
systasemi |
Used |
- |
$300.00
|
0 |
Apr/08/14 |
May/08/14 |
|
Description: NOVELLUS / 02-054128-00 REV C / 7/8 CHANNEL GAS BOX INTLK BD |
farmoninc |
NEW |
- |
$150.00
|
0 |
Apr/09/14 |
Aug/02/18 |
|
Description: AMAT 4020-01133 FLTR IN - LINE GAS 1/2VCR 100SLM ALL - S/S 250A0241 |
farmoninc |
NEW |
- |
$150.00
|
0 |
Apr/09/14 |
Apr/09/14 |
|
Description: AMAT 4020-01133 FLTR IN - LINE GAS 1/2VCR 100SLM ALL - S/S |
usedeqsales |
Scrap, for parts |
- |
$6,004.14
|
1 |
Apr/09/14 |
May/30/14 |
|
Description: MKS Instruments AX7695AMAT-03 Reactive Gas Generator AMAT 0190-34349 As-Is |
usedeqsales |
Used |
- |
$6,004.14
|
0 |
Apr/09/14 |
May/07/14 |
|
Description: MKS Instruments AX7690AMAT-03 Reactive Gas Generator R*evolution AMAT 0190-30821 |
athomemarket |
Used |
- |
$3,331.99
|
0 |
Apr/12/14 |
May/12/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Apr/12/14 |
May/12/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
NEW |
- |
$299.99
|
0 |
Apr/11/14 |
Apr/14/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
capitolareatech |
NEW |
- |
$638.88
|
2 |
Apr/11/14 |
Jul/03/14 |
|
Description: Applied Materials (AMAT) 0041-04323 Gas Box Silane, Bypassing 200MM |
capitolareatech |
NEW |
- |
$75.00
|
0 |
Apr/11/14 |
Oct/08/14 |
|
Description: Applied Materials (AMAT) 1350-00306 PZN-SS-003-4 3LP Gas Concentration Sensor |
capitolareatech |
NEW |
- |
$62.50
|
0 |
Apr/11/14 |
Oct/08/14 |
|
Description: Applied Materials (AMAT) 4020-51285 WG3NS6RR2 1/4" Wafergard NF-30 Gas Filter |
outback6 |
Scrap, for parts |
- |
$100.00
|
0 |
Apr/11/14 |
Apr/18/14 |
|
Description: R108226 LAM Research 719-012640-011 MOD WDO SCRND GAS INT FC SL OR |
athomemarket |
NEW |
- |
$216.99
|
0 |
Apr/11/14 |
May/11/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/10/14 |
Apr/13/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$209.99
|
0 |
Apr/13/14 |
May/13/14 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
Used |
- |
$4,508.99
|
0 |
Apr/14/14 |
May/14/14 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/14/14 |
Apr/17/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
visionsemi |
NEW |
- |
$595.00
|
0 |
Apr/16/14 |
Dec/07/15 |
|
Description: APPLIED MATERIALS AMAT 0150-09797 CABLE CHAMBER HEATER ASSY 28-LINE GAS PANEL |
athomemarket |
Used |
- |
$317.99
|
0 |
Apr/16/14 |
May/16/14 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
Used |
- |
$110.99
|
0 |
Apr/16/14 |
May/16/14 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
athomemarket |
NEW |
- |
$701.99
|
0 |
Apr/16/14 |
May/16/14 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$267.99
|
0 |
Apr/16/14 |
May/16/14 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$918.99
|
0 |
Apr/16/14 |
May/16/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$43.99
|
0 |
Apr/16/14 |
May/16/14 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$199.99
|
0 |
Apr/17/14 |
May/17/14 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
farmoninc |
NEW |
- |
$750.00
|
0 |
Apr/17/14 |
Apr/29/22 |
|
Description: AMAT 0100-20411 PCB Assembly, Liquid Injection Gas Box I |
farmoninc |
Used |
- |
$350.00
|
1 |
Apr/17/14 |
Aug/25/15 |
|
Description: AMAT 0020-30509 Plate, Gas Box |
svcstore |
NEW |
- |
$299.99
|
0 |
Apr/17/14 |
Apr/20/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/17/14 |
Apr/20/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Apr/20/14 |
May/20/14 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcstore |
NEW |
- |
$299.99
|
0 |
Apr/20/14 |
Apr/23/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/20/14 |
Apr/23/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$5,000.00
|
0 |
Apr/21/14 |
May/01/14 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
visionsemi |
NEW |
- |
$495.00
|
0 |
Apr/22/14 |
Jun/10/16 |
|
Description: 715-330987-001 LAM RESEARCH BOTTOM GAS RING INJECTION RING 8" |
outback6 |
Scrap, for parts |
- |
$50.00
|
0 |
Apr/22/14 |
Apr/29/14 |
|
Description: R108226 LAM Research 719-012640-011 MOD WDO SCRND GAS INT FC SL OR |
supertechshop |
NEW |
- |
$99.99
|
0 |
Apr/22/14 |
May/22/14 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
stifflersequipmentllc |
NEW |
- |
$165.00
|
0 |
Apr/22/14 |
Mar/18/15 |
|
Description: AMI AMAT APPLIED MATERIALS 0820-01040 CALIBRATION KIT w/REGULATOR, 0.8% H2 GAS |
svcstore |
NEW |
- |
$299.99
|
0 |
Apr/23/14 |
Apr/26/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/23/14 |
Apr/26/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
xl-t_com |
NEW |
- |
$250.00
|
2 |
Apr/24/14 |
Jun/01/15 |
|
Description: NOVELLUS - 15-053271-02 - TUBE, INJECTOR, GAS |
svcstore |
NEW |
- |
$299.99
|
0 |
Apr/26/14 |
Apr/29/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
yayais2012 |
Used |
- |
$300.00
|
0 |
Apr/25/14 |
May/25/14 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
yayais2012 |
Used |
- |
$45.00
|
0 |
Apr/25/14 |
May/25/14 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/26/14 |
Apr/29/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
empire1138 |
Scrap, for parts |
- |
$1,000.00
|
0 |
Apr/27/14 |
May/27/14 |
|
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001 |
wtservicecompany2011 |
Used |
- |
$500.00
|
0 |
Apr/28/14 |
Sep/12/14 |
|
Description: AMAT ASSY PCB GAS PANEL ANALOG / 0100-09115 |
farmoninc |
Used |
- |
$550.00
|
0 |
Apr/28/14 |
May/07/18 |
|
Description: UNIT 8165 Device Net UFC-8165 MFC, N2 gas, 20L Range, AMAT 3030-00057 |
farmoninc |
Used |
- |
$550.00
|
0 |
Apr/28/14 |
May/07/18 |
|
Description: UNIT 8165 Device Net UFC-8165 MFC, NF3 gas, 3L Range, AMAT 3030-09422 |
farmoninc |
Used |
- |
$550.00
|
0 |
Apr/28/14 |
May/07/18 |
|
Description: UNIT 8165 Device Net UFC-8165 MFC, SiH4 gas, 400CC Range, AMAT 3030-12078 |
athomemarket |
NEW |
- |
$90.99
|
0 |
Apr/29/14 |
May/29/14 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
svcstore |
NEW |
- |
$299.99
|
0 |
Apr/29/14 |
May/02/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
Apr/29/14 |
May/02/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
equipment_recycling |
Used |
- |
$300.00
|
1 |
Apr/30/14 |
May/12/14 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector PCB |
usedeqsales |
Used |
- |
$2,004.14
|
0 |
Apr/30/14 |
May/01/15 |
|
Description: AMAT Applied Materials 0010-44281 Gas Delivery System Used |
pnpsemi |
Used |
- |
$800.00
|
0 |
Apr/30/14 |
Aug/25/20 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
usedeqsales |
Used |
- |
$705.14
|
1 |
May/01/14 |
Mar/31/15 |
|
Description: SEC Sensor Electronics 142-0280 Gas Detector AMAT 0150-17227 Regal IPA Sensor |
ptb-sales |
Used |
- |
$5,000.00
|
0 |
May/01/14 |
May/11/14 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
supertechshop |
NEW |
- |
$499.00
|
0 |
May/01/14 |
May/31/14 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
conquer_2011 |
NEW |
- |
$215.00
|
0 |
May/01/14 |
May/31/14 |
|
Description: AMAT 3030-01413 STEC INC SEC-4400MC-G2 MFC, GAS: AR, MAX FLOW: 500 SCCM |
usedeqsales |
NEW |
- |
$305.14
|
3 |
May/02/14 |
May/03/14 |
|
Description: AMAT Applied Materials 0051-00743 IPA Exhaust Gas Box Reflexion GT New |
craigbred |
NEW |
- |
$830.00
|
0 |
May/02/14 |
Jun/01/14 |
|
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer |
svcstore |
NEW |
- |
$299.99
|
0 |
May/02/14 |
May/05/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
May/02/14 |
May/05/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$199.99
|
0 |
May/17/14 |
Jun/16/14 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
svcstore |
NEW |
- |
$299.99
|
0 |
May/16/14 |
May/19/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$317.99
|
0 |
May/16/14 |
Jun/15/14 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
Used |
- |
$110.99
|
0 |
May/16/14 |
Jun/15/14 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
used1eqsales |
NEW |
- |
$2,005.14
|
0 |
May/15/14 |
Oct/10/14 |
|
Description: AMAT Applied Materials 0040-41054 Adapter Upper SIP TA 8in W/O Gas Inlets |
athomemarket |
NEW |
- |
$701.99
|
0 |
May/16/14 |
Jun/15/14 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$918.99
|
0 |
May/16/14 |
Jun/15/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$267.99
|
0 |
May/16/14 |
Jun/15/14 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$43.99
|
0 |
May/16/14 |
Jun/15/14 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
svcstore |
Used |
- |
$1,499.99
|
0 |
May/16/14 |
May/19/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$216.99
|
0 |
May/11/14 |
Jun/10/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
farmoninc |
NEW |
- |
$350.00
|
1 |
May/19/14 |
Aug/09/17 |
|
Description: NEW AMAT 0021-13156 Panel, Right Side Bottom CVD gas box |
svcstore |
NEW |
- |
$299.99
|
0 |
May/19/14 |
May/22/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
May/19/14 |
May/22/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$4,037.99
|
0 |
May/20/14 |
Jun/19/14 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
ptb-sales |
Used |
- |
$5,000.00
|
0 |
May/22/14 |
Jun/01/14 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
NEW |
- |
$299.99
|
0 |
May/23/14 |
May/26/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
May/23/14 |
May/26/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
farmoninc |
NEW |
- |
$495.00
|
0 |
May/25/14 |
Oct/08/21 |
|
Description: AMAT 0020-34090 shower head, Gas distribution plate, anodized, 37 holes |
svcstore |
NEW |
- |
$299.99
|
0 |
May/26/14 |
May/29/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
May/26/14 |
May/29/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
dr.fantom |
Used |
- |
$180.00
|
1 |
May/27/14 |
Jul/29/14 |
|
Description: AMAT 3030-10074 Unit UFC-8161 MFC Mass Flow Controller 500CC Gas: O2 |
dr.fantom |
Used |
- |
$180.00
|
1 |
May/27/14 |
Jul/29/14 |
|
Description: AMAT 3030-03882 Unit UFC-8161 MFC Mass Flow Controller 200CC Gas: SiH4 |
dr.fantom |
Used |
- |
$180.00
|
1 |
May/27/14 |
Jul/29/14 |
|
Description: AMAT 3030-12786 Unit UFC-8161 MFC Mass Flow Controller 20CC Gas: SiH4 |
dr.fantom |
Used |
- |
$180.00
|
1 |
May/27/14 |
Jul/29/14 |
|
Description: AMAT 3030-03884 Unit UFC-8161 MFC Mass Flow Controller 20CC Gas: SiH4 |
dr.fantom |
Used |
- |
$180.00
|
3 |
May/27/14 |
Jul/29/14 |
|
Description: AMAT 3030-15385 Unit UFC-8161 MFC Mass Flow Controller 200CC Gas: SiH4 |
yayais2012 |
Used |
- |
$300.00
|
0 |
May/27/14 |
Jun/26/14 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
yayais2012 |
Used |
- |
$45.00
|
0 |
May/27/14 |
Jun/26/14 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
empire1138 |
Scrap, for parts |
- |
$1,000.00
|
0 |
May/27/14 |
Jun/26/14 |
|
Description: Lam Research Gas Box Power Inhibit BD 810-033834-001 |
supertechshop |
NEW |
- |
$74.99
|
0 |
May/28/14 |
Jun/27/14 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
prism_electronics6 |
Used |
- |
$249.95
|
0 |
May/28/14 |
Mar/28/22 |
|
Description: Lam Research 839-801274-005 Rev. B, BLK Gas Distribution Unit, 714-801101-003 |
athomemarket |
NEW |
- |
$90.99
|
0 |
May/29/14 |
Jun/28/14 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
svcstore |
NEW |
- |
$299.99
|
0 |
May/29/14 |
Jun/01/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$1,499.99
|
0 |
May/29/14 |
Jun/01/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
grandbirdnet |
NEW |
- |
$2,200.00
|
0 |
May/30/14 |
Jun/01/23 |
|
Description: AMAT 0051-00743 IPA EXHAUST GAS BOX REFLEXION GT , NEW |
fablogic |
NEW |
- |
$7,500.00
|
0 |
Jul/30/14 |
Aug/29/14 |
|
Description: Applied Materials CVD 0010-09940 Gas Box, WSI (NEW) AMAT |
fablogic |
Used |
- |
$6,500.00
|
0 |
Jul/30/14 |
Aug/29/14 |
|
Description: Applied Materials 0010-09806 Gas Box, WSI 150 MM (USED) AMAT |
athomemarket |
NEW |
- |
$90.99
|
0 |
Jul/28/14 |
Aug/27/14 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
svcstore |
Used |
- |
$1,499.99
|
0 |
Jul/29/14 |
Aug/01/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
hunted_one |
Used |
- |
$1,375.00
|
0 |
Jul/11/14 |
May/04/15 |
|
Description: 810-017075-003 Lam Research Gas Box Interlock PCB |
athomemarket |
NEW |
- |
$216.99
|
0 |
Jul/10/14 |
Aug/09/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
NEW |
- |
$299.99
|
0 |
Jul/30/14 |
Aug/02/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
conquer_2011 |
NEW |
- |
$215.00
|
0 |
Jul/31/14 |
Aug/30/14 |
|
Description: AMAT 3030-01413 STEC INC SEC-4400MC-G2 MFC, GAS: AR, MAX FLOW: 500 SCCM |
used1eqsales |
Used |
- |
$507.14
|
0 |
Jul/31/14 |
May/26/17 |
|
Description: AMAT Quantum X 9090-00879 Gas Interlock Chassis Module Rev. B used working |
supertechshop |
NEW |
- |
$74.99
|
0 |
Aug/01/14 |
Aug/31/14 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
waste-not-recycling |
NEW |
- |
$69.99
|
0 |
Aug/01/14 |
Aug/31/14 |
|
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged. |
fablogic |
NEW |
- |
$1,850.00
|
0 |
Aug/01/14 |
Aug/31/14 |
|
Description: Applied Materials 0020-32320 Gas Distribution Plate (NEW) AMAT Etch |
svcstore |
Used |
- |
$1,499.99
|
0 |
Aug/01/14 |
Aug/04/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
fablogic |
NEW |
- |
$950.00
|
0 |
Aug/02/14 |
Sep/01/14 |
|
Description: Applied Materials 0200-00262 Dome, Center Gas Feed (NEW) AMAT |
svcstore |
NEW |
- |
$299.99
|
0 |
Aug/02/14 |
Aug/05/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$68.99
|
0 |
Aug/03/14 |
Sep/02/14 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$700.99
|
0 |
Aug/03/14 |
Sep/02/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
ptb-sales |
Used |
- |
$5,000.00
|
0 |
Aug/04/14 |
Aug/14/14 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
Used |
- |
$1,499.99
|
0 |
Aug/04/14 |
Aug/07/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$299.99
|
0 |
Aug/05/14 |
Aug/08/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$59.99
|
0 |
Aug/06/14 |
Sep/05/14 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Aug/06/14 |
Sep/05/14 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Aug/06/14 |
Sep/05/14 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Aug/06/14 |
Sep/05/14 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Aug/06/14 |
Sep/05/14 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
fablogic |
NEW |
- |
$375.00
|
0 |
Aug/07/14 |
Sep/06/14 |
|
Description: Applied Materials 0020-31797 Gas Distribution Plate (NEW) AMAT Etch |
athomemarket |
Used |
- |
$317.99
|
0 |
Aug/14/14 |
Sep/13/14 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
Used |
- |
$110.99
|
0 |
Aug/14/14 |
Sep/13/14 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
farmoninc |
NEW |
- |
$50.00
|
0 |
Aug/15/14 |
Jun/01/23 |
|
Description: AMAT 0020-97105 Insert Gas Line |
athomemarket |
NEW |
- |
$701.99
|
0 |
Aug/14/14 |
Sep/13/14 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$267.99
|
0 |
Aug/14/14 |
Sep/13/14 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$918.99
|
0 |
Aug/14/14 |
Sep/13/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$43.99
|
0 |
Aug/14/14 |
Sep/13/14 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$216.99
|
0 |
Aug/09/14 |
Sep/08/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
farmoninc |
NEW |
- |
$100.00
|
0 |
Aug/15/14 |
Apr/16/19 |
|
Description: AMAT 0021-09155 Cover, Gas Clear Lid, MXP SGD |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-165869-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/09/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-167537-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-164851-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-165869-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-164849-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/09/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-164850-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-158973-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-164853-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-167538-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-164849-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-164852-00 |
stifflersequipmentllc |
NEW |
- |
$137.50
|
0 |
Aug/16/14 |
Dec/01/15 |
|
Description: NOVELLUS STAINLESS STEEL GAS TUBING 10-164848-00 |
farmoninc |
Used |
- |
$950.00
|
0 |
Aug/18/14 |
Jun/01/23 |
|
Description: AMAT 0100-90284 PWBA Gas Supplies Mother Board |
athomemarket |
NEW |
- |
$199.99
|
0 |
Aug/18/14 |
Sep/17/14 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Aug/18/14 |
Sep/17/14 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
supertechshop |
Used |
- |
$299.00
|
0 |
Aug/19/14 |
Sep/18/14 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
usedeqsales |
Used |
- |
$808.14
|
2 |
Aug/20/14 |
Jan/21/20 |
|
Description: Lam Research 810-017075-003 Gas Panel Interlock PCB Rainbow 4420 Used Working |
fast_sell_4u |
Refurbished |
- |
$4,000.00
|
0 |
Aug/22/14 |
Sep/21/14 |
|
Description: Applied Materials AMAT 0010-09961 Rev. C 5000 DELTA/SACVD Nitride 4-6" Gas Box |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Aug/24/14 |
Sep/03/14 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
NEW |
- |
$199.99
|
0 |
Aug/25/14 |
Aug/28/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
supertechshop |
Used |
- |
$49.95
|
0 |
Aug/25/14 |
Sep/24/14 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
svcstore |
Used |
- |
$999.99
|
0 |
Aug/25/14 |
Aug/28/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$90.99
|
0 |
Aug/27/14 |
Sep/26/14 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
bobsgoodies2 |
Used |
- |
$250.00
|
0 |
Aug/27/14 |
Mar/31/22 |
|
Description: SMC Sierra Monitor Corp Hydride (Silane) Gas Monitor CM98-411 AMAT 0190-36566 |
yayais2012 |
Used |
- |
$45.00
|
0 |
Aug/27/14 |
Sep/26/14 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
yayais2012 |
Used |
- |
$300.00
|
0 |
Aug/27/14 |
Sep/26/14 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
used1eqsales |
Used |
- |
$629.07
|
1 |
Aug/28/14 |
Sep/23/16 |
|
Description: AMAT 9010-01382 Gas Module SiF4 AMAT Quantum X Gas Cabinet used working |
svcstore |
NEW |
- |
$199.99
|
0 |
Aug/28/14 |
Aug/31/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Aug/28/14 |
Aug/31/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
conquer_2011 |
NEW |
- |
$215.00
|
0 |
Aug/30/14 |
Sep/29/14 |
|
Description: AMAT 3030-01413 STEC INC SEC-4400MC-G2 MFC, GAS: AR, MAX FLOW: 500 SCCM |
svcstore |
NEW |
- |
$199.99
|
0 |
Aug/31/14 |
Sep/03/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Aug/31/14 |
Sep/03/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
xl-t_com |
NEW |
- |
$200.00
|
0 |
Sep/01/14 |
Nov/25/15 |
|
Description: LAM Research - 715-330000-090 - RING, GAS INJECTION, ALUM |
athomemarket |
NEW |
- |
$68.99
|
0 |
Sep/02/14 |
Oct/02/14 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$700.99
|
0 |
Sep/02/14 |
Oct/02/14 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
waste-not-recycling |
NEW |
- |
$59.99
|
0 |
Sep/02/14 |
Oct/02/14 |
|
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged. |
svcstore |
NEW |
- |
$199.99
|
0 |
Sep/03/14 |
Sep/06/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Sep/03/14 |
Sep/06/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Sep/04/14 |
Sep/14/14 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
supertechshop |
NEW |
- |
$74.99
|
0 |
Sep/05/14 |
Oct/05/14 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
usedeqsales |
Used |
- |
$709.14
|
0 |
Sep/05/14 |
May/04/15 |
|
Description: AMAT Applied Materials 0100-00985 HP Gas Interlock PCB Card Quantum X Used |
athomemarket |
NEW |
- |
$69.99
|
0 |
Sep/05/14 |
Oct/05/14 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
Sep/06/14 |
Oct/06/14 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Sep/06/14 |
Oct/06/14 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Sep/06/14 |
Oct/06/14 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Sep/06/14 |
Oct/06/14 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
NEW |
- |
$199.99
|
0 |
Sep/06/14 |
Sep/09/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Sep/06/14 |
Sep/09/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
usedeqsales |
Used |
- |
$1,209.14
|
0 |
Sep/08/14 |
May/01/15 |
|
Description: Celerity 9010-02456 Autoclean Gas Panel AMAT Quantum X Used Working |
usedeqsales |
Used |
- |
$1,209.14
|
0 |
Sep/08/14 |
May/01/15 |
|
Description: Celerity 9010-02455 Autoclean Gas Panel AMAT Quantum X Used Working |
usedeqsales |
Used |
- |
$1,209.14
|
0 |
Sep/08/14 |
May/01/15 |
|
Description: Celerity 9010-02214 Autoclean Gas Panel AMAT Quantum X Used Working |
usedeqsales |
Used |
- |
$409.14
|
0 |
Sep/08/14 |
May/05/15 |
|
Description: Celerity 9240-05462 Mass Flow Controller Gas Panel AMAT Quantum X Used Working |
athomemarket |
NEW |
- |
$69.99
|
0 |
Sep/08/14 |
Oct/08/14 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$69.99
|
0 |
Sep/08/14 |
Oct/08/14 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$216.99
|
0 |
Sep/08/14 |
Oct/08/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
exper-tech |
NEW |
- |
$140.00
|
1 |
Sep/08/14 |
Feb/04/15 |
|
Description: AMAT Applied Materials 0270-20018 Fixture Gas Spring Assembly 13", New |
usedeqsales |
Used |
- |
$1,209.14
|
0 |
Sep/09/14 |
May/01/15 |
|
Description: Celerity 9010-01379 Autoclean Gas Panel AMAT Quantum X Used Working |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Sep/09/14 |
Oct/09/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
NEW |
- |
$199.99
|
0 |
Sep/09/14 |
Sep/12/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$3,331.99
|
0 |
Sep/10/14 |
Oct/10/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
NEW |
- |
$183.99
|
0 |
Oct/03/14 |
Oct/06/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Oct/03/14 |
Oct/06/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
capitolareatech |
NEW |
- |
$297.50
|
0 |
Sep/27/14 |
Dec/26/14 |
|
Description: Lam Research (LAM) 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1 |
svcstore |
Used |
- |
$999.99
|
0 |
Oct/09/14 |
Oct/12/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$216.99
|
0 |
Oct/09/14 |
Nov/08/14 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
athomemarket |
Used |
- |
$4,037.99
|
0 |
Oct/10/14 |
Nov/09/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
NEW |
- |
$183.99
|
0 |
Oct/10/14 |
Oct/13/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$3,331.99
|
0 |
Oct/10/14 |
Nov/09/14 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$209.99
|
0 |
Oct/11/14 |
Nov/10/14 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
Used |
- |
$4,508.99
|
0 |
Oct/12/14 |
Nov/11/14 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
Used |
- |
$999.99
|
0 |
Oct/12/14 |
Oct/15/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/02/14 |
Dec/05/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
used1eqsales |
Used |
- |
$1,252.14
|
0 |
Dec/04/14 |
May/26/17 |
|
Description: AMAT 9010-02266 Celerity Gas Card N2 AMAT Quantum X Gas Box working |
used1eqsales |
Used |
- |
$1,252.14
|
0 |
Dec/04/14 |
May/26/17 |
|
Description: AMAT 9010-01382 Celerity Gas Card SiF4 AMAT Quantum X Gas Box working |
used1eqsales |
Used |
- |
$1,252.14
|
0 |
Dec/04/14 |
May/26/17 |
|
Description: AMAT 9010-02276 Celerity Gas Card CO2 AMAT Quantum X Gas Box working |
usedeqsales |
Used |
- |
$512.14
|
0 |
Dec/04/14 |
May/01/15 |
|
Description: AMAT Applied Materials 0100-01717 Gas Exhaust Safety Relay PCB Used Working |
used1eqsales |
Used |
- |
$365.46
|
0 |
Dec/05/14 |
Dec/12/14 |
|
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Rev B Gas Box used works |
used1eqsales |
Used |
- |
$365.46
|
0 |
Dec/05/14 |
Dec/12/14 |
|
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Rev A Gas Box used works |
used1eqsales |
Used |
- |
$1,002.57
|
1 |
Dec/05/14 |
Oct/28/15 |
|
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev B Quantum X Gas Box used working |
used1eqsales |
Used |
- |
$1,002.57
|
1 |
Dec/05/14 |
Sep/27/16 |
|
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev A Quantum X Gas Box used working |
used1eqsales |
Used |
- |
$455.46
|
0 |
Dec/05/14 |
Apr/09/15 |
|
Description: AMAT 9090-00976 Arc Control DSP PCB Revision B Quantum X Gas Box used working |
athomemarket |
NEW |
- |
$62.99
|
0 |
Dec/05/14 |
Jan/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$71.99
|
0 |
Dec/05/14 |
Jan/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$71.99
|
0 |
Dec/05/14 |
Jan/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$71.99
|
0 |
Dec/05/14 |
Jan/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$71.99
|
0 |
Dec/05/14 |
Jan/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
NEW |
- |
$156.99
|
0 |
Dec/05/14 |
Dec/08/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/05/14 |
Dec/08/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$71.99
|
0 |
Dec/07/14 |
Jan/06/15 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$71.99
|
0 |
Dec/07/14 |
Jan/06/15 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$219.99
|
0 |
Dec/08/14 |
Jan/07/15 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
NEW |
- |
$156.99
|
0 |
Dec/08/14 |
Dec/11/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/08/14 |
Dec/11/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
dr.fantom |
NEW |
- |
$600.00
|
1 |
Dec/09/14 |
Dec/09/14 |
|
Description: Applied Materials / AMAT 0010-18318 Rev 001 Gas Ring |
athomemarket |
NEW |
- |
$159.99
|
0 |
Dec/09/14 |
Dec/16/14 |
|
Description: NEW Applied Materials/AMAT 0020-22846 Cover Gas Trench, Preclean II |
athomemarket |
Used |
- |
$4,039.99
|
0 |
Dec/09/14 |
Jan/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,333.99
|
0 |
Dec/09/14 |
Jan/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$211.99
|
0 |
Dec/10/14 |
Jan/09/15 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Dec/11/14 |
Dec/21/14 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
athomemarket |
Used |
- |
$4,510.99
|
0 |
Dec/11/14 |
Jan/10/15 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
NEW |
- |
$156.99
|
0 |
Dec/11/14 |
Dec/14/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/11/14 |
Dec/14/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
supertechshop |
NEW |
- |
$74.99
|
0 |
Dec/11/14 |
Jan/10/15 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
farmoninc |
NEW |
- |
$175.00
|
1 |
Dec/12/14 |
Jun/05/18 |
|
Description: AMAT 0140-20206 HARNESS ASSEMBLY AI MUX CONTROLLER REMOTE GAS, 399578 |
keykorea |
NEW |
- |
$1,600.00
|
4 |
Oct/07/14 |
Dec/05/14 |
|
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW |
dr.fantom |
Used |
- |
$5,000.00
|
1 |
Oct/07/14 |
Dec/01/14 |
|
Description: Applied Materials 0100-35086 PCB ASSY GAS PANEL DISTRIBUTION |
catalystparts |
NEW |
- |
$175.00
|
2 |
Jun/30/14 |
Dec/01/14 |
|
Description: applied materials, Glass, Tube Gas feed. 0200-09450 Rev. 002 |
ustechno7 |
Used |
- |
$144.99
|
1 |
Nov/22/14 |
Nov/23/14 |
|
Description: Applied Amat 0040-61266 GAS BOX, SIN, DXZ DCVD |
athomemarket |
Used |
- |
$112.99
|
0 |
Dec/13/14 |
Jan/12/15 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
athomemarket |
Used |
- |
$320.99
|
0 |
Dec/13/14 |
Jan/12/15 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$704.99
|
0 |
Dec/13/14 |
Jan/12/15 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$270.99
|
0 |
Dec/13/14 |
Jan/12/15 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$44.99
|
0 |
Dec/13/14 |
Jan/12/15 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$921.99
|
0 |
Dec/13/14 |
Jan/12/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
svcstore |
NEW |
- |
$156.99
|
0 |
Dec/14/14 |
Dec/17/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/14/14 |
Dec/17/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$199.99
|
0 |
Dec/16/14 |
Jan/15/15 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
supertechshop |
NEW |
- |
$499.00
|
0 |
Dec/17/14 |
Jan/16/15 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
gti-semi |
Refurbished |
- |
$265.00
|
0 |
Dec/17/14 |
Nov/26/19 |
|
Description: AMAT Applied Materials, COVER, GAS TRANCH - CLEANED, p/n 0020-26967 |
gigabitpartsolutions |
Refurbished |
- |
$375.00
|
0 |
Dec/17/14 |
Jul/24/15 |
|
Description: PCB,GAS PANEL INTERFACE W/O STANDOFFS AMAT 0100-09153 |
athomemarket |
Used |
- |
$4,039.99
|
0 |
Dec/17/14 |
Jan/16/15 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
athomemarket |
NEW |
- |
$162.99
|
0 |
Dec/17/14 |
Jan/16/15 |
|
Description: NEW Applied Materials/AMAT 0020-22846 Cover Gas Trench, Preclean II |
svcstore |
NEW |
- |
$156.99
|
0 |
Dec/17/14 |
Dec/20/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/17/14 |
Dec/20/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
xl-t_com |
NEW |
- |
$550.00
|
1 |
Dec/18/14 |
Dec/18/14 |
|
Description: PALL - GLFF4000VM4 - FLTR IN-LN GAS 4000PSIG 1/4-GSKT SEAL AMAT P/N: 4020-01094 |
svcstore |
NEW |
- |
$156.99
|
0 |
Dec/20/14 |
Dec/23/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/20/14 |
Dec/23/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
fast_sell_4u |
Refurbished |
- |
$1,500.00
|
0 |
Dec/21/14 |
Jan/20/15 |
|
Description: Applied Materials AMAT 0010-09961 Rev. C 5000 DELTA/SACVD Nitride 4-6" Gas Box |
gigabitpartsolutions |
Used |
- |
$2,800.00
|
0 |
Dec/22/14 |
Jul/08/15 |
|
Description: PLD GAS DIST 300mm W/G RV HTR 715-801916-808 LAM |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Dec/22/14 |
Jan/01/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
NEW |
- |
$156.99
|
0 |
Dec/23/14 |
Dec/26/14 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/23/14 |
Dec/26/14 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$92.99
|
0 |
Dec/26/14 |
Jan/25/15 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
capitolareatech |
NEW |
- |
$275.00
|
0 |
Dec/26/14 |
Jan/20/16 |
|
Description: APPLIED MATERIALS (AMAT) 0040-03567 Block, Heater, Preheat, Carrier Gas, Mid, |
capitolareatech |
NEW |
- |
$171.42
|
0 |
Dec/26/14 |
Jan/20/16 |
|
Description: APPLIED MATERIALS (AMAT) 0040-03800 GAS FEEDTHRU, 300MM TXZ |
capitolareatech |
NEW |
- |
$783.02
|
0 |
Dec/26/14 |
Jan/20/16 |
|
Description: APPLIED MATERIALS (AMAT) 0040-03867 Block, Heater, Preheat, Carrier Gas, MID, |
capitolareatech |
NEW |
- |
$692.38
|
0 |
Dec/26/14 |
Nov/21/15 |
|
Description: APPLIED MATERIALS (AMAT) 0040-08332 WELDMENT, BLOCK, PROCESS GAS, 300MM, EMA |
capitolareatech |
NEW |
- |
$251.63
|
0 |
Dec/26/14 |
Nov/21/15 |
|
Description: APPLIED MATERIALS (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE |
capitolareatech |
NEW |
- |
$101.15
|
0 |
Dec/26/14 |
Nov/21/15 |
|
Description: APPLIED MATERIALS (AMAT) 0040-32691 BLOCK ELBOW GAS BOX MICROWAVE REMOTE PLA |
capitolareatech |
NEW |
- |
$24.61
|
0 |
Dec/26/14 |
Jul/24/15 |
|
Description: APPLIED MATERIALS (AMAT) 0150-00068 Ribbon Cable Assembly 20COND Gas PNL, Dig |
capitolareatech |
NEW |
- |
$35.65
|
0 |
Dec/26/14 |
Jan/20/16 |
|
Description: APPLIED MATERIALS (AMAT) 0150-00435 Cable Assembly, Gas Panel, CH A, SERIPLEX |
capitolareatech |
NEW |
- |
$199.54
|
0 |
Dec/26/14 |
Jul/24/15 |
|
Description: APPLIED MATERIALS (AMAT) 0150-01706 CABLE ASSY, 40' GAS INTLK AC=IPS,BD=OTHR |
capitolareatech |
NEW |
- |
$110.36
|
0 |
Dec/26/14 |
Jan/20/16 |
|
Description: APPLIED MATERIALS (AMAT) 0226-30072 RAIL, UNIVERSAL,MOD. GAS PANEL |
capitolareatech |
NEW |
- |
$1,726.07
|
0 |
Dec/26/14 |
Nov/21/15 |
|
Description: Applied Materials (AMAT) 0227-10215 EMC Comp., Cable Assembly, Gas PNL #1 UMB |
capitolareatech |
NEW |
- |
$595.96
|
0 |
Dec/26/14 |
Jan/20/16 |
|
Description: APPLIED MATERIALS (AMAT) 0227-28170 GAS LINE,SLD,TF5,A2,B2,D2,CAJON |
capitolareatech |
NEW |
- |
$633.48
|
0 |
Dec/26/14 |
Jan/20/16 |
|
Description: APPLIED MATERIALS (AMAT) 0227-32817 GAS LINE,A3,UHP,SEGMENT 1,CENTURA CMF,5J |
capitolareatech |
NEW |
- |
$331.09
|
0 |
Dec/26/14 |
Jan/20/16 |
|
Description: APPLIED MATERIALS (AMAT) 0190-20033 Gas Manifold 8039494, 260 PSIG |
capitolareatech |
NEW |
- |
$56.41
|
0 |
Dec/27/14 |
Jan/21/16 |
|
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2 |
capitolareatech |
NEW |
- |
$45.20
|
0 |
Dec/27/14 |
Jan/21/16 |
|
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40 |
capitolareatech |
NEW |
- |
$500.00
|
0 |
Dec/27/14 |
Nov/22/15 |
|
Description: NOVELLUS 10-155415-00 GAS DISTRIBUTION MANIFOLD |
capitolareatech |
NEW |
- |
$5.00
|
0 |
Dec/27/14 |
Feb/27/15 |
|
Description: NOVELLUS 22-266894-00 NOVELLUS Seal, Metal, Face, Gas, 300mm |
capitolareatech |
NEW |
- |
$150.00
|
0 |
Dec/27/14 |
Nov/22/15 |
|
Description: APPLIED MATERIALS (AMAT) 3780-00073 SPR GAS 12IN-STRK 31.11IN-EXT LG 1060LBS |
capitolareatech |
NEW |
- |
$30.00
|
0 |
Dec/27/14 |
Jun/11/15 |
|
Description: Applied Materials (AMAT) 3780-00228 Industrial Gas Springs A11067 Pneumatic Spr |
capitolareatech |
NEW |
- |
$15.00
|
0 |
Dec/27/14 |
Aug/24/15 |
|
Description: APPLIED MATERIALS (AMAT) 3780-00246 ACE 6/P1/100/135/P1/VVV/A-100N Gas Spring 1 |
capitolareatech |
NEW |
- |
$14.75
|
0 |
Dec/27/14 |
Dec/01/15 |
|
Description: APPLIED MATERIALS (AMAT) 3780-01326 SUSPA C16-18878 SPR GAS 16.14" M8 MALE THRD |
capitolareatech |
NEW |
- |
$18.40
|
0 |
Dec/27/14 |
Dec/01/15 |
|
Description: APPLIED MATERIALS (AMAT) 6401-00303 SUSPA C16-18972 SUSPA GAS SPRING |
capitolareatech |
NEW |
- |
$280.00
|
1 |
Dec/27/14 |
Dec/22/15 |
|
Description: APPLIED MATERIALS (AMAT) 0020-31490 640A0242 GAS DISTRIBUTION PLATE |
capitolareatech |
NEW |
- |
$424.78
|
0 |
Dec/27/14 |
Feb/24/16 |
|
Description: LAM RESEARCH (LAM) 810-077391-001 Gas INTLK |
capitolareatech |
Refurbished |
- |
$187.50
|
0 |
Dec/27/14 |
Feb/24/16 |
|
Description: LAM RESEARCH (LAM) 713-031390-001 RING, UPR GAS DUAL FEED 200MM |
capitolareatech |
NEW |
- |
$12.75
|
0 |
Dec/27/14 |
Dec/01/15 |
|
Description: LAM RESEARCH (LAM) 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS. |
conquer_2011 |
NEW |
- |
$75.00
|
2 |
Dec/28/14 |
Jan/09/15 |
|
Description: AMAT 3030-01413 STEC INC SEC-4400MC-G2 MFC, GAS: AR, MAX FLOW: 500 SCCM |
capitolareatech |
NEW |
- |
$9,000.00
|
0 |
Dec/28/14 |
Jan/27/15 |
|
Description: APPLIED MATERIALS (AMAT) 9240-01257 KIT OPTION SDS ASH3/PH3 GAS |
capitolareatech |
NEW |
- |
$180.00
|
0 |
Dec/28/14 |
Jan/27/15 |
|
Description: LAM RESEARCH (LAM) 955-001177-003 TUBE FLEX CHAMBER GAS; 4FT |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Dec/28/14 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 9010-02276 GAS MODULE WIRED HP-C02 |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Dec/28/14 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 9010-02455 GAS MOD'L, SA-HP GEF4 |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Dec/28/14 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 9010-02456 GAS MOD'L, SA-HP, SIF4 |
capitolareatech |
NEW |
- |
$2,000.00
|
0 |
Dec/28/14 |
Nov/23/15 |
|
Description: APPLIED MATERIALS (AMAT) 9090-01193 ASSY GAS PANEL CTRL (NO OVENS) |
capitolareatech |
NEW |
- |
$93.19
|
0 |
Dec/28/14 |
Nov/23/15 |
|
Description: APPLIED MATERIALS (AMAT) 9150-02307 CFA,TOXIC GAS MODULE EDGE CONN |
capitolareatech |
NEW |
- |
$255.00
|
0 |
Dec/28/14 |
Feb/24/16 |
|
Description: LAM RESEARCH (LAM) 839-014453-001 WELDMENT GAS DELIVERY |
capitolareatech |
Used |
- |
$63.75
|
0 |
Dec/28/14 |
Dec/23/15 |
|
Description: LAM RESEARCH (LAM) 839-014757-057 WELDMT GAS FEED LINE FLEX 57" |
capitolareatech |
NEW |
- |
$250.00
|
0 |
Dec/28/14 |
Feb/24/16 |
|
Description: LAM RESEARCH (LAM) 839-016641-002 WELDMENT, INJECTOR GAS NOZZLE |
capitolareatech |
NEW |
- |
$35.00
|
0 |
Dec/28/14 |
Feb/24/16 |
|
Description: LAM RESEARCH (LAM) 839-020965-113 PIPE, CENTER GAS FLOW |
capitolareatech |
NEW |
- |
$9.04
|
0 |
Dec/28/14 |
Jul/30/15 |
|
Description: LAM RESEARCH (LAM) 839-031455-001 WELDMENT, GAS LINE |
capitolareatech |
NEW |
- |
$9.04
|
0 |
Dec/28/14 |
Jul/30/15 |
|
Description: LAM RESEARCH (LAM) 839-331163-001 WLDMT, TBG, CHMBR PRG, TOP GAS |
xl-t_com |
NEW |
- |
$450.00
|
0 |
Dec/28/14 |
Aug/31/16 |
|
Description: APPLIED MATERIALS - 0020-01003 - ADAPTER GAS DIST LINE |
capitolareatech |
NEW |
- |
$48.45
|
1 |
Sep/26/14 |
Dec/25/14 |
|
Description: APPLIED MATERIALS (AMAT) 0200-35296-003 PLUG INNER GAS FEED DPS A3 |
svcstore |
NEW |
- |
$144.99
|
0 |
Dec/29/14 |
Jan/01/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/29/14 |
Jan/01/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
xl-t_com |
NEW |
- |
$3,000.00
|
0 |
Dec/29/14 |
Aug/31/16 |
|
Description: APPLIED MATERIALS - 0100-76046 - PCB GAS PANEL INTERFACE (W/O STANDOFFS) |
farmoninc |
NEW |
- |
$150.00
|
0 |
Dec/30/14 |
Dec/12/19 |
|
Description: AMAT 0150-20666 Cable Assy, Gas Panel power jumper, 622 |
bornalliancecom |
NEW |
- |
$7,500.00
|
0 |
Dec/31/14 |
Jul/20/15 |
|
Description: Applied Materials 0010-09806 Gas Box, Assy. WSI 150 MM (New) AMAT |
athomemarket |
NEW |
- |
$70.99
|
0 |
Jan/01/15 |
Jan/31/15 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$703.99
|
0 |
Jan/01/15 |
Jan/31/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
yayais2012 |
Used |
- |
$300.00
|
0 |
Jan/01/15 |
Jan/31/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
yayais2012 |
Used |
- |
$45.00
|
0 |
Jan/01/15 |
Jan/31/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/01/15 |
Jan/04/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/01/15 |
Jan/04/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
farmoninc |
NEW |
- |
$150.00
|
0 |
Jan/03/15 |
Mar/02/23 |
|
Description: AMAT 0150-07409 CABLE ASSY. GAS BOX OVERTEMP INTCNT, 300M |
supertechshop |
Used |
- |
$299.00
|
0 |
Jan/04/15 |
Feb/03/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
athomemarket |
NEW |
- |
$71.99
|
0 |
Jan/04/15 |
Feb/03/15 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$71.99
|
0 |
Jan/04/15 |
Feb/03/15 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$71.99
|
0 |
Jan/04/15 |
Feb/03/15 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$62.99
|
0 |
Jan/04/15 |
Feb/03/15 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$71.99
|
0 |
Jan/04/15 |
Feb/03/15 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
supertechshop |
Used |
- |
$49.95
|
0 |
Jan/04/15 |
Feb/03/15 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/04/15 |
Jan/07/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/04/15 |
Jan/07/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jan/05/15 |
Jan/15/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
athomemarket |
Used |
- |
$564.99
|
1 |
Jan/05/15 |
Jan/12/15 |
|
Description: AMAT/Applied Materials 0040-32171 Gas Panel Safety Interlock Box Producer |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jan/06/15 |
Feb/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jan/06/15 |
Feb/05/15 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
esolutions1 |
NEW |
- |
$99.00
|
0 |
Jan/06/15 |
Feb/03/15 |
|
Description: APPLIED MATERIALS AMAT 0242-34634 KIT, MOUNTING BRACKET GAS LINE TO FI LEFT,300 |
athomemarket |
NEW |
- |
$199.99
|
0 |
Jan/07/15 |
Feb/06/15 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/07/15 |
Jan/10/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/07/15 |
Jan/10/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Jan/08/15 |
Feb/07/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,298.99
|
0 |
Jan/08/15 |
Feb/07/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
keykorea |
NEW |
- |
$1,600.00
|
5 |
Jan/08/15 |
Apr/28/17 |
|
Description: AMAT 0040-02520 GAS BOX, SILANE, 200MM PRODUCER, Qualified 2ND NEW |
athomemarket |
Used |
- |
$199.99
|
0 |
Jan/09/15 |
Feb/08/15 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
Used |
- |
$4,443.99
|
0 |
Jan/10/15 |
Feb/09/15 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
bornalliancecom |
NEW |
- |
$7,500.00
|
0 |
Jan/10/15 |
Jul/20/15 |
|
Description: Applied Materials 0010-09940 Gas Box, WSI CVD (new) AMAT |
bornalliancecom |
NEW |
- |
$950.00
|
0 |
Jan/10/15 |
Jul/20/15 |
|
Description: Applied Materials 0200-00262 Dome, Center Gas Feed (NEW) AMAT |
bornalliancecom |
Used |
- |
$750.00
|
0 |
Jan/10/15 |
Jul/20/15 |
|
Description: Applied Materials 0040-09149 P-5000 Mark II 28 Line Gas Panel Enclosure (Used) |
bornalliancecom |
NEW |
- |
$375.00
|
0 |
Jan/10/15 |
Jul/20/15 |
|
Description: Applied Materials 0020-31797 Gas Distribution Plate (NEW) AMAT Etch |
bornalliancecom |
NEW |
- |
$1,650.00
|
0 |
Jan/10/15 |
Jul/20/15 |
|
Description: Applied Materials 0020-32320 Gas Distribution Plate (NEW) AMAT Etch |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/10/15 |
Jan/13/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/10/15 |
Jan/13/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
dr.fantom |
NEW |
- |
$1,200.00
|
1 |
Jan/12/15 |
Jan/12/15 |
|
Description: Applied Materials / AMAT 0010-18318 Rev 001 Gas Ring |
supertechshop |
NEW |
- |
$74.99
|
0 |
Jan/12/15 |
Feb/11/15 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
athomemarket |
Used |
- |
$99.99
|
0 |
Jan/12/15 |
Feb/11/15 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
athomemarket |
Used |
- |
$307.99
|
0 |
Jan/12/15 |
Feb/11/15 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$690.99
|
0 |
Jan/12/15 |
Feb/11/15 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$34.99
|
0 |
Jan/12/15 |
Feb/11/15 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$906.99
|
0 |
Jan/12/15 |
Feb/11/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$256.99
|
0 |
Jan/12/15 |
Feb/11/15 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/13/15 |
Jan/16/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/13/15 |
Jan/16/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
farmoninc |
Used |
- |
$250.00
|
0 |
Jan/15/15 |
Mar/02/23 |
|
Description: AMAT 0040-09154 PANEL TOP GAS CABINET, 400445 |
farmoninc |
Used |
- |
$250.00
|
0 |
Jan/15/15 |
Mar/02/23 |
|
Description: AMAT 0040-09154 PANEL TOP GAS CABINET |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jan/15/15 |
Jan/25/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
athomemarket |
NEW |
- |
$185.99
|
0 |
Jan/15/15 |
Feb/14/15 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
farmoninc |
NEW |
- |
$450.00
|
0 |
Jan/16/15 |
Mar/02/23 |
|
Description: AMAT 0020-13052 TOP COVER, GAS BOX LEFT, CHAMBER IN POS, 400461 |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Jan/16/15 |
Feb/15/15 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/16/15 |
Jan/19/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$148.99
|
0 |
Jan/16/15 |
Feb/15/15 |
|
Description: NEW Applied Materials/AMAT 0020-22846 Cover Gas Trench, Preclean II |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/16/15 |
Jan/19/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
supertechshop |
NEW |
- |
$499.00
|
1 |
Jan/19/15 |
Jan/29/15 |
|
Description: NEW AMAT 0510-21237 Cable 50-FT Assy ZIF Loader Pneumatic Gas Interconnect |
texmart1 |
Used |
- |
$6.65
|
4 |
Mar/01/13 |
Jan/19/15 |
|
Description: Millipore WGFG 01H RI Wafergard F Mini In-Line Gas Filter, 3000 PSI, WGFG01HR1 |
tdindustrial |
NEW |
- |
$89.50
|
2 |
Dec/30/14 |
Jan/19/15 |
|
Description: Cajon Flexible Gas Line 321-4-X-12DFR FVCR 1/2" Both Ends, New |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/19/15 |
Jan/22/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/19/15 |
Jan/22/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/22/15 |
Jan/25/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/22/15 |
Jan/25/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$81.99
|
0 |
Jan/25/15 |
Feb/24/15 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/25/15 |
Jan/28/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jan/26/15 |
Feb/05/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/26/15 |
Jan/29/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/28/15 |
Jan/31/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Jan/29/15 |
Feb/01/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
pete-sigep |
NEW |
- |
$375.00
|
0 |
Jan/30/15 |
Mar/01/15 |
|
Description: Amat 0020-30815 Gas Distribution Plate |
athomemarket |
NEW |
- |
$688.99
|
0 |
Jan/31/15 |
Mar/02/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$59.99
|
0 |
Jan/31/15 |
Mar/02/15 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
svcstore |
Used |
- |
$999.99
|
0 |
Jan/31/15 |
Feb/03/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/01/15 |
Feb/04/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
yayais2012 |
Used |
- |
$45.00
|
0 |
Feb/01/15 |
Mar/03/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
yayais2012 |
Used |
- |
$270.00
|
0 |
Feb/01/15 |
Mar/03/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
athomemarket |
NEW |
- |
$59.99
|
0 |
Feb/03/15 |
Mar/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Feb/03/15 |
Mar/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Feb/03/15 |
Mar/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$49.99
|
0 |
Feb/03/15 |
Mar/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Feb/03/15 |
Mar/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/03/15 |
Feb/06/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/04/15 |
Feb/07/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$60.99
|
0 |
Feb/05/15 |
Mar/07/15 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Feb/05/15 |
Mar/07/15 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
jlx580 |
NEW |
- |
$350.00
|
0 |
Feb/05/15 |
Dec/02/15 |
|
Description: APPLIED MATERIALS (AMAT) 0200-00058 GAS RING |
supertechshop |
Used |
- |
$299.00
|
0 |
Feb/05/15 |
Mar/07/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$49.95
|
0 |
Feb/05/15 |
Mar/07/15 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
athomemarket |
NEW |
- |
$199.99
|
0 |
Feb/06/15 |
Mar/08/15 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Feb/06/15 |
Feb/16/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
prism_electronics11 |
Used |
- |
$199.95
|
0 |
Feb/06/15 |
Feb/23/15 |
|
Description: Lam Research 955-001177-002 Tube CORR TEF 36" Flex Gas Tube Hose |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/06/15 |
Feb/09/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Feb/07/15 |
Mar/09/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,298.99
|
0 |
Feb/07/15 |
Mar/09/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/07/15 |
Feb/10/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$199.99
|
0 |
Feb/08/15 |
Mar/10/15 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
Used |
- |
$4,443.99
|
0 |
Feb/09/15 |
Mar/11/15 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
prism_electronics11 |
Used |
- |
$439.95
|
0 |
Feb/09/15 |
Feb/23/15 |
|
Description: Lot Of 22 Lam Research 715-033684-003 LUG, THD, GAS PATHWAY INR ZONE |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/09/15 |
Feb/12/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
bobsgoodies |
NEW |
- |
$155.00
|
1 |
Feb/10/15 |
Apr/17/15 |
|
Description: AMAT 1270-01060 Switch Air 2500 FPM Max Viton Seal, Gas Panel 308403-X2 |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/10/15 |
Feb/13/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$99.99
|
0 |
Feb/11/15 |
Mar/13/15 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
athomemarket |
Used |
- |
$307.99
|
0 |
Feb/11/15 |
Mar/13/15 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$690.99
|
0 |
Feb/11/15 |
Mar/13/15 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$906.99
|
0 |
Feb/11/15 |
Mar/13/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$256.99
|
0 |
Feb/11/15 |
Mar/13/15 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$34.99
|
0 |
Feb/11/15 |
Mar/13/15 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
dr.fantom |
Used |
- |
$275.00
|
1 |
Feb/12/15 |
Dec/14/15 |
|
Description: Applied Materials/AMAT 0020-26967 Gas Trench Cover |
dr.fantom |
Used |
- |
$500.00
|
0 |
Feb/12/15 |
Dec/01/19 |
|
Description: Applied Materials/AMAT 0200-09478 Plate gas distr. upper 200mm |
dr.fantom |
Used |
- |
$920.00
|
0 |
Feb/12/15 |
Oct/12/19 |
|
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM |
dr.fantom |
Used |
- |
$299.00
|
1 |
Feb/12/15 |
Apr/10/17 |
|
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface Board |
dr.fantom |
Used |
- |
$300.00
|
0 |
Feb/12/15 |
Apr/26/15 |
|
Description: Aera FC-7810CD Novellus 22-252794-00 Mass Flow Control 20 SLM GAS NH3 |
prism_electronics6 |
Used |
- |
$49.95
|
1 |
Feb/12/15 |
Feb/08/18 |
|
Description: Lam Research LED Display for Gas Box Phase II 2, 810-803260-001 |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/13/15 |
Feb/16/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/13/15 |
Feb/16/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
prism_electronics11 |
Used |
- |
$279.99
|
0 |
Feb/13/15 |
Feb/23/15 |
|
Description: MYKROLIS WAFERGARD GAS FILTER Max Bronze WGMXMBRR2 N3SNE157A LAM 775-056674-001 |
athomemarket |
NEW |
- |
$185.99
|
0 |
Feb/14/15 |
Mar/16/15 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Feb/15/15 |
Mar/17/15 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
athomemarket |
NEW |
- |
$148.99
|
1 |
Feb/15/15 |
Mar/13/15 |
|
Description: NEW Applied Materials/AMAT 0020-22846 Cover Gas Trench, Preclean II |
dr.fantom |
Used |
- |
$200.00
|
10 |
Feb/16/15 |
Apr/06/16 |
|
Description: Horiba Stec SEC-4400MC-RUC AMAT 3030-60075 Mass Flow Controller Gas SiH4 20SCCM |
dr.fantom |
Used |
- |
$450.00
|
1 |
Feb/16/15 |
Jun/28/15 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-06072 Mass Flow Controller Gas Ar 50SCCM |
dr.fantom |
Used |
- |
$450.00
|
9 |
Feb/16/15 |
Sep/18/18 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-06094 Mass Flow Controller Gas SiF4 100SCCM |
dr.fantom |
Used |
- |
$120.00
|
0 |
Feb/16/15 |
Jan/09/19 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-06140 Mass Flow Controller Gas NF3 2SLM |
dr.fantom |
Used |
- |
$230.00
|
18 |
Feb/16/15 |
May/13/19 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-11686 Mass Flow Controller Gas SiH4 200SCCM |
dr.fantom |
Used |
- |
$450.00
|
0 |
Feb/16/15 |
Jul/01/15 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-06264 Mass Flow Controller Gas Ar 300SCCM |
dr.fantom |
Used |
- |
$2,000.00
|
1 |
Feb/16/15 |
Mar/23/15 |
|
Description: Lot of 14 Horiba Stec SEC-4400M AMAT 3030-11685 3030-06491 MFC Gas SiF4 20SCCM |
dr.fantom |
Used |
- |
$250.00
|
1 |
Feb/16/15 |
Jun/28/15 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-01066 Mass Flow Controller Gas N2 200SCCM |
dr.fantom |
Used |
- |
$500.00
|
0 |
Feb/16/15 |
Jul/01/15 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-11977 Mass Flow Controller Gas H2 1SLM |
dr.fantom |
Used |
- |
$100.00
|
2 |
Feb/16/15 |
Feb/12/20 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-06140 Mass Flow Controller Gas NF3 2SLM |
dr.fantom |
Used |
- |
$400.00
|
1 |
Feb/16/15 |
Jun/28/15 |
|
Description: Horiba Stec SEC-4400MC AMAT 3030-01519 Mass Flow Controller Gas N2 500SCCM |
dr.fantom |
Used |
- |
$250.00
|
0 |
Feb/16/15 |
Jul/01/15 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-01525 Mass Flow Controller Gas He 200SCCM |
dr.fantom |
Used |
- |
$100.00
|
3 |
Feb/16/15 |
May/21/15 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-06139 Mass Flow Controller Gas AR 2SLM |
dr.fantom |
Used |
- |
$100.00
|
6 |
Feb/16/15 |
Dec/14/15 |
|
Description: Horiba Stec SEC-4400M AMAT 3030-06426 Mass Flow Controller Gas O2 400SCCM |
dr.fantom |
Used |
- |
$450.00
|
6 |
Feb/16/15 |
Sep/18/18 |
|
Description: Horiba Stec SEC-4400MC-RUC-G2 AMAT 3030-08525 Mass Flow Controller Gas O2 50SCCM |
supertechshop |
NEW |
- |
$74.99
|
0 |
Feb/16/15 |
Mar/18/15 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Feb/16/15 |
Feb/26/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/16/15 |
Feb/19/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/16/15 |
Feb/19/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
jhopkinssnnf |
NEW |
- |
$4,500.00
|
0 |
Feb/17/15 |
Feb/23/15 |
|
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED |
prism_electronics11 |
Used |
- |
$49.95
|
0 |
Feb/17/15 |
Feb/23/15 |
|
Description: Swagelok WELD, GAS FD LINE, 8" Lam Research p/n 839-140250-001 |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/19/15 |
Feb/22/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/19/15 |
Feb/22/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/22/15 |
Feb/25/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/22/15 |
Feb/25/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$81.99
|
0 |
Feb/24/15 |
Mar/26/15 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
wilus_v3zx7z |
Used |
- |
$395.00
|
0 |
Feb/25/15 |
Mar/27/15 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/25/15 |
Feb/28/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/25/15 |
Feb/28/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
solutions-on-silicon |
NEW |
- |
$840.00
|
0 |
Feb/26/15 |
Mar/23/16 |
|
Description: 0010-00732 ASSY, GAS TUBE WELDMENT |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Feb/27/15 |
Mar/09/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/28/15 |
Mar/03/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Feb/28/15 |
Mar/03/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
pete-sigep |
NEW |
- |
$375.00
|
0 |
Mar/01/15 |
Mar/31/15 |
|
Description: Amat 0020-30815 Gas Distribution Plate |
gigabitpartsolutions |
NEW |
- |
$605.00
|
0 |
Mar/02/15 |
Jun/24/16 |
|
Description: OEM Part LAM RESEARCH (LAM) 716-330068-001 PL, GAS Ring, Cov (INTL FLWS) |
athomemarket |
NEW |
- |
$688.99
|
0 |
Mar/02/15 |
Apr/01/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$59.99
|
0 |
Mar/02/15 |
Apr/01/15 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
usedeqsales |
NEW |
- |
$2,003.15
|
0 |
Mar/02/15 |
May/01/15 |
|
Description: Entegris WG3NS6RRZ Inline Gas Filter AMAT 4020-01285 Reseller lot of 25 New |
gesemiconductor |
Used |
- |
$350.00
|
8 |
Aug/15/12 |
Mar/02/15 |
|
Description: Applied Materials 0020-33808 Adapter Gas Feed DPS+ Poly |
svcstore |
Used |
- |
$999.99
|
0 |
Mar/03/15 |
Mar/06/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Mar/03/15 |
Mar/06/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
yayais2012 |
Used |
- |
$300.00
|
0 |
Mar/05/15 |
Apr/04/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
athomemarket |
NEW |
- |
$60.99
|
0 |
Mar/05/15 |
Apr/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$49.99
|
0 |
Mar/05/15 |
Apr/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Mar/05/15 |
Apr/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
Mar/05/15 |
Apr/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Mar/05/15 |
Apr/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
Used |
- |
$999.99
|
0 |
Mar/06/15 |
Mar/09/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Mar/06/15 |
Mar/09/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$60.99
|
0 |
Mar/07/15 |
Apr/06/15 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Mar/07/15 |
Apr/06/15 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
yayais2012 |
Used |
- |
$45.00
|
0 |
Mar/08/15 |
Apr/07/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
supertechshop |
Used |
- |
$299.00
|
0 |
Mar/08/15 |
Apr/07/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
supertechshop |
Used |
- |
$49.95
|
0 |
Mar/08/15 |
Apr/07/15 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
athomemarket |
NEW |
- |
$199.99
|
0 |
Mar/08/15 |
Apr/07/15 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
datacom2014 |
Used |
- |
$110.00
|
1 |
Mar/09/15 |
Mar/28/22 |
|
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board 0130-00567 Applied Materials |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Mar/09/15 |
Apr/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,298.99
|
0 |
Mar/09/15 |
Apr/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
Used |
- |
$999.99
|
0 |
Mar/09/15 |
Mar/12/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Mar/09/15 |
Mar/12/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Mar/10/15 |
Mar/20/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
xsysengineering |
NEW |
- |
$3,500.00
|
1 |
Oct/21/14 |
Mar/09/15 |
|
Description: Applied Materials (AMAT) 0100-35086 Gas Panel Dist PCB |
gesemiconductor |
NEW |
- |
$85.00
|
0 |
Mar/10/15 |
Apr/01/15 |
|
Description: Applied Materials 0200-09450 Tube Gas Feed OD 6.35mm BWCVD/DCVD Quartz |
athomemarket |
Used |
- |
$199.99
|
0 |
Mar/10/15 |
Apr/09/15 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
bosch_shx |
NEW |
- |
$349.99
|
0 |
Mar/11/15 |
Sep/26/17 |
|
Description: NEW LAM RESEARCH 839-037989-001 WLDMT PROCESS GAS STONEHENGE KIYO |
gesemiconductor |
Used |
- |
$100.00
|
2 |
Mar/11/15 |
Apr/08/15 |
|
Description: APPLIED MATERIALS 0021-04297 MANIFOLD CLEAN GAS 200MM PRODUCER |
athomemarket |
Used |
- |
$4,443.99
|
0 |
Mar/11/15 |
Apr/10/15 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
Used |
- |
$999.99
|
0 |
Mar/12/15 |
Mar/15/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$144.99
|
0 |
Mar/12/15 |
Mar/15/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
catalystparts |
NEW |
- |
$150.00
|
1 |
Mar/13/15 |
Mar/26/15 |
|
Description: Applied Materials 4020-01060 Pall / Gaskleen GLFPF3000MFC9 In-line gas filter |
supertechshop |
NEW |
- |
$199.00
|
0 |
Mar/13/15 |
Apr/12/15 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
athomemarket |
Used |
- |
$99.99
|
0 |
Mar/13/15 |
Apr/12/15 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
athomemarket |
Used |
- |
$307.99
|
0 |
Mar/13/15 |
Apr/12/15 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$690.99
|
0 |
Mar/13/15 |
Apr/12/15 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$256.99
|
0 |
Mar/13/15 |
Apr/12/15 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$906.99
|
0 |
Mar/13/15 |
Apr/12/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$34.99
|
0 |
Mar/13/15 |
Apr/12/15 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$185.99
|
0 |
Mar/17/15 |
Apr/16/15 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Mar/17/15 |
Apr/16/15 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
gigabitpartsolutions |
Used |
- |
$1,300.00
|
0 |
Mar/18/15 |
Apr/22/20 |
|
Description: OEM Part LAM RESEARCH (LAM) 715-801916-004 PLD GAS DIST 300mm W/G RV HTR (used) |
supertechshop |
NEW |
- |
$74.99
|
2 |
Mar/19/15 |
Apr/16/15 |
|
Description: NEW Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Avail QTY |
austieiscute |
Used |
- |
$149.99
|
1 |
Mar/20/15 |
Mar/21/15 |
|
Description: High Purity Valve AMAT 0010-13593 Rev. A 10430100 Gas Line Chamber |
gigabitpartsolutions |
Used |
- |
$1,300.00
|
2 |
Mar/19/15 |
Sep/07/21 |
|
Description: OEM Part (LAM) 715-801916-808 PLD GAS DIST 300mm W/G RV HTR |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Mar/20/15 |
Mar/30/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
usedeqsales |
Used |
- |
$703.15
|
0 |
Mar/23/15 |
May/04/15 |
|
Description: SEC Sensor Electronics 142-0280 Gas Detector AMAT 0820-90104 Regal IPA Sensor |
farmoninc |
Used |
- |
$1,800.00
|
0 |
Mar/25/15 |
Mar/02/23 |
|
Description: AMAT 0010-09241 Assy Chamber A Teos Gas Line/Cables |
athomemarket |
NEW |
- |
$81.99
|
0 |
Mar/26/15 |
Apr/25/15 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
wilus_v3zx7z |
Used |
- |
$395.00
|
0 |
Mar/27/15 |
Apr/26/15 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
athomemarket |
NEW |
- |
$60.99
|
0 |
Apr/05/15 |
May/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
Apr/05/15 |
May/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Apr/05/15 |
May/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$49.99
|
0 |
Apr/05/15 |
May/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Apr/05/15 |
May/05/15 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
yayais2012 |
Used |
- |
$300.00
|
0 |
Apr/04/15 |
May/04/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
svcstore |
Used |
- |
$899.99
|
0 |
Apr/03/15 |
Apr/06/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$133.99
|
0 |
Apr/06/15 |
Apr/09/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Apr/06/15 |
Apr/09/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
catalystparts |
Used |
- |
$1,500.00
|
0 |
Apr/07/15 |
Sep/27/16 |
|
Description: Applied Materials 0010-70774 TX2 Gas Box Assy |
athomemarket |
NEW |
- |
$60.99
|
0 |
Apr/07/15 |
May/07/15 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Apr/07/15 |
May/07/15 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
prism_electronics8 |
NEW |
- |
$199.95
|
1 |
Apr/07/15 |
Feb/10/17 |
|
Description: Lam Research 715-350036-001 Ring Gas Feed |
athomemarket |
NEW |
- |
$199.99
|
0 |
Apr/07/15 |
May/07/15 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
yayais2012 |
Used |
- |
$45.00
|
0 |
Apr/08/15 |
May/08/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Apr/08/15 |
May/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,298.99
|
0 |
Apr/08/15 |
May/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$199.99
|
0 |
Apr/09/15 |
May/09/15 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
svcompucycle |
Used |
- |
$99.95
|
0 |
Apr/09/15 |
May/09/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
svcstore |
NEW |
- |
$133.99
|
0 |
Apr/09/15 |
Apr/12/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Apr/09/15 |
Apr/12/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$4,443.99
|
0 |
Apr/10/15 |
May/10/15 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
farmoninc |
NEW |
- |
$1,750.00
|
0 |
Apr/10/15 |
Mar/02/23 |
|
Description: AMAT 0242-15804 Kit, No Gas Leak Detector, 0820-00011, 0820-00010, 401220 |
athomemarket |
Used |
- |
$307.99
|
0 |
Apr/12/15 |
May/12/15 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$34.99
|
0 |
Apr/12/15 |
May/12/15 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$690.99
|
0 |
Apr/12/15 |
May/12/15 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$256.99
|
0 |
Apr/12/15 |
May/12/15 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$906.99
|
0 |
Apr/12/15 |
May/12/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
svcstore |
NEW |
- |
$133.99
|
0 |
Apr/12/15 |
Apr/15/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Apr/12/15 |
Apr/15/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$99.99
|
0 |
Apr/13/15 |
May/13/15 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Apr/13/15 |
Apr/23/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcompucycle |
Used |
- |
$199.95
|
0 |
Apr/14/15 |
May/14/15 |
|
Description: AMAT Unit UFM-1660 MFC Mass Flow Controller N2 Gas 20 SLM 3030-07103 / Warranty |
svcstore |
NEW |
- |
$133.99
|
0 |
Apr/15/15 |
Apr/18/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Apr/15/15 |
Apr/18/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$185.99
|
0 |
Apr/16/15 |
May/16/15 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Apr/16/15 |
May/16/15 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
farmoninc |
NEW |
- |
$100.00
|
0 |
Apr/17/15 |
Mar/02/23 |
|
Description: AMAT 0021-08852 Gas Spring Long Mounting Stud, EBR Lid 2, 401341 |
svcompucycle |
NEW |
- |
$199.00
|
0 |
Apr/17/15 |
May/17/15 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
svcstore |
NEW |
- |
$133.99
|
0 |
Apr/18/15 |
Apr/21/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
microwavetech |
Used |
- |
$566.99
|
0 |
Apr/18/15 |
May/12/19 |
|
Description: Lam Research IGS Gas Box 810-073479-001 Main Board |
svcstore |
Used |
- |
$899.99
|
0 |
Apr/18/15 |
Apr/21/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$133.99
|
0 |
Apr/21/15 |
Apr/24/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
farmoninc |
NEW |
- |
$4,500.00
|
1 |
Apr/22/15 |
Jan/18/18 |
|
Description: AMAT 0240-14172 Assy, Gas Box, WXZ, 0020-10876, 0020-62142, 0040-09050, 401414 |
svcstore |
Used |
- |
$899.99
|
0 |
Apr/21/15 |
Apr/24/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
dvkelectronics |
Used |
- |
$200.00
|
0 |
Apr/22/15 |
May/22/15 |
|
Description: (1) Gatekeeper SS-500K-I-4R Inert Gas Purifier w/ Tescom + Parker Valve + |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Apr/23/15 |
May/03/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
woonyki |
Refurbished |
- |
$678.00
|
1 |
Apr/15/15 |
Apr/22/15 |
|
Description: PRAXAIR UP100 UltraPurge Controller for GAS Cabinet and System Panels |
athomemarket |
NEW |
- |
$81.99
|
0 |
Apr/25/15 |
May/25/15 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
svcstore |
NEW |
- |
$133.99
|
0 |
Apr/24/15 |
Apr/27/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Apr/24/15 |
Apr/27/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
wilus_v3zx7z |
Used |
- |
$395.00
|
0 |
Apr/26/15 |
May/26/15 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
svcstore |
NEW |
- |
$122.99
|
0 |
Apr/28/15 |
May/01/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Apr/28/15 |
May/01/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
farmoninc |
Used |
- |
$495.00
|
0 |
Apr/29/15 |
Mar/02/23 |
|
Description: AMAT 0195-00275 WATLOW 160C ZONE 12 TOP LEVEL ASSY, HEATED GAS LINE 401596 |
used1eqsales |
Used |
- |
$1,252.14
|
6 |
Apr/30/15 |
Mar/04/17 |
|
Description: AMAT 9010-01378 Celerity Gas Card BF3 Quantum X Gas Box used working |
pete-sigep |
NEW |
- |
$375.00
|
0 |
May/01/15 |
May/31/15 |
|
Description: Amat 0020-30815 Gas Distribution Plate |
svcstore |
NEW |
- |
$122.99
|
0 |
May/01/15 |
May/04/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
May/01/15 |
May/04/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$59.99
|
0 |
May/02/15 |
Jun/01/15 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$599.99
|
0 |
May/02/15 |
Jun/01/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
May/04/15 |
May/14/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
NEW |
- |
$122.99
|
0 |
May/04/15 |
May/07/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
May/04/15 |
May/07/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
yayais2012 |
Used |
- |
$300.00
|
0 |
May/05/15 |
Jun/04/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
athomemarket |
NEW |
- |
$59.99
|
0 |
May/05/15 |
Jun/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$49.99
|
0 |
May/05/15 |
Jun/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
May/05/15 |
Jun/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
May/05/15 |
Jun/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
May/05/15 |
Jun/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
NEW |
- |
$122.99
|
0 |
May/07/15 |
May/10/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$60.99
|
0 |
May/07/15 |
Jun/06/15 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
May/07/15 |
Jun/06/15 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$199.99
|
0 |
May/08/15 |
Jun/07/15 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
Used |
- |
$899.99
|
0 |
May/07/15 |
May/10/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
yayais2012 |
Used |
- |
$45.00
|
0 |
May/10/15 |
Jun/09/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
athomemarket |
Used |
- |
$3,999.99
|
0 |
May/09/15 |
Jun/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,298.99
|
0 |
May/09/15 |
Jun/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$199.99
|
0 |
May/10/15 |
Jun/09/15 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
svcstore |
NEW |
- |
$122.99
|
0 |
May/10/15 |
May/13/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
May/10/15 |
May/13/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
bobsgoodies2 |
Used |
- |
$1,950.00
|
0 |
May/11/15 |
Mar/31/22 |
|
Description: AMAT 0010-01989 SS Gas Heater Assembly & 0160-00018 TC Feed Thru High K CVD |
athomemarket |
Used |
- |
$4,443.99
|
0 |
May/11/15 |
Jun/10/15 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
used1eqsales |
Used |
- |
$4,005.15
|
1 |
May/12/15 |
May/13/15 |
|
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura AMAT used sold as is |
svcompucycle |
Used |
- |
$99.95
|
0 |
May/12/15 |
Jun/11/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
farmoninc |
NEW |
- |
$2,500.00
|
0 |
May/12/15 |
Nov/26/21 |
|
Description: AMAT 0010-92515 Gas Module, SDS SIF4 401874 |
athomemarket |
Used |
- |
$299.99
|
0 |
May/13/15 |
Jun/12/15 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
usedeqsales |
Used |
- |
$1,505.15
|
0 |
May/13/15 |
Dec/09/15 |
|
Description: AMAT Applied Materials 0100-00980 Gas and Oven Motherboard 0100-00981 XR80 Used |
ntsurplus302 |
Used |
- |
$250.00
|
0 |
May/13/15 |
Nov/27/16 |
|
Description: 3010 Applied Materials P/N: 0040-00290 Gas Distribution Manifold |
athomemarket |
NEW |
- |
$599.99
|
0 |
May/13/15 |
Jun/12/15 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$688.99
|
0 |
May/13/15 |
Jun/12/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$199.99
|
0 |
May/13/15 |
Jun/12/15 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$29.99
|
0 |
May/13/15 |
Jun/12/15 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
Used |
- |
$99.99
|
0 |
May/13/15 |
Jun/12/15 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
svcstore |
NEW |
- |
$122.99
|
0 |
May/13/15 |
May/16/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
May/13/15 |
May/16/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
used1eqsales |
Used |
- |
$4,005.15
|
1 |
May/14/15 |
May/14/15 |
|
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura P500 AMAT used sold as i |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
May/14/15 |
May/24/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcompucycle |
Used |
- |
$199.95
|
0 |
May/15/15 |
Jun/14/15 |
|
Description: AMAT Unit UFM-1660 MFC Mass Flow Controller N2 Gas 20 SLM 3030-07103 / Warranty |
athomemarket |
NEW |
- |
$149.99
|
0 |
May/16/15 |
Jun/15/15 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
svcstore |
NEW |
- |
$122.99
|
0 |
May/16/15 |
May/19/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
May/16/15 |
May/19/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$3,999.99
|
0 |
May/17/15 |
Jun/16/15 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcompucycle |
NEW |
- |
$199.00
|
0 |
May/18/15 |
Jun/17/15 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
value-pack |
NEW |
- |
$1,550.00
|
0 |
May/19/15 |
Nov/15/15 |
|
Description: AMAT Gas Box, Silance 200mm Producer 0040-02520 2nd New |
value-pack |
NEW |
- |
$550.00
|
0 |
May/19/15 |
Oct/16/15 |
|
Description: AMAT Gas Feed Thru Tube 0040-75457 |
svcstore |
NEW |
- |
$122.99
|
0 |
May/19/15 |
May/22/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
May/19/15 |
May/22/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
used1eqsales |
Used |
- |
$805.15
|
1 |
May/21/15 |
May/26/15 |
|
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working |
farmoninc |
NEW |
- |
$1,450.00
|
1 |
May/22/15 |
Jun/08/15 |
|
Description: AMAT 0010-20714 Assy Gas Box A/C, PCB, Pneumatic, Mounting Plate, 402164 |
svcstore |
NEW |
- |
$122.99
|
0 |
May/22/15 |
May/25/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
May/22/15 |
May/25/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$81.99
|
0 |
May/26/15 |
Jun/25/15 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
May/26/15 |
Jun/05/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
Used |
- |
$899.99
|
0 |
May/26/15 |
May/29/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$112.99
|
0 |
May/26/15 |
May/29/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
farmoninc |
Used |
- |
$3,500.00
|
0 |
May/27/15 |
May/29/17 |
|
Description: AMAT 0100-00423 SERIPLEX 0190-35653 PCB GAS CONTROL CENTURA ENDURA 402310 |
farmoninc |
Used |
- |
$3,500.00
|
0 |
May/27/15 |
May/29/17 |
|
Description: AMAT 0100-00423 SERIPLEX GAS CONTROL CENTURA ENDURA VAL-P3-2710-12 402309 |
svcompucycle |
Used |
- |
$49.95
|
0 |
May/28/15 |
Jun/27/15 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
visionsemi |
Used |
- |
$950.00
|
0 |
May/28/15 |
Oct/25/15 |
|
Description: APPLIED MATERIALS AMAT AKT GAS BACKPLANE PCB 0100-71088 |
svcstore |
Used |
- |
$899.99
|
0 |
May/29/15 |
Jun/01/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$112.99
|
0 |
May/29/15 |
Jun/01/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
auctionrus |
NEW |
- |
$495.00
|
0 |
May/31/15 |
Jun/14/16 |
|
Description: AMAT 0020-09604 Housing, Gas Box, Manifold, Insulator 402576 |
auctionrus |
NEW |
- |
$150.00
|
0 |
May/31/15 |
Dec/04/23 |
|
Description: Novellus 10-278783-00 Gas Manifold, Connection, Gas Box 402553 |
yhcet1 |
Refurbished |
- |
$259.99
|
0 |
Jun/01/15 |
Jul/01/15 |
|
Description: LAM 829-443052-023 GAS Manifold |
yhcet1 |
Used |
- |
$79.99
|
0 |
Jun/01/15 |
Jul/01/15 |
|
Description: Novellus 02-265208-00 TEOS Gas Box N2 Line Clean |
yhcet1 |
Used |
- |
$299.99
|
0 |
Jun/01/15 |
Jul/01/15 |
|
Description: Novellus 02-140507-00 Ampule With Opticle Line In TEOS GAS Box |
pete-sigep |
NEW |
- |
$375.00
|
0 |
Jun/01/15 |
Jul/01/15 |
|
Description: Amat 0020-30815 Gas Distribution Plate |
athomemarket |
NEW |
- |
$59.99
|
0 |
Jun/01/15 |
Jun/26/15 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$599.99
|
0 |
Jun/01/15 |
Jun/26/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/01/15 |
Jun/04/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$112.99
|
0 |
Jun/01/15 |
Jun/04/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
gigabitpartsolutions |
NEW |
- |
$137.50
|
0 |
Jun/02/15 |
Jun/26/16 |
|
Description: OEM Part LAM RESEARCH (LAM) 716-008718-001 NOZ, GAS NJ, 8-HOLE QTZ, 2300 ME |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jun/04/15 |
Jul/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jun/04/15 |
Jul/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$49.99
|
0 |
Jun/04/15 |
Jul/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jun/04/15 |
Jul/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
Jun/04/15 |
Jul/04/15 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/04/15 |
Jun/07/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$112.99
|
0 |
Jun/04/15 |
Jun/07/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
used1eqsales |
Used |
- |
$806.15
|
0 |
Jun/05/15 |
Jun/10/15 |
|
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working |
visionsemi |
NEW |
- |
$250.00
|
0 |
Jun/05/15 |
Mar/31/16 |
|
Description: APPLIED MATERIALS 0140-18285 HARNESS ASSY, RIGHT GAS BOX FILTER SIGNALS AMAT |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jun/05/15 |
Jun/15/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
yayais2012 |
Used |
- |
$300.00
|
0 |
Jun/06/15 |
Jul/06/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jun/06/15 |
Jul/06/15 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jun/06/15 |
Jul/06/15 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$199.99
|
0 |
Jun/07/15 |
Jul/07/15 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/07/15 |
Jun/10/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$112.99
|
0 |
Jun/07/15 |
Jun/10/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$3,298.99
|
0 |
Jun/08/15 |
Jul/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$3,999.99
|
0 |
Jun/08/15 |
Jul/08/15 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
yayais2012 |
Used |
- |
$45.00
|
0 |
Jun/09/15 |
Jul/09/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
athomemarket |
Used |
- |
$199.99
|
0 |
Jun/09/15 |
Jul/09/15 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
Used |
- |
$4,443.99
|
0 |
Jun/10/15 |
Jul/10/15 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/10/15 |
Jun/13/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$112.99
|
0 |
Jun/10/15 |
Jun/13/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
auctionrus |
NEW |
- |
$14,500.00
|
0 |
Jun/12/15 |
Sep/16/15 |
|
Description: AMAT 0010-18158 GAS PALLET ASSY USG REG ULTIMA HDP-CVD Farmon ID 405903 |
svcompucycle |
Used |
- |
$99.95
|
0 |
Jun/12/15 |
Jul/12/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
athomemarket |
Used |
- |
$99.99
|
0 |
Jun/12/15 |
Jul/12/15 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
athomemarket |
Used |
- |
$299.99
|
0 |
Jun/12/15 |
Jul/12/15 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$599.99
|
0 |
Jun/12/15 |
Jul/12/15 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$29.99
|
0 |
Jun/12/15 |
Jul/12/15 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$199.99
|
0 |
Jun/12/15 |
Jul/12/15 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$688.99
|
0 |
Jun/12/15 |
Jul/12/15 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/13/15 |
Jun/16/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$112.99
|
0 |
Jun/14/15 |
Jun/17/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$134.99
|
0 |
Jun/15/15 |
Jul/15/15 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
gigabitpartsolutions |
NEW |
- |
$27.50
|
0 |
Jun/15/15 |
Jun/09/16 |
|
Description: OEM Part Applied Materails (AMAT) 0200-36428 TUBE, CERAMIC GAS FEED, MW CLEAN |
gosemicat |
NEW |
- |
$450.00
|
0 |
Jun/15/15 |
Jan/16/24 |
|
Description: 0150-01686 AMAT Etch, Cable, Gas Panel AI/O |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jun/15/15 |
Jun/25/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
athomemarket |
Used |
- |
$3,599.99
|
0 |
Jun/16/15 |
Jul/16/15 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
gigabitpartsolutions |
NEW |
- |
$385.00
|
0 |
Jun/16/15 |
Jun/10/16 |
|
Description: OEM Part Applied Materails (AMAT) 0242-42691 KIT, PURGE GAS FLEXLINE 300MM CVD |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/16/15 |
Jun/19/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$112.99
|
0 |
Jun/17/15 |
Jun/20/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcompucycle |
NEW |
- |
$199.00
|
0 |
Jun/18/15 |
Jul/18/15 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
svcompucycle |
Used |
- |
$199.95
|
0 |
Jun/18/15 |
Jul/18/15 |
|
Description: AMAT Unit UFM-1660 MFC Mass Flow Controller N2 Gas 20 SLM 3030-07103 / Warranty |
gosemicat |
NEW |
- |
$600.00
|
0 |
Jun/18/15 |
Jan/16/24 |
|
Description: 0150-01683 AMAT Etch, Cable Gas Panel DI/O |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/19/15 |
Jun/22/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$112.99
|
0 |
Jun/20/15 |
Jun/23/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
wilus_v3zx7z |
Used |
- |
$325.00
|
0 |
Jun/23/15 |
Jul/23/15 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/23/15 |
Jun/26/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$81.99
|
0 |
Jun/25/15 |
Jun/13/17 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
powersell007 |
Used |
- |
$599.00
|
1 |
Jun/25/15 |
Oct/15/17 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT-CSP/ METRON |
athomemarket |
NEW |
- |
$59.99
|
0 |
Jun/26/15 |
Jun/14/17 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$599.99
|
0 |
Jun/26/15 |
Jun/14/17 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/26/15 |
Jun/29/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$103.99
|
0 |
Jun/26/15 |
Jun/29/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jun/29/15 |
Jul/09/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
NEW |
- |
$103.99
|
0 |
Jun/29/15 |
Jul/02/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Jun/29/15 |
Jul/02/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
lvc |
Used |
- |
$350.00
|
0 |
Jul/01/15 |
Sep/29/21 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
svcompucycle |
Used |
- |
$49.95
|
0 |
Jul/01/15 |
Jul/31/15 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
svcstore |
Used |
- |
$899.99
|
0 |
Jul/02/15 |
Jul/05/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$103.99
|
0 |
Jul/03/15 |
Jul/06/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$54.89
|
0 |
Jul/04/15 |
Nov/01/15 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jul/04/15 |
Jun/22/17 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$49.99
|
0 |
Jul/04/15 |
Jun/22/17 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$54.89
|
0 |
Jul/04/15 |
Nov/01/15 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
Jul/04/15 |
Jun/23/17 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
Used |
- |
$899.99
|
0 |
Jul/05/15 |
Jul/08/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jul/06/15 |
Jun/24/17 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$54.89
|
0 |
Jul/06/15 |
Nov/03/15 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
NEW |
- |
$103.99
|
0 |
Jul/06/15 |
Jul/09/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
NEW |
- |
$199.99
|
0 |
Jul/07/15 |
Jun/25/17 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
athomemarket |
Used |
- |
$1,000.00
|
0 |
Jul/08/15 |
Jun/27/17 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$1,000.00
|
0 |
Jul/08/15 |
Jun/26/17 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
svcstore |
Used |
- |
$899.99
|
0 |
Jul/08/15 |
Jul/11/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jul/09/15 |
Jul/19/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
yayais2012 |
Used |
- |
$45.00
|
0 |
Jul/09/15 |
Aug/08/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
yayais2012 |
Used |
- |
$300.00
|
0 |
Jul/09/15 |
Aug/08/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
athomemarket |
Used |
- |
$199.99
|
0 |
Jul/09/15 |
Jun/27/17 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
svcstore |
NEW |
- |
$103.99
|
0 |
Jul/09/15 |
Jul/12/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$900.00
|
0 |
Jul/10/15 |
Jun/28/17 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
svcstore |
Used |
- |
$899.99
|
0 |
Jul/11/15 |
Jul/14/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
Used |
- |
$99.99
|
0 |
Jul/12/15 |
Jun/30/17 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
athomemarket |
Used |
- |
$239.99
|
0 |
Jul/12/15 |
Nov/09/15 |
|
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials |
athomemarket |
NEW |
- |
$159.99
|
0 |
Jul/12/15 |
Nov/09/15 |
|
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$599.99
|
0 |
Jul/12/15 |
Jun/30/17 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$29.99
|
0 |
Jul/12/15 |
Jul/01/17 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
athomemarket |
NEW |
- |
$688.99
|
0 |
Jul/12/15 |
Jun/30/17 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
svcstore |
NEW |
- |
$103.99
|
0 |
Jul/12/15 |
Jul/15/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
tdindustrial |
NEW |
- |
$595.00
|
0 |
Jul/13/15 |
Nov/10/15 |
|
Description: LAM Gas Manifold, 839-360162-001 Rev A, New. Sealed Class 100 |
svcompucycle |
Used |
- |
$99.95
|
0 |
Jul/13/15 |
Aug/12/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
svcstore |
Used |
- |
$899.99
|
0 |
Jul/14/15 |
Jul/17/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$99.99
|
0 |
Jul/15/15 |
Jul/03/17 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
svcstore |
NEW |
- |
$103.99
|
0 |
Jul/15/15 |
Jul/18/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
athomemarket |
Used |
- |
$750.00
|
0 |
Jul/16/15 |
Jul/05/17 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
svcstore |
NEW |
- |
$103.99
|
0 |
Jul/18/15 |
Jul/21/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Jul/18/15 |
Jul/21/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jul/20/15 |
Jul/30/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
NEW |
- |
$103.99
|
0 |
Jul/21/15 |
Jul/24/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Jul/23/15 |
Jul/26/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcompucycle |
Used |
- |
$199.95
|
0 |
Jul/23/15 |
Aug/22/15 |
|
Description: AMAT Unit UFM-1660 MFC Mass Flow Controller N2 Gas 20 SLM 3030-07103 / Warranty |
cjcollective2012 |
NEW |
- |
$100.00
|
0 |
Jul/27/15 |
Nov/24/15 |
|
Description: APPLIED MATERIALS 0150-18944 Cable EV MANIFOLD NO 2 GAS PANEL |
svcstore |
NEW |
- |
$95.99
|
0 |
Jul/27/15 |
Jul/30/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Jul/27/15 |
Jul/30/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jul/30/15 |
Aug/09/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
NEW |
- |
$95.99
|
0 |
Jul/30/15 |
Aug/02/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Jul/30/15 |
Aug/02/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcompucycle |
Used |
- |
$49.95
|
0 |
Aug/02/15 |
Sep/01/15 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
svcstore |
NEW |
- |
$95.99
|
0 |
Aug/02/15 |
Aug/05/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Aug/02/15 |
Aug/05/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcompucycle |
NEW |
- |
$199.00
|
0 |
Aug/03/15 |
Sep/02/15 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
svcstore |
NEW |
- |
$95.99
|
0 |
Aug/05/15 |
Aug/08/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Aug/06/15 |
Aug/09/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$95.99
|
0 |
Aug/08/15 |
Aug/11/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Aug/09/15 |
Aug/12/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Aug/10/15 |
Aug/20/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
NEW |
- |
$95.99
|
0 |
Aug/11/15 |
Aug/14/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
yayais2012 |
Used |
- |
$45.00
|
0 |
Aug/11/15 |
Sep/10/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
svcompucycle |
Used |
- |
$99.95
|
0 |
Aug/12/15 |
Sep/11/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
svcstore |
Used |
- |
$899.99
|
0 |
Aug/12/15 |
Aug/15/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
austieiscute |
Used |
- |
$1,799.99
|
1 |
Aug/13/15 |
Apr/11/17 |
|
Description: AMAT Applied Materials Assembly Gas Panel H2 Sensor 0010-35350 0090-35042 |
yayais2012 |
Used |
- |
$300.00
|
0 |
Aug/14/15 |
Sep/13/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
svcstore |
NEW |
- |
$95.99
|
0 |
Aug/14/15 |
Aug/17/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Aug/15/15 |
Aug/18/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$95.99
|
0 |
Aug/17/15 |
Aug/20/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Aug/18/15 |
Aug/20/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
wilus_v3zx7z |
Used |
- |
$230.00
|
0 |
Aug/19/15 |
Sep/18/15 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
used1eqsales |
Used |
- |
$908.15
|
1 |
Aug/19/15 |
Dec/15/15 |
|
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working |
surplusssam |
NEW |
- |
$169.99
|
0 |
Aug/19/15 |
Aug/29/15 |
|
Description: LOT OF 2 AMAT 3780-02334 SUSPA C16-19963 GAS SPRING |
powersell007 |
NEW |
- |
$99.00
|
14 |
Aug/20/15 |
Apr/04/18 |
|
Description: NEW APPLIED MATERIALS 0200-35291 PLUG, INNER, GAS FEED ASSY, DPS A1 CERAMIC AMAT |
sparesllc09 |
Used |
- |
$6,800.00
|
0 |
Aug/20/15 |
Nov/18/15 |
|
Description: 0010-09959 /ASSY GAS BOX BWCVD/ APPLIED MATERIALS |
sparesllc09 |
Used |
- |
$4,800.00
|
0 |
Aug/20/15 |
Nov/18/15 |
|
Description: 0010-09247 /ASSY,BWCVD BASIC GAS BOX/ APPLIED MATERIALS |
svcstore |
NEW |
- |
$95.99
|
0 |
Aug/20/15 |
Aug/30/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Aug/20/15 |
Aug/30/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Aug/21/15 |
Aug/31/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcompucycle |
Used |
- |
$199.95
|
0 |
Aug/23/15 |
Sep/22/15 |
|
Description: AMAT Unit UFM-1660 MFC Mass Flow Controller N2 Gas 20 SLM 3030-07103 / Warranty |
svcstore |
NEW |
- |
$95.99
|
0 |
Aug/30/15 |
Sep/04/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Aug/30/15 |
Sep/04/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Aug/31/15 |
Sep/10/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
auctionrus |
NEW |
- |
$495.00
|
1 |
Sep/01/15 |
Jan/24/17 |
|
Description: AMAT 0200-36682 Liner Quartz, Lower Gas Dist Ground ASP+, 408489 |
auctionrus |
NEW |
- |
$395.00
|
1 |
Sep/01/15 |
Aug/08/17 |
|
Description: AMAT 0200-09448 Plate, Lower, Gas Dist, Quartz, EESI 2900-10289, 408492 |
svcompucycle |
Used |
- |
$49.95
|
0 |
Sep/02/15 |
Oct/02/15 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
svcompucycle |
NEW |
- |
$199.00
|
0 |
Sep/02/15 |
Oct/02/15 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
surplusssam |
NEW |
- |
$169.99
|
0 |
Sep/04/15 |
Sep/14/15 |
|
Description: LOT OF 2 AMAT 3780-02334 SUSPA C16-19963 GAS SPRING |
x-pressmicro |
Used |
- |
$199.95
|
0 |
Sep/08/15 |
Nov/07/15 |
|
Description: APPLIED MATERIALS INTERLOCK TxZ GAS BOX CARD 0110-20458 VAL-007-3050-02 |
svcstore |
NEW |
- |
$95.99
|
0 |
Sep/08/15 |
Sep/13/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Sep/08/15 |
Sep/13/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Sep/10/15 |
Sep/20/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
yayais2012 |
Used |
- |
$45.00
|
0 |
Sep/11/15 |
Oct/11/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
svcompucycle |
Used |
- |
$99.95
|
0 |
Sep/12/15 |
Oct/12/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
svcstore |
Used |
- |
$899.99
|
0 |
Sep/14/15 |
Sep/19/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$95.99
|
0 |
Sep/14/15 |
Sep/19/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
yayais2012 |
Used |
- |
$300.00
|
0 |
Sep/14/15 |
Oct/14/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
gigabitpartsolutions |
NEW |
- |
$16.50
|
0 |
Sep/15/15 |
Jun/11/16 |
|
Description: OEM Part LAM RESEARCH (LAM) 715-220438-002 SLEEVE, BRACKET, GAS FEED TUBE |
surplusssam |
Used |
- |
$174.99
|
1 |
Sep/16/15 |
Apr/24/17 |
|
Description: LOT OF 2 AMAT 3780-02334 SUSPA C16-19963 GAS SPRING |
gigabitpartsolutions |
NEW |
- |
$28.60
|
0 |
Sep/17/15 |
Jun/13/16 |
|
Description: OEM Part Applied Materails (AMAT) 0270-02883 BLANK OFF, BLOCK GAS FEED, HDPCVD |
wilus_v3zx7z |
Used |
- |
$230.00
|
0 |
Sep/18/15 |
Oct/18/15 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
svcstore |
Used |
- |
$899.99
|
0 |
Sep/19/15 |
Sep/24/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$95.99
|
0 |
Sep/19/15 |
Sep/24/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
catalystparts |
NEW |
- |
$350.00
|
0 |
Sep/21/15 |
Aug/17/16 |
|
Description: Applied Materials 0010-01004 Gas Line Feed Assembly |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Sep/21/15 |
Oct/01/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcompucycle |
Used |
- |
$199.95
|
0 |
Sep/22/15 |
Oct/22/15 |
|
Description: AMAT Unit UFM-1660 MFC Mass Flow Controller N2 Gas 20 SLM 3030-07103 / Warranty |
svcstore |
Used |
- |
$899.99
|
0 |
Sep/24/15 |
Sep/29/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$95.99
|
0 |
Sep/24/15 |
Sep/29/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Sep/29/15 |
Oct/04/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
getspares.com_sparesllc09 |
NEW |
- |
$19,000.00
|
0 |
Sep/29/15 |
Nov/17/17 |
|
Description: 0010-38909 /CENTURA, ASSY GAS BOX WXZ UWAVE/ APPLIED MATERIALS |
svcstore |
NEW |
- |
$95.99
|
0 |
Sep/29/15 |
Oct/04/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Oct/02/15 |
Oct/12/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcompucycle |
Used |
- |
$49.95
|
0 |
Oct/02/15 |
Nov/01/15 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
svcompucycle |
NEW |
- |
$199.00
|
0 |
Oct/02/15 |
Nov/01/15 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
svcstore |
Used |
- |
$899.99
|
0 |
Oct/04/15 |
Oct/09/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$95.99
|
0 |
Oct/04/15 |
Oct/09/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
gosemicat |
NEW |
- |
$250.00
|
0 |
Oct/06/15 |
Nov/05/15 |
|
Description: AMAT 0020-18385 Block, Daul Gas Feed HDPCVD |
svcstore |
NEW |
- |
$95.99
|
0 |
Oct/09/15 |
Oct/14/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Oct/09/15 |
Oct/14/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
yayais2012 |
Used |
- |
$45.00
|
0 |
Oct/11/15 |
Nov/10/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Oct/12/15 |
Oct/22/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcompucycle |
Used |
- |
$99.95
|
0 |
Oct/12/15 |
Nov/11/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
svcstore |
NEW |
- |
$95.99
|
0 |
Oct/14/15 |
Oct/19/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$674.99
|
0 |
Oct/14/15 |
Oct/19/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
vizko2017 |
Used |
- |
$300.00
|
0 |
Oct/15/15 |
Nov/15/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
wilus_v3zx7z |
Used |
- |
$200.00
|
0 |
Oct/18/15 |
Nov/17/15 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
svcstore |
Used |
- |
$899.99
|
0 |
Oct/19/15 |
Oct/24/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$95.99
|
0 |
Oct/19/15 |
Oct/24/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
auctionrus |
NEW |
- |
$350.00
|
0 |
Oct/21/15 |
Jan/21/22 |
|
Description: AMAT 0140-10284 H/A, Gas Dist to Lockout BD/W Interface, 410541 |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Oct/22/15 |
Nov/01/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcompucycle |
Used |
- |
$199.95
|
0 |
Oct/23/15 |
Nov/22/15 |
|
Description: AMAT Unit UFM-1660 MFC Mass Flow Controller N2 Gas 20 SLM 3030-07103 / Warranty |
bobsgoodies |
NEW |
- |
$40.00
|
0 |
Oct/23/15 |
Nov/22/15 |
|
Description: AMAT 0150-18014 CBL ASSY, GAS PANEL COUSTOMER INTERLOCK JUMPER APPLIED MATERIALS |
svcstore |
NEW |
- |
$95.99
|
0 |
Oct/24/15 |
Oct/29/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Oct/24/15 |
Oct/29/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
jbk-2 |
NEW |
- |
$35.00
|
8 |
Oct/24/15 |
Jul/23/20 |
|
Description: Applied Materials - 0020-30855 - Plug, Gas DIST. Plate |
frostliquidation |
NEW |
- |
$250.00
|
0 |
Oct/26/15 |
Nov/24/15 |
|
Description: APPLIED MATERIALS AMAT 0050-51444 GAS MANIFOLD W VERIFLO VALVES (1X) |
used1eqsales |
Used |
- |
$710.15
|
0 |
Oct/27/15 |
Jun/23/16 |
|
Description: AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working |
used1eqsales |
Used |
- |
$710.15
|
0 |
Oct/27/15 |
May/26/17 |
|
Description: AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Nov/02/15 |
Nov/12/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
dr.dantom |
Used |
- |
$199.00
|
3 |
Nov/02/15 |
Apr/22/17 |
|
Description: AMAT 3030-07066 STEC SEC-4400M 200SCCM GAS N2 MFC Mass Flow Controller |
svcstore |
NEW |
- |
$85.99
|
0 |
Oct/31/15 |
Nov/04/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
svcstore |
Used |
- |
$899.99
|
0 |
Oct/30/15 |
Nov/04/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$85.99
|
0 |
Nov/04/15 |
Nov/09/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
usedeqsales |
Used |
- |
$161.15
|
0 |
Nov/05/15 |
Dec/05/15 |
|
Description: AMAT Applied Materials 0150-13116 Centura Gas Panel PC Power Cable Used Working |
auctionrus |
NEW |
- |
$650.00
|
0 |
Nov/07/15 |
Jan/07/22 |
|
Description: AMAT 0190-15891 Assembly, Heater, SLD SEG 1, Gas 4, Etch, 411004 |
svcstore |
Used |
- |
$899.99
|
0 |
Nov/09/15 |
Nov/14/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
NEW |
- |
$85.99
|
0 |
Nov/09/15 |
Nov/14/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
gosemicat |
NEW |
- |
$250.00
|
0 |
Nov/10/15 |
Mar/28/16 |
|
Description: AMAT 0020-18385 Block, Daul Gas Feed HDPCVD |
usedeqsales |
Used |
- |
$511.15
|
1 |
Nov/10/15 |
Feb/09/19 |
|
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB P5000 Used Working |
yayais2012 |
Used |
- |
$45.00
|
0 |
Nov/10/15 |
Dec/10/15 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Nov/12/15 |
Nov/22/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svliquidate |
Used |
- |
$99.95
|
1 |
Nov/12/15 |
Nov/20/15 |
|
Description: AMAT Applied Materials XR80 Implanter Hi-Standard Gas Interlock PWBA 0100-90863 |
wilus_v3zx7z |
Used |
- |
$275.00
|
0 |
Nov/13/15 |
Dec/13/15 |
|
Description: 0900-00811 REV 001 Applied Materials Dual Gas Leak Detector |
svcstore |
Used |
- |
$899.99
|
0 |
Nov/14/15 |
Nov/19/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
yayais2012 |
Used |
- |
$300.00
|
0 |
Nov/14/15 |
Dec/14/15 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
svcstore |
NEW |
- |
$85.99
|
1 |
Nov/14/15 |
Nov/19/15 |
|
Description: NEW Applied Materials/AMAT 0200-09478 Upper Gas Distribution Plate 200MM APC |
j316pl |
Used |
- |
$800.00
|
0 |
Nov/16/15 |
Dec/17/15 |
|
Description: 353 APPLIED MATERIAL DUAL GAS LEAK DETECTOR ASSY 0090-76017 REV A |
svcstore |
Used |
- |
$899.99
|
0 |
Nov/19/15 |
Nov/24/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Nov/23/15 |
Dec/03/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svliquidate |
Used |
- |
$199.95
|
1 |
Nov/23/15 |
Dec/02/15 |
|
Description: AMAT Unit UFM-1660 MFC Mass Flow Controller N2 Gas 20 SLM 3030-07103 / Warranty |
esl-elektronik |
NEW |
- |
$199.00
|
0 |
Nov/24/15 |
Mar/24/16 |
|
Description: Lam Research Weldment Gas Feedline, Flex 839-014757-057 New |
be4049 |
NEW |
- |
$415.00
|
0 |
Nov/24/15 |
Apr/25/16 |
|
Description: 0200-00410 UNI-INSERT, GAS DISTRIBUTION, 88 HOLES |
svcstore |
Used |
- |
$799.99
|
0 |
Nov/24/15 |
Nov/29/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
esl-elektronik |
Used |
- |
$149.00
|
0 |
Nov/26/15 |
Jul/03/19 |
|
Description: Lam Research Assy Injector Top Gas Feed 853-003793-004 |
wilus_v3zx7z |
Used |
- |
$200.00
|
0 |
Nov/27/15 |
Dec/27/15 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
auctionrus |
NEW |
- |
$250.00
|
1 |
Nov/27/15 |
Oct/28/17 |
|
Description: LAM 856-210020-001 Retrofit Kit, Millipore WG2FT1RR2 Inline Gas Filter, 411723 |
auctionrus |
Used |
- |
$750.00
|
0 |
Nov/27/15 |
Dec/06/16 |
|
Description: AMAT 0090-75017 Dual Gas Leak Detector Board, PCB, FAB 0110-35120, 411747 |
svcstore |
Used |
- |
$799.99
|
0 |
Nov/29/15 |
Dec/04/15 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
esl-elektronik |
NEW |
- |
$1,499.00
|
0 |
Nov/30/15 |
Jun/27/16 |
|
Description: Lam Research Disk Interferometer Top Gas 716-331157-013 New / Original Sealed |
capitolareatech |
NEW |
- |
$70.00
|
0 |
Nov/30/15 |
Dec/01/15 |
|
Description: APPLIED MATERIALS (AMAT) 0040-95826 PIPE,GAS,EXTRACT,CLEAR |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Dec/03/15 |
Dec/13/15 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
svcstore |
Used |
- |
$799.99
|
0 |
Dec/04/15 |
Jan/03/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
wilus_v3zx7z |
Used |
- |
$200.00
|
0 |
Dec/27/15 |
Jan/26/16 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
supertechshop |
NEW |
- |
$99.00
|
0 |
Dec/24/15 |
Jan/23/16 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
sparesllc09 |
NEW |
- |
$2,340.00
|
0 |
Dec/22/15 |
Jan/18/17 |
|
Description: 0100-20206 /PCB ASSY REMOTE GAS INTERCONNECT /APPLIED MATERIALS |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Dec/28/15 |
Jan/07/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
usedeqsales |
NEW |
- |
$1,012.15
|
1 |
Dec/15/15 |
Feb/25/17 |
|
Description: Lam Research 715-330984-001 ESC GAS INJ FXD Gap Bottom Ring New |
usedeqsales |
Used |
- |
$912.15
|
0 |
Dec/14/15 |
Aug/31/21 |
|
Description: Lam Research 716-330061-008 Gas Protective Shower Head Ring New |
tdindustrial |
NEW |
- |
$75.00
|
0 |
Jan/02/16 |
Feb/01/20 |
|
Description: LAM Alliance Modular Gas Box Integration Drawings & Schematic, 406-240204-013 |
wesource159 |
Used |
- |
$14,500.00
|
1 |
Nov/30/15 |
Dec/28/15 |
|
Description: N-35-T Nitrogen Gas System W/ 240 Gallon Tank |
webpotatoe |
NEW |
- |
$745.00
|
0 |
Jan/03/16 |
Feb/02/16 |
|
Description: *NEW* Entegris GateKeeper Inert Gas Purifier CE500KFI4R 0.003 micron Filter |
caps86 |
Used |
- |
$700.00
|
0 |
Jan/03/16 |
Feb/02/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
svcstore |
Used |
- |
$799.99
|
0 |
Jan/03/16 |
Feb/02/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
Used |
- |
$92.99
|
0 |
Jan/03/16 |
Feb/02/16 |
|
Description: Midac I0171 Gas Analyzer Tester Sensor System Module w/ 2x Omega CN8500 |
svcstore |
Used |
- |
$129.99
|
0 |
Jan/03/16 |
Feb/02/16 |
|
Description: RKI Riken Keiki Instruments GD-K7D Toxic Gas Leak Detector Semiconductor NO |
used1eqsales |
Used |
- |
$1,201.16
|
1 |
Jan/04/16 |
Jan/05/16 |
|
Description: Varian E11133001 Gas Card BF3 used working |
used1eqsales |
Used |
- |
$1,201.16
|
1 |
Jan/04/16 |
Jan/05/16 |
|
Description: Varian E11116731 Gas Card Argon used working |
ecomicron |
NEW |
- |
$700.00
|
0 |
Jan/04/16 |
Jan/11/21 |
|
Description: 0200-00410 UNI-INSERT, GAS DISTRIBUTION, 88 HOLES, AMAT |
louis19692009 |
NEW |
- |
$655.00
|
0 |
Jan/04/16 |
Feb/03/16 |
|
Description: Novellus, Kit,Nozzle Gas Distribution 05-50028-00, Shower Head 16-033932-00 |
megawavz |
Used |
- |
$395.00
|
0 |
Jan/07/16 |
Feb/06/16 |
|
Description: AMAT Applied Materials 0100-90283 Gas Supplies Interlock Board 0120-90992 |
svliquidate |
Used |
- |
$49.95
|
0 |
Jan/07/16 |
Feb/06/16 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jan/07/16 |
Jan/17/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
srctech |
NEW |
- |
$1,700.00
|
0 |
Jan/08/16 |
Jan/11/16 |
|
Description: 0050-40927 Gas Line, Dual Helium, IHC Assy, Ultima |
vbaltazar209 |
Refurbished |
- |
$999.99
|
1 |
Jan/10/16 |
Nov/22/16 |
|
Description: Applied Materials AMAT 0010-09961 Universal TEOS DELTA SACVD Gas Box 5000 CVD |
retechtronics2 |
NEW |
- |
$100.00
|
0 |
Jan/10/16 |
Feb/02/16 |
|
Description: VERIFLO 4X 944AOPLPNCSFSFTSAGA 43600445 GAS MANIFOLD / VCR - AMAT 0050-07469 |
usedeqsales |
Used |
- |
$708.09
|
0 |
Jan/11/16 |
Jul/20/16 |
|
Description: AMAT Applied Materials 0100-00985 HP Gas Interlock PCB Card XR80 Used Working |
yayais2012 |
Used |
- |
$45.00
|
0 |
Jan/12/16 |
Feb/11/16 |
|
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002 |
wilus_v3zx7z |
Used |
- |
$200.00
|
0 |
Jan/12/16 |
Feb/11/16 |
|
Description: 0900-00811 REV 001 Applied Materials Dual Gas Leak Detector |
usedeqsales |
Used |
- |
$151.16
|
0 |
Jan/12/16 |
Jun/10/18 |
|
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Board Used Working |
srctech |
NEW |
- |
$1,950.00
|
1 |
Jan/12/16 |
Feb/08/17 |
|
Description: AMAT 0050-40927 Gas Line, Dual Helium, IHC Assy, Ultima |
yayais2012 |
Used |
- |
$255.00
|
1 |
Jan/14/16 |
Jun/02/18 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2 |
dqtren |
NEW |
- |
$1,200.00
|
0 |
Jan/18/16 |
Feb/17/16 |
|
Description: 0041-06736, NEW, AMAT 300MM TOP GAS FEED ASSY |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jan/18/16 |
Jan/28/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
solanotraders |
Used |
- |
$950.00
|
0 |
Jan/18/16 |
Feb/17/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
farmoninc |
Used |
- |
$1,900.00
|
0 |
Jan/19/16 |
May/04/23 |
|
Description: LAM 839-071625-001 Horn, 8" Gas Ring, Epic In-Sit, 409759 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Jan/21/16 |
Feb/20/16 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
ecomicron |
NEW |
- |
$900.00
|
0 |
Jan/21/16 |
Apr/03/18 |
|
Description: 0190-35066, Applied Materials, DUAL GAS LEAK DETECTOR |
capitolareatech |
NEW |
- |
$407.26
|
0 |
Jan/23/16 |
Feb/24/16 |
|
Description: Applied Materials (AMAT) 4020-01187 Mott POU-05-NSV1 Filter In-Line Gas, 3750 P |
capitolareatech |
NEW |
- |
$262.66
|
0 |
Jan/23/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 4020-01154 MOTT POU-015-SV1-5RA FLTR IN-LINE GAS 3750P |
capitolareatech |
NEW |
- |
$1,250.00
|
0 |
Jan/23/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 1410-00976 GAS LINE HEATER JACKET |
capitolareatech |
NEW |
- |
$948.95
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 1200-90205 RELAY 50KV SPDT GAS FILLED |
capitolareatech |
NEW |
- |
$500.00
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: NOVELLUS 10-155415-00 GAS DISTRIBUTION MANIFOLD |
capitolareatech |
NEW |
- |
$56.41
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2 |
capitolareatech |
NEW |
- |
$45.20
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40 |
capitolareatech |
NEW |
- |
$45.00
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0242-38116 KIT GAS FLOW LABEL |
capitolareatech |
NEW |
- |
$125.00
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: Applied Materials (AMAT) 0227-98397 Cable, 120V Power Tee, 2nd BCL3 Gas Stic |
capitolareatech |
NEW |
- |
$106.49
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0227-97768 GAS LINE, SPOOL, CAJON, M/F 6.1L |
capitolareatech |
NEW |
- |
$106.49
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0227-97767 GAS LINE, SPOOL, CAJON, M/M 2.78 L |
capitolareatech |
NEW |
- |
$633.48
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0227-32817 GAS LINE,A3,UHP,SEGMENT 1,CENTURA CMF,5J |
capitolareatech |
NEW |
- |
$595.96
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0227-28170 GAS LINE,SLD,TF5,A2,B2,D2,CAJON |
capitolareatech |
NEW |
- |
$1,726.07
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: Applied Materials (AMAT) 0227-10215 EMC Comp., Cable Assembly, Gas PNL #1 UMB |
capitolareatech |
NEW |
- |
$721.77
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0100-65513 ASSY FACILITY GAS BACKPLANE PCB |
capitolareatech |
NEW |
- |
$300.00
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0100-35267 PCBA, GAS PALLET INTERLOCKS MATRIX, |
capitolareatech |
NEW |
- |
$350.00
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0100-35148 PCBA, TWO CHBR GAS BACKPLANE |
capitolareatech |
NEW |
- |
$80.00
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0150-39337 C/A GAS PANEL 300MM OXIDE |
capitolareatech |
NEW |
- |
$460.65
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0040-54322 TUBE, FEEDTHRU, PROCESS GAS, ALD TAN 300 |
capitolareatech |
NEW |
- |
$196.20
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0040-39099 MANIFOLD GAS INLET UWAVE WXZ |
capitolareatech |
NEW |
- |
$101.15
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0040-32691 BLOCK ELBOW GAS BOX MICROWAVE REMOTE PLA |
capitolareatech |
NEW |
- |
$251.63
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE |
capitolareatech |
NEW |
- |
$119.88
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0040-46594 GAS EXTRACT PIPE (THRO FLOOR) |
capitolareatech |
NEW |
- |
$30.00
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0021-12759 BRACKET SUPPORT GAS LINES SST HTR 300MM |
capitolareatech |
NEW |
- |
$30.00
|
0 |
Jan/24/16 |
Feb/24/16 |
|
Description: APPLIED MATERIALS (AMAT) 0021-12758 CLAMP GAS LINE 200MM CWXZ |
supertechshop |
NEW |
- |
$99.00
|
0 |
Jan/25/16 |
Feb/24/16 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
supertechshop |
Used |
- |
$149.95
|
0 |
Jan/25/16 |
Feb/24/16 |
|
Description: AMAT Unit DeviceNet UFC-8165 Mass Flow Controller 400 SCCM Gas AR 3030-09423 |
wilus_v3zx7z |
Used |
- |
$200.00
|
0 |
Jan/26/16 |
Feb/25/16 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
datacom2014 |
NEW |
- |
$189.00
|
1 |
Jan/27/16 |
Dec/14/20 |
|
Description: AMAT Applied Materials 0270-20018 Fixture Gas Spring13 IN A,CALIBRATION P676 New |
datacom2014 |
NEW |
- |
$189.00
|
1 |
Jan/27/16 |
Jun/09/20 |
|
Description: AMAT Applied Materials 0270-20018 Fixture Gas Spring Assembly 13", New |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Jan/29/16 |
Feb/08/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
ecomicron |
NEW |
- |
$1,200.00
|
0 |
Jan/29/16 |
Feb/29/24 |
|
Description: 0200-09448, AMAT, PLATE,QTZ,GAS DIST, LOWER, 150MM, PRSP3 |
ecomicron |
NEW |
- |
$4,000.00
|
0 |
Feb/01/16 |
Oct/17/18 |
|
Description: 0140-70381, 0010-76046, 0190-09308, 0140-09329 AMAT,KIT GAS PANEL BASIC ELECT. |
caps86 |
Used |
- |
$700.00
|
0 |
Feb/02/16 |
Mar/03/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
used1eqsales |
Scrap, for parts |
- |
$376.08
|
1 |
Feb/03/16 |
Sep/23/16 |
|
Description: AMAT 9010-01382 Celerity Gas Card SiF4 AMAT Quantum X Gas Box untested as-is |
svcstore |
Used |
- |
$799.99
|
0 |
Feb/03/16 |
Mar/04/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
d-dog0818 |
Used |
- |
$224.95
|
0 |
Feb/06/16 |
Feb/13/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
svliquidate |
Used |
- |
$49.95
|
0 |
Feb/06/16 |
Mar/07/16 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
louis19692009 |
NEW |
- |
$655.00
|
0 |
Feb/07/16 |
Mar/08/16 |
|
Description: Novellus, Kit,Nozzle Gas Distribution 05-50028-00, Shower Head 16-033932-00 |
megawavz |
Used |
- |
$395.00
|
0 |
Feb/08/16 |
Mar/09/16 |
|
Description: AMAT Applied Materials 0100-90283 Gas Supplies Interlock Board 0120-90992 |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Feb/08/16 |
Feb/18/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
dr.dantom |
Used |
- |
$170.00
|
0 |
Feb/09/16 |
Feb/19/20 |
|
Description: UNIT UFC-8565 AMAT 0190-25524 GAS AR 1L MFC Mass Flow Controller |
dr.dantom |
Used |
- |
$170.00
|
0 |
Feb/09/16 |
Feb/19/20 |
|
Description: UNIT UFC-8565C AMAT 0190-16330 GAS N2 2000 SCCM MFC Mass Flow Controller |
sparesllc09 |
Used |
- |
$900.00
|
0 |
Feb/10/16 |
Jul/26/19 |
|
Description: 810-073479-005 /JET STREAM GAS BOX MB MAIN BOARD /LAM RESEARCH |
ecomicron |
NEW |
- |
$2,500.00
|
1 |
Feb/11/16 |
Mar/01/16 |
|
Description: 0100-00308, Applied Materials, PCB ASSEMBLY, INCOMPATIBLE GAS INTERLOCK |
capitolareatech |
NEW |
- |
$4,500.00
|
0 |
Feb/12/16 |
Feb/24/16 |
|
Description: AMAT 0242-37454 KIT,BASIC GAS BOX,UNIVERSAL CHAMBER |
d-dog0818 |
Used |
- |
$199.95
|
0 |
Feb/13/16 |
Feb/20/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
solanotraders |
Used |
- |
$950.00
|
0 |
Feb/17/16 |
Mar/18/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Feb/20/16 |
Mar/21/16 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
ssssayag |
NEW |
- |
$95.00
|
0 |
Feb/21/16 |
Mar/22/16 |
|
Description: Applied Materials AMAT 0021-40918 insulator gas feed |
d-dog0818 |
Used |
- |
$174.95
|
0 |
Feb/21/16 |
Feb/28/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
gesemiconductor |
Used |
- |
$350.00
|
0 |
Feb/22/16 |
Sep/23/19 |
|
Description: Applied Materials 0100-90863 PCB Gas Interlock |
gesemiconductor |
Used |
- |
$350.00
|
0 |
Feb/22/16 |
Sep/23/19 |
|
Description: Applied Materials 0100-90283 PCB Gas Supplies Interlock |
gesemiconductor |
Used |
- |
$500.00
|
1 |
Feb/22/16 |
Sep/13/16 |
|
Description: Applied Materials 0100-99011 PCB Gas Interlock |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Feb/22/16 |
Mar/03/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
sacramento_liquidators |
NEW |
- |
$45.00
|
0 |
Feb/23/16 |
Mar/24/16 |
|
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT AMAT 0050-80924 |
farmoninc |
NEW |
- |
$4,750.00
|
0 |
Feb/24/16 |
Mar/30/16 |
|
Description: AMAT 0010-39736 Lid Assy Clamp, Kalrez Slit, 0021-03277 Gas Cover, Etch, 414786 |
ecomicron |
NEW |
- |
$300.00
|
0 |
Feb/24/16 |
Jul/18/17 |
|
Description: 0200-35290, 0200-35291, AMAT, HOUSING & PLUG GAS FEED, DPS MEC |
capitolareatech |
NEW |
- |
$950.00
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: Applied Materials 0020-31536 Plate, Gas, Gas Distribution,Stealth Oxide, 96 Hole |
capitolareatech |
NEW |
- |
$577.86
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: Applied Materials 0020-04013 Pressure Cap, GAS Cooled |
capitolareatech |
NEW |
- |
$500.00
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: AMAT 0020-37765 PANEL, I/O GAS INPUT |
capitolareatech |
NEW |
- |
$86.08
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: AMAT 0020-37587 COVER,EXHAUST GAS BOX,MXP CENTURA |
capitolareatech |
NEW |
- |
$30.20
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: AMAT 0020-34562 BRKT, GAS FEED, SGD, MxP |
capitolareatech |
NEW |
- |
$900.00
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: AMAT 0020-34446 GAS BOX, DXZ |
capitolareatech |
NEW |
- |
$225.00
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: AMAT 0020-34381 Gas Box, EXZ |
capitolareatech |
NEW |
- |
$165.00
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: AMAT 0020-33666 GAS DISTRIBUTION PLATE INSERT MTG |
capitolareatech |
NEW |
- |
$550.00
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: AMAT 0020-31820 Plate, Gas Distribution 133 Hole |
capitolareatech |
NEW |
- |
$300.51
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: AMAT 0020-31643 Gas Distribution Plate, Anodized, SXTAL, |
capitolareatech |
NEW |
- |
$235.58
|
0 |
Feb/24/16 |
Mar/26/16 |
|
Description: AMAT 0020-30570 Plate,Gas Distribution, 150mm HEWEB |
capitolareatech |
NEW |
- |
$192.33
|
0 |
Feb/24/16 |
Jun/24/16 |
|
Description: AMAT 0040-83227 Argon Gas Line Assembly |
capitolareatech |
NEW |
- |
$460.65
|
0 |
Feb/24/16 |
Jun/24/16 |
|
Description: AMAT 0040-54322 TUBE, FEEDTHRU, PROCESS GAS, ALD TAN 300 |
capitolareatech |
NEW |
- |
$4,806.71
|
0 |
Feb/24/16 |
Jun/24/16 |
|
Description: AMAT 0040-48339 Faceplate, Dual Gas, 200MM TICL4 TIN |
capitolareatech |
NEW |
- |
$119.88
|
0 |
Feb/24/16 |
Jun/24/16 |
|
Description: AMAT 0040-46594 GAS EXTRACT PIPE (THRO FLOOR) |
capitolareatech |
NEW |
- |
$87.27
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 0140-36281 HARNESS, PRESS XDCR, STD VME GAS PANEL C |
capitolareatech |
NEW |
- |
$72.40
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 0140-20208 HARN ASSY VME CDGE/ REMOTE GAS AO3 DI/DO |
capitolareatech |
NEW |
- |
$313.87
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 0140-14016 Harness Gas Panel |
capitolareatech |
NEW |
- |
$49.88
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 0140-09236 HARNESS ASSY EXPANDED GAS PANEL POWER |
capitolareatech |
NEW |
- |
$300.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: APPLIED MATERIAL (AMAT) 0100-35267 PCBA, GAS PALLET INTERLOCKS MATRIX, |
capitolareatech |
NEW |
- |
$437.92
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 0200-09628 GAS DIST. PLATE AMJ |
capitolareatech |
NEW |
- |
$1,250.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 1410-00976 GAS LINE HEATER JACKET |
capitolareatech |
NEW |
- |
$500.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: NOVELLUS 10-155415-00 GAS DISTRIBUTION MANIFOLD |
capitolareatech |
NEW |
- |
$56.41
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2 |
capitolareatech |
NEW |
- |
$45.20
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40 |
capitolareatech |
NEW |
- |
$122.78
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 4020-90014 Millipore MCY4440FREJ FILTER CRTRDG 40 STACK GAS |
capitolareatech |
NEW |
- |
$407.26
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 4020-01187 Filter In-Line Gas, 3750 PSIG, 1/4" Face Seal Nickel |
capitolareatech |
NEW |
- |
$262.66
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 FLTR IN-LINE GAS 3750PSIG 1/4VCR-M 15SLPM SST 5 |
supertechshop |
NEW |
- |
$99.00
|
0 |
Feb/25/16 |
Mar/26/16 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
capitolareatech |
NEW |
- |
$1,304.03
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM Research 716-330068-001 GAS RING COVER |
capitolareatech |
NEW |
- |
$2,000.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM 716-331157-013 DISK, INTERFEROMTER, TOP GAS |
capitolareatech |
NEW |
- |
$318.75
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM 716-027963-001 Ring WP Generic Dual Gas |
capitolareatech |
NEW |
- |
$556.88
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM 715-330445-111 PL,ADPTR,UPR MTCH,TOP GAS |
capitolareatech |
NEW |
- |
$93.19
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 9150-02307 CFA,TOXIC GAS MODULE EDGE CONN |
capitolareatech |
NEW |
- |
$2,000.00
|
0 |
Feb/25/16 |
May/25/16 |
|
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS) |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 9010-02456 GAS MOD'L, SA-HP, SIF4 |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 9010-02455 GAS MOD'L, SA-HP GEF4 |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 9010-02276 GAS MODULE WIRED HP-C02 |
capitolareatech |
NEW |
- |
$180.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM RESEARCH 955-001177-003 TUBE FLEX CHAMBER GAS; 4FT |
capitolareatech |
NEW |
- |
$424.78
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM Research 810-077391-001 Gas INTLK |
capitolareatech |
NEW |
- |
$340.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM 856-017457-001 LAM KIT UPGRADE, GAS PNL PCB 44 |
capitolareatech |
NEW |
- |
$297.50
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1 |
capitolareatech |
NEW |
- |
$35.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM 839-020965-113 PIPE, CENTER GAS FLOW |
capitolareatech |
NEW |
- |
$255.00
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: LAM 839-014453-001 WELDMENT GAS DELIVERY |
capitolareatech |
NEW |
- |
$9,000.00
|
0 |
Feb/25/16 |
May/25/16 |
|
Description: AMAT 9240-01257 KIT OPTION SDS ASH3/PH3 GAS |
capitolareatech |
NEW |
- |
$324.91
|
0 |
Feb/25/16 |
Jun/24/16 |
|
Description: AMAT 0020-01170 GAS DISTR 5 & 6" LINE & PLUG |
wilus_v3zx7z |
Used |
- |
$190.00
|
0 |
Feb/25/16 |
Mar/26/16 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
gesemiconductor |
NEW |
- |
$100.00
|
0 |
Feb/25/16 |
Sep/11/17 |
|
Description: Applied Materials 0950-90443 IC EPLD EP910 Gas I/Lock Prog |
d-dog0818 |
Used |
- |
$149.95
|
0 |
Feb/28/16 |
Mar/06/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
capitolareatech |
NEW |
- |
$721.77
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 0050-30924 INSERT GAS FEED A & D R2 5000, FLEXABLE |
capitolareatech |
NEW |
- |
$89.40
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 0050-09597 TUBE GAS SUPPLY POSN B&C EGEC |
capitolareatech |
NEW |
- |
$33.75
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 0050-09595 TUBE,GAS SUPPLY,POS A&D EGEC |
capitolareatech |
NEW |
- |
$250.00
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: LAM 839-016641-002 WELDMENT, INJECTOR GAS NOZZLE |
capitolareatech |
Used |
- |
$17.50
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 0150-35578 GAS DIST BRD TO EV MANIFOLD |
capitolareatech |
Used |
- |
$55.00
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 0140-35095 HARNESS ASSEMBLY; GAS PANEL |
capitolareatech |
Used |
- |
$700.00
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 0150-76177 GAS PANEL UMBILICAL CABLE ASSY |
capitolareatech |
Used |
- |
$550.00
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 0150-76178 EMC COMP., CABLE ASSY, GAS PANEL UMBILIC. |
capitolareatech |
Used |
- |
$175.00
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 0150-35222 CABLE ASSEMBLY; GAS PANEL POWER |
capitolareatech |
Used |
- |
$225.00
|
0 |
Feb/29/16 |
Mar/10/16 |
|
Description: AMAT 3030-07144 STEC SEC-4550MO Mass Flow Controller; Range: 40 SLM, Gas: N2; 1/ |
capitolareatech |
Used |
- |
$225.00
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 3030-05704 STEC SEC-4500MO-UC Mass Flow Controller; Range: 20 SLM, Gas: N2; |
capitolareatech |
Used |
- |
$225.00
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 3030-03747 STEC SEC-4400MC-UC Mass Flow Controller; Range: 250 SCCM, Gas: N |
capitolareatech |
Used |
- |
$225.00
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: AMAT 3030-02330 STEC SEC-4500MO-UC Mass Flow Controller; Range: 10 SLM Gas: O2 1 |
capitolareatech |
Refurbished |
- |
$187.50
|
0 |
Feb/29/16 |
Jun/28/16 |
|
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM |
yericomfg |
NEW |
- |
$550.00
|
0 |
Feb/29/16 |
Aug/08/18 |
|
Description: PALL GAS LINE FILTER; MLOX 028-225-1/4GS-MF; P22207111, Novellus 22-115763-00, |
ntsurplus302 |
Used |
- |
$85.00
|
0 |
Mar/01/16 |
Jul/27/17 |
|
Description: 3481 Applied Materials P/N: 0040-00313 Rev B Gas Line # 11 VCR |
capitolareatech |
Used |
- |
$2,200.00
|
0 |
Mar/02/16 |
Mar/15/16 |
|
Description: AMAT 0100-35036 PCB, ASSY DUAL GAS LEAK DET |
caps86 |
Used |
- |
$700.00
|
0 |
Mar/03/16 |
Apr/02/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
visionsemi |
NEW |
- |
$850.00
|
0 |
Mar/09/16 |
May/26/17 |
|
Description: APPLIED MATERIALS AMAT CVD REMOTE GAS BOX KIT 0240-23842 1400-01162 UV SENSOR |
texassemicontech |
Used |
- |
$3,220.00
|
0 |
Mar/09/16 |
Aug/07/20 |
|
Description: Lam Research OnTrak 810-077391-001 Gas INTLK - Used |
onlinegaragesale03 |
NEW |
- |
$84.99
|
1 |
Mar/11/16 |
Jul/14/16 |
|
Description: Applied Materials AMAT 0020-09933 Gas Distribution Plate Sputter ma 1 D23 |
svcstore |
Used |
- |
$799.99
|
0 |
Mar/11/16 |
Apr/10/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
capitolareatech |
NEW |
- |
$50.00
|
0 |
Mar/16/16 |
Sep/15/16 |
|
Description: AMAT 0050-07513 GAS LINE,TA CHAMBER,TANTALUM,200MM |
capitolareatech |
NEW |
- |
$50.00
|
0 |
Mar/16/16 |
Mar/16/16 |
|
Description: AMAT 0050-07513 GAS LINE,TA CHAMBER,TANTALUM,200MM |
capitolareatech |
NEW |
- |
$250.00
|
0 |
Mar/16/16 |
Sep/15/16 |
|
Description: AMAT 0020-42262 MANIFOLD GAS OUT BWCVD |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Mar/18/16 |
Mar/28/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
dqtren |
NEW |
- |
$1,200.00
|
0 |
Mar/18/16 |
Apr/17/16 |
|
Description: 0041-06736, NEW, AMAT 300MM TOP GAS FEED ASSY |
solanotraders |
Used |
- |
$950.00
|
0 |
Mar/18/16 |
Apr/17/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Mar/21/16 |
Apr/20/16 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
ssssayag |
NEW |
- |
$75.00
|
0 |
Mar/22/16 |
Apr/21/16 |
|
Description: Applied Materials AMAT 0021-40918 insulator gas feed |
rfsurplus123 |
NEW |
- |
$300.00
|
0 |
Mar/22/16 |
Jul/06/16 |
|
Description: 0100-09153, AMAT, PCB GAS PANEL BD |
rfsurplus123 |
NEW |
- |
$350.00
|
0 |
Mar/22/16 |
Jul/06/16 |
|
Description: 0100-76046, AMAT, PCB GAS PANEL INTERFACE |
tjtechseller |
NEW |
- |
$400.00
|
1 |
Mar/22/16 |
Jan/22/24 |
|
Description: WG3D205R2 Chambergard Fast Vent Gas Diffuser |
svcstore |
Used |
- |
$599.99
|
0 |
Mar/23/16 |
Apr/22/16 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
sacramento_liquidators |
NEW |
- |
$45.00
|
0 |
Mar/24/16 |
Apr/23/16 |
|
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT AMAT 0050-80924 |
solutions-on-silicon |
NEW |
- |
$840.00
|
0 |
Mar/25/16 |
Dec/20/18 |
|
Description: 0010-00732 ASSY, GAS TUBE WELDMENT |
wilus_v3zx7z |
Used |
- |
$190.00
|
0 |
Mar/26/16 |
Apr/25/16 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
supertechshop |
Used |
- |
$149.95
|
0 |
Mar/26/16 |
Apr/25/16 |
|
Description: AMAT Unit DeviceNet UFC-8165 Mass Flow Controller 400 SCCM Gas AR 3030-09423 |
supertechshop |
NEW |
- |
$99.00
|
0 |
Mar/26/16 |
Apr/25/16 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
d-dog0818 |
Used |
- |
$124.95
|
0 |
Mar/26/16 |
Apr/02/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Mar/28/16 |
Apr/07/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
rrbosox07061974 |
NEW |
- |
$19.99
|
0 |
Mar/29/16 |
Apr/28/16 |
|
Description: NEW AMAT Applied Materials 0140-03151 Centura Gas Panel PC Power Cable FREE SHIP |
megawavz |
Used |
- |
$395.00
|
0 |
Mar/29/16 |
Apr/28/16 |
|
Description: AMAT Applied Materials 0100-90283 Gas Supplies Interlock Board 0120-90992 |
gosemicat |
NEW |
- |
$500.00
|
1 |
Mar/29/16 |
Sep/21/17 |
|
Description: 0021-03277 Applied Materials Etch, Center Gas, Cover |
caps86 |
Used |
- |
$350.00
|
0 |
Apr/03/16 |
May/03/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
esl-elektronik |
NEW |
- |
$199.00
|
0 |
Apr/04/16 |
Apr/11/17 |
|
Description: Lam Research Weldment Gas Feedline, Flex 839-014757-057 New |
capitolareatech |
Used |
- |
$225.00
|
0 |
Apr/04/16 |
Jul/03/16 |
|
Description: AMAT 3030-07144 STEC SEC-4550MO Mass Flow Controller; Range: 40 SLM, Gas: N2; 1/ |
capitolareatech |
Used |
- |
$25.00
|
0 |
Apr/04/16 |
Jul/03/16 |
|
Description: AMAT 0020-37462 BRACKET, RIGHT, GAS SHOCK |
capitolareatech |
Used |
- |
$25.00
|
0 |
Apr/04/16 |
Jul/03/16 |
|
Description: AMAT 0020-37461 BRACKET, LEFT, GAS SHOCK |
capitolareatech |
NEW |
- |
$10.00
|
0 |
Apr/04/16 |
Jul/03/16 |
|
Description: AMAT 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO |
d-dog0818 |
Used |
- |
$99.95
|
0 |
Apr/04/16 |
Apr/11/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
ecomicron |
NEW |
- |
$600.00
|
0 |
Apr/04/16 |
May/05/16 |
|
Description: 0040-34339 (or 0040-86016), AMAT, CENTER GAS FEED BLOCK TOP CLEAN ULTIMA 1 |
capitolareatech |
NEW |
- |
$21.25
|
0 |
Apr/06/16 |
Jul/05/16 |
|
Description: LAM 715-031458-002 BRACKET GAS FEED TUBE FOR NEW DIS FILTER |
svliquidate |
Used |
- |
$49.95
|
0 |
Apr/06/16 |
May/06/16 |
|
Description: Mott GasShield GSD-FLG Hi-Purity Gas Diffuser AMAT 19-053642-00 / Warranty |
gti-semi |
Used |
- |
$4,720.00
|
0 |
Apr/08/16 |
Nov/26/19 |
|
Description: AMAT, Cambridge Fluid Systems GAS PANEL, p/n 0020-99377 |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Apr/08/16 |
Apr/18/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
buynsell3030 |
NEW |
- |
$95.00
|
0 |
Apr/07/16 |
May/07/16 |
|
Description: LAM RESEARCH 839-021787-001 Weldmt, PLL Gas Mix Manf |
buynsell3030 |
NEW |
- |
$85.00
|
0 |
Apr/07/16 |
May/07/16 |
|
Description: LAM RESEARCH 839-021785-001 Weldmt, Gas Supply |
buynsell3030 |
NEW |
- |
$80.00
|
0 |
Apr/09/16 |
May/09/16 |
|
Description: LAM RESEARCH 839-014410-101 Weldmt, Gas Inlet (Gas Panel) |
svcstore |
Used |
- |
$799.99
|
0 |
Apr/10/16 |
May/10/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
d-dog0818 |
Used |
- |
$84.95
|
0 |
Apr/11/16 |
Apr/18/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
dr.dantom |
Used |
- |
$750.00
|
4 |
Apr/12/16 |
Feb/14/19 |
|
Description: Horiba Stec SEC-4400MC-RUC-G2 AMAT 3030-08525 MFC Gas N2 CF 50SCCM |
athomemarket |
NEW |
- |
$599.99
|
0 |
Apr/14/16 |
Apr/21/16 |
|
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865 |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Apr/18/16 |
Apr/28/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
solanotraders |
Used |
- |
$760.00
|
0 |
Apr/18/16 |
May/18/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
allbusindustrial |
Used |
- |
$200.00
|
0 |
Apr/18/16 |
Apr/28/16 |
|
Description: AMAT 0050-09955 GAS STICK WITH SEC-4400 WF6 10 SCCM MFC, 6 NUPRO VALVES |
d-dog0818 |
Used |
- |
$74.95
|
0 |
Apr/19/16 |
Apr/26/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
capitolareatech |
NEW |
- |
$16.86
|
0 |
Apr/19/16 |
Sep/15/16 |
|
Description: LAM 716-021491-001 SPACER DIRECTOR PROCESS GAS |
capitolareatech |
NEW |
- |
$4.95
|
0 |
Apr/20/16 |
Sep/15/16 |
|
Description: AMAT 0020-09533 HANDLE, GAS BOX |
capitolareatech |
NEW |
- |
$15.00
|
0 |
Apr/20/16 |
Sep/15/16 |
|
Description: AMAT 0020-37563 Clamp, Top, WF6/SIH4 1/4 gas line |
capitolareatech |
NEW |
- |
$14.95
|
0 |
Apr/20/16 |
Sep/15/16 |
|
Description: AMAT 0020-18382 BRKT TWO FINAL VALVES GAS PANEL LOW K HD |
capitolareatech |
NEW |
- |
$14.75
|
0 |
Apr/20/16 |
Sep/15/16 |
|
Description: AMAT 3780-01326 SPR GAS 16.14" M8 MALE THRD |
capitolareatech |
NEW |
- |
$18.40
|
0 |
Apr/21/16 |
Sep/15/16 |
|
Description: AMAT 6401-00303 SUSPA GAS SPRING |
capitolareatech |
NEW |
- |
$13.86
|
0 |
Apr/21/16 |
Sep/15/16 |
|
Description: LAM 715-330406-001 RETAINING CLAMP GAS FEED |
capitolareatech |
NEW |
- |
$12.75
|
0 |
Apr/21/16 |
Sep/15/16 |
|
Description: LAM 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS. |
ssssayag |
NEW |
- |
$50.00
|
0 |
Apr/21/16 |
May/21/16 |
|
Description: Applied Materials AMAT 0021-40918 insulator gas feed |
athomemarket |
NEW |
- |
$599.99
|
0 |
Apr/22/16 |
May/09/18 |
|
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865 |
texassemicontech |
NEW |
- |
$2,850.00
|
0 |
Apr/22/16 |
Jun/28/18 |
|
Description: Lam Research Ontrak 810-017075-003 - PCB ORBITAL WELD GAS PNL INT 2 |
sacramento_liquidators |
NEW |
- |
$45.00
|
0 |
Apr/23/16 |
May/23/16 |
|
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT AMAT 0050-80924 |
wilus_v3zx7z |
Used |
- |
$190.00
|
0 |
Apr/25/16 |
May/25/16 |
|
Description: 0100-09115 Applied Materials Gas Panel Analog PCB |
supertechshop |
NEW |
- |
$99.00
|
0 |
Apr/25/16 |
May/19/16 |
|
Description: NEW AMAT 0041-10490 Clamp Upper Dual AL203 Gas Side Feed 6-3 Applied Materials |
supertechshop |
Used |
- |
$149.95
|
0 |
Apr/25/16 |
May/19/16 |
|
Description: AMAT Unit DeviceNet UFC-8165 Mass Flow Controller 400 SCCM Gas AR 3030-09423 |
d-dog0818 |
Used |
- |
$69.95
|
0 |
Apr/26/16 |
May/03/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
allbusindustrial |
Used |
- |
$200.00
|
0 |
Apr/28/16 |
Jun/13/16 |
|
Description: AMAT 0050-09955 GAS STICK WITH SEC-4400 WF6 10 SCCM MFC, 6 NUPRO VALVES |
ecomicron |
NEW |
- |
$1,600.00
|
0 |
Apr/28/16 |
Jun/02/16 |
|
Description: 0226-44354, AMAT, UNIT- MFC UFC-1400A N2 100 SCCM VIU, Cal Gas: N2 |
ecomicron |
NEW |
- |
$1,000.00
|
0 |
Apr/28/16 |
Jun/02/16 |
|
Description: 3030-01654, AMAT, UNIT- MFC UFC-1100A N2 1 SLM VIU, Cal Gas: N |
ptb-sales |
Used |
- |
$3,800.00
|
0 |
Apr/29/16 |
May/09/16 |
|
Description: MKS ASTeX ASTRONex Reactive Gas Generator, Model # FI80131, AMAT # 0920-00057 |
capitolareatech |
NEW |
- |
$75.00
|
0 |
May/01/16 |
Jun/30/16 |
|
Description: AMAT 0050-31384 PLUMBING,WELDMENT ADAPTER UNIVERSL GAS |
cjcollective2012 |
NEW |
- |
$75.00
|
0 |
May/02/16 |
Jul/24/18 |
|
Description: APPLIED MATERIALS 0150-18944 Cable EV MANIFOLD NO 2 GAS PANEL |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
May/02/16 |
Jun/01/16 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
megawavz |
Used |
- |
$395.00
|
0 |
May/03/16 |
Jun/02/16 |
|
Description: AMAT Applied Materials 0100-90283 Gas Supplies Interlock Board 0120-90992 |
caps86 |
Used |
- |
$300.00
|
0 |
May/03/16 |
Jun/02/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
jinhyucle_0 |
NEW |
- |
$180.00
|
1 |
May/04/16 |
May/18/16 |
|
Description: AMAT 4020-00164 FILTER FLTR IN-LN GAS 3000 PSIG 1/4-GSKT SEAL |
jinhyucle_0 |
NEW |
- |
$2,300.00
|
0 |
May/04/16 |
Nov/18/16 |
|
Description: AMAT 0041-26333 PLATE, GAS DISTRIBUTION, 300MM ALD TIN w/Certification |
athomemarket |
NEW |
- |
$59.99
|
0 |
May/05/16 |
May/12/16 |
|
Description: NEW Applied Materials/AMAT 0010-53673 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
May/05/16 |
May/12/16 |
|
Description: NEW Applied Materials/AMAT 0010-53672 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
May/05/16 |
May/12/16 |
|
Description: NEW Applied Materials/AMAT 0010-52334 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
May/05/16 |
May/12/16 |
|
Description: NEW Applied Materials/AMAT 0010-53674 Surface Mount Gas Valve 1/4" Mounting Rail |
autoquip7 |
Used |
- |
$1,300.00
|
0 |
May/06/16 |
Jul/25/22 |
|
Description: 0100-65513, Applied Materials, ASSY FACILITY GAS BACKPLANE PCB |
sammy_etek |
NEW |
- |
$3,500.00
|
1 |
May/10/16 |
Sep/18/17 |
|
Description: 0100-00308, Applied Materials, PCB ASSEMBLY, INCOMPATIBLE GAS INTERLOCK |
sammy_etek |
NEW |
- |
$2,500.00
|
0 |
May/10/16 |
Jun/01/17 |
|
Description: 0100-37901, Applied Materials, PCB,ASSY, GAS PANEL SAFETY INTLK |
autoquip7 |
NEW |
- |
$4,900.00
|
0 |
May/09/16 |
Jul/25/22 |
|
Description: 0190-01543, Applied Materials, INTERLOCK GAS PANEL MODULE POLY-300MM |
svcstore |
Used |
- |
$799.99
|
0 |
May/11/16 |
Jun/10/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
athomemarket |
NEW |
- |
$59.99
|
0 |
May/12/16 |
May/19/16 |
|
Description: NEW Applied Materials/AMAT 0010-47741 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
0 |
May/12/16 |
May/19/16 |
|
Description: NEW Applied Materials/AMAT 0010-34025 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
Used |
- |
$34.99
|
0 |
May/12/16 |
May/19/16 |
|
Description: Applied Materials/AMAT 0010-35172 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$32.99
|
0 |
May/12/16 |
May/19/16 |
|
Description: NEW Applied Materials/AMAT 0010-48216 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
1 |
May/13/16 |
Apr/11/18 |
|
Description: NEW Applied Materials/AMAT 0010-53674 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
1 |
May/13/16 |
Apr/13/18 |
|
Description: NEW Applied Materials/AMAT 0010-53673 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
3 |
May/13/16 |
Apr/11/18 |
|
Description: NEW Applied Materials/AMAT 0010-53672 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
5 |
May/13/16 |
Apr/11/18 |
|
Description: NEW Applied Materials/AMAT 0010-52334 Surface Mount Gas Valve 1/4" Mounting Rail |
autoquip7 |
Used |
- |
$13,000.00
|
0 |
May/14/16 |
Jul/25/22 |
|
Description: 0040-61366, Applied Materials, CHAMBER, DI SEAL, METAL ETCH, EDGE GAS |
sammy_etek |
NEW |
- |
$27,950.00
|
0 |
May/14/16 |
Oct/02/17 |
|
Description: 0040-31942, Applied Materials, CHAMBER BODY, ETCH, OXIDE, SIDE GAS FEED |
ecomicron |
NEW |
- |
$550.00
|
1 |
May/16/16 |
Oct/17/18 |
|
Description: 0020-31492, AMAT, GAS DISTRIBUTION PLATE, 101 HOLES |
d-dog0818 |
Used |
- |
$64.95
|
0 |
May/16/16 |
May/23/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
solanotraders |
Used |
- |
$760.00
|
0 |
May/18/16 |
Jun/17/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
jinhyucle_0 |
NEW |
- |
$200.00
|
0 |
May/19/16 |
Nov/18/16 |
|
Description: AMAT 4020-00164 FILTER FLTR IN-LN GAS 3000 PSIG 1/4-GSKT SEAL |
jinhyucle_0 |
NEW |
- |
$3,200.00
|
0 |
May/19/16 |
Nov/18/16 |
|
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED, BRNAD NEW ORIGINAL PACK |
athomemarket |
NEW |
- |
$59.99
|
3 |
May/20/16 |
Nov/10/17 |
|
Description: NEW Applied Materials/AMAT 0010-34025 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$29.69
|
1 |
May/20/16 |
Dec/10/17 |
|
Description: NEW Applied Materials/AMAT 0010-48216 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
Used |
- |
$34.99
|
2 |
May/20/16 |
Apr/11/18 |
|
Description: Applied Materials/AMAT 0010-35172 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$59.99
|
1 |
May/20/16 |
Jul/10/16 |
|
Description: NEW Applied Materials/AMAT 0010-47741 Surface Mount Gas Valve 1/4" Mounting Rail |
svcstore |
Used |
- |
$599.99
|
0 |
May/23/16 |
Jun/22/16 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
d-dog0818 |
Used |
- |
$59.95
|
0 |
May/27/16 |
Jun/03/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
bobsgoodies2 |
Used |
- |
$145.00
|
1 |
May/31/16 |
Dec/02/21 |
|
Description: AMAT 1410-01012 Purge Gas Heater Model HM-150 US Thin Film Products |
jinhyucle_0 |
NEW |
- |
$20,000.00
|
0 |
Jun/01/16 |
Jan/10/17 |
|
Description: AMAT 0190-34349 MKS Instruments AX7695 AMAT Reactive Gas Generator, BRAND NEW |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Jun/05/16 |
Jul/05/16 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
megawavz |
Used |
- |
$395.00
|
0 |
Jun/06/16 |
Jul/06/16 |
|
Description: AMAT Applied Materials 0100-90283 Gas Supplies Interlock Board 0120-90992 |
yericomfg |
NEW |
- |
$110.00
|
0 |
Jun/06/16 |
Aug/08/18 |
|
Description: Applied Materials; Kit, Gas Delivery, Integration, 300mm 0242-29469 |
caps86 |
Used |
- |
$300.00
|
0 |
Jun/06/16 |
Jul/06/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
ecomicron |
NEW |
- |
$150.00
|
0 |
Jun/07/16 |
Oct/02/18 |
|
Description: 0150-01294, AMAT, CABLE ASSY, GAS DISTR. BD. TO IGL/PNEU |
gigabitpartsolutions |
NEW |
- |
$27.50
|
0 |
Jun/10/16 |
Jun/05/17 |
|
Description: OEM Part Applied Materails (AMAT) 0200-36428 TUBE, CERAMIC GAS FEED, MW CLEAN |
visionsemi |
NEW |
- |
$595.00
|
0 |
Jun/10/16 |
May/26/17 |
|
Description: APPLIED MATERIALS AMAT 0150-09797 CABLE CHAMBER HEATER ASSY 28-LINE GAS PANEL |
gigabitpartsolutions |
NEW |
- |
$328.90
|
0 |
Jun/13/16 |
Jun/08/17 |
|
Description: OEM Part Applied Materails (AMAT) 0242-42691 KIT, PURGE GAS FLEXLINE 300MM CVD |
allbusindustrial |
Used |
- |
$75.00
|
0 |
Jun/13/16 |
Aug/12/16 |
|
Description: AMAT 0050-09955 GAS STICK WITH SEC-4400 WF6 10 SCCM MFC, 6 NUPRO VALVES |
sparesllc09 |
NEW |
- |
$33,900.00
|
0 |
Jun/13/16 |
Jun/08/17 |
|
Description: 853-002235-182 / GIB,4PM,10RA,2X8 GAS,2X3 GAS,BOT INLET/ LAM RESEARCH |
svcstore |
Used |
- |
$799.99
|
0 |
Jun/13/16 |
Jul/13/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
gigabitpartsolutions |
NEW |
- |
$28.60
|
0 |
Jun/14/16 |
May/25/19 |
|
Description: OEM Part Applied Materails (AMAT) 0270-02883 BLANK OFF, BLOCK GAS FEED, HDPCVD |
solanotraders |
Used |
- |
$712.50
|
0 |
Jun/17/16 |
Jul/17/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
testeqe |
NEW |
- |
$399.99
|
0 |
Jun/22/16 |
Jul/17/17 |
|
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly |
visionsemi |
NEW |
- |
$495.00
|
0 |
Jun/23/16 |
Jan/19/17 |
|
Description: 715-330987-001 LAM RESEARCH BOTTOM GAS RING INJECTION RING 8" |
d-dog0818 |
Used |
- |
$49.95
|
0 |
Jun/23/16 |
Jun/30/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
svcstore |
Used |
- |
$509.99
|
0 |
Jun/23/16 |
Jul/23/16 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
gigabitpartsolutions |
NEW |
- |
$126.50
|
1 |
Jun/27/16 |
Jan/25/18 |
|
Description: OEM Part LAM RESEARCH (LAM) 716-008718-001 NOZ, GAS NJ, 8-HOLE QTZ, 2300 ME |
gigabitpartsolutions |
NEW |
- |
$548.90
|
0 |
Jun/27/16 |
Apr/22/20 |
|
Description: OEM Part LAM RESEARCH (LAM) 716-330068-001 PL, GAS Ring, Cov (INTL FLWS) |
gesemiconductor |
Used |
- |
$289.00
|
0 |
Jun/27/16 |
Dec/19/17 |
|
Description: LAM RESEARCH 716-021492-001 Director Process Gas |
visionsemi |
NEW |
- |
$285.00
|
0 |
Jun/27/16 |
Jan/23/17 |
|
Description: APPLIED MATERIALS AMAT 0150-35539 CABLE ASSY GAS PANEL POWER 55FT |
esl-elektronik |
NEW |
- |
$1,499.00
|
0 |
Jun/28/16 |
Mar/12/18 |
|
Description: Lam Research Disk Interferometer Top Gas 716-331157-013 New / Original Sealed |
dr.dantom |
Used |
- |
$280.00
|
1 |
Jun/28/16 |
Aug/16/17 |
|
Description: Horiba STEC SEC-4400M GAS Ar 50SCCM Mass Flow Controller AMAT 3030-06072 |
gesemiconductor |
NEW |
- |
$32.00
|
0 |
Jun/30/16 |
Dec/19/17 |
|
Description: APPLIED MATERIALS 0020-96078 Tube Gas Line |
caps86 |
Used |
- |
$300.00
|
0 |
Jul/06/16 |
Aug/05/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
ecomicron |
Used |
- |
$300.00
|
1 |
Jul/07/16 |
Apr/22/21 |
|
Description: 0140-38236, AMAT, HARNESS GAS PANEL EXH/DR SW INTLK |
capitolareatech |
NEW |
- |
$8.59
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0020-80145 View Port, Gas Cabinet |
capitolareatech |
NEW |
- |
$9.95
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: LAM 715-030319-001 COVER SCREW BOT GAS R BSR |
capitolareatech |
NEW |
- |
$950.00
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: Applied Materials 0020-31536 Plate, Gas, Gas Distribution,Stealth Oxide, 96 Hole |
capitolareatech |
NEW |
- |
$577.86
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: Applied Materials 0020-04013 Pressure Cap, GAS Cooled |
capitolareatech |
NEW |
- |
$550.00
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0020-31820 Plate, Gas Distribution 133 Hole |
capitolareatech |
NEW |
- |
$300.51
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0020-31643 Gas Distribution Plate, Anodized, SXTAL, |
capitolareatech |
NEW |
- |
$235.58
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0020-30570 Plate,Gas Distribution, 150mm HEWEB |
capitolareatech |
NEW |
- |
$233.15
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0020-30356 Elbow, Gas Supply EGEC |
capitolareatech |
NEW |
- |
$192.33
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0040-83227 Argon Gas Line Assembly |
capitolareatech |
NEW |
- |
$460.65
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0040-54322 TUBE, FEEDTHRU, PROCESS GAS, ALD TAN 300 |
capitolareatech |
NEW |
- |
$4,806.71
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0040-48339 Faceplate, Dual Gas, 200MM TICL4 TIN |
capitolareatech |
NEW |
- |
$119.88
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0040-46594 GAS EXTRACT PIPE (THRO FLOOR) |
capitolareatech |
NEW |
- |
$5,888.94
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0090-35771 Gas Heat Exchange Assembly, Tantalum |
capitolareatech |
NEW |
- |
$300.00
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: APPLIED MATERIAL (AMAT) 0100-35267 PCBA, GAS PALLET INTERLOCKS MATRIX, |
capitolareatech |
NEW |
- |
$350.00
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0100-35148 PCBA, TWO CHBR GAS BACKPLANE |
capitolareatech |
NEW |
- |
$550.00
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0100-09174 Assembly Teos Gas Interface Board |
capitolareatech |
NEW |
- |
$199.54
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0150-01706 CABLE ASSY, 40' GAS INTLK AC=IPS,BD=OTHR |
capitolareatech |
NEW |
- |
$35.65
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0150-00435 Cable Assembly, Gas Panel, CH A, SERIPLEX, MEI, P |
capitolareatech |
NEW |
- |
$24.61
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0150-00068 Ribbon Cable Assembly 20COND Gas PNL, Digital |
capitolareatech |
NEW |
- |
$317.37
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0150-99162 CA GAS MDL ASH3/PH3, 15WAY 'D' |
capitolareatech |
NEW |
- |
$110.36
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0226-30072 RAIL, UNIVERSAL,MOD. GAS PANEL |
capitolareatech |
NEW |
- |
$300.00
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 0225-05387 Mass Flow Controller, Range: 100 SCCM, Nameplate Gas: CL2, Calib |
capitolareatech |
NEW |
- |
$500.00
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: NOVELLUS 10-155415-00 GAS DISTRIBUTION MANIFOLD |
capitolareatech |
NEW |
- |
$56.41
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2 |
capitolareatech |
NEW |
- |
$45.20
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40 |
capitolareatech |
NEW |
- |
$1,250.00
|
0 |
Jul/08/16 |
Sep/15/16 |
|
Description: AMAT 1410-00976 GAS LINE HEATER JACKET |
capitolareatech |
NEW |
- |
$960.15
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 3800-01119 RGLTR GAS PRESS 0-30PSI 2PORT 1/4VCR-M/M NO-GA 5RA |
capitolareatech |
NEW |
- |
$150.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 3780-00073 SPR GAS 12IN-STRK 31.11IN-EXT LG 1060LBS |
capitolareatech |
NEW |
- |
$122.78
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 4020-90014 Millipore MCY4440FREJ FILTER CRTRDG 40 STACK GAS |
capitolareatech |
NEW |
- |
$407.26
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 4020-01187 Filter In-Line Gas, 3750 PSIG, 1/4" Face Seal Nickel |
capitolareatech |
NEW |
- |
$262.66
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 FLTR IN-LINE GAS 3750PSIG 1/4VCR-M 15SLPM SST 5 |
capitolareatech |
NEW |
- |
$1,304.03
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM Research 716-330068-001 GAS RING COVER |
capitolareatech |
NEW |
- |
$2,000.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 716-331157-013 DISK, INTERFEROMTER, TOP GAS |
capitolareatech |
NEW |
- |
$318.75
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 716-027963-001 Ring WP Generic Dual Gas |
capitolareatech |
NEW |
- |
$556.88
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 715-330445-111 PL,ADPTR,UPR MTCH,TOP GAS |
capitolareatech |
NEW |
- |
$21.25
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 715-031458-002 BRACKET GAS FEED TUBE FOR NEW DIS FILTER |
capitolareatech |
NEW |
- |
$467.50
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 715-021483-001 PLATE FEEDTHRU, GAS RING |
capitolareatech |
NEW |
- |
$244.80
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 734-092038-003 O-Ring, 10-1/2ID*1/8CS CMRZ513 Outer Gas |
capitolareatech |
NEW |
- |
$424.78
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM Research 810-077391-001 Gas INTLK |
capitolareatech |
NEW |
- |
$35.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 839-020965-113 PIPE, CENTER GAS FLOW |
capitolareatech |
NEW |
- |
$250.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 839-016641-002 WELDMENT, INJECTOR GAS NOZZLE |
capitolareatech |
NEW |
- |
$255.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 839-014453-001 WELDMENT GAS DELIVERY |
capitolareatech |
NEW |
- |
$9,000.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 9240-01257 KIT OPTION SDS ASH3/PH3 GAS |
capitolareatech |
NEW |
- |
$93.19
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 9150-02307 CFA,TOXIC GAS MODULE EDGE CONN |
capitolareatech |
NEW |
- |
$2,000.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS) |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 9010-02456 GAS MOD'L, SA-HP, SIF4 |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 9010-02455 GAS MOD'L, SA-HP GEF4 |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 9010-02276 GAS MODULE WIRED HP-C02 |
capitolareatech |
NEW |
- |
$340.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 856-017457-001 LAM KIT UPGRADE, GAS PNL PCB 44 |
capitolareatech |
NEW |
- |
$297.50
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1 |
capitolareatech |
NEW |
- |
$180.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM RESEARCH 955-001177-003 TUBE FLEX CHAMBER GAS; 4FT |
capitolareatech |
Used |
- |
$55.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 0140-35095 HARNESS ASSEMBLY; GAS PANEL |
capitolareatech |
Used |
- |
$700.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 0150-76177 GAS PANEL UMBILICAL CABLE ASSY |
capitolareatech |
Used |
- |
$550.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 0150-76178 EMC COMP., CABLE ASSY, GAS PANEL UMBILIC. |
capitolareatech |
Used |
- |
$175.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 0150-35222 CABLE ASSEMBLY; GAS PANEL POWER |
capitolareatech |
Used |
- |
$225.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 3030-07144 STEC SEC-4550MO Mass Flow Controller; Range: 40 SLM, Gas: N2; 1/ |
capitolareatech |
Used |
- |
$225.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 3030-05704 STEC SEC-4500MO-UC Mass Flow Controller; Range: 20 SLM, Gas: N2; |
capitolareatech |
Used |
- |
$225.00
|
0 |
Jul/09/16 |
Jul/29/16 |
|
Description: AMAT 3030-03747 STEC SEC-4400MC-UC Mass Flow Controller; Range: 250 SCCM, Gas: N |
capitolareatech |
Used |
- |
$225.00
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 3030-02330 STEC SEC-4500MO-UC Mass Flow Controller; Range: 10 SLM Gas: O2 1 |
capitolareatech |
Used |
- |
$17.50
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: AMAT 0150-35578 GAS DIST BRD TO EV MANIFOLD |
capitolareatech |
Refurbished |
- |
$187.50
|
0 |
Jul/09/16 |
Sep/15/16 |
|
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM |
capitolareatech |
Used |
- |
$25.00
|
0 |
Jul/10/16 |
Sep/15/16 |
|
Description: AMAT 0020-37462 BRACKET, RIGHT, GAS SHOCK |
capitolareatech |
Used |
- |
$25.00
|
0 |
Jul/10/16 |
Sep/15/16 |
|
Description: AMAT 0020-37461 BRACKET, LEFT, GAS SHOCK |
capitolareatech |
NEW |
- |
$75.00
|
0 |
Jul/10/16 |
Sep/15/16 |
|
Description: AMAT 0050-31384 PLUMBING,WELDMENT ADAPTER UNIVERSL GAS |
usedeqsales |
Used |
- |
$707.16
|
1 |
Jul/12/16 |
Feb/22/17 |
|
Description: AMAT Applied Materials 0100-94102 Gas Interlock 10 Torr SDS PCB Card Used |
ntsurplus302 |
Used |
- |
$50.00
|
0 |
Jul/12/16 |
Apr/12/18 |
|
Description: 3583 Applied Materials 0050-34720 Rev. A Gas Line |
farmoninc |
Used |
- |
$250.00
|
0 |
Jul/14/16 |
Jan/17/17 |
|
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329875 |
farmoninc |
Used |
- |
$250.00
|
0 |
Jul/14/16 |
Jan/17/17 |
|
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329874 |
farmoninc |
Used |
- |
$250.00
|
0 |
Jul/14/16 |
Jan/17/17 |
|
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329873 |
svcstore |
Used |
- |
$799.99
|
0 |
Jul/15/16 |
Aug/14/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
solanotraders |
Used |
- |
$760.00
|
0 |
Jul/17/16 |
Aug/16/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Jul/18/16 |
Aug/17/16 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
usedeqsales |
Used |
- |
$708.09
|
3 |
Jul/20/16 |
Apr/02/23 |
|
Description: AMAT Applied Materials 0100-00985 HP Gas Interlock 10 Torr SDS PCB Card Used |
ecomicron |
NEW |
- |
$2,500.00
|
1 |
Jul/21/16 |
Jul/07/22 |
|
Description: 0150-76205, AMAT, CABLE ASSY, GAS PANEL #2 UMBI |
ecomicron |
Used |
- |
$2,500.00
|
0 |
Jul/21/16 |
Jul/10/18 |
|
Description: 0150-35202, AMAT, CABLE ASSY GAS PANEL UMBILICAL #1 |
ecomicron |
Used |
- |
$1,400.00
|
0 |
Jul/22/16 |
Jun/14/21 |
|
Description: 0150-76177, AMAT, EMC COMP.,CABLE ASSY,GAS PANEL UMBILICAL |
electronics-abq |
Used |
- |
$349.95
|
1 |
Jul/25/16 |
Aug/31/22 |
|
Description: Applied Materials Gas Panel Board AMAT 0100-09153 Precision 5000 |
bornalliancecom |
Used |
- |
$995.00
|
0 |
Jul/25/16 |
Jul/20/20 |
|
Description: Applied Materials 0040-09149 P-5000 Mark II 28 Line Gas Panel Enclosure |
bornalliancecom |
NEW |
- |
$2,250.00
|
1 |
Jul/26/16 |
Mar/08/23 |
|
Description: Applied Materials 0050-30759 Cover, Gas Inlet AMAT Etch |
smartelektronikgmbh |
NEW |
- |
$174.00
|
1 |
Jul/27/16 |
Jan/09/18 |
|
Description: AMAT 0020-30570 // PLATE, GAS DISTRIBUTION HEWEB, 150MM |
smartelektronikgmbh |
NEW |
- |
$117.00
|
0 |
Jul/26/16 |
Jan/01/18 |
|
Description: AMAT 0040-39482 // BRACKET GAS LINE MIDDLE CH. A/B/C |
svcstore |
Used |
- |
$599.99
|
0 |
Jul/29/16 |
Aug/28/16 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
autoquip7 |
NEW |
- |
$1,100.00
|
0 |
Jul/31/16 |
Jul/25/22 |
|
Description: 0050-60944, APPLIED MATERIALS, WLDMT MANIFOLD, 2 VALVES LFT, LPCVD GAS PANEL |
sammy_etek |
NEW |
- |
$3,100.00
|
1 |
Jul/31/16 |
Dec/12/19 |
|
Description: 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX |
sammy_etek |
NEW |
- |
$1,200.00
|
1 |
Jul/31/16 |
Jan/20/21 |
|
Description: 0050-40309, APPLIED MATERIALS, GAS LINE MANIFOLD |
pohyh |
NEW |
- |
$500.00
|
0 |
Aug/02/16 |
Jul/19/18 |
|
Description: 4970 LAM RESEARCH GAS LINE (NEW) 839-057386-003 REV A |
pohyh |
NEW |
- |
$350.00
|
0 |
Aug/02/16 |
Jul/31/18 |
|
Description: 4984 APPLIED MATERIAL KIT, GAS SPRING INSTALL TOOL FEOL 300MM (NEW) 0240-47188 |
surplusseller13 |
NEW |
- |
$56.45
|
0 |
Aug/03/16 |
Dec/06/17 |
|
Description: LAM Research 839-011520-001 Weldment Flex Gas Feed Line New |
benta09 |
NEW |
- |
$40.00
|
0 |
Aug/04/16 |
Sep/03/16 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
benta09 |
NEW |
- |
$275.00
|
0 |
Aug/05/16 |
Sep/04/16 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
caps86 |
Used |
- |
$300.00
|
0 |
Aug/06/16 |
Sep/05/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
sammy_etek |
NEW |
- |
$2,000.00
|
1 |
Aug/08/16 |
Jul/25/18 |
|
Description: 0040-09260, APPLIED MATERIALS, GAS BOX,100MM/200MM WSI |
autoquip7 |
NEW |
- |
$1,000.00
|
0 |
Aug/10/16 |
Jul/25/22 |
|
Description: 0200-36018, APPLIED MATERIALS, INTEL,GAS LINE,DTCU,DPS 300MM |
j316gallery |
Used |
- |
$1,020.45
|
0 |
Aug/11/16 |
Jun/18/21 |
|
Description: 5510 APPLIED MATERIALS ASSY, UNIVERSAL TEOS GAS BOX 0010-09961 |
j316gallery |
NEW |
- |
$100.20
|
0 |
Aug/12/16 |
Sep/10/21 |
|
Description: 5628 APPLIED MATERIALS TUBE GAS FEED (NEW) 0200-09450 |
svcstore |
Used |
- |
$799.99
|
0 |
Aug/15/16 |
Sep/14/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
solanotraders |
Used |
- |
$950.00
|
0 |
Aug/16/16 |
Sep/15/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
gesemiconductor |
Used |
- |
$160.00
|
0 |
Aug/16/16 |
Jul/18/17 |
|
Description: APPLIED MATERIALS 0200-35296 Gas Nozzle Inner DPS+ Poly |
epic-semi |
NEW |
- |
$5,000.00
|
1 |
Aug/17/16 |
May/20/19 |
|
Description: AMAT XR Implant Gas and Temp Chassis 0090-91890 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Aug/17/16 |
Sep/16/16 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
gti-semi |
Used |
- |
$60.00
|
0 |
Aug/19/16 |
Nov/26/19 |
|
Description: Applied Materials, AMAT, STUD BLOCK CLEAN GAS FEED, Set of 2, p/n 0020-12753 |
ecomicron |
NEW |
- |
$540.00
|
2 |
Aug/19/16 |
Aug/03/21 |
|
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR |
detroitindustrialsurplus |
NEW |
- |
$74.97
|
1 |
Aug/22/16 |
May/28/18 |
|
Description: NOVELLUS 10-116652-01 Rev. B BACKSIDE GAS TUBE ASSEMBLY "H" SHAPE - SWAGELOK SS |
kakkisung-6 |
Used |
- |
$1,499.00
|
1 |
Aug/26/16 |
Mar/18/21 |
|
Description: AMAT Applied Materials Assembly Gas Panel H2 Sensor 0090-35042 |
bornalliancecom |
NEW |
- |
$260.00
|
0 |
Aug/26/16 |
Jun/11/18 |
|
Description: Applied Materials 0200-00058 Gas Ring, Small Holes & Channel AMAT Etch |
upndmtns |
NEW |
- |
$179.00
|
0 |
Aug/27/16 |
Sep/26/16 |
|
Description: NOVELLUS GAS VACUUM TUBE NOS NIB W/ CENTERING RING 02-388264-00 DATE CO 04/11 |
athomemarket |
NEW |
- |
$34.99
|
0 |
Aug/31/16 |
Sep/07/16 |
|
Description: NEW Applied Materials/AMAT 0010-34051 Surface Mount Gas Valve 1/4" Mounting Rail |
j316gallery |
Used |
- |
$383.71
|
3 |
Aug/29/16 |
Nov/02/23 |
|
Description: 722 APPLIED MATERIALS ONC TECH 88 HOLES UNI-INSERT GAS DISTRIBUTION 0200-00410 |
svcstore |
Used |
- |
$599.99
|
0 |
Aug/29/16 |
Sep/28/16 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
xl-t_com |
Used |
- |
$85.00
|
0 |
Aug/31/16 |
Jan/17/19 |
|
Description: UNIT UFC-1100 - MFC - Rng 200 SCCM Gas: NF3 / AMAT 0225-10059 |
xl-t_com |
Used |
- |
$85.00
|
0 |
Aug/31/16 |
Jan/17/19 |
|
Description: UNIT UFC-1100 - MFC - Rng 200 sccm Gas: NF3 / AMAT 3030-01064 |
benta09 |
NEW |
- |
$40.00
|
0 |
Sep/03/16 |
Oct/03/16 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
benta09 |
NEW |
- |
$275.00
|
0 |
Sep/04/16 |
Oct/04/16 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
caps86 |
Used |
- |
$300.00
|
0 |
Sep/06/16 |
Oct/06/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
autoquip7 |
Used |
- |
$510.00
|
0 |
Sep/06/16 |
Jul/25/22 |
|
Description: 0100-09106, Applied Materials, PCB ASSEMBLY,EXPANDED GAS PANEL INTERFACE BOARD |
athomemarket |
NEW |
- |
$31.49
|
0 |
Sep/08/16 |
Apr/04/18 |
|
Description: NEW Applied Materials/AMAT 0010-34051 Surface Mount Gas Valve 1/4" Mounting Rail |
amte648608 |
NEW |
- |
$28.00
|
0 |
Sep/10/16 |
Oct/10/16 |
|
Description: AMAT Housing, Plug, Inner, Gas Nozzle DPS MEM, 0200-35296 |
amte648608 |
NEW |
- |
$28.00
|
0 |
Sep/10/16 |
Oct/10/16 |
|
Description: AMAT Housing, Plug, Inner, Gas Nozzle DPS MEM, 0200-35291 |
amte648608 |
NEW |
- |
$70.00
|
0 |
Sep/10/16 |
Oct/10/16 |
|
Description: AMAT Housing, Gas Feed, Nozzle DPS, 0200-35295 |
amte648608 |
NEW |
- |
$70.00
|
0 |
Sep/10/16 |
Oct/10/16 |
|
Description: AMAT Housing, Gas Feed, Nozzle DPS MEC, 0200-10203 |
d-dog0818 |
Used |
- |
$49.95
|
0 |
Sep/11/16 |
Sep/18/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
surplusfreight555 |
NEW |
- |
$100.00
|
0 |
Sep/12/16 |
Oct/12/16 |
|
Description: AMAT 0020-30356 Elbow, Gas Supply EGEC New Feedthru Applied Materials Leopold |
farmoninc |
NEW |
- |
$1,450.00
|
0 |
Sep/13/16 |
May/08/17 |
|
Description: AMAT 4060-01088 Rev.B, BES 6098-01,Pneumatic Manifold, Chamber Gas Panel. 416172 |
solanotraders |
Used |
- |
$950.00
|
0 |
Sep/15/16 |
Oct/15/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
svcstore |
Used |
- |
$799.99
|
0 |
Sep/15/16 |
Oct/15/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
capitolareatech |
NEW |
- |
$550.00
|
0 |
Sep/19/16 |
Nov/18/16 |
|
Description: AMAT 0100-09174 Assembly Teos Gas Interface Board |
capitolareatech |
NEW |
- |
$124.71
|
0 |
Sep/19/16 |
Nov/18/16 |
|
Description: AMAT 0150-06812 CABLE ASSEMBLY ETCH AP GAS PANEL CH A DN |
capitolareatech |
NEW |
- |
$2,795.00
|
0 |
Sep/19/16 |
Nov/18/16 |
|
Description: AMAT 0150-04505 CABLE ASSY SYSTEM INTLK GAS PNL INTLK, P |
capitolareatech |
NEW |
- |
$150.00
|
0 |
Sep/19/16 |
Nov/18/16 |
|
Description: AMAT 0150-02783 CABLE ASSY,GAS PANEL EV CONTROL 2, CVD |
capitolareatech |
NEW |
- |
$125.00
|
0 |
Sep/19/16 |
Nov/18/16 |
|
Description: AMAT 0150-02782 Cable Assembly, Gas Panel EV Control 1, CVD |
capitolareatech |
NEW |
- |
$331.09
|
0 |
Sep/19/16 |
Nov/18/16 |
|
Description: AMAT 0190-20033 Gas Manifold 8039494, 260 PSIG |
capitolareatech |
NEW |
- |
$1,250.00
|
0 |
Sep/19/16 |
Nov/18/16 |
|
Description: AMAT 1410-00976 GAS LINE HEATER JACKET |
capitolareatech |
NEW |
- |
$9,000.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 9240-01257 KIT OPTION SDS ASH3/PH3 GAS |
capitolareatech |
NEW |
- |
$93.19
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 9150-02307 CFA,TOXIC GAS MODULE EDGE CONN |
capitolareatech |
NEW |
- |
$2,000.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS) |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 9010-02456 GAS MOD'L, SA-HP, SIF4 |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 9010-02455 GAS MOD'L, SA-HP GEF4 |
capitolareatech |
NEW |
- |
$6,500.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 9010-02276 GAS MODULE WIRED HP-C02 |
capitolareatech |
NEW |
- |
$180.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: LAM RESEARCH 955-001177-003 TUBE FLEX CHAMBER GAS; 4FT |
capitolareatech |
NEW |
- |
$424.78
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: LAM Research 810-077391-001 Gas INTLK |
capitolareatech |
NEW |
- |
$1,304.03
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: LAM Research 716-330068-001 GAS RING COVER |
capitolareatech |
NEW |
- |
$340.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: LAM 856-017457-001 LAM KIT UPGRADE, GAS PNL PCB 44 |
capitolareatech |
NEW |
- |
$297.50
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: LAM 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1 |
capitolareatech |
Used |
- |
$225.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 3030-07144 STEC SEC-4550MO Mass Flow Controller; Range: 40 SLM, Gas: N2; 1/ |
capitolareatech |
Used |
- |
$225.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 3030-05704 STEC SEC-4500MO-UC Mass Flow Controller; Range: 20 SLM, Gas: N2; |
capitolareatech |
Used |
- |
$225.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 3030-02330 STEC SEC-4500MO-UC Mass Flow Controller; Range: 10 SLM Gas: O2 1 |
capitolareatech |
Refurbished |
- |
$187.50
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM |
capitolareatech |
NEW |
- |
$50.00
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 0021-36241 BRACKET,SUPPORT,GAS LN |
capitolareatech |
NEW |
- |
$27.50
|
0 |
Sep/20/16 |
Nov/19/16 |
|
Description: AMAT 0020-70717 LEVER, GAS POST, MACHINED |
d-dog0818 |
Used |
- |
$44.95
|
0 |
Sep/25/16 |
Oct/02/16 |
|
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D |
spsglobal |
NEW |
- |
$150.00
|
6 |
Sep/30/16 |
Feb/07/17 |
|
Description: AMAT APPLIED MATERIALS 0040-20056 ADAPTOR ELBOW .25VCR TO MINI CF, GAS LIN NEW |
svcstore |
Used |
- |
$599.99
|
0 |
Sep/29/16 |
Oct/29/16 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
powersell007 |
Used |
- |
$1,199.00
|
0 |
Oct/03/16 |
Dec/03/23 |
|
Description: APPLIED MATERIALS 0100-00581 IGL BASE BOARD/CARD GAS PANEL 300MM INTERLOCK *NEW* |
sammy_etek |
NEW |
- |
$1,300.00
|
1 |
Oct/03/16 |
Jun/20/18 |
|
Description: 0010-38439, APPLIED MATERIALS, HINGE ASSY, LID W/ GAS SPRING, DXZ, DCVD |
autoquip7 |
NEW |
- |
$1,100.00
|
0 |
Oct/03/16 |
Jul/25/22 |
|
Description: 0040-02938, APPLIED MATERIALS, GAS MANIFOLD OUTPUT 200MM TXZ CIP |
benta09 |
NEW |
- |
$40.00
|
0 |
Oct/06/16 |
Nov/05/16 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
benta09 |
NEW |
- |
$275.00
|
0 |
Oct/06/16 |
Nov/05/16 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
caps86 |
Used |
- |
$300.00
|
0 |
Oct/06/16 |
Nov/05/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
farmoninc |
NEW |
- |
$1,875.00
|
0 |
Oct/07/16 |
Feb/04/17 |
|
Description: Novellus 16-284113-00 Gas distribution Spindle Plate 416997 |
farmoninc |
Used |
- |
$1,125.00
|
0 |
Oct/07/16 |
Feb/04/17 |
|
Description: Novellus 16-284113-00 Gas distribution Spindle Plate 416996 |
farmoninc |
Used |
- |
$495.00
|
1 |
Oct/07/16 |
Dec/20/16 |
|
Description: Novellus 02-284109-00, Assy, Support Gas SYS MANF, RPC, C2SEQ-X, 416999 |
farmoninc |
NEW |
- |
$650.00
|
1 |
Oct/07/16 |
Dec/18/16 |
|
Description: Novellus 02-284109-00, Assy, Support Gas SYS MANF, RPC, C2SEQ-X, 416995 |
farmoninc |
Used |
- |
$125.00
|
1 |
Oct/10/16 |
Feb/17/22 |
|
Description: AMAT 0050-30761, Tube, Gas Supply SGD. 417113 |
amte648608 |
NEW |
- |
$70.00
|
0 |
Oct/10/16 |
Nov/09/16 |
|
Description: AMAT Housing, Gas Feed, Nozzle DPS MEC, 0200-35290 |
amte648608 |
NEW |
- |
$28.00
|
0 |
Oct/10/16 |
Nov/09/16 |
|
Description: AMAT Housing, Plug, Inner, Gas Nozzle DPS MEM, 0200-35296 |
upndmtns |
NEW |
- |
$78.00
|
0 |
Oct/11/16 |
Nov/10/16 |
|
Description: NOVELLUS GAS VACUUM TUBE NOS NIB W/ CENTERING RING 02-388264-00 DATE CO 04/11 |
pohyh |
Used |
- |
$449.00
|
1 |
Oct/12/16 |
Oct/12/16 |
|
Description: 2860 APPLIED MATERIAL ULTIMA 24 HOLE GAS DISTRIBUTION RING 0040-04650 |
soldtou1 |
NEW |
- |
$999.99
|
0 |
Oct/12/16 |
Feb/23/18 |
|
Description: Novellus Systems Gas Box Distribution Speed 300 PCB 27-111301-00 02-111301-00 |
solanotraders |
Used |
- |
$760.00
|
0 |
Oct/15/16 |
Nov/14/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
orapma12012 |
Used |
- |
$8,995.00
|
0 |
Oct/16/16 |
Oct/23/16 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
farmoninc |
Used |
- |
$7,500.00
|
0 |
Oct/17/16 |
Mar/16/23 |
|
Description: AMAT 0020-10186, 5000 8" CVD Etch Chamber Lid, 200mm, Gas Box. 417330 |
farmoninc |
Used |
- |
$14,500.00
|
0 |
Oct/17/16 |
Dec/22/22 |
|
Description: AMAT 0010-92209 Gas Module, SDS, Unit (8160MFC) N20 5 SCCM, 417290 |
svcstore |
Used |
- |
$799.99
|
0 |
Oct/18/16 |
Nov/17/16 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
catalystparts |
NEW |
- |
$350.00
|
0 |
Oct/19/16 |
Jul/06/18 |
|
Description: Applied Materials 0010-01004 Gas Line Feed Assy |
farmoninc |
NEW |
- |
$475.00
|
0 |
Oct/19/16 |
Dec/22/22 |
|
Description: AMAT 0040-92439 Manifold Aux Gas 417387 |
farmoninc |
NEW |
- |
$575.00
|
0 |
Oct/19/16 |
Mar/16/23 |
|
Description: AMAT 0225-32985, XYCarb Ceramics 590423A, Gas Ring, Quartz, 12 Holes. 417353 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Oct/19/16 |
Nov/18/16 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
farmoninc |
Used |
- |
$450.00
|
0 |
Oct/19/16 |
Apr/15/19 |
|
Description: AMAT 0200-00410 Gas Distribution, Plate, Etch, MXP, MXP+, 417404 |
farmoninc |
NEW |
- |
$575.00
|
0 |
Oct/19/16 |
Dec/22/22 |
|
Description: AMAT 0225-32985, Gas Ring, Quartz, 12 Holes, 417443 |
orapma12012 |
Used |
- |
$8,995.00
|
0 |
Oct/20/16 |
Oct/27/16 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
farmoninc |
NEW |
- |
$295.00
|
1 |
Oct/20/16 |
Apr/14/17 |
|
Description: AMAT 0020-09316 Rev.F, Plate, Blocker, 150mm, AMP 464, Gas Cover, CVD. 417450 |
pohyh |
Used |
- |
$500.00
|
0 |
Oct/21/16 |
Aug/09/18 |
|
Description: 7352 APPLIED MATERIAL ADAPTER GAS FEED DPS+POLY 0020-33808 A |
orapma12012 |
Used |
- |
$8,995.00
|
0 |
Oct/23/16 |
Oct/30/16 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
spsglobal |
Used |
- |
$100.00
|
0 |
Oct/24/16 |
Mar/16/23 |
|
Description: 176-0401// AMAT APPLIED 0050-54970 GASLINE, 3/8 OD BACKSIDE GAS, 300MM ESC, USED |
spsglobal |
Used |
- |
$200.00
|
1 |
Oct/24/16 |
Mar/16/23 |
|
Description: 176-0401// AMAT APPLIED 0050-39361 INSERT,GAS FEED,LOWER CHAMBER,POLY,DPS-A USED |
spsglobal |
NEW |
- |
$300.00
|
0 |
Oct/24/16 |
Feb/10/17 |
|
Description: AMAT APPLIED MATERIALS 0020-42187 MANIFOLD, INLET, GAS BOX NEW |
surplusfreight555 |
NEW |
- |
$100.00
|
0 |
Oct/24/16 |
Nov/23/16 |
|
Description: AMAT 0020-30356 Elbow, Gas Supply EGEC New Feedthru Applied Materials Leopold |
farmoninc |
NEW |
- |
$3,200.00
|
0 |
Oct/24/16 |
Mar/16/23 |
|
Description: AMAT 0010-30025 Assy Lower Gas Line 417504 |
jericotagl |
NEW |
- |
$150.00
|
1 |
Oct/24/16 |
Dec/12/16 |
|
Description: AMAT 0050-07469 / 42600726 GAS MANIFOLD W/ 4 X VERIFLO DIAPHRAGM VALVES - NEW |
farmoninc |
NEW |
- |
$625.00
|
0 |
Oct/25/16 |
Apr/14/23 |
|
Description: AMAT 0020-30600, Plate Gas Dist EGEC. 417565 |
visionsemi |
NEW |
- |
$215.00
|
0 |
Oct/25/16 |
Jan/23/17 |
|
Description: NEW!! AMAT APPLIED MATERIALS 0270-20018 FIXTURE GAS SPRING ASSY 13 INCH |
f2d-express |
NEW |
- |
$150.00
|
1 |
Oct/25/16 |
Feb/07/21 |
|
Description: Applied Materials AMAT Tube, Gas Feed, OD 6.35mm BWCVD -- 0200-09450 -- New |
orapma12012 |
Used |
- |
$8,995.00
|
0 |
Oct/27/16 |
Nov/03/16 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
farmoninc |
NEW |
- |
$2,400.00
|
0 |
Oct/27/16 |
Oct/10/24 |
|
Description: AMAT 4060-01097, FESTO, MANF GAS Panel Pneumatic 1/8T FTG DNET. 417721 |
farmoninc |
NEW |
- |
$2,400.00
|
0 |
Oct/27/16 |
Oct/10/24 |
|
Description: AMAT 4060-01097, FESTO, MANF GAS Panel Pneumatic 1/T8 FTG DNET. 417717 |
farmoninc |
NEW |
- |
$150.00
|
0 |
Oct/27/16 |
Nov/10/18 |
|
Description: AMAT 0190-12567, Photohelic Switch 0-125 PA, 300mm GAS PA, Gauge. 417734 |
spsglobal |
NEW |
- |
$100.00
|
2 |
Oct/30/16 |
May/03/17 |
|
Description: AMAT APPLIED MATERIALS 3780-01130 SPR GAS 7.88 STROKE120LB NEW |
dr.dantom |
NEW |
- |
$200.00
|
1 |
Oct/30/16 |
May/30/18 |
|
Description: Horiba STEC SEC-4400M AMAT 3030-04789 Gas SiH4 200 SCCM Mass Flow Controller MFC |
techshop7777 |
NEW |
- |
$149.99
|
0 |
Oct/02/16 |
Nov/01/16 |
|
Description: Pall Gaskleen GLFPF3000VXM4 Gas Filter Assembly |
farmoninc |
NEW |
- |
$650.00
|
0 |
Oct/31/16 |
May/27/21 |
|
Description: AMAT 0040-03590 Pan, Spill, 1.2L TDMAT, TXZ Gas Box 300, 417820 |
svcstore |
Used |
- |
$599.99
|
0 |
Oct/31/16 |
Nov/30/16 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
powersell007 |
Used |
- |
$499.00
|
1 |
Nov/02/16 |
Nov/02/23 |
|
Description: APPLIED MATERIALS 0200-11114 GAS DISTRIBUTION PLATE, APC 300mm ENDURA PVD AMAT |
be4049 |
NEW |
- |
$1,000.00
|
0 |
Nov/02/16 |
Sep/02/20 |
|
Description: 0020-32262 AMAT Gas Dist Plate,133 Holes .156 Thick |
be4049 |
NEW |
- |
$725.00
|
0 |
Nov/02/16 |
Sep/02/20 |
|
Description: 0020-32261 AMAT GAS DIST PLATE,101 HOLES .156 THICK |
caps86 |
Used |
- |
$300.00
|
0 |
Nov/06/16 |
Dec/06/16 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
be4049 |
NEW |
- |
$140.00
|
5 |
Nov/07/16 |
Feb/10/17 |
|
Description: 0200-09446 AMAT LINER, QTZ, LOWER, GAS DIST, PRSP3 |
farmoninc |
Used |
- |
$12,500.00
|
0 |
Nov/08/16 |
Dec/15/16 |
|
Description: AMAT 0040-61366 Metal Etch Chamber, DI Seal, Edge Gas, MXP+, Super E, 418270 |
markcooperassociates |
Refurbished |
- |
$175.00
|
0 |
Nov/08/16 |
Dec/08/16 |
|
Description: AMAT Mass Flow Controller MFC 3030-09099, Gas: HE, Refurbished |
benta09 |
NEW |
- |
$40.00
|
0 |
Nov/09/16 |
Dec/09/16 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
benta09 |
NEW |
- |
$275.00
|
0 |
Nov/09/16 |
Dec/09/16 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
amte648608 |
NEW |
- |
$70.00
|
0 |
Nov/09/16 |
Dec/09/16 |
|
Description: AMAT Housing, Gas Feed, Nozzle DPS MEC, 0200-35290 |
amte648608 |
NEW |
- |
$28.00
|
0 |
Nov/09/16 |
Dec/09/16 |
|
Description: AMAT Housing, Plug, Inner, Gas Nozzle DPS MEM, 0200-35296 |
solanotraders |
Used |
- |
$950.00
|
0 |
Nov/14/16 |
Dec/14/16 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
pohyh |
Used |
- |
$750.00
|
0 |
Nov/23/16 |
Aug/09/18 |
|
Description: 7396 APPLIED MATERIALS COVER,GAS FEED ASSY,DSP A3 0020-33810 REV.A |
pohyh |
Used |
- |
$600.00
|
0 |
Nov/24/16 |
Sep/24/18 |
|
Description: 7441 APPLIED MATERIAL PCB DUAL GAS LEAK DETECTOR 0090-00811 REV 001 |
pohyh |
Used |
- |
$449.00
|
3 |
Nov/24/16 |
Feb/02/17 |
|
Description: 2860 APPLIED MATERIAL ULTIMA 24 HOLE GAS DISTRIBUTION RING 0040-04650 |
ok24surplus |
Used |
- |
$39.00
|
0 |
Nov/28/16 |
Dec/28/16 |
|
Description: Aera, Applied Materials 3030-07380, 5 Ra FC-D980CS , Gas N2, Flow Rate 1slm |
ok24surplus |
Used |
- |
$39.00
|
0 |
Nov/28/16 |
Dec/28/16 |
|
Description: Aera, Applied Materials 3030-07380, FC-D980C , Gas N2, Flow Rate 1000 sccm |
ok24surplus |
Used |
- |
$39.00
|
0 |
Nov/28/16 |
Dec/28/16 |
|
Description: Aera, Amat 3030-07346, 5 Ra FC-D980C , Gas Cl2, Flow Rate 200sccm |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Nov/28/16 |
Dec/28/16 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
orapma12012 |
Used |
- |
$7,995.00
|
0 |
Nov/28/16 |
Dec/05/16 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
orapma12012 |
Used |
- |
$8,995.00
|
0 |
Nov/28/16 |
Dec/05/16 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
farmoninc |
Used |
- |
$4,500.00
|
0 |
Nov/29/16 |
Nov/16/17 |
|
Description: AMAT 0010-09961 Universal CVD Chamber, Gas Mixing Box, Showerhead, Block, 419408 |
pohyh |
NEW |
- |
$150.00
|
1 |
Nov/30/16 |
Jan/24/17 |
|
Description: 4707 APPLIED MATERIAL KIT (GAS FEED, FILTER/3-WAY VALVE, FUJIKIN) (NE 0240-37536 |
allforsale555 |
NEW |
- |
$345.00
|
0 |
Dec/01/16 |
Mar/03/20 |
|
Description: APPLIED MATERIALS 0040-82245 REV.2 GAS FEED BLOCK |
svcstore |
Used |
- |
$599.99
|
0 |
Dec/01/16 |
Dec/31/16 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
ntsurplus302 |
Used |
- |
$250.00
|
0 |
Dec/02/16 |
May/22/18 |
|
Description: 3010 Applied Materials P/N: 0040-00290 Gas Distribution Manifold |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Dec/05/16 |
Dec/12/16 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
orapma12012 |
Used |
- |
$7,995.00
|
0 |
Dec/05/16 |
Dec/12/16 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
asset_asset |
NEW |
- |
$328.02
|
0 |
Nov/17/16 |
Oct/24/18 |
|
Description: Segue Manufacturing S20358 ENEGRIS WG2FT1RR2 FILTER, IN-LINE GAS |
auctionrus |
NEW |
- |
$165.00
|
0 |
Oct/19/15 |
Jan/30/17 |
|
Description: Pall Gaskleen GLFPF3000VMM4AM Gas Filter, In-Line, AMAT 4020-01059, 410490 |
techshop7777 |
NEW |
- |
$149.99
|
0 |
Dec/05/16 |
Jan/04/17 |
|
Description: Pall Gaskleen GLFPF3000VXM4 Gas Filter Assembly |
bruce135 |
NEW |
- |
$99.99
|
1 |
Nov/16/16 |
Dec/05/16 |
|
Description: Pall Mini Gaskleen Gas Filter GLFPF3000VMF4 - 3000PSI -250F |
svcstore |
Used |
- |
$679.99
|
0 |
Dec/06/16 |
Jan/05/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
j316gallery |
Used |
- |
$4,175.00
|
1 |
Dec/07/16 |
Aug/10/21 |
|
Description: 7521 NOVELLUS VARIAN M2000 M2I 8INCH DE GAS TABLE 04-717982-02 |
caps86 |
Used |
- |
$300.00
|
0 |
Dec/07/16 |
Jan/06/17 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
allforsale555 |
Used |
- |
$299.00
|
0 |
Dec/11/16 |
Jul/29/21 |
|
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258 |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Dec/12/16 |
Dec/19/16 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
orapma12012 |
Used |
- |
$7,995.00
|
0 |
Dec/12/16 |
Dec/19/16 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
solanotraders |
Used |
- |
$760.00
|
0 |
Dec/14/16 |
Jan/13/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
pohyh |
Used |
- |
$799.00
|
0 |
Dec/15/16 |
Aug/06/20 |
|
Description: 7731 APPLIED MATERIAL CONFIGURABLE MFC GAS STICK, 300MM-HTR-BYPASS 0010-25741 |
industrialconnections |
NEW |
- |
$49.00
|
1 |
Dec/15/16 |
Dec/15/16 |
|
Description: Entegris WG2FT1RR2 Wafergard II F-Micro Inline Gas Filter NEW |
capitolareatech |
NEW |
- |
$106.45
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0021-39516 BRACKET, SINGLE GAS LINE, TOP, UGP, #10 |
capitolareatech |
NEW |
- |
$106.45
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0021-39515 BRACKET, SINGLE GAS LINE, BOTTOM, UGP, # |
capitolareatech |
NEW |
- |
$225.00
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-80452 GAS LINE, MANIFOLD, AMPOULE, 200MM AXZ |
capitolareatech |
NEW |
- |
$410.55
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-76956 ELBOW, 300MM BACKSIDE GAS |
capitolareatech |
NEW |
- |
$527.53
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-76233 GAS LINE CHAM 2 WIDE BODY (HEATER) |
capitolareatech |
NEW |
- |
$32.23
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-70090 GAS LINE #2 CH A PCII |
capitolareatech |
NEW |
- |
$317.29
|
0 |
Dec/19/16 |
Nov/10/18 |
|
Description: AMAT 0050-43752 Gas Line OVERPRES. CH B RAD TPCC |
capitolareatech |
NEW |
- |
$204.20
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-43748 GAS LINE, MAINFRAME TO CHAMBER 300SL |
capitolareatech |
NEW |
- |
$46.13
|
1 |
Dec/19/16 |
Feb/07/19 |
|
Description: AMAT 0050-41442 GAS LINE, BP W/ MFC, GAS MODULE, WXZ |
capitolareatech |
NEW |
- |
$120.00
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-41180 GAS LINE, 1/8" TEOS LFM TO INJEC. VALVE |
capitolareatech |
NEW |
- |
$587.13
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-41066 Gas Line SLD LIQ Source TEB GPLIS III PR |
capitolareatech |
NEW |
- |
$523.12
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-41065 GAS LINE SLD LIQ SOURCE TEPO GPLIS III P |
capitolareatech |
NEW |
- |
$125.00
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-41064 Gas Line SLD LIQ SOURCE TEOS GPLIS III P |
capitolareatech |
NEW |
- |
$169.68
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-36420 LINE, BOTTOM PURGE CHAM. C, GAS PNL |
capitolareatech |
NEW |
- |
$378.32
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-36415 Line, Slit Valve Purge, CHAM. C, Gas PNL |
capitolareatech |
NEW |
- |
$157.38
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-36255 Gas LINE HCL |
capitolareatech |
NEW |
- |
$144.85
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0050-36208 LINE DOPANT CHAM B GAS PANEL |
capitolareatech |
NEW |
- |
$72.40
|
0 |
Dec/19/16 |
Nov/20/19 |
|
Description: AMAT 0140-20208 HARN ASSY VME CDGE/ REMOTE GAS AO3 DI/DO |
capitolareatech |
NEW |
- |
$313.87
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0140-14016 Harness Gas Panel |
capitolareatech |
NEW |
- |
$49.88
|
2 |
Dec/19/16 |
Mar/01/17 |
|
Description: AMAT 0140-09236 HARNESS ASSY EXPANDED GAS PANEL POWER |
capitolareatech |
NEW |
- |
$300.00
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0225-05387 Mass Flow Controller, Range: 100 SCCM, Nameplate Gas: CL2, Calib |
capitolareatech |
NEW |
- |
$101.97
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0224-41786 CABLE ASSY, GAS PNL PWR 65 FT |
capitolareatech |
NEW |
- |
$673.21
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0224-40059 1043011, WLDMNT, UPPER PURGE, 2/8 PALLET, APTECH, GAS, LINE |
capitolareatech |
NEW |
- |
$402.60
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0224-00119 CABLE ASSY,POWER SUPPLY,APC GAS PANEL |
capitolareatech |
NEW |
- |
$575.36
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0200-39301 Gas Plate, 200 Holes, FP Edge |
capitolareatech |
NEW |
- |
$165.38
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS |
capitolareatech |
NEW |
- |
$116.49
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 0200-36615 Nozzle, Blank Off, Gas Feed, 300 MM DPS |
capitolareatech |
NEW |
- |
$500.00
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: NOVELLUS 10-155415-00 GAS DISTRIBUTION MANIFOLD |
capitolareatech |
NEW |
- |
$56.41
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: NOVELLUS 10-137031-00 GAS LINE TUBE ASSY, INLET CO2 |
capitolareatech |
NEW |
- |
$45.20
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: NOVELLUS 10-137030-00 GAS LINE TUBE ASSY,SPOOL,M-M,L=3.40 |
capitolareatech |
NEW |
- |
$948.95
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 1200-90205 RELAY 50KV SPDT GAS FILLED |
capitolareatech |
NEW |
- |
$1,250.00
|
0 |
Dec/19/16 |
Jun/19/20 |
|
Description: AMAT 1410-00976 GAS LINE HEATER JACKET |
capitolareatech |
NEW |
- |
$14.75
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 3780-01326 SPR GAS 16.14" M8 MALE THRD |
capitolareatech |
NEW |
- |
$150.00
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 3780-00073 SPR GAS 12IN-STRK 31.11IN-EXT LG 1060LBS |
capitolareatech |
NEW |
- |
$122.78
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 4020-90014 Millipore MCY4440FREJ FILTER CRTRDG 40 STACK GAS |
capitolareatech |
NEW |
- |
$225.00
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 4020-01187 Filter In-Line Gas, 3750 PSIG, 1/4" Face Seal Nickel |
capitolareatech |
NEW |
- |
$225.00
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 FLTR IN-LINE GAS 3750PSIG 1/4VCR-M 15SLPM SST 5 |
capitolareatech |
NEW |
- |
$18.40
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 6401-00303 SUSPA GAS SPRING |
capitolareatech |
NEW |
- |
$244.80
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 734-092038-003 O-Ring, 10-1/2ID*1/8CS CMRZ513 Outer Gas |
capitolareatech |
NEW |
- |
$1,304.03
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: LAM Research 716-330068-001 GAS RING COVER |
capitolareatech |
NEW |
- |
$2,000.00
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: LAM 716-331157-013 DISK, INTERFEROMTER, TOP GAS |
capitolareatech |
NEW |
- |
$318.75
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: LAM 716-027963-001 Ring WP Generic Dual Gas |
capitolareatech |
NEW |
- |
$16.86
|
4 |
Dec/20/16 |
Jun/20/20 |
|
Description: LAM 716-021491-001 SPACER DIRECTOR PROCESS GAS |
capitolareatech |
NEW |
- |
$13.86
|
1 |
Dec/20/16 |
Jul/18/17 |
|
Description: LAM 715-330406-001 RETAINING CLAMP GAS FEED |
capitolareatech |
NEW |
- |
$2,000.00
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS) |
capitolareatech |
NEW |
- |
$3,995.00
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 9010-02456 GAS MOD'L, SA-HP, SIF4 |
capitolareatech |
NEW |
- |
$3,995.00
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 9010-02455 GAS MOD'L, SA-HP GEF4 |
capitolareatech |
NEW |
- |
$3,995.00
|
0 |
Dec/20/16 |
Apr/30/19 |
|
Description: AMAT 9010-02276 GAS MODULE WIRED HP-C02 |
capitolareatech |
NEW |
- |
$424.78
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: LAM Research 810-077391-001 Gas INTLK |
capitolareatech |
NEW |
- |
$340.00
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: LAM 856-017457-001 LAM KIT UPGRADE, GAS PNL PCB 44 |
capitolareatech |
NEW |
- |
$297.50
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: LAM 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1 |
capitolareatech |
NEW |
- |
$5,995.00
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 9240-01257 KIT OPTION SDS ASH3/PH3 GAS |
capitolareatech |
NEW |
- |
$93.19
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: AMAT 9150-02307 CFA,TOXIC GAS MODULE EDGE CONN |
capitolareatech |
NEW |
- |
$180.00
|
0 |
Dec/20/16 |
Sep/19/19 |
|
Description: LAM RESEARCH 955-001177-003 TUBE FLEX CHAMBER GAS; 4FT |
farmoninc |
Used |
- |
$5,000.00
|
1 |
Dec/20/16 |
Oct/06/21 |
|
Description: AMAT 0010-09940, Assembly 8" WSI Gas Box, Feedthru, Top Lid, CVD, P5000. 420106 |
capitolareatech |
Used |
- |
$17.50
|
0 |
Dec/20/16 |
Jun/06/17 |
|
Description: AMAT 0150-35578 GAS DIST BRD TO EV MANIFOLD |
capitolareatech |
Used |
- |
$55.00
|
0 |
Dec/20/16 |
Jun/06/17 |
|
Description: AMAT 0140-35095 HARNESS ASSEMBLY; GAS PANEL |
capitolareatech |
Used |
- |
$700.00
|
0 |
Dec/20/16 |
Jan/03/17 |
|
Description: AMAT 0150-76177 GAS PANEL UMBILICAL CABLE ASSY |
capitolareatech |
Used |
- |
$175.00
|
0 |
Dec/20/16 |
Jun/06/17 |
|
Description: AMAT 0150-35222 CABLE ASSEMBLY; GAS PANEL POWER |
capitolareatech |
Used |
- |
$225.00
|
0 |
Dec/20/16 |
Jun/06/17 |
|
Description: AMAT 3030-07144 STEC SEC-4550MO Mass Flow Controller; Range: 40 SLM, Gas: N2; 1/ |
capitolareatech |
Used |
- |
$225.00
|
0 |
Dec/20/16 |
Jun/06/17 |
|
Description: AMAT 3030-05704 STEC SEC-4500MO-UC Mass Flow Controller; Range: 20 SLM, Gas: N2; |
capitolareatech |
Used |
- |
$225.00
|
0 |
Dec/20/16 |
Jun/06/17 |
|
Description: AMAT 3030-02330 STEC SEC-4500MO-UC Mass Flow Controller; Range: 10 SLM Gas: O2 1 |
capitolareatech |
Refurbished |
- |
$187.50
|
0 |
Dec/20/16 |
Jun/20/20 |
|
Description: LAM RESEARCH 713-031390-001 RING, UPR GAS DUAL FEED 200MM |
capitolareatech |
Used |
- |
$25.00
|
0 |
Dec/20/16 |
Jun/06/17 |
|
Description: AMAT 0020-37462 BRACKET, RIGHT, GAS SHOCK |
capitolareatech |
Used |
- |
$25.00
|
0 |
Dec/20/16 |
Jun/06/17 |
|
Description: AMAT 0020-37461 BRACKET, LEFT, GAS SHOCK |
capitolareatech |
NEW |
- |
$50.00
|
0 |
Dec/20/16 |
Sep/28/18 |
|
Description: AMAT 0021-36241 BRACKET,SUPPORT,GAS LN |
capitolareatech |
NEW |
- |
$27.50
|
0 |
Dec/20/16 |
Sep/28/18 |
|
Description: AMAT 0020-70717 LEVER, GAS POST, MACHINED |
allforsale555 |
Used |
- |
$339.00
|
1 |
Dec/23/16 |
Aug/23/18 |
|
Description: AMAT Applied Materials 0100-09175 TEOS Gas Interface Board |
allforsale555 |
Used |
- |
$299.00
|
0 |
Dec/23/16 |
Jul/29/21 |
|
Description: 0100-09107, Applied Materials, PCB ASSY TEOS GAS INTERFACE |
farmoninc |
NEW |
- |
$350.00
|
1 |
Dec/28/16 |
Aug/06/18 |
|
Description: AMAT 0820-01002 Gas Detection, Positive Flow Detection Scott Series 9000, 420358 |
benta09 |
NEW |
- |
$40.00
|
0 |
Dec/28/16 |
Jan/27/17 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
benta09 |
NEW |
- |
$275.00
|
0 |
Dec/28/16 |
Jan/27/17 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
uam_126 |
Used |
- |
$250.00
|
1 |
Dec/29/16 |
Jan/02/17 |
|
Description: 353 APPLIED MATERIAL PCB DUAL GAS LEAK DETECTOR BOARD 0090-75017 REV A |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Jan/01/17 |
Jan/08/17 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
orapma12012 |
Used |
- |
$7,995.00
|
0 |
Jan/01/17 |
Jan/08/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
zuse81 |
Used |
- |
$85.00
|
1 |
Jan/03/17 |
Jun/16/17 |
|
Description: Unit UFC-8165 AMAT 0190-24840 3 SLM GAS NF3 MFC Mass Flow Controller |
svcstore |
Used |
- |
$599.99
|
0 |
Jan/03/17 |
Feb/02/17 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Jan/03/17 |
Feb/02/17 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
alamedaauction |
Used |
- |
$99.95
|
0 |
Jan/03/17 |
Feb/02/17 |
|
Description: AMAT Unit DeviceNet UFC-8165 Mass Flow Controller 400 SCCM Gas AR 3030-09423 |
caps86 |
Used |
- |
$300.00
|
0 |
Jan/06/17 |
Feb/05/17 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
uam_126 |
Used |
- |
$150.00
|
0 |
Jan/07/17 |
Jan/10/17 |
|
Description: APPLIED MATERIALS 0190-35066 DUAL GAS LEAK DETECTOR |
uam_126 |
Used |
- |
$200.00
|
0 |
Jan/07/17 |
Jan/10/17 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 001 |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Jan/08/17 |
Jan/15/17 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
orapma12012 |
Used |
- |
$7,995.00
|
0 |
Jan/08/17 |
Jan/15/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
svcstore |
Used |
- |
$679.99
|
0 |
Jan/11/17 |
Feb/10/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
atxdeals4u |
Used |
- |
$600.00
|
0 |
Jan/12/17 |
Oct/25/17 |
|
Description: Applied Materials Dual Gas Leak Detector Assy No. 0090-05327 Rev.03 |
solanotraders |
Used |
- |
$950.00
|
0 |
Jan/13/17 |
Feb/12/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
allforsale555 |
Used |
- |
$449.00
|
0 |
Jan/14/17 |
Jul/29/21 |
|
Description: AMAT 0150-76697 CABLE ASSY, GAS PENAL UMBILICAL 1.25FT REV 001 |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Jan/15/17 |
Jan/22/17 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
orapma12012 |
Used |
- |
$7,995.00
|
0 |
Jan/15/17 |
Jan/22/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
allforsale555 |
NEW |
- |
$249.00
|
1 |
Jan/16/17 |
Oct/19/17 |
|
Description: APPLIED MATERIALS 0050-26361 GAS LINE AR MFLD MFC 1-10 REC MIX |
allforsale555 |
Used |
- |
$249.00
|
0 |
Jan/17/17 |
Jul/29/21 |
|
Description: APPLIED MATERIALS 0200-02870 GAS DISTRIBUTION PLATE |
spsglobal |
Used |
- |
$60.00
|
6 |
Jan/16/17 |
Jun/15/23 |
|
Description: 323-0301// AMAT APPLIED 0200-09450 TUBE GAS FEED OD 6.35MM BWCVD 2ND SOURCE NEW |
farmoninc |
Used |
- |
$450.00
|
0 |
Jan/17/17 |
Dec/09/17 |
|
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329874 |
farmoninc |
Used |
- |
$450.00
|
0 |
Jan/17/17 |
Dec/09/17 |
|
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329875 |
farmoninc |
Used |
- |
$450.00
|
0 |
Jan/17/17 |
Dec/09/17 |
|
Description: Fujikin 316L-P Gas Line Manifold Valve w/ Purge, AMAT 3820-02221, 329873 |
allforsale555 |
NEW |
- |
$399.00
|
0 |
Jan/19/17 |
May/20/17 |
|
Description: Applied Materials AMAT In-Line Gas Filter, 4020-01061 |
bornalliancecom |
Used |
- |
$995.00
|
0 |
Jan/20/17 |
Jun/15/23 |
|
Description: Applied Materials 0020-32320 GAS DISTR PLATE, 133 HOLES, OXALIC 5-7MI AMAT Etch |
bornalliancecom |
Used |
- |
$350.00
|
0 |
Jan/20/17 |
Jun/15/23 |
|
Description: Applied Materials 0020-32318 GAS DISTR PLATE, 37HOLES OXALIC, 5-7 MIL AMAT Etch |
bornalliancecom |
Used |
- |
$750.00
|
0 |
Jan/20/17 |
Jun/15/23 |
|
Description: Applied Materials 0020-31548 Gas Distribution Plate 133 Hole AMAT Etch |
bornalliancecom |
Used |
- |
$500.00
|
0 |
Jan/20/17 |
Jun/15/23 |
|
Description: Applied Materials 0200-00025 Gas Ring, XTAL AMAT Etch |
uam_126 |
Used |
- |
$150.00
|
0 |
Jan/20/17 |
Feb/22/17 |
|
Description: APPLIED MATERIALS 0190-35066 DUAL GAS LEAK DETECTOR |
uam_126 |
Used |
- |
$200.00
|
0 |
Jan/20/17 |
Feb/22/17 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 001 |
jinhyucle_0 |
NEW |
- |
$3,500.00
|
0 |
Jan/20/17 |
Dec/18/17 |
|
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED, BRNAD NEW ORIGINAL PACK |
levmucciacciar0 |
Used |
- |
$400.00
|
1 |
Jan/20/17 |
Feb/28/18 |
|
Description: Applied Materials, Dual Gas Leak Detector 0090-00811 |
levmucciacciar0 |
Used |
- |
$695.00
|
1 |
Jan/20/17 |
Dec/22/18 |
|
Description: 0100-35036, Applied Materials, AMAT, PCB, DUAL GAS LEAK DETECTOR |
keykorea |
NEW |
- |
$700.00
|
1 |
Jan/22/17 |
Oct/07/18 |
|
Description: AMAT 0020-31488 GAS DISTRIBUTION PLATE,13 HOLES, NEW |
nedosada-0 |
Used |
- |
$490.00
|
0 |
Jan/23/17 |
Sep/23/19 |
|
Description: 0100-09153 REV.D GAS PANEL BD. ASSY. |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Jan/25/17 |
Feb/01/17 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
orapma12012 |
Used |
- |
$7,995.00
|
0 |
Jan/25/17 |
Feb/01/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
csi.usa |
NEW |
- |
$750.00
|
0 |
Jan/26/17 |
Jan/21/18 |
|
Description: LAM 839-014757-057 WELDMT GAS FEED LINE FLEX |
texassemicontech |
NEW |
- |
$596.00
|
0 |
Jan/27/17 |
Aug/07/20 |
|
Description: Lam Research OnTrak 716-310065-003 - GSKT (EMI) BHD INRT GAS STD - NEW |
levmucciacciar0 |
Used |
- |
$400.00
|
1 |
Jan/28/17 |
Sep/11/17 |
|
Description: 353 Applied Materials PCB DUAL GAS LEAK DETECTOR BOARD 0090-75017 REV A |
allforsale555 |
Used |
- |
$499.00
|
0 |
Jan/30/17 |
Jul/29/21 |
|
Description: APPLIED MATERIALS 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E |
usedhightechequipment |
Used |
- |
$7,290.00
|
0 |
Jan/31/17 |
Feb/05/17 |
|
Description: LAM Research OffBoard Gas Box Motherboard 300mm (12 gas), 810-800316-004 Rev C |
cubit001 |
NEW |
- |
$1,999.00
|
0 |
Feb/01/17 |
Mar/03/17 |
|
Description: APPLIED MATERIALS 0010-18318 REV A GAS RING |
visionsemi |
NEW |
- |
$215.00
|
0 |
Feb/01/17 |
Feb/21/19 |
|
Description: NEW!! AMAT APPLIED MATERIALS 0270-20018 FIXTURE GAS SPRING ASSY 13 INCH |
visionsemi |
NEW |
- |
$285.00
|
0 |
Feb/01/17 |
May/26/17 |
|
Description: APPLIED MATERIALS AMAT 0150-35539 CABLE ASSY GAS PANEL POWER 55FT |
visionsemi |
NEW |
- |
$495.00
|
0 |
Feb/01/17 |
May/26/17 |
|
Description: 715-330987-001 LAM RESEARCH BOTTOM GAS RING INJECTION RING 8" |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Feb/01/17 |
Feb/08/17 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
orapma12012 |
Used |
- |
$7,995.00
|
0 |
Feb/01/17 |
Feb/08/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
bornalliancecom |
NEW |
- |
$650.00
|
0 |
Feb/02/17 |
Jun/15/23 |
|
Description: Applied Materials 0200-09200 Plate, Gas Dist, Upper, Quartz, 8" AMAT PRSP |
alamedaauction |
Used |
- |
$99.95
|
0 |
Feb/03/17 |
Mar/05/17 |
|
Description: AMAT Unit DeviceNet UFC-8165 Mass Flow Controller 400 SCCM Gas AR 3030-09423 |
allforsale555 |
Used |
- |
$399.00
|
0 |
Feb/03/17 |
Jul/29/21 |
|
Description: APPLIED MATERIALS 0050-46867 GAS LINE, SILANE TOP FEED, AL, ULTIMA HD |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Feb/03/17 |
Mar/05/17 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
caps86 |
Used |
- |
$300.00
|
0 |
Feb/05/17 |
Mar/07/17 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
usedhightechequipment |
Used |
- |
$7,999.00
|
0 |
Feb/06/17 |
Mar/25/17 |
|
Description: LAM Research OffBoard Gas Box Motherboard 300mm (12 gas), 810-800316-004 Rev C |
lagerwerk_gmbh |
NEW |
- |
$469.12
|
0 |
Feb/07/17 |
Feb/08/17 |
|
Description: APPLIED MATERIALS 4020-01060, filter, inline gas 3000 PSI G9 / 19-M - NEW |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Feb/08/17 |
Feb/15/17 |
|
Description: 0040-02520 GAS BOX ASSY. APF 200MM PRODUCER SE/ APPLIED MATERIALS |
benta09 |
NEW |
- |
$40.00
|
0 |
Feb/08/17 |
Mar/10/17 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
benta09 |
NEW |
- |
$275.00
|
0 |
Feb/08/17 |
Mar/10/17 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
orapma12012 |
Used |
- |
$7,995.00
|
0 |
Feb/08/17 |
Feb/15/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
cubit001 |
NEW |
- |
$388.00
|
0 |
Feb/10/17 |
Mar/12/17 |
|
Description: AMAT 0242-85133 Kit Gas Flow and Gas Name Label |
solanotraders |
Used |
- |
$712.50
|
0 |
Feb/12/17 |
Mar/14/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
svcstore |
Used |
- |
$599.99
|
0 |
Feb/14/17 |
Mar/16/17 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
svcstore |
Used |
- |
$679.99
|
0 |
Feb/14/17 |
Mar/16/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
pohyh |
NEW |
- |
$524.28
|
1 |
Feb/16/17 |
Apr/14/21 |
|
Description: 7417 APPLIED MATERIAL GAS DISTRIBUTION PLATE (NEW) 0020-31493 REV F |
ok24odef |
Used |
- |
$35.00
|
1 |
Feb/16/17 |
Mar/02/17 |
|
Description: Aera, Applied Materials 3030-07380, 5 Ra FC-D980CS , Gas N2, Flow Rate 1slm |
ok24odef |
Used |
- |
$35.00
|
1 |
Feb/16/17 |
Mar/02/17 |
|
Description: Aera, Amat 3030-07346, 5 Ra FC-D980C, Gas CL2, Flow Rate 200sccm |
cubit001 |
Used |
- |
$800.00
|
0 |
Feb/17/17 |
Mar/19/17 |
|
Description: AMAT 0050-18703, 0050-32175, 0050-32178, 0050-32177 WELDMENT, Gas Line |
alamedaauction |
NEW |
- |
$495.00
|
0 |
Feb/18/17 |
Mar/20/17 |
|
Description: NEW AMAT 3030-11385 Unit UFC-8565 Mass Flow Controler Gas Ar Range 10 SLM |
allforsale555 |
Used |
- |
$399.00
|
0 |
Mar/01/17 |
Jul/12/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID 200MM PRODUCER 0040-50344 REV 003 |
kakkisung-6 |
NEW |
- |
$6,000.00
|
0 |
Mar/02/17 |
Feb/09/22 |
|
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials # |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Mar/02/17 |
Mar/09/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
pohyh |
Used |
- |
$385.00
|
0 |
Mar/05/17 |
Oct/09/19 |
|
Description: 7866 APPLIED MATERIAL PCB TEOS GAS INTERFACE BD 0100-09107 |
levmucciacciar0 |
Used |
- |
$390.00
|
1 |
Mar/05/17 |
Jul/11/19 |
|
Description: 0090-05327 Dual Gas Leak Detector Applied Materials |
alamedaauction |
Used |
- |
$99.95
|
0 |
Mar/06/17 |
Apr/05/17 |
|
Description: AMAT Unit DeviceNet UFC-8165 Mass Flow Controller 400 SCCM Gas AR 3030-09423 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Mar/07/17 |
Apr/06/17 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
caps86 |
Used |
- |
$300.00
|
0 |
Mar/07/17 |
Apr/06/17 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
tjtechseller |
Used |
- |
$7,500.00
|
1 |
Mar/08/17 |
Jun/27/19 |
|
Description: 0090-75017 Applied Materials AMAT ASSY. PCB, DUAL GAS LEAK DETECTOR |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Mar/09/17 |
Mar/16/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
benta09 |
NEW |
- |
$275.00
|
0 |
Mar/11/17 |
Apr/10/17 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
benta09 |
NEW |
- |
$40.00
|
0 |
Mar/11/17 |
Apr/10/17 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
leekr777 |
Used |
- |
$7,000.00
|
0 |
Mar/08/17 |
Apr/07/17 |
|
Description: Lam research 853-441591-002 Alliance A6 Gas Module / Panel |
ok24odef |
Used |
- |
$35.00
|
1 |
Mar/13/17 |
Mar/26/17 |
|
Description: Aera, AMAT 3030-07512, 10 Ra FC-D980C, Gas N2, Flow Rate 20 sccm |
solanotraders |
Used |
- |
$760.00
|
0 |
Mar/14/17 |
Apr/13/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
farmoninc |
NEW |
- |
$295.00
|
0 |
Mar/15/17 |
May/23/17 |
|
Description: Mott 19-053642-00 Gas Shield Hi-Purity Gas Diffuser, Novellus, 324162 |
orapma12012 |
Used |
- |
$6,995.00
|
0 |
Mar/16/17 |
Mar/23/17 |
|
Description: APPLIED MATERIALS TOP CHAMBER GAS BOX LID ASSY.200MM PRODUCER 0040-00796 |
svcstore |
Used |
- |
$679.99
|
0 |
Mar/17/17 |
Apr/16/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
farmoninc |
NEW |
- |
$221.25
|
1 |
Mar/20/17 |
Sep/24/19 |
|
Description: Mott 19-053642-00 Gasshield Hi-Purity Gas Diffuser, Novellus, 422646 |
bigg.logistics101 |
Used |
- |
$999.99
|
1 |
Mar/21/17 |
Mar/21/17 |
|
Description: APPLIED MATERIALS RING,SYS,GAS,DISTRI,24 PORTS, ULTIMA 0040-04650 |
alamedaauction |
NEW |
- |
$495.00
|
0 |
Mar/23/17 |
Apr/22/17 |
|
Description: NEW AMAT 3030-11385 Unit UFC-8565 Mass Flow Controler Gas Ar Range 10 SLM |
gemrkim1109 |
NEW |
- |
$1,899.00
|
0 |
Mar/24/17 |
Apr/29/19 |
|
Description: Lam Research PCBA, JETSTREAM GAS BOX MB 810-073479-105 / Free Exp. Shipping |
ace449parts2010 |
Used |
- |
$2,999.00
|
0 |
Mar/26/17 |
Oct/26/19 |
|
Description: AMAT 0041-04323 GAS BOX SILANE, PRODUCER - 2 |
tmh_inc |
Refurbished |
- |
$100.00
|
0 |
Mar/26/17 |
Apr/10/17 |
|
Description: AMAT 4020-01285, FILTER, GAS 1000PSIG 1/4MVCR 3.31 |
cubit001 |
Used |
- |
$599.00
|
0 |
Mar/28/17 |
Apr/27/17 |
|
Description: APPLIED MATERIAL 0040-09091 GAS BOX 456PL |
katiil3 |
Used |
- |
$150.00
|
0 |
Mar/31/17 |
Oct/23/21 |
|
Description: AMAT Applied Materials, COVER, GAS TRANCH - CLEANED, p/n 0020-26967 ( LOT OF 2 ) |
yericomfg |
NEW |
- |
$700.00
|
0 |
Apr/04/17 |
Aug/08/18 |
|
Description: Applied Materials 0100-02139 Gas Box Distribution Board HP+AxZ 300mm |
j316gallery |
Used |
- |
$305.83
|
0 |
Apr/05/17 |
Aug/17/21 |
|
Description: 8150 APPLIED MATERIALS GAS BOX CHAMBER LID 200MM 0040-53927 |
alamedaauction |
Used |
- |
$99.95
|
0 |
Apr/06/17 |
May/06/17 |
|
Description: AMAT Unit DeviceNet UFC-8165 Mass Flow Controller 400 SCCM Gas AR 3030-09423 |
caps86 |
Used |
- |
$300.00
|
1 |
Apr/06/17 |
Oct/13/17 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT |
bornalliancecom |
NEW |
- |
$350.00
|
0 |
Apr/07/17 |
Jul/29/18 |
|
Description: Stec, MFC SEC-4400MC-UC O2 50 SCCM Cal Gas N2 AMAT # 3030-02658 |
catalystparts |
Used |
- |
$50.00
|
1 |
Apr/11/17 |
Oct/02/17 |
|
Description: LAM Cajon 839-140250-001 FD Line Gas Weldment |
farmoninc |
NEW |
- |
$1,500.00
|
1 |
Apr/12/17 |
Jul/13/18 |
|
Description: AMAT 0040-32073 Gas Box, Universal Lid w/ Alignment Holes, 422964 |
solanotraders |
Used |
- |
$760.00
|
0 |
Apr/13/17 |
May/13/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
svcstore |
Used |
- |
$679.99
|
0 |
Apr/17/17 |
May/17/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
testeqe |
NEW |
- |
$2,499.99
|
0 |
Apr/19/17 |
Jul/18/17 |
|
Description: NEW Novellus PN: 61-389405-00 15-Channel Pneumatic Gas Box Assembly Festo |
katiil3 |
Scrap, for parts |
- |
$799.00
|
1 |
Apr/20/17 |
Jun/07/17 |
|
Description: APPLIED MATERIALS 0190-35763 GAS PANEL SERIPLEX PCB,CH-SET C, PROD X |
qrecycle |
NEW |
- |
$999.00
|
0 |
Apr/20/17 |
Apr/25/17 |
|
Description: Applied Material gas panel distrubution bd 0100-35086 |
bobsgoodies |
Used |
- |
$595.00
|
1 |
Apr/21/17 |
May/11/17 |
|
Description: AMAT 0090-35042 Assembly Gas Panel H2 Sensor SNT467 H2 0100-35079 |
alamedaauction |
NEW |
- |
$495.00
|
0 |
Apr/22/17 |
May/22/17 |
|
Description: NEW AMAT 3030-11385 Unit UFC-8565 Mass Flow Controler Gas Ar Range 10 SLM |
qrecycle |
NEW |
- |
$999.00
|
0 |
Apr/25/17 |
Apr/28/17 |
|
Description: Applied Material gas panel distrubution bd 0100-35086 |
usedeqsales |
Used |
- |
$354.17
|
0 |
Apr/25/17 |
Sep/13/21 |
|
Description: AMAT Applied Materials 0100-09107 TEOS Gas Interface PCB Precision P5000 Used |
usedeqsales |
Used |
- |
$354.17
|
0 |
Apr/25/17 |
Sep/15/21 |
|
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB P5000 Used |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Apr/25/17 |
May/25/17 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
tmh_inc |
Used |
- |
$840.00
|
0 |
Apr/25/17 |
Oct/01/21 |
|
Description: AMAT 0050-03145, MANIFOLD 1-1 O3 TO O2 FUJIKIN GAS PANEL |
usedeqsales |
Used |
- |
$1,204.17
|
5 |
Apr/28/17 |
Jul/29/22 |
|
Description: AMAT Applied Materials 9010-01382ITL Celerity SiF4 MFC Gas Panel Assembly Used |
usedeqsales |
Used |
- |
$1,204.17
|
1 |
Apr/28/17 |
Oct/13/17 |
|
Description: Celerity 9010-02276 ITL CO2 Gas Panel Assembly AMAT 9240-05618ITL Used Working |
benta09 |
NEW |
- |
$275.00
|
0 |
Apr/29/17 |
May/29/17 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
benta09 |
NEW |
- |
$40.00
|
0 |
Apr/29/17 |
May/29/17 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
qrecycle |
NEW |
- |
$799.00
|
0 |
Apr/30/17 |
May/05/17 |
|
Description: Applied Material gas panel distrubution bd 0100-35086 |
quality_automation_equipment |
NEW |
- |
$250.00
|
0 |
May/03/17 |
Jun/02/17 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
cubit001 |
Used |
- |
$650.00
|
0 |
May/05/17 |
Jun/04/17 |
|
Description: AMAT 0010-09635 DELTA SACVD GAS BOX USED |
alamedaauction |
Used |
- |
$45.00
|
0 |
May/07/17 |
Jun/06/17 |
|
Description: Unit UFC-8165 Mass Flow Controller 400 SCCM Gas AR UltraClean / 3030-09423 AMAT |
alamedaauction |
Used |
- |
$195.00
|
0 |
May/07/17 |
Jun/06/17 |
|
Description: Brooks GF125C Mass Flow Controller 2600 SCCM Gas N2 Digital MFC 0190-32367 AMAT |
dy-global |
NEW |
- |
$999.90
|
1 |
May/08/17 |
May/14/17 |
|
Description: 810-073479-105 REV.A PCBA,JETSTREAM GAS BOX MB LAM Research SEM-I-36=2M24 |
j316gallery |
Used |
- |
$304.38
|
1 |
May/10/17 |
Nov/18/22 |
|
Description: 8549 APPLIED MATERIALS PCB GAS PANEL BOARD 0100-09153 |
solanotraders |
Used |
- |
$950.00
|
0 |
May/13/17 |
Jun/12/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
katiil3 |
Used |
- |
$150.00
|
1 |
May/15/17 |
Jun/15/20 |
|
Description: AMAT 0020-18797 BRCKET, RIGHT DUAL GAS SPRING RETROFIT U (2) 0020-18796(1) QYT 3 |
lasar |
Used |
- |
$999.95
|
1 |
May/17/17 |
Jun/21/17 |
|
Description: AMAT APPLIED MATERIALS GAS PANEL H2 SENSOR ASSEMBLY 009035042 & 0100-35079 |
leekr777 |
Used |
- |
$5,000.00
|
0 |
May/18/17 |
Jun/17/17 |
|
Description: Lam research 853-441591-002 Alliance A6 Gas Module / Panel |
asset_asset |
NEW |
- |
$1,597.31
|
0 |
May/19/17 |
Jan/30/18 |
|
Description: Applied Materials 0190-06402 MANIFOLD, GAS PANEL PNUEMATICS PROD SE |
svcstore |
Used |
- |
$679.99
|
0 |
May/19/17 |
Jun/18/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
alamedaauction |
NEW |
- |
$495.00
|
0 |
May/23/17 |
Jun/22/17 |
|
Description: NEW AMAT 3030-11385 Unit UFC-8565 Mass Flow Controler Gas Ar Range 10 SLM |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
May/25/17 |
Jun/24/17 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
visionsemi |
Used |
- |
$355.00
|
0 |
May/26/17 |
Sep/18/18 |
|
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055 |
used1eqsales |
Used |
- |
$710.15
|
10 |
Jun/02/17 |
Dec/20/17 |
|
Description: AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working |
capitolareatech |
NEW |
- |
$8.95
|
0 |
Jun/03/17 |
Aug/24/18 |
|
Description: LAM 715-330302-001 Gas Feed Seal |
quality_automation_equipment |
NEW |
- |
$250.00
|
0 |
Jun/04/17 |
Jun/11/17 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
used1eqsales |
Used |
- |
$1,252.14
|
0 |
Jun/05/17 |
Mar/29/18 |
|
Description: AMAT 9010-01381 Celerity Gas Card GeF4 AMAT Quantum X Gas Box working |
used1eqsales |
Used |
- |
$705.15
|
0 |
Jun/05/17 |
Mar/29/18 |
|
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working |
srctech |
Used |
- |
$1,200.00
|
0 |
Jun/06/17 |
Sep/03/24 |
|
Description: AMAT Applied Materials Dual Helium Gas Line #1 HP-CVD Ultima 0050-18943 |
alamedaauction |
Used |
- |
$45.00
|
0 |
Jun/06/17 |
Jul/06/17 |
|
Description: Unit UFC-8165 Mass Flow Controller 400 SCCM Gas AR UltraClean / 3030-09423 AMAT |
alamedaauction |
Used |
- |
$195.00
|
0 |
Jun/06/17 |
Jul/06/17 |
|
Description: Brooks GF125C Mass Flow Controller 2600 SCCM Gas N2 Digital MFC 0190-32367 AMAT |
j316gallery |
Used |
- |
$1,500.00
|
0 |
Jun/08/17 |
Sep/27/17 |
|
Description: 8851 LAM RESEARCH PLD/LD GAS DIST 300MM W/G RV HTR 715-801916-008 |
benta09 |
NEW |
- |
$275.00
|
0 |
Jun/08/17 |
Jul/08/17 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
benta09 |
NEW |
- |
$40.00
|
0 |
Jun/08/17 |
Jul/08/17 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
used1eqsales |
Used |
- |
$507.14
|
0 |
Jun/08/17 |
Mar/27/18 |
|
Description: AMAT Quantum X 9090-00879 Gas Interlock Chassis Module Rev. B used working |
j316gallery |
Used |
- |
$1,430.00
|
0 |
Jun/08/17 |
Apr/22/21 |
|
Description: 8354 LAM RESEARCH GAS & LIQUID PANEL, 853-024002-006 785-016037-001 |
solanotraders |
Used |
- |
$950.00
|
0 |
Jun/12/17 |
Jul/12/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
used1eqsales |
Used |
- |
$710.15
|
0 |
Jun/12/17 |
Dec/20/17 |
|
Description: AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working |
j316gallery |
Used |
- |
$800.00
|
0 |
Jun/14/17 |
Dec/07/17 |
|
Description: 8796 APPLIED MATERIAL CABLE ASSY GAS INTCNT 50FT (15.24M) 0150-21236 |
athomemarket |
NEW |
- |
$65.59
|
0 |
Jun/13/17 |
Oct/05/18 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
athomemarket |
NEW |
- |
$35.99
|
0 |
Jun/14/17 |
Oct/06/18 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
athomemarket |
NEW |
- |
$359.99
|
4 |
Jun/14/17 |
Sep/12/18 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA HP Gas Interlock PCB Card |
katiil3 |
Scrap, for parts |
- |
$999.00
|
1 |
Jun/18/17 |
Jan/14/18 |
|
Description: APPLIED MATERIALS 0190-35763 GAS PANEL SERIPLEX PCB,CH-SET C, PROD X |
ok24odef |
Used |
- |
$25.00
|
0 |
Jun/18/17 |
Jul/18/17 |
|
Description: Aera, Applied Materials 3030-07652, 10 Ra FC-D980C, Gas HE, Flow Rate 500 sccm |
ok24odef |
Used |
- |
$25.00
|
0 |
Jun/18/17 |
Jul/18/17 |
|
Description: Aera, Applied Materials 3030-07508, 10 Ra FC-D980C, Gas N2, Flow Rate 3 SLM |
ok24odef |
Used |
- |
$25.00
|
0 |
Jun/18/17 |
Jul/18/17 |
|
Description: Aera, Amat 3030-07346, 5RA FC-D980C, Gas CL2, Flow Rate 200sccm |
ok24odef |
Used |
- |
$25.00
|
0 |
Jun/18/17 |
Jul/18/17 |
|
Description: Aera, Amat 3030-07346, 5RA FC-D980C-R8, Gas CL2, Flow Rate 200sccm |
bigg.logistics101 |
Used |
- |
$499.99
|
1 |
Jun/20/17 |
Sep/10/18 |
|
Description: APPLIED MATERIALS GAS MANIFOLD 0040-36094 |
svcstore |
Used |
- |
$679.99
|
0 |
Jun/21/17 |
Jul/21/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
alamedaauction |
NEW |
- |
$295.00
|
0 |
Jun/22/17 |
Jul/22/17 |
|
Description: NEW AMAT 3030-11385 Unit UFC-8565 Mass Flow Controler Gas Ar Range 10 SLM |
athomemarket |
NEW |
- |
$50.99
|
0 |
Jun/22/17 |
Apr/04/18 |
|
Description: NEW AMAT/Applied Materials 0010-34023 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$49.99
|
1 |
Jun/22/17 |
Apr/13/18 |
|
Description: NEW AMAT/Applied Materials 0010-47933 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
5 |
Jun/22/17 |
Apr/15/18 |
|
Description: NEW AMAT/Applied Materials 0010-34881 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
13 |
Jun/22/17 |
May/18/18 |
|
Description: NEW AMAT/Applied Materials 0010-34880 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$39.99
|
5 |
Jun/22/17 |
Apr/11/18 |
|
Description: NEW AMAT/Applied Materials 0010-34039 Surface Mount Gas Valve 1/4" Mounting Rail |
lasar |
Used |
- |
$999.95
|
2 |
Jun/23/17 |
Mar/06/18 |
|
Description: AMAT APPLIED MATERIALS GAS PANEL H2 SENSOR ASSEMBLY 009035042 & 0100-35079 |
athomemarket |
Used |
- |
$159.99
|
4 |
Jun/27/17 |
Dec/06/17 |
|
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board |
athomemarket |
Used |
- |
$1,000.00
|
0 |
Jun/26/17 |
Oct/18/18 |
|
Description: AMAT Applied Materials 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
Used |
- |
$1,000.00
|
1 |
Jun/26/17 |
Jul/21/17 |
|
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
athomemarket |
NEW |
- |
$60.99
|
0 |
Jun/24/17 |
May/21/18 |
|
Description: AMAT/Applied Materials 0010-34050 Surface Mount Gas Valve 1/4" Mounting Rail |
athomemarket |
NEW |
- |
$60.99
|
1 |
Jun/24/17 |
Apr/15/18 |
|
Description: NEW AMAT/Applied Materials 0010-35188 Surface Mount Gas Valve 1/4" Mounting Rail |
techequipsales |
NEW |
- |
$75.00
|
0 |
Jun/24/17 |
Mar/01/19 |
|
Description: AMAT Applied Materials 3400-01003 Hose Gas Liq .751D X 1.030D 200PSI Synth-RBR |
athomemarket |
NEW |
- |
$149.99
|
3 |
Jun/25/17 |
Jul/23/18 |
|
Description: NEW LAM Research 715-330000-090 Aluminum Gas Injection Ring |
testeqe |
NEW |
- |
$399.99
|
0 |
Jun/28/17 |
Aug/27/17 |
|
Description: NEW AMAT PN: 0195-00041 110C Zone 2 Heated Gas Line Top Level Assembly |
athomemarket |
Refurbished |
- |
$299.99
|
0 |
Jun/28/17 |
Jul/05/17 |
|
Description: AMAT 0200-00410 Quartz Uni-Insert Gas Distribution Plate, Cleaned 9-30-2004 |
testeqe |
NEW |
- |
$2,499.99
|
0 |
Jun/28/17 |
Aug/27/17 |
|
Description: NEW Novellus PN: 61-389405-00 15-Channel Pneumatic Gas Box Assembly Festo |
athomemarket |
Used |
- |
$720.00
|
0 |
Jun/28/17 |
Jan/10/18 |
|
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box |
spsglobal |
Used |
- |
$2,000.00
|
1 |
Jun/28/17 |
Jan/18/24 |
|
Description: 131-0601 AMAT APPLIED 0010-09497 ASSY LID GAS BOX WSI [USED] |
systasemi |
NEW |
- |
$220.00
|
0 |
Jun/29/17 |
Aug/25/17 |
|
Description: Applied Materials 0200-09450, AMAT, Gas Feed Tube |
farmoninc |
Used |
- |
$4,950.00
|
0 |
Jun/29/17 |
Oct/10/17 |
|
Description: AMAT 0090-00324 Gas Panel Assy, Seriplex Chamber C, Centura, Endura, 423510 |
farmoninc |
Used |
- |
$4,950.00
|
0 |
Jun/30/17 |
Jul/13/17 |
|
Description: AMAT 0090-00322 Gas Panel Assy, Seriplex Chamber C, Centura, Endura, 423514 |
athomemarket |
Used |
- |
$74.99
|
1 |
Jun/30/17 |
Jun/19/18 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
athomemarket |
NEW |
- |
$599.99
|
0 |
Jun/30/17 |
Oct/22/18 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$688.99
|
0 |
Jun/30/17 |
Oct/22/18 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$99.99
|
2 |
Jun/30/17 |
Jul/06/17 |
|
Description: Applied Materials AMAT 0090-02619 300mm Gas Interlock Plugin Card Module |
athomemarket |
NEW |
- |
$19.99
|
0 |
Jun/30/17 |
Oct/22/18 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 Surface Mount Gas Valve C-Seal |
j316gallery |
Used |
- |
$2,000.00
|
0 |
Jul/03/17 |
Sep/27/17 |
|
Description: 9046 LAM RESEARCH PLD GAS DIST 300MM W/G RV HTR 715-801916-808 |
athomemarket |
NEW |
- |
$74.99
|
1 |
Jul/03/17 |
Dec/06/17 |
|
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board |
systasemi |
NEW |
- |
$810.00
|
0 |
Jul/04/17 |
Sep/12/17 |
|
Description: Applied Materials 3780-00310, SPR GAS 4.0"STRK 520LB 13.78EXT-LG |
sammy_etek |
NEW |
- |
$4,020.00
|
1 |
Jul/04/17 |
Mar/19/19 |
|
Description: 0100-35086, Applied Material Gas Panel PCB |
athomemarket |
Used |
- |
$750.00
|
1 |
Jul/04/17 |
Nov/03/17 |
|
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Jul/05/17 |
Aug/04/17 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
alamedaauction |
Used |
- |
$195.00
|
0 |
Jul/08/17 |
Aug/07/17 |
|
Description: Brooks GF125C Mass Flow Controller 2600 SCCM Gas N2 Digital MFC 0190-32367 AMAT |
tgs816 |
Used |
- |
$150.00
|
0 |
Jul/11/17 |
Jul/13/17 |
|
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB |
solanotraders |
Used |
- |
$712.50
|
0 |
Jul/12/17 |
Aug/11/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
svcstore |
Used |
- |
$499.99
|
0 |
Jul/12/17 |
Aug/11/17 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
tgs816 |
Used |
- |
$75.00
|
0 |
Jul/13/17 |
Jul/20/17 |
|
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB |
quality_automation_equipment |
NEW |
- |
$250.00
|
0 |
Jul/14/17 |
Aug/13/17 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
autoquip7 |
NEW |
- |
$2,175.00
|
0 |
Jul/16/17 |
Jul/25/22 |
|
Description: 0190-01543, AMAT, INTERLOCK GAS PANEL MODULE |
spsglobal |
Used |
- |
$350.00
|
0 |
Jul/16/17 |
Jan/17/22 |
|
Description: 130-0302// AMAT APPLIED 0100-09107 PCB ASSY TEOS GAS INTERFACE USED |
spsglobal |
Used |
- |
$300.00
|
1 |
Jul/16/17 |
Jul/24/17 |
|
Description: 130-0301// AMAT APPLIED 0100-09063 w PCB ASSY GAS PANEL USED |
spsglobal |
Used |
- |
$300.00
|
0 |
Jul/16/17 |
Jan/16/22 |
|
Description: 130-0303// AMAT APPLIED 0100-09106 PCB ASSY EXPANDED GAS PANEL USED |
spsglobal |
Used |
- |
$1,000.00
|
0 |
Jul/16/17 |
Oct/29/19 |
|
Description: 130-0303// AMAT APPLIED 0100-00164 PCB ASSY, REMOTE GAS PANEL USED |
qrecycle |
NEW |
- |
$299.00
|
1 |
Jul/17/17 |
Jul/20/17 |
|
Description: Applied material gas panel dist. 0100-35086 |
exper-tech |
NEW |
- |
$125.00
|
0 |
Jul/19/17 |
Oct/25/18 |
|
Description: Applied Materials AMAT Gas Line 0227-29296, 1/4" SS Tube, Elbow, FVCR Fittings |
tgs816 |
Used |
- |
$50.00
|
0 |
Jul/21/17 |
Jul/28/17 |
|
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB |
alamedaauction |
NEW |
- |
$195.00
|
0 |
Jul/23/17 |
Aug/22/17 |
|
Description: NEW AMAT 3030-11385 Unit UFC-8565 Mass Flow Controler Gas Ar Range 10 SLM MFC |
qrecycle |
Used |
- |
$99.00
|
0 |
Jul/23/17 |
Jul/26/17 |
|
Description: applied material 0100-09107 teos gas interface |
svcstore |
Used |
- |
$679.99
|
0 |
Jul/24/17 |
Aug/23/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
j316gallery |
Used |
- |
$600.00
|
0 |
Jul/26/17 |
Sep/16/18 |
|
Description: 9053 LAM RESEARCH PCB A6 GAS BOX I/O INTERLOCK 810-494010-001 |
benta09 |
NEW |
- |
$40.00
|
0 |
Jul/26/17 |
Aug/25/17 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
benta09 |
NEW |
- |
$275.00
|
0 |
Jul/26/17 |
Aug/25/17 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
ainevjb |
NEW |
- |
$75.00
|
0 |
Jul/28/17 |
Jan/17/18 |
|
Description: AMAT 0020-30356 Gas Feed Thru NEW IN PACKAGE Leopold APPLIED MATERIALS P5000 |
j316gallery |
Used |
- |
$300.00
|
0 |
Jul/31/17 |
Nov/09/17 |
|
Description: 9102 LAM RESEARCH WELDMENT DUAL GAS INJECT 2300 839-016784-005 |
howard9996 |
NEW |
- |
$250.00
|
0 |
Jul/31/17 |
Aug/30/17 |
|
Description: LAM 839-043661-002 rev. A WLDMT, TG2 CTR , SWITCH BOX , DSO, ST Gas Line |
ntsurplus302 |
Used |
- |
$85.00
|
0 |
Jul/31/17 |
Feb/01/19 |
|
Description: 3481 Applied Materials P/N: 0040-00313 Rev B Gas Line # 11 VCR |
visionsemi |
NEW |
- |
$110.00
|
0 |
Aug/01/17 |
Sep/13/22 |
|
Description: APPLIED MATERIALS AMAT HARNESS ASSY GAS BOX FILTER SIGNAL PRODUCER 0140-18285 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Aug/04/17 |
Sep/03/17 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
alamedaauction |
Used |
- |
$95.00
|
1 |
Aug/08/17 |
Aug/24/17 |
|
Description: Brooks GF125C Mass Flow Controller 2600 SCCM Gas N2 Digital MFC 0190-32367 AMAT |
alamedaauction |
Used |
- |
$39.95
|
0 |
Aug/08/17 |
Sep/07/17 |
|
Description: AMAT 3030-09423 / Unit UFC-8165 Mass Flow Controller 400 SCCM Gas AR UltraClean |
bobsgoodies2 |
Used |
- |
$29.00
|
0 |
Aug/08/17 |
Mar/31/22 |
|
Description: AMAT 0150-18014 CBL ASSY, GAS PANEL COUSTOMER INTERLOCK JUMPER APPLIED MATERIALS |
tgs816 |
Used |
- |
$27.00
|
1 |
Aug/09/17 |
Aug/16/17 |
|
Description: AMAT INTERLOCK TxZ GAS BOX 0100-20458, 0190-00285 and. 0100-00501 PCB |
ok24odef |
Used |
- |
$35.00
|
0 |
Aug/10/17 |
Sep/09/17 |
|
Description: Aera, Applied Materials 3030-07508, 10 Ra FC-D980C, Gas N2, Flow Rate 3 SLM |
ok24odef |
Used |
- |
$25.00
|
0 |
Aug/10/17 |
Sep/09/17 |
|
Description: Aera, Amat 3030-07346, 5RA FC-D980C-R8, Gas CL2, Flow Rate 200sccm |
solanotraders |
Used |
- |
$950.00
|
0 |
Aug/11/17 |
Sep/10/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
spsglobal |
Used |
- |
$250.00
|
0 |
Aug/16/17 |
Sep/06/18 |
|
Description: 129-0201// AMAT APPLIED 0100-09153 PCB,GAS PANEL INTERFACE W/O STANDOFFS USED |
gesemiconductor |
NEW |
- |
$40.00
|
0 |
Aug/17/17 |
Dec/19/17 |
|
Description: Applied Materials 0021-11514 Gas Panel Misc |
trch40 |
Used |
- |
$109.99
|
0 |
Aug/19/17 |
Aug/26/17 |
|
Description: AMI AMAT APPLIED MATERIALS 0820-01040 CALIBRATION KIT SENSOR 0190-75076 H2 GAS |
powersell007 |
Used |
- |
$179.00
|
1 |
Aug/21/17 |
Aug/21/23 |
|
Description: NIPPON SEISEN N-150 NASCLEAN ALL METAL GAS FILTER AMAT 4020-00157 FLTR IN-LN GAS |
bornalliancecom |
Used |
- |
$995.00
|
4 |
Aug/21/17 |
Mar/11/20 |
|
Description: Applied Materials 0040-09115 Gas Feed Thru AMAT CVD |
alamedaauction |
NEW |
- |
$195.00
|
0 |
Aug/22/17 |
Sep/21/17 |
|
Description: NEW AMAT 3030-11385 Unit UFC-8565 Mass Flow Controler Gas Ar Range 10 SLM MFC |
quality_automation_equipment |
NEW |
- |
$250.00
|
0 |
Aug/21/17 |
Sep/20/17 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
spsglobal |
NEW |
- |
$10,000.00
|
0 |
Aug/24/17 |
Nov/22/17 |
|
Description: 000-0000// AMAT 0010-25799 ASSY GP WCVD GAS PANEL 300MM [NEW] |
svcstore |
Used |
- |
$679.99
|
0 |
Aug/24/17 |
Sep/23/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
svcstore |
Used |
- |
$499.99
|
1 |
Aug/24/17 |
Sep/21/17 |
|
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board |
trch40 |
Used |
- |
$109.99
|
0 |
Aug/27/17 |
Sep/03/17 |
|
Description: AMI AMAT APPLIED MATERIALS 0820-01040 CALIBRATION KIT SENSOR 0190-75076 H2 GAS |
howard9996 |
NEW |
- |
$250.00
|
0 |
Aug/31/17 |
Sep/30/17 |
|
Description: LAM 839-043661-002 rev. A WLDMT, TG2 CTR , SWITCH BOX , DSO, ST Gas Line |
farmoninc |
Used |
- |
$1,100.00
|
0 |
Sep/01/17 |
May/22/23 |
|
Description: AMAT 0021-23644 0021-16355 DPS, Tetra, Gas Feed Manifold, 423644 |
trch40 |
Used |
- |
$90.99
|
0 |
Sep/03/17 |
Sep/28/18 |
|
Description: AMI AMAT APPLIED MATERIALS 0820-01040 CALIBRATION KIT SENSOR 0190-75076 H2 GAS |
novusferro |
Used |
- |
$2,499.00
|
0 |
Sep/03/17 |
Dec/22/17 |
|
Description: Lam Research Corporation 16-Line IGS Gas Box 571-033051-003 |
minuswhalebid |
NEW |
- |
$199.99
|
2 |
Sep/06/17 |
Oct/04/17 |
|
Description: Lam Research Gasshield Hi-Purity Gas Diffuser Novellus 19-053642-00 AMAT |
alamedaauction |
Used |
- |
$19.95
|
0 |
Sep/07/17 |
Oct/07/17 |
|
Description: AMAT 3030-09423 / Unit UFC-8165 Mass Flow Controller 400 SCCM Gas AR UltraClean |
benta09 |
NEW |
- |
$275.00
|
0 |
Sep/08/17 |
Oct/08/17 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
benta09 |
NEW |
- |
$40.00
|
0 |
Sep/08/17 |
Oct/08/17 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
solanotraders |
Used |
- |
$570.00
|
0 |
Sep/10/17 |
Oct/10/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
dnd_surplus |
NEW |
- |
$150.00
|
0 |
Sep/19/17 |
Oct/19/17 |
|
Description: AMAT Applied Materials FLTR IN-LN GAS 4000PSIG 1/4-GSKT SEAL M 4020-01094 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Sep/19/17 |
Oct/19/17 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
allforsale555 |
Used |
- |
$149.00
|
0 |
Sep/19/17 |
Jul/29/21 |
|
Description: SWAGELOK NUPRO SS-8BK 1C GAS VALVE W/ AMAT 0150-92160 CABLEROUGH VALVE F/B J1 |
alamedaauction |
NEW |
- |
$195.00
|
0 |
Sep/21/17 |
Oct/21/17 |
|
Description: NEW AMAT 3030-11385 Unit UFC-8565 Mass Flow Controler Gas Ar Range 10 SLM MFC |
svcstore |
Used |
- |
$679.99
|
0 |
Sep/24/17 |
Oct/24/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
j316gallery |
Used |
- |
$500.00
|
0 |
Sep/25/17 |
Dec/07/17 |
|
Description: 9738 APPLIED MATERIAL EMC COMP, CABLE ASSY, GAS PANEL UMBILIC (7.48M) 0150-76178 |
novusferro |
NEW |
- |
$49.00
|
0 |
Sep/26/17 |
Dec/22/17 |
|
Description: Lam Research Weldment, Process Gas 839-802758-004 |
allforsale555 |
NEW |
- |
$349.00
|
1 |
Oct/04/17 |
Apr/26/21 |
|
Description: Amat/Applied materials 0150-20192 CABLE ASSY GAS DI/DO EXTERNAL INTERCONNECT |
catalystparts |
Used |
- |
$100.00
|
1 |
Oct/05/17 |
Feb/06/20 |
|
Description: AMAT Applied Materials 0040-21477 Right Manifold Cover Gas Reg Process |
howard9996 |
NEW |
- |
$250.00
|
0 |
Oct/06/17 |
Jan/14/18 |
|
Description: LAM 839-043661-002 rev. A WLDMT, TG2 CTR , SWITCH BOX , DSO, ST Gas Line |
sacramento_liquidators |
NEW |
- |
$40.00
|
0 |
Oct/09/17 |
Nov/08/17 |
|
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT 0050-80924 |
alamedaauction |
Used |
- |
$19.95
|
0 |
Oct/09/17 |
Nov/08/17 |
|
Description: AMAT 3030-09423 / Unit UFC-8165 Mass Flow Controller 400 SCCM Gas AR UltraClean |
solanotraders |
Used |
- |
$608.00
|
0 |
Oct/10/17 |
Nov/09/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
cubit001 |
Used |
- |
$1,288.00
|
0 |
Oct/10/17 |
Nov/09/17 |
|
Description: AMAT 0040-32073 Gas Box, Universal Lid w/ Alignment Holes |
mayraytan |
Used |
- |
$295.00
|
4 |
Oct/13/17 |
Oct/18/22 |
|
Description: Applied Materials AMAT 30 SLM Gas Filter, 4020-00084 |
autoquip7 |
Used |
- |
$3,950.00
|
0 |
Oct/14/17 |
Jul/25/22 |
|
Description: 0100-00308, Applied Materials, PCB ASSEMBLY, INCOMPATIBLE GAS INTERLOCK |
allforsale555 |
Used |
- |
$349.00
|
0 |
Oct/16/17 |
Jul/29/21 |
|
Description: AMAT/APPLIED MATERIALS 0050-46867 GAS LINE, SILANE TOP FEED, AL, ULTIMA HD |
allforsale555 |
NEW |
- |
$249.00
|
1 |
Oct/16/17 |
Oct/23/17 |
|
Description: AMAT 4020-01061 FLTR IN-LN GAS 6101PSIG 1/4-GSKT MLIN M |
powersell007 |
Used |
- |
$599.00
|
4 |
Oct/16/17 |
Dec/16/23 |
|
Description: APPLIED MATERIALS 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES AMAT-CSP/ METRON |
dnd_surplus |
NEW |
- |
$150.00
|
2 |
Oct/19/17 |
Oct/20/17 |
|
Description: AMAT Applied Materials FLTR IN-LN GAS 4000PSIG 1/4-GSKT SEAL M 4020-01094 |
alamedaauction |
NEW |
- |
$145.00
|
1 |
Oct/21/17 |
Oct/23/17 |
|
Description: NEW AMAT 3030-11385 Unit UFC-8565 Mass Flow Controler Gas Ar Range 10 SLM MFC |
ok24odef |
Used |
- |
$30.00
|
1 |
Oct/23/17 |
Oct/24/17 |
|
Description: Aera, Applied Materials 3030-07508, 10 Ra FC-D980C, Gas N2, Flow Rate 3 SLM |
ok24odef |
Used |
- |
$25.00
|
1 |
Oct/23/17 |
Oct/24/17 |
|
Description: Aera, Amat 3030-07346, 5RA FC-D980C-R8, Gas CL2, Flow Rate 200sccm |
svcstore |
Used |
- |
$679.99
|
0 |
Oct/24/17 |
Nov/23/17 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB w/ Expansion Boards |
ok24odef |
Used |
- |
$35.00
|
1 |
Oct/25/17 |
Oct/25/17 |
|
Description: Aera, Applied Materials 3030-07508, 10 Ra FC-D980C, Gas N2, Flow Rate 3000 sccm |
ok24odef |
Used |
- |
$35.00
|
1 |
Oct/25/17 |
Oct/25/17 |
|
Description: Aera, Applied Materials 3030-07341, 5 Ra FC-D980C, Gas CHF3, Flow Rate 20 sccm |
ok24odef |
Used |
- |
$35.00
|
1 |
Oct/25/17 |
Oct/25/17 |
|
Description: Aera, Applied Materials 3030-07652, 10 Ra FC-D980C, Gas HE, Flow Rate 500 sccm |
ok24odef |
Used |
- |
$35.00
|
1 |
Oct/25/17 |
Oct/27/17 |
|
Description: Aera, Amat 3030-07346, FC-D980C, Gas CL2, Flow Rate 200sccm |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Oct/30/17 |
Nov/29/17 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
spsglobal |
NEW |
- |
$2,500.00
|
0 |
Oct/31/17 |
Oct/22/18 |
|
Description: 119-0101// AMAT APPLIED 0040-08492 PLATE, GAS DISTRIBUTION, TXZ 3 NEW |
spsglobal |
Used |
- |
$200.00
|
0 |
Oct/31/17 |
Mar/03/22 |
|
Description: 125-0103// AMAT APPLIED 0020-26967 PC II GAS TRENCH COVER USED |
spsglobal |
Used |
- |
$100.00
|
0 |
Oct/31/17 |
Mar/03/22 |
|
Description: 125-0103// AMAT APPLIED 0020-22846 GAS TRENCH COVER USED |
spsglobal |
NEW |
- |
$180.00
|
0 |
Oct/31/17 |
Jan/20/19 |
|
Description: 117-0202// AMAT APPLIED 0020-22846 GAS TRENCH COVER NEW |
spsglobal |
Used |
- |
$320.00
|
0 |
Oct/31/17 |
Mar/03/22 |
|
Description: 342-0202// AMAT APPLIED 0020-34312 INLET, GAS FEED, SGD NEW |
texassellbuy |
Used |
- |
$525.00
|
1 |
Nov/03/17 |
Nov/06/17 |
|
Description: 0021-09761 GAS BOX, SIN,DXZ, Applied Materials |
benta09 |
NEW |
- |
$275.00
|
0 |
Nov/06/17 |
Nov/06/17 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
benta09 |
NEW |
- |
$275.00
|
0 |
Nov/06/17 |
Dec/06/17 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
atxdeals4u |
Used |
- |
$299.99
|
0 |
Nov/06/17 |
Apr/17/18 |
|
Description: HORIBASTEC SEC-Z524MGXN N2 Gas 50SLM Flow Rate MFC AMAT 0190-31799 |
benta09 |
NEW |
- |
$40.00
|
0 |
Nov/06/17 |
Dec/06/17 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
j316gallery |
NEW |
- |
$500.00
|
1 |
Nov/08/17 |
May/22/18 |
|
Description: 10052 APPLIED MATERIAL EXTENDED TUBE, TEOS FEED THRU, GAS, DXZ (NEW) 0040-32001 |
j316gallery |
NEW |
- |
$500.00
|
0 |
Nov/08/17 |
Jun/06/18 |
|
Description: 10048 APPLIED MATERIAL VALVE, GAS LINE #3 PRECLEAN CHAMBER (NEW) 0190-20015 |
j316gallery |
NEW |
- |
$244.67
|
1 |
Nov/08/17 |
Apr/14/21 |
|
Description: 10055 APPLIED MATERIALS FLTR IN-LINE GAS 3000PSI 1/4VCR-MM 30SL (NEW) 4020-01136 |
alamedaauction |
Used |
- |
$19.95
|
0 |
Nov/08/17 |
Dec/08/17 |
|
Description: AMAT 3030-09423 / Unit UFC-8165 Mass Flow Controller 400 SCCM Gas AR UltraClean |
solanotraders |
Used |
- |
$760.00
|
0 |
Nov/09/17 |
Dec/09/17 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
vizvik16 |
Used |
- |
$450.00
|
0 |
Nov/09/17 |
Oct/28/19 |
|
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001 |
vizvik16 |
Used |
- |
$650.00
|
0 |
Nov/09/17 |
Oct/28/19 |
|
Description: APPLIED MATERIALS GAS PANEL CONTROLLER BACKPLANE 0100-00446, 0130-00446 |
bobsgoodies |
NEW |
- |
$2,500.00
|
2 |
Nov/10/17 |
Aug/22/18 |
|
Description: 0010-22326 APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX |
allforsale555 |
Scrap, for parts |
- |
$599.00
|
1 |
Nov/12/17 |
Jan/08/18 |
|
Description: AMAT 0190-35653 GAS PANEL SERIPLEX PCB,CH-SET A, PROD X |
j316gallery |
Used |
- |
$150.00
|
0 |
Nov/16/17 |
Jan/16/22 |
|
Description: 10181 APPLIED MATERIALS BLOCK,DUAL GAS FEED,HDPCVD 0020-18385 |
quality_automation_equipment |
NEW |
- |
$250.00
|
0 |
Nov/14/17 |
Dec/14/17 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
skus092 |
Used |
- |
$130.00
|
0 |
Nov/17/17 |
Dec/17/17 |
|
Description: 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
skus092 |
NEW |
- |
$230.00
|
0 |
Nov/17/17 |
Dec/17/17 |
|
Description: 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
sacramento_liquidators |
NEW |
- |
$40.00
|
0 |
Nov/16/17 |
Dec/16/17 |
|
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT 0050-80924 |
catalystparts |
Used |
- |
$875.00
|
1 |
Nov/20/17 |
Nov/21/17 |
|
Description: AMAT Applied Materials 0050-25245 Gas Line Assembly PRCS Dump CH2-TXZ Endura |
svcstore |
Used |
- |
$485.99
|
0 |
Dec/07/17 |
Jan/06/18 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board |
bosch_shx |
NEW |
- |
$349.99
|
0 |
Dec/03/17 |
Mar/03/22 |
|
Description: NEW LAM RESEARCH 839-037989-001 WLDMT PROCESS GAS STONEHENGE KIYO |
jens.pens |
Used |
- |
$750.00
|
0 |
Dec/08/17 |
Oct/12/21 |
|
Description: Applied Materials 3200-00004 Gas Panel Controller Backplane 0100-00582 REV001 |
jens.pens |
Used |
- |
$750.00
|
0 |
Dec/08/17 |
Oct/12/21 |
|
Description: Applied Materials 0100-00580 0100-00581 REV001 300mm Gas Interlock, IGL Config |
solanotraders |
Used |
- |
$570.00
|
0 |
Dec/09/17 |
Jan/08/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
alamedaauction |
Used |
- |
$19.95
|
0 |
Dec/10/17 |
Jan/09/18 |
|
Description: AMAT 3030-09423 / Unit UFC-8165 Mass Flow Controller 400 SCCM Gas AR UltraClean |
asmtk |
Used |
- |
$100.00
|
8 |
Dec/13/17 |
Oct/01/21 |
|
Description: Applied Materials 0020-33810 COVER, GAS FEED ASSY, DSP A3 AMAT |
asmtk |
Used |
- |
$250.00
|
10 |
Dec/13/17 |
Dec/17/19 |
|
Description: Applied Materials 0020-33808 ADAPTER, GAS FEED ASSY, A3 DPS AMAT |
sacramento_liquidators |
NEW |
- |
$18.88
|
0 |
Dec/17/17 |
Mar/03/22 |
|
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT 0050-80924 |
catalystparts |
Used |
- |
$750.00
|
1 |
Dec/20/17 |
Mar/03/22 |
|
Description: AMAT Applied Materials 0020-30223 6" 152mm Gas Distribution Plate / Shower Head |
svcheck |
Used |
- |
$3,498.43
|
1 |
Dec/20/17 |
Feb/09/18 |
|
Description: AMAT APPLIED MATERIALS 0100-35086 36 Port Gas Panel Distribution |
usedeqsales |
Used |
- |
$601.18
|
2 |
Dec/20/17 |
Jan/11/18 |
|
Description: Applied Materials 0100-35267 PCB Gas Pallet Interlocks Matrix 5200 Etch |
usedeqsales |
NEW |
- |
$32.00
|
0 |
Dec/20/17 |
Jan/02/18 |
|
Description: APPLIED MATERIALS 0020-96078 Tube Gas Line |
usedeqsales |
Used |
- |
$601.18
|
0 |
Dec/20/17 |
Mar/20/18 |
|
Description: LAM RESEARCH 716-021492-001 Director Process Gas |
usedeqsales |
NEW |
- |
$40.00
|
0 |
Dec/20/17 |
Jan/02/18 |
|
Description: Applied Materials 0021-11514 Gas Panel Misc |
quality_automation_equipment |
NEW |
- |
$250.00
|
0 |
Dec/22/17 |
Jan/21/18 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
skus092 |
NEW |
- |
$230.00
|
0 |
Dec/22/17 |
Jan/21/18 |
|
Description: 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
skus092 |
Used |
- |
$130.00
|
0 |
Dec/22/17 |
Jan/21/18 |
|
Description: 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
comshul |
Used |
- |
$39.00
|
0 |
Dec/24/17 |
Sep/21/21 |
|
Description: Mott 19-053642-00 Gasshield Hi-Purity Gas Diffuser, Novellus |
lagerwerk_gmbh |
NEW |
- |
$489.97
|
0 |
Dec/27/17 |
Mar/03/22 |
|
Description: APPLIED MATERIALS 4020-01060, filter, inline gas 3000 PSI G9 / 19-M - NEW |
farmoninc |
Used |
- |
$4,200.00
|
0 |
Dec/28/17 |
Mar/03/22 |
|
Description: AMAT 0010-09940 Assembly 8" WSI Gas Box Feedthru, Top Lid, CVD, P5000, 424021 |
svcstore |
Used |
- |
$999.99
|
0 |
Dec/29/17 |
Jan/28/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Jan/02/18 |
Feb/01/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
semi-spares |
NEW |
- |
$265.00
|
0 |
Jan/03/18 |
Mar/20/18 |
|
Description: AMAT 0200-09450 APPLIED MATERIALS TUBE GAS FEED REV. 002 - NEW |
svcstore |
Used |
- |
$74.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$99.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$149.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$49.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$224.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$224.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$149.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$149.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$149.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$199.99
|
0 |
Jan/03/18 |
Feb/02/18 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
solanotraders |
Used |
- |
$570.00
|
0 |
Jan/08/18 |
Feb/07/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
alamedaauction |
Used |
- |
$19.95
|
0 |
Jan/09/18 |
Feb/08/18 |
|
Description: AMAT 3030-09423 / Unit UFC-8165 Mass Flow Controller 400 SCCM Gas AR UltraClean |
svcstore |
Used |
- |
$485.99
|
0 |
Jan/09/18 |
Feb/08/18 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board |
capitolareatech |
Used |
- |
$175.00
|
0 |
Jan/11/18 |
Aug/13/20 |
|
Description: AMAT 0020-33666 GAS DISTRIBUTION PLATE INSERT MTG |
vizvik16 |
NEW |
- |
$350.00
|
0 |
Jan/12/18 |
Oct/28/19 |
|
Description: AMAT APPLIED MATERIALS 0040-87546 BASE GAS SPRING |
sparesllc09 |
Used |
- |
$8,000.00
|
0 |
Jan/11/18 |
Jan/23/18 |
|
Description: 571-065780-701 / KIYO ALL IN ONE GAS BOX 16 LINE IGS / LAM |
capitolareatech |
NEW |
- |
$425.00
|
0 |
Jan/19/18 |
Nov/01/18 |
|
Description: Applied Materials (AMAT) 0050-76114 GAS LINE, TOP VENT, 3/8 SUPP. |
semi-spares |
NEW |
- |
$325.00
|
0 |
Jan/18/18 |
Mar/20/18 |
|
Description: AMAT Applied Materials 0200-36680 Liner Quartz Upper Gas Dist Ground ASP Rev 002 |
semi-spares |
NEW |
- |
$345.00
|
0 |
Jan/18/18 |
Mar/20/18 |
|
Description: AMAT Applied Materials 0200-09197 Plate Gas Dist Lower 6.5 OD 4-6 Quartz PRSP |
skus092 |
Used |
- |
$130.00
|
0 |
Jan/22/18 |
Feb/21/18 |
|
Description: 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
skus092 |
NEW |
- |
$230.00
|
0 |
Jan/22/18 |
Feb/21/18 |
|
Description: 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
allforsale555 |
Used |
- |
$399.00
|
1 |
Jan/24/18 |
Sep/17/18 |
|
Description: AMAT 0090-05327 Dual Gas Leak Detector Applied Materials |
capitolareatech |
NEW |
- |
$135.00
|
0 |
Jan/25/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0140-01545 HARNESS ASSY, GAS PANEL EXH/DOOR SW INTE |
quality_automation_equipment |
NEW |
- |
$250.00
|
0 |
Jan/25/18 |
Feb/24/18 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
capitolareatech |
NEW |
- |
$1,295.00
|
0 |
Jan/26/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-30854 PLATE GAS DIST OX_MLR_NIT |
capitolareatech |
NEW |
- |
$27.25
|
0 |
Jan/26/18 |
Mar/09/19 |
|
Description: Applied Materials (AMAT) 0020-39344 CLAMP, TOP, SINGLE GAS LINE & PALLET |
vizvik16 |
NEW |
- |
$384.00
|
0 |
Jan/29/18 |
Oct/28/19 |
|
Description: APPLIED MATERIALS 4020-00125 GAS FILTER NIPPON SEISEN NASCLEAN BF-1.125C-30SLM |
phxinn |
NEW |
- |
$125.00
|
0 |
Jan/30/18 |
Mar/01/18 |
|
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD |
allforsale555 |
Used |
- |
$299.00
|
0 |
Jan/31/18 |
Jul/29/21 |
|
Description: AMAT 3800-01133 RGLTR GAS PRESS 1-60PSIG 1/4VCR-M/M SST ( LOT OF 2 ) |
capitolareatech |
NEW |
- |
$695.00
|
0 |
Jan/31/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0021-35087 PLATE,BLOCKER,N2/HE CARRIER GAS,DXZ/UNIV |
sparesllc09 |
Used |
- |
$17,000.00
|
0 |
Jan/31/18 |
Oct/01/19 |
|
Description: 571-033051-003 ,571-065780-45858E / 16 LINE IGS GAS BOX / LAM |
sparesllc09 |
Used |
- |
$17,000.00
|
0 |
Jan/31/18 |
Jul/30/19 |
|
Description: 571-033051-003 ,571-065780-45858E / 16 LINE IGS GAS BOX / LAM |
capitolareatech |
NEW |
- |
$345.00
|
0 |
Jan/31/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-32263 GAS DIST PLATE. 245 HOLES .156 THICK |
svcstore |
Used |
- |
$999.99
|
0 |
Feb/01/18 |
Mar/03/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
allforsale555 |
Used |
- |
$350.00
|
1 |
Feb/01/18 |
Feb/05/18 |
|
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Feb/01/18 |
Mar/03/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
j316gallery |
Used |
- |
$363.30
|
0 |
Feb/05/18 |
Jan/04/23 |
|
Description: 10346 APPLIED MATERIALS 5000 CVD 8'' WSI GAS BOX 0010-09940 |
qrecycle |
NEW |
- |
$888.88
|
0 |
Feb/05/18 |
Feb/08/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
capitolareatech |
NEW |
- |
$325.00
|
1 |
Feb/06/18 |
Nov/13/19 |
|
Description: Applied Materials (AMAT) 0020-03379 GAS DISTRIBUTION PLATE ETCH REPLACES 0020-09 |
capitolareatech |
NEW |
- |
$795.00
|
0 |
Feb/06/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-34935 PLATE, GAS DISTRIBUTION,101 HOLES |
noam-tech |
Used |
- |
$180.00
|
1 |
Feb/07/18 |
Sep/07/19 |
|
Description: Stec Inc MFC, Mass Flow Controller. 50 SCCM Gas AR 3030-06072 SEC-4400M AMAT |
capitolareatech |
NEW |
- |
$395.00
|
0 |
Feb/07/18 |
Sep/10/19 |
|
Description: Applied Materials (AMAT) 0020-31804 Gas Distribution Plate 80 hole, .156 THICK, |
solanotraders |
Used |
- |
$608.00
|
0 |
Feb/07/18 |
Mar/09/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
tfstech |
NEW |
- |
$135.00
|
0 |
Feb/07/18 |
Mar/01/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
alamedaauction |
Used |
- |
$9.95
|
0 |
Feb/09/18 |
Mar/08/18 |
|
Description: AMAT 3030-09423 / Unit UFC-8165 Mass Flow Controller 400 SCCM Gas AR UltraClean |
capitolareatech |
NEW |
- |
$1,395.00
|
0 |
Feb/09/18 |
Apr/13/18 |
|
Description: Applied Materials (AMAT) 0040-32073 Gas Box, Universal Lid w/ Alignment Holes |
capitolareatech |
NEW |
- |
$425.00
|
0 |
Feb/09/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 3030-05096 SEC-7330MC-UC, GAS: N2, FLOW RATE: 100SCCM |
capitolareatech |
NEW |
- |
$299.95
|
0 |
Feb/09/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 3030-06074 SEC-4400MC-RUC, VALVE: C, GAS: SIF4, FLOW RA |
qrecycle |
NEW |
- |
$888.88
|
0 |
Feb/09/18 |
Feb/12/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
tfstech |
Used |
- |
$175.00
|
0 |
Feb/10/18 |
Mar/01/18 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
qrecycle |
NEW |
- |
$588.88
|
0 |
Feb/12/18 |
Feb/19/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
levmucciacciar0 |
Used |
- |
$680.00
|
0 |
Feb/15/18 |
Mar/15/20 |
|
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM |
capitolareatech |
NEW |
- |
$249.95
|
0 |
Feb/14/18 |
Nov/14/19 |
|
Description: Applied Materials (AMAT) 0200-00025 GAS RING |
svcstore |
Used |
- |
$74.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$149.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$149.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$99.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$149.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$49.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$224.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$224.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$199.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$149.99
|
0 |
Feb/16/18 |
Mar/18/18 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$485.99
|
0 |
Feb/15/18 |
Mar/17/18 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board |
capitolareatech |
NEW |
- |
$45.00
|
0 |
Feb/17/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0242-38116 KIT GAS FLOW LABEL |
qrecycle |
NEW |
- |
$588.88
|
0 |
Feb/19/18 |
Feb/22/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
asmtk |
Used |
- |
$500.00
|
0 |
Feb/20/18 |
Oct/01/21 |
|
Description: Applied Materials 0200-34775 BLOCER SILOX8" UNIV CH NON STEPPER GAS BOX AMAT |
capitolareatech |
NEW |
- |
$795.00
|
0 |
Feb/20/18 |
Jun/20/20 |
|
Description: Applied Materials (AMAT) 0200-50052 PLATE GAS DIST LOWER ASP |
prism_electronics7 |
Used |
- |
$1,999.99
|
0 |
Feb/20/18 |
Sep/03/20 |
|
Description: LAM RESEARCH 810-017075-003 GAS PANEL PCB INTERLOCK RAINBOW |
skus092 |
NEW |
- |
$230.00
|
2 |
Feb/21/18 |
Mar/23/18 |
|
Description: 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
skus092 |
Used |
- |
$120.00
|
0 |
Feb/21/18 |
Mar/23/18 |
|
Description: 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
capitolareatech |
NEW |
- |
$795.00
|
0 |
Feb/21/18 |
Jun/21/20 |
|
Description: Applied Materials (AMAT) 0200-09200 Plate, Gas Distribution, Upper, Quartz, 8" |
capitolareatech |
NEW |
- |
$125.00
|
0 |
Feb/21/18 |
Jun/21/20 |
|
Description: Applied Materials (AMAT) 0050-20782 GAS LINE VENT WIDEBODY CHAM B |
qrecycle |
NEW |
- |
$989.00
|
0 |
Feb/22/18 |
Feb/27/18 |
|
Description: APPLIED MATERIALS gas distrubution plate 145 holes 0020-32263 |
qrecycle |
NEW |
- |
$989.00
|
0 |
Feb/22/18 |
Feb/27/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
atxdeals4u |
Used |
- |
$10,000.00
|
0 |
Feb/22/18 |
Sep/20/18 |
|
Description: Applied Materials AMAT 0020-06615 LID HPM DUAL GAS FLAT DPS |
tjtechseller |
NEW |
- |
$26,000.00
|
0 |
Feb/22/18 |
Mar/03/22 |
|
Description: 0041-01890 Applied Materials AMAT ELECTRODE DUAL GAS OEM NEW |
qrecycle |
NEW |
- |
$588.88
|
0 |
Feb/23/18 |
Feb/26/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
soldtou1 |
NEW |
- |
$1,899.99
|
0 |
Feb/23/18 |
Mar/03/22 |
|
Description: Novellus Systems 76-111301-00 02-111301 Gas Box Distribution Speed 300 PCB LAM |
maxxresale |
NEW |
- |
$200.00
|
0 |
Feb/23/18 |
Mar/02/18 |
|
Description: LAM RESEARCH ELECTROSTATIC CHUCK GAS INJ FXD GAP BOTTOM RING 715-330984-001 LRG |
capitolareatech |
Used |
- |
$995.00
|
0 |
Feb/24/18 |
Jun/24/20 |
|
Description: Applied Materials (AMAT) 0200-00873 Clamp, Upper, Gas Inlet, 300mm. Needs Cleani |
qrecycle |
NEW |
- |
$588.88
|
0 |
Feb/26/18 |
Mar/01/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
capitolareatech |
NEW |
- |
$99.95
|
0 |
Feb/27/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-42130 Gas Tube Chamber, Heater WxZ |
qrecycle |
NEW |
- |
$489.00
|
0 |
Feb/27/18 |
Mar/04/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
qrecycle |
NEW |
- |
$989.00
|
0 |
Feb/27/18 |
Mar/04/18 |
|
Description: APPLIED MATERIALS gas distrubution plate 145 holes 0020-32263 |
wilus_v3zx7z |
Used |
- |
$500.00
|
0 |
Mar/01/18 |
Mar/31/18 |
|
Description: APPLIED MATERIALS 0100-09115 GAS PANEL ANALOG PCB REV-E |
qrecycle |
NEW |
- |
$588.88
|
0 |
Mar/01/18 |
Mar/06/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
quality_automation_equipment |
NEW |
- |
$250.00
|
0 |
Mar/01/18 |
Mar/31/18 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
phxinn |
NEW |
- |
$125.00
|
0 |
Mar/01/18 |
Mar/31/18 |
|
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD |
partskorea1 |
Used |
- |
$799.00
|
0 |
Mar/02/18 |
Feb/06/20 |
|
Description: AMAT 0090-00811 DUAL GAS LEAK DETECTOR BOARD |
farmoninc |
Used |
- |
$145.00
|
1 |
Mar/02/18 |
Apr/13/22 |
|
Description: Millipore WG2F02PS1 Inline Gas Filter 1/4" Swagelok Novellus 20-102707-00 408909 |
maxxresale |
NEW |
- |
$0.99
|
0 |
Mar/02/18 |
Mar/04/18 |
|
Description: LAM RESEARCH ELECTROSTATIC CHUCK GAS INJ FXD GAP BOTTOM RING 715-330984-001 LRG |
capitolareatech |
NEW |
- |
$499.95
|
0 |
Mar/03/18 |
Aug/13/20 |
|
Description: APPLIED MATERIAL (AMAT) 0050-10140 Fitting, Gas Supply, Adapter Ring |
capitolareatech |
NEW |
- |
$95.00
|
0 |
Mar/03/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-71724 Gas Line |
capitolareatech |
NEW |
- |
$185.00
|
0 |
Mar/03/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-06894 GAS FITTING INLET MANIFOLD |
svcstore |
Used |
- |
$999.99
|
0 |
Mar/05/18 |
Apr/04/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Mar/06/18 |
Apr/05/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
capitolareatech |
NEW |
- |
$145.00
|
0 |
Mar/06/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0040-07102 WELDMENT FEED THRU GAS |
qrecycle |
NEW |
- |
$588.88
|
0 |
Mar/07/18 |
Mar/14/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
qrecycle |
NEW |
- |
$989.00
|
1 |
Mar/07/18 |
Mar/11/18 |
|
Description: APPLIED MATERIALS gas distrubution plate 145 holes 0020-32263 |
qrecycle |
NEW |
- |
$489.00
|
0 |
Mar/07/18 |
Mar/14/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
wilus_v3zx7z |
Used |
- |
$550.00
|
0 |
Mar/08/18 |
Apr/07/18 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
solanotraders |
Used |
- |
$608.00
|
0 |
Mar/09/18 |
Apr/08/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
tfstech |
NEW |
- |
$135.00
|
0 |
Mar/09/18 |
Apr/08/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
maxxresale |
NEW |
- |
$100.00
|
1 |
Mar/10/18 |
Mar/20/18 |
|
Description: LAM RESEARCH ELECTROSTATIC CHUCK GAS INJ FXD GAP BOTTOM RING 715-330984-001 LRG |
tfstech |
Used |
- |
$175.00
|
0 |
Mar/11/18 |
Apr/10/18 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
capitolareatech |
NEW |
- |
$95.00
|
0 |
Mar/13/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0040-20620 Weldment Gas Line Assy |
capitolareatech |
NEW |
- |
$69.95
|
0 |
Mar/13/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-25198 GAS LINE, VENT CH D W/B UPPER W/ OD CH |
capitolareatech |
NEW |
- |
$650.00
|
0 |
Mar/13/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0190-40180 GAS FEED,IMPROVED,CGF |
capitolareatech |
Used |
- |
$1,795.00
|
0 |
Mar/14/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0010-22303 ASSEMBLY GAS MODULE 200MM TXZ |
capitolareatech |
NEW |
- |
$39.95
|
0 |
Mar/14/18 |
Mar/09/19 |
|
Description: Applied Materials (AMAT) 0020-39345 CLAMP,BOTTOM,SINGLE GAS LINE & PALLET |
qrecycle |
NEW |
- |
$588.88
|
0 |
Mar/15/18 |
Mar/22/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
qrecycle |
NEW |
- |
$489.00
|
0 |
Mar/15/18 |
Mar/22/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
ok24surplus |
NEW |
- |
$35.00
|
0 |
Mar/16/18 |
Mar/26/18 |
|
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD |
spsglobal |
Used |
- |
$2,000.00
|
0 |
Mar/16/18 |
Oct/17/19 |
|
Description: 320-0402// AMAT APPLIED 0100-09172 ASSY,TEOS GAS INTERFACE BOARD USED |
spsglobal |
NEW |
- |
$2,500.00
|
0 |
Mar/16/18 |
Oct/17/19 |
|
Description: 320-0402// AMAT APPLIED 0100-09172 ASSY,TEOS GAS INTERFACE BOARD NEW |
bobsgoodies2 |
Used |
- |
$85.00
|
0 |
Mar/16/18 |
Mar/31/22 |
|
Description: AMAT 1/4 VCR MFC Metal Tube Assembly 0225-96068 Gas line long spool n2 purge pr |
usedeqsales |
Used |
- |
$1,252.14
|
0 |
Mar/16/18 |
Mar/03/22 |
|
Description: AMAT Applied Materials 9010-01381 Celerity Gas PCB Card GeF4 Quantum X Used |
usedeqsales |
Used |
- |
$305.15
|
2 |
Mar/16/18 |
Feb/24/22 |
|
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Endura 300mm Used |
usedeqsales |
Used |
- |
$507.14
|
0 |
Mar/19/18 |
Mar/03/22 |
|
Description: AMAT Applied Materials 9090-00879 Gas Interlock Module Rev. B Quantum X Used |
svcstore |
Used |
- |
$485.99
|
0 |
Mar/19/18 |
Apr/18/18 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board |
svcstore |
Used |
- |
$199.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$224.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$149.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$149.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$74.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$99.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$149.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$149.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$49.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$224.99
|
0 |
Mar/20/18 |
Apr/19/18 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
tm_semi |
NEW |
- |
$250.00
|
1 |
Mar/20/18 |
Apr/04/18 |
|
Description: AMAT 0200-00025 Gas Ring 8 XTAL, OEM, New |
capitolareatech |
NEW |
- |
$225.00
|
0 |
Mar/21/18 |
Jun/21/20 |
|
Description: Applied Materials (AMAT) 0020-42162 SLEEVE, COPPER, GAS TUBE PLUG, WXZ |
capitolareatech |
NEW |
- |
$295.00
|
0 |
Mar/21/18 |
Nov/20/19 |
|
Description: Applied Materials (AMAT) 0200-00058 GAS RING,SMALL HOLES & CHANNELS |
capitolareatech |
Refurbished |
- |
$295.00
|
0 |
Mar/21/18 |
Jun/21/20 |
|
Description: Applied Materials (AMAT) 0050-48279 WELDMENT, CENTER GAS FEED, 300MM DPS2 |
capitolareatech |
NEW |
- |
$29.95
|
0 |
Mar/21/18 |
Jun/21/20 |
|
Description: Applied Materials (AMAT) 0200-36428 Tube, Ceramic Gas Feed, MW Clean |
qrecycle |
NEW |
- |
$489.00
|
0 |
Mar/22/18 |
Mar/29/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
qrecycle |
NEW |
- |
$588.88
|
0 |
Mar/22/18 |
Mar/29/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
skus092 |
Used |
- |
$120.00
|
0 |
Mar/23/18 |
Apr/22/18 |
|
Description: 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
capitolareatech |
NEW |
- |
$795.00
|
0 |
Mar/24/18 |
Jun/24/20 |
|
Description: Applied Materials (AMAT) 0020-05048 Plate, Gas Distribution |
capitolareatech |
NEW |
- |
$125.00
|
0 |
Mar/24/18 |
Mar/24/20 |
|
Description: Applied Materials (AMAT) 0050-35533 GAS LINE, EQUAL. TRANSFER-PROCESS CHAMBER |
capitolareatech |
NEW |
- |
$99.95
|
0 |
Mar/24/18 |
Jun/24/20 |
|
Description: Applied Materials (AMAT) 0021-06854 Gas Tube, CPR, WxZ |
dr.dantom |
Used |
- |
$650.00
|
1 |
Mar/27/18 |
Sep/18/18 |
|
Description: HoribaStec SEC-4400M AMAT 3030-06264 300 SCCM GAS AR Mass Flow Controller |
intek22 |
Used |
- |
$150.00
|
1 |
Mar/27/18 |
Dec/18/19 |
|
Description: LAM Novellus CalWeld 02-100021-00 Gas Manifold w Brooks 5866RT MFC He 300 SCCM |
gosemicat |
NEW |
- |
$4,500.00
|
0 |
Mar/28/18 |
Jan/16/24 |
|
Description: AMAT# 0200-00295 Base Ring IPS Silicon Source, 30 Gas Holes .243 Thick |
capitolareatech |
NEW |
- |
$395.00
|
0 |
Mar/28/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-21455 WELDMENT GAS LINE N2 COH TIN |
capitolareatech |
NEW |
- |
$275.00
|
0 |
Mar/28/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-45413 GAS LINE, UPPER ELBOW, CO-LOCATED EXH EN |
capitolareatech |
NEW |
- |
$185.00
|
0 |
Mar/28/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-03742 FEEDTHRU CENTER,GAS FEED, 5RA, 300MM DPS-P |
capitolareatech |
NEW |
- |
$59.95
|
0 |
Mar/29/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-10672 HINGE RIGHT GAS PANEL |
capitolareatech |
NEW |
- |
$509.95
|
0 |
Mar/29/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0100-09153 PCB,GAS PANEL INTERFACE W/O STANDOFFS |
capitolareatech |
NEW |
- |
$195.00
|
0 |
Mar/29/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-28549 GAS LINE, O2 SENSOR, SAMPLE INPUT, CH B, |
visionsemi |
NEW |
- |
$500.00
|
1 |
Mar/29/18 |
Jun/28/18 |
|
Description: APPLIED MATERIALS AMAT DPS PLUG INNER GAS FEED 0021-35291 |
wilus_v3zx7z |
Used |
- |
$500.00
|
0 |
Mar/31/18 |
Apr/30/18 |
|
Description: APPLIED MATERIALS 0100-09115 GAS PANEL ANALOG PCB REV-E |
capitolareatech |
NEW |
- |
$795.00
|
0 |
Mar/31/18 |
Nov/14/19 |
|
Description: Applied Materials (AMAT) 0020-30223 SHIBUYA INTERNATIONAL INC 9029816 PLATE GAS |
qrecycle |
NEW |
- |
$588.88
|
0 |
Apr/01/18 |
Apr/06/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
qrecycle |
NEW |
- |
$489.00
|
0 |
Apr/01/18 |
Apr/06/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
capitolareatech |
NEW |
- |
$169.95
|
0 |
Apr/03/18 |
Mar/09/19 |
|
Description: Applied Materials (AMAT) 0050-26346 GAS LINE, INLET N2 HEATER CVD GAS BOX |
capitolareatech |
NEW |
- |
$95.00
|
0 |
Apr/03/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-22315 Gas Line |
capitolareatech |
NEW |
- |
$39.95
|
0 |
Apr/03/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-49704 Gas Line |
capitolareatech |
NEW |
- |
$59.95
|
0 |
Apr/03/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-28199 Gas Line |
svcstore |
Used |
- |
$999.99
|
0 |
Apr/05/18 |
May/05/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
capitolareatech |
NEW |
- |
$10.95
|
0 |
Apr/05/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0150-09517 CABLE ASSY,CAP MONO/PROC GAS ISOLATION V |
capitolareatech |
NEW |
- |
$39.95
|
4 |
Apr/05/18 |
Jun/29/18 |
|
Description: Applied Materials (AMAT) 0200-35290 HOUSING, GAS FEED, DPS MEC |
capitolareatech |
NEW |
- |
$424.95
|
0 |
Apr/05/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0100-09106 PCB ASSY EXPANDED GAS PANEL |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Apr/06/18 |
May/06/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
wilus_v3zx7z |
Used |
- |
$550.00
|
0 |
Apr/07/18 |
May/07/18 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
capitolareatech |
NEW |
- |
$49.95
|
0 |
Apr/08/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-14029 JUMPER WATER GAS RING |
ok24surplus |
NEW |
- |
$29.00
|
0 |
Apr/08/18 |
Apr/18/18 |
|
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD |
capitolareatech |
NEW |
- |
$95.00
|
0 |
Apr/08/18 |
Mar/09/19 |
|
Description: Applied Materials (AMAT) 0050-41604 Gas Line |
kenjap |
Scrap, for parts |
- |
$99.00
|
1 |
Apr/08/18 |
Apr/15/18 |
|
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 |
kenjap |
Scrap, for parts |
- |
$199.00
|
0 |
Apr/08/18 |
Apr/15/18 |
|
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 |
solanotraders |
Used |
- |
$608.00
|
0 |
Apr/08/18 |
May/08/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
qrecycle |
NEW |
- |
$489.00
|
0 |
Apr/08/18 |
Apr/13/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
qrecycle |
NEW |
- |
$588.88
|
0 |
Apr/08/18 |
Apr/13/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
tfstech |
NEW |
- |
$135.00
|
0 |
Apr/08/18 |
May/08/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
j316gallery |
Used |
- |
$8,800.00
|
0 |
Apr/10/18 |
Sep/24/19 |
|
Description: 10411 APPLIED MATERIAL HORIBA STEC Z500 MFC, GAS MANIFOLD 0040-52548 |
capitolareatech |
NEW |
- |
$145.00
|
0 |
Apr/10/18 |
Mar/09/19 |
|
Description: Applied Materials (AMAT) 0050-41603 Gas Line |
capitolareatech |
NEW |
- |
$145.00
|
0 |
Apr/10/18 |
Mar/09/19 |
|
Description: Applied Materials (AMAT) 0050-41602 Gas Line |
tfstech |
Used |
- |
$175.00
|
0 |
Apr/10/18 |
May/10/18 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
capitolareatech |
NEW |
- |
$145.00
|
0 |
Apr/11/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-33125 GAS LINE #1,O2 SENSOR, N2 CH A RTP |
capitolareatech |
NEW |
- |
$69.95
|
0 |
Apr/11/18 |
Mar/10/19 |
|
Description: Applied Materials (AMAT) 0050-06481 Bypass Gas Line |
quality_automation_equipment |
NEW |
- |
$250.00
|
0 |
Apr/11/18 |
May/11/18 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
j316gallery |
Used |
- |
$16,500.00
|
0 |
Apr/11/18 |
Sep/24/19 |
|
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003 |
phxinn |
NEW |
- |
$125.00
|
0 |
Apr/12/18 |
May/12/18 |
|
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD |
ntsurplus302 |
Used |
- |
$50.00
|
0 |
Apr/12/18 |
Sep/01/19 |
|
Description: 3583 Applied Materials 0050-34720 Rev. A Gas Line |
capitolareatech |
NEW |
- |
$695.00
|
0 |
Apr/13/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0040-03276 Weldment Gas Line Assembly |
capitolareatech |
NEW |
- |
$595.00
|
0 |
Apr/13/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-03738 Gas Block, Right Side, 300MM (5 In) |
capitolareatech |
NEW |
- |
$595.00
|
0 |
Apr/13/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-03739 Gas Block, Left Side, 300MM DPS (5 In) |
capitolareatech |
NEW |
- |
$169.95
|
0 |
Apr/13/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-03149 Gas Line Weldment Assembly |
capitolareatech |
NEW |
- |
$169.95
|
0 |
Apr/13/18 |
Aug/09/19 |
|
Description: Applied Materials (AMAT) 0050-03149 Gas Line Assembly |
maxxresale |
NEW |
- |
$0.99
|
0 |
Apr/13/18 |
Apr/23/18 |
|
Description: LAM RESEARCH ELECTROSTATIC CHUCK GAS INJ FXD GAP BOTTOM RING 715-330984-001 LRG |
qrecycle |
NEW |
- |
$588.88
|
0 |
Apr/15/18 |
Apr/20/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
qrecycle |
NEW |
- |
$489.00
|
0 |
Apr/15/18 |
Apr/20/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
ok24odef |
Used |
- |
$35.00
|
0 |
Apr/16/18 |
Apr/26/18 |
|
Description: Aera ,Applied Materials, 3030-07511, Gas N2, Flow Rate 200 sccm |
powersell007 |
NEW |
- |
$99.00
|
2 |
Apr/16/18 |
Jun/28/18 |
|
Description: NEW APPLIED MATERIALS 0200-35291 PLUG, INNER, GAS FEED ASSY, DPS A1 CERAMIC AMAT |
spsglobal |
Used |
- |
$200.00
|
0 |
Apr/17/18 |
Jun/18/23 |
|
Description: 341-0301// AMAT APPLIED 0020-26926 FLANGE, BLANK OFF, ADAPTER GAS 2ND SOURCE NEW |
kenjap |
Scrap, for parts |
- |
$199.00
|
0 |
Apr/18/18 |
Apr/25/18 |
|
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 |
svcstore |
Used |
- |
$485.99
|
0 |
Apr/19/18 |
May/19/18 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board |
svcstore |
Used |
- |
$149.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$199.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$149.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$149.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$74.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$99.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$149.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$49.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$224.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$224.99
|
0 |
Apr/20/18 |
May/20/18 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
qrecycle |
NEW |
- |
$489.00
|
0 |
Apr/20/18 |
Apr/25/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
qrecycle |
NEW |
- |
$588.88
|
0 |
Apr/20/18 |
Apr/25/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
qrecycle |
NEW |
- |
$588.88
|
0 |
Apr/25/18 |
May/02/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
qrecycle |
NEW |
- |
$489.00
|
0 |
Apr/25/18 |
May/02/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
kenjap |
Scrap, for parts |
- |
$99.00
|
0 |
Apr/25/18 |
May/02/18 |
|
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 |
katiil3 |
NEW |
- |
$3,199.00
|
0 |
Apr/26/18 |
Apr/27/18 |
|
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED |
ok24surplus |
NEW |
- |
$45.00
|
0 |
Apr/26/18 |
May/06/18 |
|
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD |
usedeqsales |
Used |
- |
$404.18
|
0 |
Apr/26/18 |
Mar/03/22 |
|
Description: Novellus Systems 02-275852-00 Gas Valve Manifold New Surplus |
automotiveapple |
Used |
- |
$416.67
|
1 |
Apr/26/18 |
Oct/26/19 |
|
Description: As-Is LAM 853-031197-807 DUAL GAS LINE |
ok24odef |
Used |
- |
$25.00
|
0 |
Apr/27/18 |
May/07/18 |
|
Description: Aera ,Applied Materials, 3030-07511, Gas N2, Flow Rate 200 sccm |
katiil3 |
Used |
- |
$999.00
|
1 |
Apr/28/18 |
Feb/28/20 |
|
Description: AMAT 0041-35370 SHOWERHEAD, DUAL ZONE, SINGLE GAS FEED |
katiil3 |
Used |
- |
$1,000.00
|
0 |
Apr/28/18 |
Oct/23/21 |
|
Description: Applied materials/ AMAT 0041-62587 GAS BOX FOR CENTER FLOW |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
Apr/29/18 |
May/06/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
powersell007 |
NEW |
- |
$99.00
|
5 |
Apr/30/18 |
Oct/23/19 |
|
Description: APPLIED MATERIALS 0200-35296 PLUG, INNER, GAS FEED, DPS A3 AMAT *UNUSED, SEALED* |
gordonca |
Used |
- |
$555.00
|
0 |
Apr/30/18 |
Mar/09/23 |
|
Description: Applied Materials 0100-02139 Gas Box Distribution Bd HP+AxZ 300mm |
wilus_v3zx7z |
Used |
- |
$500.00
|
0 |
Apr/30/18 |
May/30/18 |
|
Description: APPLIED MATERIALS 0100-09115 GAS PANEL ANALOG PCB REV-E |
gesemiconductor |
Used |
- |
$525.00
|
1 |
May/01/18 |
Mar/06/20 |
|
Description: Lam Research 716-330122-002 Gas Distribution Plate Ceramic |
qrecycle |
NEW |
- |
$588.88
|
0 |
May/03/18 |
May/08/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
qrecycle |
NEW |
- |
$489.00
|
0 |
May/03/18 |
May/08/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
powersell007 |
NEW |
- |
$69.00
|
15 |
May/03/18 |
Jun/29/18 |
|
Description: APPLIED MATERIALS 0200-35290 HOUSING, GAS FEED DPS MEC CHAMBER AMAT *UNUSED* |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
May/06/18 |
May/13/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
svcstore |
Used |
- |
$999.99
|
0 |
May/07/18 |
Jun/06/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
May/07/18 |
Jun/06/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
wilus_v3zx7z |
Used |
- |
$550.00
|
0 |
May/07/18 |
Jun/06/18 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
bobsgoodies |
NEW |
- |
$474.00
|
1 |
May/08/18 |
Jun/11/18 |
|
Description: AMAT 0190-35197 GAS PANEL MANIFOLD "G" 40 valve manifold Humphrey |
qrecycle |
NEW |
- |
$489.00
|
0 |
May/08/18 |
May/11/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
qrecycle |
NEW |
- |
$588.88
|
0 |
May/08/18 |
May/11/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
solanotraders |
Used |
- |
$570.00
|
0 |
May/08/18 |
Jun/07/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
ok24surplus |
NEW |
- |
$45.00
|
0 |
May/09/18 |
May/19/18 |
|
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD |
tfstech |
NEW |
- |
$135.00
|
0 |
May/08/18 |
Jun/07/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
ok24odef |
Used |
- |
$45.00
|
0 |
May/09/18 |
May/19/18 |
|
Description: Aera ,Applied Materials, 3030-07511, Gas N2, Flow Rate 200 sccm |
athomemarket |
NEW |
- |
$79.99
|
0 |
May/09/18 |
Aug/07/19 |
|
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865 |
adelrick123 |
NEW |
- |
$205.00
|
0 |
May/10/18 |
Jun/27/24 |
|
Description: Amat PN # 0200-36680 Liner Quartz Upper Gas Dist. Ground ASP |
tfstech |
Used |
- |
$175.00
|
0 |
May/10/18 |
Jun/09/18 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
qrecycle |
NEW |
- |
$299.00
|
0 |
May/11/18 |
May/14/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
qrecycle |
NEW |
- |
$388.00
|
0 |
May/11/18 |
May/14/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
capitolareatech |
NEW |
- |
$426.50
|
0 |
May/12/18 |
Jun/27/18 |
|
Description: Applied Materials (AMAT) 0020-31492 Gas Distribution Plate, 101 Holes WPI 06/98 |
capitolareatech |
NEW |
- |
$553.50
|
0 |
May/12/18 |
Jun/21/19 |
|
Description: Applied Materials (AMAT) 0100-76046 PCB GAS PANEL INTERFACE (W/O STANDOFFS) |
quality_automation_equipment |
NEW |
- |
$225.00
|
0 |
May/12/18 |
Jun/11/18 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
May/13/18 |
May/20/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
qrecycle |
NEW |
- |
$489.00
|
0 |
May/14/18 |
May/17/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
qrecycle |
NEW |
- |
$588.88
|
0 |
May/14/18 |
May/17/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
phxinn |
NEW |
- |
$25.00
|
0 |
May/14/18 |
Jun/13/18 |
|
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD |
capitolareatech |
NEW |
- |
$299.95
|
0 |
May/16/18 |
Mar/09/19 |
|
Description: Applied Materials (AMAT) 0050-25218 GAS LINE, H2/N2/HE MANIFOLD CVD TIN SCGB |
capitolareatech |
NEW |
- |
$9.95
|
0 |
May/16/18 |
Aug/24/18 |
|
Description: Applied Materials (AMAT) 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO |
capitolareatech |
NEW |
- |
$299.95
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0100-09114 Gas Panel Board |
capitolareatech |
NEW |
- |
$325.00
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-09412 GAS LINE D-2 ETCH CHAMBER |
capitolareatech |
NEW |
- |
$295.00
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-76335 Gas Line CH D ZX Heater, Cajon Only |
capitolareatech |
NEW |
- |
$195.00
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0190-05187 Gas Line |
capitolareatech |
NEW |
- |
$215.00
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0200-01273 INJECTOR, GAS, 27 HOLES, .020 DIA, QTZ, |
capitolareatech |
NEW |
- |
$155.00
|
0 |
May/16/18 |
Mar/10/19 |
|
Description: Applied Materials (AMAT) 0227-28764 GAS LINE RH N2 PURGE CH AB LOWER CAJON SRA |
capitolareatech |
NEW |
- |
$165.00
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-30918 GAS LINE, POSITION A/D, R2, 5000 |
capitolareatech |
NEW |
- |
$295.00
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-44912 GAS LINE, Y, CHAMBER A |
capitolareatech |
NEW |
- |
$99.95
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-32231 Semi Gas Line |
capitolareatech |
NEW |
- |
$79.95
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-35909 Gas Line |
capitolareatech |
NEW |
- |
$255.00
|
0 |
May/16/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-04076 PLATE,GAS DISTRIBUTION(SMALL HOLES & CHA |
farmoninc |
Used |
- |
$450.00
|
0 |
May/17/18 |
Mar/09/23 |
|
Description: UNIT 8165 Device Net UFC-8165 MFC, Ar gas, 6L range, AMAT 3030-00056, 327436 |
qrecycle |
NEW |
- |
$489.00
|
0 |
May/17/18 |
May/22/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
qrecycle |
NEW |
- |
$588.88
|
0 |
May/17/18 |
May/22/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
svcstore |
Used |
- |
$485.99
|
0 |
May/19/18 |
Jun/18/18 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board |
capitolareatech |
NEW |
- |
$95.00
|
0 |
May/20/18 |
Jun/20/20 |
|
Description: Applied Materials (AMAT) 0226-99067 Gas Line Assy with Fujikin Component |
capitolareatech |
NEW |
- |
$175.00
|
4 |
May/20/18 |
Dec/29/19 |
|
Description: Applied Materials (AMAT) 0020-18797 Bracket, Right Dual Gas Spring Retrofit U |
capitolareatech |
NEW |
- |
$119.95
|
0 |
May/20/18 |
Jun/20/20 |
|
Description: Applied Materials (AMAT) 0040-36817 Weldment with Gas Line |
capitolareatech |
NEW |
- |
$159.95
|
0 |
May/20/18 |
Jun/20/20 |
|
Description: Applied Materials (AMAT) 0050-05256 GAS FEED 5RA, WALL, 300MM, DPS |
capitolareatech |
NEW |
- |
$69.95
|
0 |
May/20/18 |
Jun/20/20 |
|
Description: Applied Materials (AMAT) 0050-70647 Gas Line Heater Bypass Stand PVD Chamber |
capitolareatech |
NEW |
- |
$14.95
|
3 |
May/20/18 |
Feb/01/19 |
|
Description: Applied Materials (AMAT) 0020-39869 BRKT., BLOCK VALVE, GAS BOX, CENTURA |
capitolareatech |
NEW |
- |
$39.95
|
0 |
May/20/18 |
Mar/09/19 |
|
Description: Applied Materials (AMAT) 0021-39007 BRACKET, 6 POSITION CAJON ,GAS BOX 31 |
capitolareatech |
NEW |
- |
$44.95
|
0 |
May/20/18 |
Jun/20/20 |
|
Description: Applied Materials (AMAT) 0020-39346 CLAMP, TOP, FIVE GAS LINES & PALLET |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
May/20/18 |
May/27/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
katiil3 |
Used |
- |
$249.00
|
0 |
May/21/18 |
Oct/23/21 |
|
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258 ( LOT OF 2 ) |
capitolareatech |
NEW |
- |
$325.00
|
0 |
May/22/18 |
Sep/19/19 |
|
Description: Applied Materials (AMAT) 0100-09107 PCB ASSY TEOS GAS INTERFACE |
capitolareatech |
NEW |
- |
$395.00
|
0 |
May/22/18 |
Aug/09/19 |
|
Description: Applied Materials (AMAT) 0021-38429 BLANK-OFF GAS LINE |
capitolareatech |
NEW |
- |
$375.00
|
0 |
May/22/18 |
Aug/09/19 |
|
Description: Applied Materials (AMAT) 0021-38428 BLANK-OFF GAS LINE SBT |
capitolareatech |
NEW |
- |
$68.50
|
0 |
May/22/18 |
Sep/19/19 |
|
Description: Applied Materials (AMAT) 0021-37542 GAS LINE SHAFT |
sparesllc09 |
Used |
- |
$220.00
|
0 |
May/22/18 |
Sep/27/19 |
|
Description: 0020-31425 /LID, MIXER GAS BOX, BWCVD/APPLIED MATERIALS |
svcstore |
Used |
- |
$224.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$149.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$149.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$149.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$199.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$74.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$99.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$149.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$49.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$224.99
|
0 |
May/22/18 |
Jun/21/18 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
qrecycle |
NEW |
- |
$489.00
|
0 |
May/23/18 |
May/28/18 |
|
Description: APPLIED MATERIALS TOP Shield gas shield PFS 0020-85053 |
qrecycle |
NEW |
- |
$588.88
|
0 |
May/23/18 |
May/28/18 |
|
Description: Applied Materials Gas box Wsix CVD 0020-09940 |
katiil3 |
Used |
- |
$149.00
|
1 |
May/25/18 |
Aug/23/18 |
|
Description: Horiba Stec SEC-4400M Gas N2 1 SLM Mass Flow Controller AMAT 3030-04789 |
tfstech |
NEW |
- |
$135.00
|
0 |
Jun/07/18 |
Jul/07/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
j316gallery |
NEW |
- |
$465.01
|
0 |
Jun/08/18 |
Jun/27/24 |
|
Description: 10941 APPLIED MATERIALS CABLE ASSY, 25" SYS CNTLR GAS PNL INTC (NEW) 0140-24130 |
solanotraders |
Used |
- |
$570.00
|
0 |
Jun/07/18 |
Jul/07/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
svcstore |
Used |
- |
$999.99
|
0 |
Jun/07/18 |
Jul/07/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Jun/06/18 |
Jul/06/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
adelrick123 |
NEW |
- |
$100.00
|
4 |
Jun/08/18 |
Jun/28/18 |
|
Description: Amat # 0020-22846 Cover Gas Trench Preclean 2 |
capitolareatech |
NEW |
- |
$249.95
|
2 |
Jun/14/18 |
Jul/28/18 |
|
Description: Applied Materials (AMAT) 4020-01060 FLTR IN-LN GAS 3000PSIG 9/19-MFC M-VCR & F-1 |
phxinn |
NEW |
- |
$25.00
|
0 |
Jun/15/18 |
Jul/15/18 |
|
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD |
dgold32 |
Used |
- |
$389.00
|
0 |
Jun/15/18 |
Mar/09/23 |
|
Description: Lam Research 007 IGS Gas Box MB 810-073479-003 REV A Board GASBOX LAM |
kenjap |
Scrap, for parts |
- |
$99.00
|
0 |
Jun/17/18 |
Jun/24/18 |
|
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
Jun/18/18 |
Jun/25/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
farmoninc |
NEW |
- |
$450.00
|
1 |
Jun/18/18 |
Apr/03/19 |
|
Description: AMAT 0200-35291 Plug, Inner, Gas Feed Assembly, DPS A1, 424606 |
farmoninc |
NEW |
- |
$450.00
|
1 |
Jun/18/18 |
Apr/03/19 |
|
Description: AMAT 0200-35291 Plug, Inner, Gas Feed Assembly, DPS A1, 424605 |
farmoninc |
NEW |
- |
$95.00
|
0 |
Jun/18/18 |
Aug/13/20 |
|
Description: AMAT 0200-35290 Housing, Gas Feed DPS MEC Chamber, 424610 |
maxisemi1349 |
NEW |
- |
$450.00
|
0 |
Jun/18/18 |
Jul/18/18 |
|
Description: 0020-32318 GAS DISTR PLATE, 37 HOLES, OXALIC, 5-7 M |
gigabitpartsolutions |
NEW |
- |
$22.00
|
0 |
Jun/19/18 |
Apr/22/20 |
|
Description: OEM Part Applied Materials (AMAT) 0050-82188 Gasline BSP gas module |
ace_stellar_seller |
NEW |
- |
$999.00
|
1 |
Jun/20/18 |
Jul/25/18 |
|
Description: AMAT 0021-09760 GAS BOX DXZ |
ace_stellar_seller |
NEW |
- |
$1,800.00
|
1 |
Jun/20/18 |
Aug/27/18 |
|
Description: AMAT 0040-61266 REV 03 GAS BOX, SIN, DXZ DCVD |
ace_stellar_seller |
NEW |
- |
$2,500.00
|
1 |
Jun/21/18 |
May/10/19 |
|
Description: AMAT 0040-03771 LID, PUMPING PLATE, GAS SPRING SILANE DXZ |
ace_stellar_seller |
Refurbished |
- |
$1,200.00
|
1 |
Jun/21/18 |
Feb/18/20 |
|
Description: AMAT 0040-61266 GAS PLATE |
spsglobal |
Used |
- |
$50.00
|
0 |
Jun/21/18 |
Jun/30/22 |
|
Description: 341-0403// AMAT APPLIED 3020-01134 (10EA) CYL GAS SPR REPLCMNT MTL END NEW |
svcstore |
Used |
- |
$199.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$74.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$149.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$99.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$149.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$149.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$49.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$224.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$224.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$149.99
|
0 |
Jun/22/18 |
Jul/22/18 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$485.99
|
1 |
Jun/22/18 |
Jul/09/18 |
|
Description: Lam Research 810-494010-001 A6 Gas Box I/O Interlock PCB Assy w/Expansion Board |
kenjap |
Scrap, for parts |
- |
$90.00
|
0 |
Jun/24/18 |
Jul/24/18 |
|
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
Jun/25/18 |
Jul/02/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
ok24odef |
Used |
- |
$45.00
|
0 |
Jun/27/18 |
Jul/07/18 |
|
Description: Applied Materials, Aera, 3030-07511, Gas N2, Flow Rate 200 sccm |
ok24odef |
Used |
- |
$42.00
|
0 |
Jun/27/18 |
Jul/07/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
usedeqsales |
Used |
- |
$606.18
|
0 |
Jun/27/18 |
Mar/03/22 |
|
Description: AMAT Applied Materials 0020-42262 Gas Manifold with Mixer Lot of 4 Refurbished |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
Jul/02/18 |
Jul/09/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
j316gallery |
NEW |
- |
$275.00
|
4 |
Jul/03/18 |
Dec/23/19 |
|
Description: 11124 MOTT POINT-OF-USE GAS FILTER 3750PSI, AMAT P/N 4020-01205 (NEW) POU-05-SV1 |
partskorea1 |
NEW |
- |
$450.00
|
3 |
Jul/04/18 |
Feb/20/20 |
|
Description: LAM 839-016641-002 Poly gas Delivery Weldment |
dnd_surplus |
Refurbished |
- |
$1,350.00
|
0 |
Jul/05/18 |
Aug/04/18 |
|
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073 |
wilus_v3zx7z |
Used |
- |
$550.00
|
0 |
Jul/06/18 |
Aug/05/18 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
solanotraders |
Used |
- |
$608.00
|
0 |
Jul/07/18 |
Aug/06/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
ok24odef |
Used |
- |
$45.00
|
0 |
Jul/07/18 |
Jul/17/18 |
|
Description: Applied Materials, Aera, 3030-07511, Gas N2, Flow Rate 200 sccm |
ok24odef |
Used |
- |
$42.00
|
0 |
Jul/07/18 |
Jul/17/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
svcstore |
Used |
- |
$999.99
|
0 |
Jul/08/18 |
Aug/07/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
tfstech |
NEW |
- |
$135.00
|
0 |
Jul/07/18 |
Aug/06/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
Jul/09/18 |
Jul/16/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Jul/09/18 |
Aug/08/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
tfstech |
Used |
- |
$175.00
|
0 |
Jul/09/18 |
Aug/08/18 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
dnd_surplus |
Refurbished |
- |
$500.00
|
0 |
Jul/10/18 |
Aug/09/18 |
|
Description: AMAT Applied Materials Plate, Gas Box 0020-30673 |
dnd_surplus |
Refurbished |
- |
$2,000.00
|
0 |
Jul/10/18 |
Aug/09/18 |
|
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520 |
j316gallery |
Used |
- |
$395.23
|
0 |
Jul/12/18 |
Aug/03/21 |
|
Description: 11247 APPLIED MATERIALS VLV GAS LINE #3 PRECLEAN CHMBR 0190-20015 |
wyse_avenue |
NEW |
- |
$250.00
|
0 |
Jul/12/18 |
Aug/11/18 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
asmtk |
Used |
- |
$4,000.00
|
1 |
Jul/16/18 |
Jan/24/19 |
|
Description: Applied Materials 0100-35086 PCB ASSEMBLY, GAS PANEL DISTRIBUTION AMAT |
maxisemi1349 |
NEW |
- |
$4,500.00
|
0 |
Jul/16/18 |
Aug/15/18 |
|
Description: 0040-39045 FACEPLATE, DUAL GAS, HT-TIN |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
Jul/16/18 |
Jul/23/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
sparesllc09 |
NEW |
- |
$95,000.00
|
0 |
Jul/18/18 |
Oct/12/18 |
|
Description: 575-800325-417 **3 PIECE SET** / 2300 FLEX EX +PM CH W GAS BOX AND RF CART/ LAM |
maxisemi1349 |
NEW |
- |
$450.00
|
0 |
Jul/19/18 |
Aug/18/18 |
|
Description: 0020-32318 GAS DISTR PLATE, 37 HOLES, OXALIC, 5-7 M |
techknowledge-e |
Scrap, for parts |
- |
$500.00
|
0 |
Jul/23/18 |
Jul/30/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
svcstore |
Used |
- |
$149.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$149.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$199.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$149.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$74.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$99.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$149.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$49.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$224.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$224.99
|
0 |
Jul/23/18 |
Aug/22/18 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
kenjap |
Scrap, for parts |
- |
$90.00
|
0 |
Jul/24/18 |
Aug/23/18 |
|
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY |
smi-sales |
Used |
- |
$9,500.00
|
0 |
Jul/26/18 |
Apr/08/19 |
|
Description: AMAT P/N 0100-35107 PCB ASSY, GAS PANEL DISTRIBUTION, METCH |
quality_automation_equipment |
NEW |
- |
$225.00
|
0 |
Jul/30/18 |
Aug/29/18 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
yericomfg |
Used |
- |
$250.00
|
0 |
Jul/31/18 |
Aug/08/18 |
|
Description: LAM 713-080706-003 RING GAS FEED DUAL ZONE |
novusferro |
NEW |
- |
$199.00
|
0 |
Jul/31/18 |
Jun/13/21 |
|
Description: Applied Materials (AMAT) 0242-53318 Gas Panel (Chamber B) |
usedparts08 |
Used |
- |
$259.00
|
0 |
Aug/01/18 |
May/27/19 |
|
Description: Applied Materials Dual GAS Leak Detector Assy 0190-35066 SELL "AS-IS" free ship |
smi-sales |
Used |
- |
$4,100.00
|
0 |
Aug/02/18 |
Apr/08/19 |
|
Description: AMAT P/N 0010-09940 ASSY 8" GAS BOX WSI |
bobsgoodies |
NEW |
- |
$145.00
|
1 |
Aug/02/18 |
Nov/25/18 |
|
Description: AMAT APPLIED 0040-20056 ADAPTER ELBOW 0.25 VCR TO MINI CF, GAS LI |
dnd_surplus |
NEW |
- |
$500.00
|
0 |
Aug/02/18 |
Sep/01/18 |
|
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody |
dnd_surplus |
Refurbished |
- |
$1,350.00
|
0 |
Aug/04/18 |
Sep/03/18 |
|
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073 |
ok24surplus |
NEW |
- |
$25.00
|
0 |
Aug/05/18 |
Aug/15/18 |
|
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD |
wilus_v3zx7z |
Used |
- |
$550.00
|
0 |
Aug/05/18 |
Sep/04/18 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
pic_insit |
Used |
- |
$950.00
|
0 |
Aug/05/18 |
Sep/04/18 |
|
Description: AMAT Gas Panel Leak Detect Board P/n 0090-75017, #166 |
usedeqsales |
Used |
- |
$458.17
|
0 |
Aug/06/18 |
Jun/30/22 |
|
Description: AMAT Applied Materials 0240-30104 Delta TEOS or NITRIDE Gas Box Kit New Surplus |
phxinn |
NEW |
- |
$35.00
|
1 |
Aug/06/18 |
Sep/05/18 |
|
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD |
maxisemi1349 |
NEW |
- |
$1,425.00
|
0 |
Aug/06/18 |
Sep/05/18 |
|
Description: 0020-30368 HOUSING GAS DISTR 9" OD 8" PRSP |
maxisemi1349 |
NEW |
- |
$1,505.00
|
0 |
Aug/07/18 |
Sep/06/18 |
|
Description: 0020-33669 GAS DISTRIBUTION PLATE, SEE THRU UNI-LID |
tfstech |
NEW |
- |
$135.00
|
0 |
Aug/06/18 |
Sep/05/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
ok24odef |
Used |
- |
$25.00
|
0 |
Aug/07/18 |
Aug/17/18 |
|
Description: Applied Materials, Aera, 3030-07511, Gas N2, Flow Rate 200 sccm |
ok24odef |
Used |
- |
$25.00
|
0 |
Aug/07/18 |
Aug/17/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
svcstore |
Used |
- |
$999.99
|
0 |
Aug/07/18 |
Sep/06/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
solanotraders |
Used |
- |
$570.00
|
0 |
Aug/08/18 |
Sep/07/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
tm_semi |
Used |
- |
$1,500.00
|
0 |
Aug/08/18 |
Sep/07/18 |
|
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO |
tfstech |
Used |
- |
$175.00
|
0 |
Aug/08/18 |
Sep/07/18 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
storemanager-2009 |
NEW |
- |
$3,274.00
|
0 |
Aug/09/18 |
Aug/09/18 |
|
Description: 0100-09106 PCB ASSEMBLY,EXPANDED GAS PANE |
storemanager-2009 |
Used |
- |
$374.00
|
0 |
Aug/09/18 |
Aug/09/18 |
|
Description: 0100-09153 PCB GAS PANEL INTERFACE (w/o S |
storemanager-2009 |
NEW |
- |
$1,690.00
|
0 |
Aug/09/18 |
Aug/09/18 |
|
Description: 0040-09091 GAS BOX 456PL |
storemanager-2009 |
Used |
- |
$603.00
|
0 |
Aug/09/18 |
Aug/09/18 |
|
Description: 0040-01381 PALLET 10 LINE GAS BOX, 31" |
storemanager-2009 |
Used |
- |
$215.00
|
0 |
Aug/09/18 |
Aug/09/18 |
|
Description: 0100-09106 PCB ASSY, EXPANDED GAS PANEL, |
storemanager-2009 |
NEW |
- |
$1,450.00
|
0 |
Aug/09/18 |
Aug/09/18 |
|
Description: 0050-31195 ADAPTOR, GAS FEED |
storemanager-2009 |
Used |
- |
$1,693.00
|
0 |
Aug/09/18 |
Aug/09/18 |
|
Description: 0100-09115 Assy PCB Gas Panel Analo |
dnd_surplus |
Refurbished |
- |
$2,000.00
|
0 |
Aug/09/18 |
Sep/08/18 |
|
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520 |
yericomfg |
NEW |
- |
$550.00
|
0 |
Aug/08/18 |
Aug/27/19 |
|
Description: PALL GAS LINE FILTER; MLOX 028-225-1/4GS-MF; P22207111, Novellus 22-115763-00, |
yericomfg |
NEW |
- |
$110.00
|
0 |
Aug/08/18 |
Aug/27/19 |
|
Description: Applied Materials; Kit, Gas Delivery, Integration, 300mm 0242-29469 |
yericomfg |
NEW |
- |
$700.00
|
0 |
Aug/08/18 |
Aug/27/19 |
|
Description: Applied Materials 0100-02139 Gas Box Distribution Board HP+AxZ 300mm |
yericomfg |
NEW |
- |
$550.00
|
0 |
Aug/08/18 |
Aug/27/19 |
|
Description: PALL GAS LINE FILTER; MLOX 028-225-1/4GS-MF; P22207111, Novellus 22-115763-00, |
yericomfg |
NEW |
- |
$99.99
|
0 |
Aug/08/18 |
Aug/27/19 |
|
Description: NASclean BF-1.5C PT-100 PTFE 100SLM GAS FILTER AMAT 4020-00392 |
dnd_surplus |
Refurbished |
- |
$500.00
|
0 |
Aug/10/18 |
Sep/09/18 |
|
Description: AMAT Applied Materials Plate, Gas Box 0020-30673 |
wyse_avenue |
NEW |
- |
$250.00
|
0 |
Aug/13/18 |
Sep/12/18 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Aug/13/18 |
Sep/12/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
pic_insit |
NEW |
- |
$4,900.00
|
0 |
Aug/14/18 |
Sep/13/18 |
|
Description: AMAT P/N 0050-34815 Gas Panel Valve Manifold, Centura. Stock #193 |
spsglobal |
Used |
- |
$10.00
|
0 |
Aug/14/18 |
Jun/30/22 |
|
Description: 342-0501// AMAT APPLIED 0021-39098 BRKT, BLNK, TRIPLE GAS LINE, 3 NEW |
spsglobal |
Used |
- |
$20.00
|
0 |
Aug/14/18 |
Jun/30/22 |
|
Description: 342-0501// AMAT APPLIED 0021-36142 BRACKET,SUPPORT,GAS LN NEW |
spsglobal |
Used |
- |
$30.00
|
0 |
Aug/14/18 |
Jun/02/22 |
|
Description: 341-0503// AMAT APPLIED 0020-70717 LEVER, GAS POST, MACHINED NEW |
spsglobal |
Used |
- |
$20.00
|
0 |
Aug/14/18 |
Jun/30/22 |
|
Description: 341-0503// AMAT APPLIED 0020-31138 CAP, GAS PASSAGE NEW |
maxisemi1349 |
NEW |
- |
$550.00
|
0 |
Aug/14/18 |
Sep/13/18 |
|
Description: 0020-04076 GAS DISTR. PLATE SM. HOLES & CHANNELS |
maxisemi1349 |
NEW |
- |
$300.00
|
0 |
Aug/14/18 |
Sep/13/18 |
|
Description: 0200-00025 GAS, RING,8,XTAL |
maxisemi1349 |
NEW |
- |
$4,500.00
|
0 |
Aug/15/18 |
Sep/14/18 |
|
Description: 0040-39045 FACEPLATE, DUAL GAS, HT-TIN |
powersell007 |
Used |
- |
$599.00
|
0 |
Aug/16/18 |
Jun/30/22 |
|
Description: APPLIED MATERIALS 0200-00410 GAS DISTRIBUTION PLATE GDP AMAT *RECYCLED* |
spsglobal |
Used |
- |
$10.00
|
0 |
Aug/16/18 |
Jun/30/22 |
|
Description: 351-0301// AMAT APPLIED 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO NEW |
wyse_avenue |
NEW |
- |
$50.00
|
0 |
Aug/18/18 |
Sep/17/18 |
|
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW |
wyse_avenue |
NEW |
- |
$150.00
|
0 |
Aug/18/18 |
Sep/17/18 |
|
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW |
maxisemi1349 |
NEW |
- |
$450.00
|
0 |
Aug/19/18 |
Sep/18/18 |
|
Description: 0020-32318 GAS DISTR PLATE, 37 HOLES, OXALIC, 5-7 M |
tm_semi |
Used |
- |
$75.00
|
0 |
Aug/20/18 |
Sep/19/18 |
|
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND. |
ok24odef |
Used |
- |
$45.00
|
0 |
Aug/22/18 |
Sep/01/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
automotiveapple |
Used |
- |
$178.57
|
0 |
Aug/22/18 |
Aug/22/22 |
|
Description: As-Is Lam 839-034095-166 HE GAS LINE |
automotiveapple |
Used |
- |
$178.57
|
1 |
Aug/22/18 |
Jul/22/20 |
|
Description: As-Is Lam 796-029684-321 GAS INNER OUTER |
maxisemi1349 |
NEW |
- |
$275.00
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: 0020-31492 GAS DISTRIBUTION PLATE, 101 HOLES |
capitolareatech |
NEW |
- |
$125.00
|
0 |
Aug/23/18 |
Jun/23/20 |
|
Description: LAM 715-220438-002 LAM Sleeve, Bracket, Gas Feed Tube |
capitolareatech |
NEW |
- |
$329.95
|
0 |
Aug/23/18 |
Dec/05/19 |
|
Description: Applied Materials (AMAT) 0020-32318 GAS DISTR PLATE, 37 HOLES OXALIC, 5-7 MIL |
capitolareatech |
NEW |
- |
$99.95
|
0 |
Aug/23/18 |
Jun/23/20 |
|
Description: LAM RESEARCH (LAM) 839-000865-001 NUPRO Flange Gas Line Assembly |
capitolareatech |
NEW |
- |
$22.95
|
0 |
Aug/23/18 |
Jun/23/20 |
|
Description: LAM RESEARCH (LAM) 839-360149-001 KINETICS FLUID SYSTEMS GAS CONNECTION |
capitolareatech |
NEW |
- |
$27.95
|
0 |
Aug/23/18 |
Jun/23/20 |
|
Description: LAM RESEARCH (LAM) 715-011521-001 BRACKET POU GAS FILTER |
capitolareatech |
NEW |
- |
$14.95
|
3 |
Aug/23/18 |
Jun/23/20 |
|
Description: LAM RESEARCH (LAM) 754-007791-001 SHOCK GAS SPRING |
capitolareatech |
Used |
- |
$695.00
|
0 |
Aug/23/18 |
Jun/23/20 |
|
Description: LAM RESEARCH (LAM) 715-011441-001 Ring, Gas Feed 9 " |
svcstore |
Used |
- |
$99.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$149.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$49.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$224.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$224.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$199.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$149.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$149.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$149.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$74.99
|
0 |
Aug/23/18 |
Sep/22/18 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
capitolareatech |
NEW |
- |
$9.95
|
0 |
Aug/24/18 |
Aug/09/19 |
|
Description: Applied Materials (AMAT) 0020-37948 BRACKET SINGLE VALVE GAS BOX CENTURAGECO |
prism_electronics5 |
Used |
- |
$84.99
|
0 |
Aug/30/18 |
Jun/29/22 |
|
Description: AMAT 0150-97519 CABLE GAS PANEL SERIPLEX DC POWER MODULE A PROD S |
intek22 |
NEW |
- |
$450.00
|
1 |
Aug/31/18 |
Dec/08/18 |
|
Description: New LAM Research 715-330135-003 BSR Gas Injection Ring Rev B |
ssssayag |
Used |
- |
$25.00
|
0 |
Sep/01/18 |
Sep/11/18 |
|
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD |
capitolareatech |
NEW |
- |
$69.95
|
0 |
Sep/01/18 |
Nov/01/18 |
|
Description: Applied Materials (AMAT) EPI 0150-20719 CABLE ASSY GAS BOX INTERLOCK |
dnd_surplus |
NEW |
- |
$500.00
|
0 |
Sep/01/18 |
Oct/01/18 |
|
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody |
ok24odef |
Used |
- |
$29.00
|
0 |
Sep/02/18 |
Sep/12/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
capitolareatech |
NEW |
- |
$345.95
|
0 |
Sep/02/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0021-38428 BLANK-OFF GAS LINE SBT--SRP-379-006 |
capitolareatech |
NEW |
- |
$395.95
|
0 |
Sep/02/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0021-38429 BLANK-OFF GAS LINE,S & R, T.M.P.O.,SRP 299-0 |
capitolareatech |
NEW |
- |
$324.95
|
0 |
Sep/02/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0100-09107 PCB ASSY TEOS GAS INTERFACE |
quality_automation_equipment |
NEW |
- |
$225.00
|
0 |
Sep/03/18 |
Oct/03/18 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
dnd_surplus |
Refurbished |
- |
$1,350.00
|
0 |
Sep/03/18 |
Oct/03/18 |
|
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073 |
spsglobal |
Used |
- |
$20.00
|
0 |
Sep/03/18 |
Aug/07/22 |
|
Description: 342-0503// AMAT APPLIED 0020-34261 BRKT,GAS LINES,BOTTOM,CENTURA NEW |
wilus_v3zx7z |
Used |
- |
$550.00
|
0 |
Sep/04/18 |
Oct/04/18 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
techknowledge-e |
Scrap, for parts |
- |
$450.00
|
0 |
Sep/05/18 |
Sep/12/18 |
|
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01 |
pic_insit |
Used |
- |
$940.00
|
0 |
Sep/05/18 |
Oct/05/18 |
|
Description: AMAT Gas Panel Leak Detect Board P/n 0090-75017, #166 |
j316gallery |
Used |
- |
$707.67
|
1 |
Sep/06/18 |
Oct/13/23 |
|
Description: 11679 NOVELLUS PCB, GAS DISTRIBUTION BOX 02-057958-00 |
tfstech |
NEW |
- |
$135.00
|
0 |
Sep/05/18 |
Oct/05/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
maxisemi1349 |
NEW |
- |
$1,505.00
|
0 |
Sep/06/18 |
Sep/27/18 |
|
Description: 0020-33669 GAS DISTRIBUTION PLATE, SEE THRU UNI-LID |
maxisemi1349 |
NEW |
- |
$1,425.00
|
0 |
Sep/06/18 |
Oct/06/18 |
|
Description: 0020-30368 HOUSING GAS DISTR 9" OD 8" PRSP |
spsglobal |
Used |
- |
$60.00
|
0 |
Sep/07/18 |
Oct/15/23 |
|
Description: 343-0102// AMAT APPLIED 0200-00811 INJECTOR, GAS, BLANK-OFF,EMAX NEW |
solanotraders |
Used |
- |
$570.00
|
0 |
Sep/07/18 |
Oct/07/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
tm_semi |
Used |
- |
$1,500.00
|
0 |
Sep/07/18 |
Oct/07/18 |
|
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO |
svcstore |
Used |
- |
$999.99
|
0 |
Sep/07/18 |
Oct/07/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
tfstech |
Used |
- |
$175.00
|
0 |
Sep/07/18 |
Oct/07/18 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
dnd_surplus |
Refurbished |
- |
$2,000.00
|
0 |
Sep/08/18 |
Oct/08/18 |
|
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520 |
dnd_surplus |
Refurbished |
- |
$500.00
|
0 |
Sep/09/18 |
Oct/09/18 |
|
Description: AMAT Applied Materials Plate, Gas Box 0020-30673 |
wwschool |
NEW |
- |
$150.00
|
1 |
Sep/10/18 |
Dec/06/18 |
|
Description: LAM RESEARCH 715-330135-003 REV B BSR Gas Injection Ring |
tanya.kub |
Refurbished |
- |
$300.00
|
0 |
Sep/11/18 |
Dec/10/18 |
|
Description: APPLIED MATERIALS 0200-17845, 0200-15864 GAS MANIFOLD CERAMIC |
tanya.kub |
Refurbished |
- |
$200.00
|
0 |
Sep/11/18 |
Dec/10/18 |
|
Description: APPLIED MATERIALS 0022-10188 CL-MIXER, GAS, 300MM CVD |
capitolareatech |
NEW |
- |
$49.95
|
0 |
Sep/11/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0021-64694 RF STANDOFF, BRASS, HEATED GAS BOX, 300 |
prism_electronics5 |
NEW |
- |
$100.00
|
27 |
Sep/11/18 |
Sep/11/18 |
|
Description: APPLIED MATERIALS AMAT 4020-01094 / GASKLEEN GLFF40000VM4 IN-LINE FILTER GAS |
maxisemi1349 |
NEW |
- |
$150.00
|
0 |
Sep/11/18 |
Oct/11/18 |
|
Description: 0200-09478 PLATE, GAS DIST, UPPER, 200MM ASP PRSP3 |
tm_semi |
Used |
- |
$75.00
|
0 |
Sep/19/18 |
Oct/19/18 |
|
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND. |
j316gallery |
Used |
- |
$1,119.82
|
1 |
Sep/19/18 |
Jul/28/21 |
|
Description: 11928 LAM RESEARCH PCB, GAS BOX INTERLOCK 810-017075-004 |
prism_electronics1 |
Used |
- |
$499.99
|
0 |
Sep/19/18 |
Jan/17/20 |
|
Description: AMAT APPLIED MATERIALS 0200-10246 UNI-INSERT QUARTZ GAS DISTRIBUTOR .88 |
wyse_avenue |
NEW |
- |
$250.00
|
0 |
Sep/20/18 |
Oct/20/18 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
wyse_avenue |
NEW |
- |
$150.00
|
0 |
Sep/20/18 |
Oct/20/18 |
|
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW |
wyse_avenue |
NEW |
- |
$50.00
|
0 |
Sep/20/18 |
Oct/20/18 |
|
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW |
catalystparts |
NEW |
- |
$100.00
|
1 |
Sep/20/18 |
Jul/20/20 |
|
Description: AMAT Applied Materials 3400-01057 Gas Hose 200PSI 40' Parker Push-lok 801-6 |
visionsemi |
Used |
- |
$355.00
|
0 |
Sep/21/18 |
Mar/26/19 |
|
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055 |
engin-15 |
Used |
- |
$80.00
|
0 |
Sep/22/18 |
Oct/22/18 |
|
Description: PN 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
maxisemi1349 |
Used |
- |
$250.00
|
5 |
Sep/22/18 |
Aug/17/22 |
|
Description: 0020-31492 GAS DISTRIBUTION PLATE, 101 HOLES |
ok24odef |
Used |
- |
$29.00
|
0 |
Sep/23/18 |
Oct/03/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
ok24odef |
Used |
- |
$45.00
|
0 |
Sep/23/18 |
Oct/03/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
ok24odef |
Used |
- |
$25.00
|
0 |
Sep/23/18 |
Oct/03/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
svcstore |
Used |
- |
$149.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$49.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$224.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$224.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$149.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$149.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$149.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$199.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$74.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$99.99
|
0 |
Sep/24/18 |
Oct/24/18 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
techshop7777 |
Used |
- |
$739.99
|
0 |
Sep/25/18 |
Oct/25/18 |
|
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015 |
johanmcleo_0 |
NEW |
- |
$40.00
|
0 |
Sep/26/18 |
Oct/26/18 |
|
Description: Amat 0200-09450 Tube, Gas Feed, OD 6.35mm BWCVD / DCVD |
prism_electronics5 |
Used |
- |
$85.00
|
0 |
Sep/26/18 |
Aug/20/22 |
|
Description: APPLIED MATERIALS AMAT 0020-35961 GAS PANEL BLANK OFF |
maxisemi1349 |
NEW |
- |
$1,505.00
|
0 |
Sep/27/18 |
Oct/01/18 |
|
Description: 0020-33669 GAS DISTRIBUTION PLATE, SEE THRU UNI-LID |
spsglobal |
Used |
- |
$760.00
|
0 |
Sep/28/18 |
Dec/09/18 |
|
Description: 147-0201// AMAT APPLIED 0150-76178 EMC COMP., CABLE ASSY, GAS PAN USED |
spsglobal |
Used |
- |
$800.00
|
0 |
Sep/28/18 |
Dec/11/18 |
|
Description: 147-0201// AMAT APPLIED 0150-76177 EMC COMP.,CABLE ASSY,GAS PANEL USED |
capitolareatech |
NEW |
- |
$68.50
|
0 |
Sep/29/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0021-37542 GAS LINE SHAFT |
capitolareatech |
NEW |
- |
$175.00
|
0 |
Sep/29/18 |
Aug/15/19 |
|
Description: Applied Materials (AMAT) 0020-36392 COVER, BACK GAS PANEL TOP |
capitolareatech |
NEW |
- |
$95.00
|
0 |
Sep/29/18 |
Aug/15/19 |
|
Description: Applied Materials (AMAT) 0020-36391 COVER, FRONT GAS PANEL TOP |
capitolareatech |
NEW |
- |
$95.00
|
0 |
Sep/29/18 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 1040-01015 METER FLOW GAS 7-70LPH BRS W/SST FLOAT S |
kenjap |
Scrap, for parts |
- |
$30.00
|
0 |
Sep/30/18 |
Oct/30/18 |
|
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY |
maxisemi1349 |
NEW |
- |
$1,505.00
|
0 |
Oct/01/18 |
Oct/01/18 |
|
Description: 0020-33669 GAS DISTRIBUTION PLATE, SEE THRU UNI-LID |
dnd_surplus |
NEW |
- |
$500.00
|
0 |
Oct/01/18 |
Oct/31/18 |
|
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody |
prism_electronics7 |
Used |
- |
$1,250.00
|
0 |
Oct/01/18 |
Aug/14/20 |
|
Description: APPLIED MATERIALS 0040-18080 RING GAS 18 NOZZLE |
systasemi |
Used |
- |
$2,000.00
|
0 |
Oct/01/18 |
Feb/25/22 |
|
Description: AMAT 0150-35202, CABLE ASSY GAS PANEL UMBILICAL #1 |
systasemi |
Used |
- |
$1,500.00
|
0 |
Oct/01/18 |
Mar/10/19 |
|
Description: AMAT 0150-35204, C/A GAS PANEL UMBILICAL #2 |
ok24surplus |
NEW |
- |
$35.00
|
0 |
Oct/02/18 |
Nov/01/18 |
|
Description: Applied Materials 0050-46867 AMAT, Gas Line Silane Top Feed Al Ultima HD |
prism_electronics5 |
Used |
- |
$425.00
|
0 |
Oct/02/18 |
Jul/25/22 |
|
Description: APPLIED MATERIALS AMAT 0040-09020 DUCT EXHAUST GAS PANEL |
ntc_tech |
Used |
- |
$569.99
|
1 |
Oct/02/18 |
Sep/23/21 |
|
Description: Aera FC-D980C Gas N2 Range 4000 SCCM Mass Flow Controller 22-172760-00 |
qrecycle |
NEW |
- |
$299.00
|
0 |
Oct/02/18 |
Oct/05/18 |
|
Description: applied materials Gas block 0040-00807 / and 0040-00793 |
qrecycle |
NEW |
- |
$299.00
|
0 |
Oct/02/18 |
Oct/05/18 |
|
Description: applied materials Dual gas feed 0020-18385 |
ok24odef |
Used |
- |
$29.00
|
0 |
Oct/03/18 |
Nov/02/18 |
|
Description: Applied Materials, Aera, 3030-07511, Gas N2, Flow Rate 200 sccm |
ok24odef |
Used |
- |
$35.00
|
0 |
Oct/03/18 |
Nov/02/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
dnd_surplus |
Refurbished |
- |
$1,350.00
|
0 |
Oct/03/18 |
Nov/02/18 |
|
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073 |
prism_electronics5 |
Used |
- |
$425.00
|
0 |
Oct/04/18 |
Jun/11/22 |
|
Description: APPLIED MATERIALS AMAT 0100-09063 PCB ASSY GAS PANEL BOARD |
wilus_v3zx7z |
Used |
- |
$550.00
|
0 |
Oct/04/18 |
Nov/03/18 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
prism_electronics8 |
Used |
- |
$99.99
|
0 |
Oct/04/18 |
Aug/30/22 |
|
Description: APPLIED MATERIALS 0200-35771 300MM Gas Feed Ceramic Resistor |
prism_electronics12 |
Used |
- |
$11,200.00
|
0 |
Oct/05/18 |
Nov/18/20 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
prism_electronics8 |
Used |
- |
$284.99
|
0 |
Oct/05/18 |
Aug/30/22 |
|
Description: APPLIED MATERIALS 0140-09035 Inert Gas Alarm Harness |
trch40 |
Used |
- |
$110.49
|
0 |
Oct/05/18 |
Aug/01/19 |
|
Description: AMI AMAT APPLIED MATERIALS 0820-01040 CALIBRATION KIT SENSOR 0190-75076 H2 GAS |
athomemarket |
NEW |
- |
$66.39
|
0 |
Oct/05/18 |
Jan/03/20 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
tfstech |
NEW |
- |
$108.00
|
0 |
Oct/05/18 |
Nov/04/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
northwest_equipment_sales |
NEW |
- |
$225.00
|
0 |
Oct/06/18 |
Nov/05/18 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
pic_insit |
Used |
- |
$930.00
|
0 |
Oct/06/18 |
Nov/05/18 |
|
Description: AMAT Gas Panel Leak Detect Board P/n 0090-75017, #166 |
qrecycle |
NEW |
- |
$299.00
|
0 |
Oct/06/18 |
Oct/11/18 |
|
Description: applied materials Gas block 0040-00807 / and 0040-00793 |
qrecycle |
NEW |
- |
$299.00
|
0 |
Oct/06/18 |
Oct/11/18 |
|
Description: applied materials Dual gas feed 0020-18385 |
athomemarket |
NEW |
- |
$38.99
|
0 |
Oct/06/18 |
Jan/04/20 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
tfstech |
Used |
- |
$140.00
|
0 |
Oct/07/18 |
Nov/06/18 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
tm_semi |
Used |
- |
$1,500.00
|
0 |
Oct/07/18 |
Nov/06/18 |
|
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO |
sparesllc09 |
Used |
- |
$1,202.00
|
0 |
Oct/08/18 |
Jul/29/20 |
|
Description: 0100-02195 / PWBA SDS GAS INTERLOCK PCB / APPLIED MATERIALS AMAT |
prism_electronics8 |
Used |
- |
$154.99
|
0 |
Oct/08/18 |
Aug/23/22 |
|
Description: APPLIED MATERIALS 0150-97509 Chaser Gas Panel Cable Assembly |
dnd_surplus |
Refurbished |
- |
$2,000.00
|
0 |
Oct/08/18 |
Nov/07/18 |
|
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520 |
prism_electronics5 |
Used |
- |
$425.00
|
0 |
Oct/09/18 |
Jun/11/22 |
|
Description: APPLIED MATERIALS AMAT 0100-35342 REACTIVE GAS INTERLOCK PCB BOARD |
prism_electronics12 |
Used |
- |
$16,000.00
|
0 |
Oct/09/18 |
Sep/21/20 |
|
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG |
engin-15 |
NEW |
- |
$70.00
|
0 |
Oct/09/18 |
Nov/08/18 |
|
Description: PN 0200-09450 TUBE GAS FEED OD 6.35MM BWCVD |
prism_electronics7 |
Used |
- |
$2,200.00
|
0 |
Oct/09/18 |
Jun/03/19 |
|
Description: APPLIED MATERIALS 0100-00643 AMAT PCB DUAL GAS LEAK DETECTOR BOARD |
prism_electronics8 |
Used |
- |
$299.99
|
2 |
Oct/09/18 |
May/26/19 |
|
Description: APPLIED MATERIALS 0190-35066 Dual Gas Leak Detector |
dnd_surplus |
Refurbished |
- |
$500.00
|
0 |
Oct/09/18 |
Nov/08/18 |
|
Description: AMAT Applied Materials Plate, Gas Box 0020-30673 |
prism_electronics10 |
Used |
- |
$2,200.00
|
0 |
Oct/10/18 |
Nov/07/19 |
|
Description: APPLIED MATERIALS 0100-00643 AMAT PCB DUAL GAS LEAK DETECTOR BOARD |
prism_electronics12 |
Used |
- |
$18,000.00
|
0 |
Oct/10/18 |
Jul/21/20 |
|
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE |
prism_electronics10 |
Used |
- |
$337.50
|
0 |
Oct/10/18 |
Mar/16/22 |
|
Description: Lot Of 6 Applied Materials AMAT 0040-09926 AMAT Tube,Gas FeedThru, DxZ, Used, |
svcstore |
Used |
- |
$594.99
|
0 |
Oct/10/18 |
Nov/09/18 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
lwltech |
NEW |
- |
$195.00
|
2 |
Oct/11/18 |
Nov/08/18 |
|
Description: PALL GLFPF6101VXM4AM in LINE GAS FILTER, AMAT 4020-01061, NOS |
prism_electronics5 |
Used |
- |
$170.00
|
0 |
Oct/12/18 |
Jun/27/22 |
|
Description: APPLIED MATERIALS AMAT 0020-18799 BRACKET RIGHT DUAL GAS |
tanya.kub |
Used |
- |
$1,950.00
|
0 |
Oct/13/18 |
Dec/10/18 |
|
Description: APPLIED MATERIALS 0041-00187 GAS RING SYM 36 PORT GAS DIST 300MM HDP |
pic_insit |
NEW |
- |
$4,700.00
|
0 |
Oct/13/18 |
Nov/12/18 |
|
Description: AMAT P/N 0050-34815 Gas Panel Valve Manifold, Centura. Stock #193 |
maxisemi1349 |
NEW |
- |
$150.00
|
1 |
Oct/14/18 |
May/15/19 |
|
Description: 0200-09478 PLATE, GAS DIST, UPPER, 200MM ASP PRSP3 |
spsglobal |
Used |
- |
$120.00
|
0 |
Oct/15/18 |
Mar/16/20 |
|
Description: 147-0701// AMAT APPLIED 0150-76224 EMC COMP., CABLE, SPARE DIGITAL GAS PANE USED |
tanya.kub |
Used |
- |
$310.00
|
0 |
Oct/15/18 |
Dec/10/18 |
|
Description: Applied Materials 0200-03984 GAS DISTRIBUTION PLATE, 300MM APC |
prism_electronics10 |
Used |
- |
$112.50
|
0 |
Oct/15/18 |
Mar/16/22 |
|
Description: Applied Materials AMAT 0040-09926 AMAT Tube,Gas FeedThru, DxZ, Used, |
prism_electronics12 |
Used |
- |
$32,500.00
|
0 |
Oct/16/18 |
Feb/23/22 |
|
Description: NEW LAM RESEARCH 846-035344-001 GAS BOX |
prism_electronics5 |
Used |
- |
$127.50
|
0 |
Oct/16/18 |
Aug/03/22 |
|
Description: APPLIED MATERIALS AMAT 0150-97510 CABLE ASSY GAS PANELCH BSER |
prism_electronics5 |
Used |
- |
$425.00
|
0 |
Oct/16/18 |
Aug/03/22 |
|
Description: APPLIED MATERIALS AMAT 0100-35126 PCB ASSEMBLY INCOMPATIBLE GAS LOCKOUT BOARD |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Oct/16/18 |
Nov/15/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
csi.usa |
Used |
- |
$499.99
|
0 |
Oct/18/18 |
Jan/16/19 |
|
Description: AMAT APPLIED MATERIALS 0041-03276 GAS FEED ASSY, TOP CLEAN, Aln 300mm |
athomemarket |
Used |
- |
$600.00
|
0 |
Oct/18/18 |
Jan/16/20 |
|
Description: AMAT Applied Materials 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
spsglobal |
Used |
- |
$160.00
|
0 |
Oct/19/18 |
Dec/21/21 |
|
Description: 150-0501// AMAT APPLIED 0150-09183 CABLE ASSY SET GAS TO REMOTE, USED |
tm_semi |
Used |
- |
$75.00
|
0 |
Oct/19/18 |
Nov/17/18 |
|
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND. |
qrecycle |
NEW |
- |
$299.00
|
0 |
Oct/19/18 |
Oct/26/18 |
|
Description: applied materials Gas block 0040-00807 / and 0040-00793 |
solanotraders |
Used |
- |
$760.00
|
0 |
Oct/19/18 |
Nov/18/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
wyse_avenue |
NEW |
- |
$250.00
|
0 |
Oct/20/18 |
Nov/19/18 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
wyse_avenue |
NEW |
- |
$150.00
|
0 |
Oct/20/18 |
Nov/19/18 |
|
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW |
wyse_avenue |
NEW |
- |
$50.00
|
0 |
Oct/20/18 |
Nov/19/18 |
|
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW |
athomemarket |
NEW |
- |
$99.99
|
1 |
Oct/22/18 |
Oct/17/19 |
|
Description: NEW AMAT 0100-00986 XR80 Implanter PWBA SDS Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$312.99
|
0 |
Oct/22/18 |
Jan/20/20 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
athomemarket |
NEW |
- |
$19.99
|
0 |
Oct/22/18 |
Oct/02/19 |
|
Description: NEW AMAT 3870-05201 Ham-Let HMS20 4VKLQ LD Surface Mount Gas Valve C-Seal |
northwest_equipment_sales |
Used |
- |
$455.00
|
0 |
Oct/23/18 |
Nov/22/18 |
|
Description: LAM RESEARCH 715-330984-001 BSR Gas Injection Ring |
johanmcleo_0 |
NEW |
- |
$419.00
|
0 |
Oct/23/18 |
May/26/22 |
|
Description: LAM research 839-330399-001 REV E2, one hole gas ring, VCR new sealed |
ok24odef |
Used |
- |
$19.00
|
1 |
Nov/03/18 |
Dec/03/18 |
|
Description: Applied Materials, Aera, 3030-07511, Gas N2, Flow Rate 200 sccm |
sparesllc09 |
NEW |
- |
$350.00
|
0 |
Nov/02/18 |
Mar/18/20 |
|
Description: 0041-05336 / QX+ GAS LINE ASSY / APPLIED MATERIALS AMAT |
dnd_surplus |
Refurbished |
- |
$1,350.00
|
0 |
Nov/02/18 |
Dec/02/18 |
|
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073 |
vizvik16 |
Used |
- |
$200.00
|
1 |
Nov/02/18 |
May/25/21 |
|
Description: Applied Materials 1310-00050 TC assy dual circuit gas feed blk 300mm Working |
ok24odef |
Used |
- |
$22.00
|
0 |
Nov/03/18 |
Dec/03/18 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
wilus_v3zx7z |
Used |
- |
$550.00
|
0 |
Nov/03/18 |
Dec/03/18 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
tfstech |
NEW |
- |
$135.00
|
0 |
Nov/04/18 |
Dec/04/18 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
engin-15 |
Used |
- |
$70.00
|
0 |
Nov/04/18 |
Dec/04/18 |
|
Description: PN 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
pic_insit |
Used |
- |
$920.00
|
1 |
Nov/05/18 |
Nov/06/18 |
|
Description: AMAT Gas Panel Leak Detect Board P/n 0090-75017, #166 |
tm_semi |
Used |
- |
$1,500.00
|
0 |
Nov/06/18 |
Dec/06/18 |
|
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO |
tfstech |
Used |
- |
$175.00
|
0 |
Nov/06/18 |
Dec/06/18 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
maxisemi1349 |
NEW |
- |
$1,575.00
|
1 |
Nov/07/18 |
Nov/13/18 |
|
Description: 0020-30223 PLATE GAS DISTRIBUTION 133 HOLES |
dnd_surplus |
Refurbished |
- |
$2,000.00
|
0 |
Nov/07/18 |
Dec/07/18 |
|
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520 |
visionsemi |
Used |
- |
$485.00
|
0 |
Nov/08/18 |
Jun/15/23 |
|
Description: APPLIED MATERIALS AMAT 0200-02873 GAS 200MM DISTRIBUTION PLATE GDP |
dnd_surplus |
Refurbished |
- |
$500.00
|
0 |
Nov/08/18 |
Dec/08/18 |
|
Description: AMAT Applied Materials Plate, Gas Box 0020-30673 |
farmoninc |
NEW |
- |
$150.00
|
0 |
Nov/08/18 |
Nov/10/18 |
|
Description: AMAT 0190-12567 Photohelic Switch 0-125 PA, 300MM Gas PA, 417735 |
novusferro |
NEW |
- |
$3,999.00
|
2 |
Nov/08/18 |
Dec/29/20 |
|
Description: Lam Research 2300 Metal Etch 715-801916-008 Plt, Gas Dist w/ Grv Htr |
allforsale555 |
Used |
- |
$199.00
|
1 |
Nov/10/18 |
Jul/25/20 |
|
Description: Applied materials 0040-03868 BLOCK, HTR, PREHEAT, CARRIER GAS, BACK E |
engin-15 |
NEW |
- |
$70.00
|
0 |
Nov/09/18 |
Dec/09/18 |
|
Description: PN 0200-09450 TUBE GAS FEED OD 6.35MM BWCVD |
northwest_equipment_sales |
NEW |
- |
$225.00
|
0 |
Nov/10/18 |
Dec/10/18 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
maxisemi1349 |
NEW |
- |
$400.00
|
1 |
Nov/15/18 |
Dec/19/18 |
|
Description: 0020-30816 PLATE GAS DISTRIBUTION 13 HOLES |
j316gallery |
Used |
- |
$600.00
|
0 |
Nov/14/18 |
Dec/27/18 |
|
Description: C0515 APPLIED MATERIAL PCB, DUAL GAS LEAK DETECTOR 0190-35066 0100-35036 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Nov/16/18 |
Dec/16/18 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
tm_semi |
Used |
- |
$75.00
|
0 |
Nov/17/18 |
Dec/17/18 |
|
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND. |
solanotraders |
Used |
- |
$570.00
|
0 |
Nov/18/18 |
Dec/18/18 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
wyse_avenue |
NEW |
- |
$250.00
|
0 |
Nov/19/18 |
Dec/19/18 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
wyse_avenue |
NEW |
- |
$50.00
|
0 |
Nov/19/18 |
Dec/19/18 |
|
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW |
wyse_avenue |
NEW |
- |
$150.00
|
0 |
Nov/19/18 |
Dec/19/18 |
|
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW |
tchaban88 |
NEW |
- |
$50.00
|
0 |
Nov/19/18 |
Nov/26/18 |
|
Description: MOTT POU-3-SV1 GAS SHIELD 2500 PSI MAX. 06032-3159 , AMAT - 4020-01212 |
techse11 |
NEW |
- |
$220.00
|
0 |
Nov/20/18 |
Dec/20/18 |
|
Description: AMAT 3.31" 1/4" VCR Inline Gas Filter Entegris WG3NS6RR2 WAFER 4020-01285 |
allforsale555 |
Used |
- |
$99.00
|
1 |
Nov/22/18 |
Aug/14/19 |
|
Description: Applied Materials 0040-82245 Rev 2 Center Gas Feed Block, Extended, top cle |
j316gallery |
Used |
- |
$3,300.00
|
0 |
Nov/23/18 |
Apr/15/19 |
|
Description: 12464 APPLIED MATERIAL GAS PANEL SERIPLEX PCB, CH-SET A, PROD X 0190-35653 |
tchaban88 |
NEW |
- |
$95.00
|
0 |
Nov/26/18 |
Jul/15/19 |
|
Description: MOTT POU-3-SV1 GAS SHIELD 2500 PSI MAX. 06032-3159 , AMAT - 4020-01212 |
techshop7777 |
Used |
- |
$739.99
|
0 |
Nov/28/18 |
Dec/28/18 |
|
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015 |
dnd_surplus |
NEW |
- |
$500.00
|
0 |
Nov/30/18 |
Dec/30/18 |
|
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody |
dnd_surplus |
Refurbished |
- |
$1,350.00
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073 |
svcstore |
Used |
- |
$147.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$147.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$130.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$98.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$98.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$98.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$49.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$65.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$98.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$34.99
|
0 |
Dec/02/18 |
Jan/01/19 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
bobsgoodies2 |
Used |
- |
$25.00
|
0 |
Dec/03/18 |
Mar/31/22 |
|
Description: APPLIED MATERIALS (AMAT) 0015-09213 GROMMET,GAS INLET HOLE (Lot of 11) |
wilus_v3zx7z |
Used |
- |
$500.00
|
0 |
Dec/04/18 |
Jan/03/19 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
tfstech |
NEW |
- |
$135.00
|
0 |
Dec/04/18 |
Jan/03/19 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
maxisemi1349 |
NEW |
- |
$395.00
|
0 |
Dec/05/18 |
Jan/04/19 |
|
Description: 0200-00410 UNI-INSERT, GAS DISTRIBUTION, 88 HOLES, |
tfstech |
Used |
- |
$175.00
|
0 |
Dec/06/18 |
Jan/05/19 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
tm_semi |
Used |
- |
$1,500.00
|
0 |
Dec/06/18 |
Jan/05/19 |
|
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO |
dnd_surplus |
Refurbished |
- |
$2,000.00
|
0 |
Dec/07/18 |
Jan/06/19 |
|
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520 |
dnd_surplus |
Refurbished |
- |
$500.00
|
0 |
Dec/08/18 |
Jan/07/19 |
|
Description: AMAT Applied Materials Plate, Gas Box 0020-30673 |
maxisemi1349 |
NEW |
- |
$495.00
|
0 |
Dec/09/18 |
Jan/08/19 |
|
Description: 0020-32263 GAS DIST PLATE,145 HOLES .156 THICK, |
northwest_equipment_sales |
NEW |
- |
$225.00
|
0 |
Dec/10/18 |
Jan/09/19 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
northwest_equipment_sales |
Used |
- |
$455.00
|
1 |
Dec/10/18 |
Dec/20/18 |
|
Description: LAM RESEARCH 715-330984-001 BSR Gas Injection Ring |
pic_insit |
NEW |
- |
$3,800.00
|
0 |
Dec/14/18 |
May/15/20 |
|
Description: AMAT P/N 0050-34815 Gas Panel Valve Manifold, Centura. Stock #193 |
earthfriendlyrecyclingwa |
NEW |
- |
$179.99
|
1 |
Dec/14/18 |
Feb/20/19 |
|
Description: APPLIED MATERIALS FLTR IN-LN GAS 6101PSIG 1/4-GSKT MLIN M-VCR SST P/N 4020-01061 |
svcstore |
Used |
- |
$430.99
|
0 |
Dec/14/18 |
Jan/13/19 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
ok24odef |
Used |
- |
$22.00
|
0 |
Dec/16/18 |
Jan/15/19 |
|
Description: UNIT CELERITY UFC-8561C MFC, Gas H2, Flow Rate 50 sccm, AMAT 3030-12142 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Dec/17/18 |
Jan/16/19 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
tm_semi |
Used |
- |
$75.00
|
0 |
Dec/17/18 |
Jan/16/19 |
|
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND. |
dom0808 |
Used |
- |
$2,528.90
|
0 |
Dec/26/18 |
Feb/11/22 |
|
Description: Lam Research Jetstream Gas Box MB 810-073479-005 |
cnlholdings |
NEW |
- |
$313.50
|
0 |
Dec/26/18 |
Jan/17/21 |
|
Description: LAM Research RING,GAS FEED,DUAL ZONE 713-080706-003 |
solanotraders |
Used |
- |
$570.00
|
0 |
Dec/28/18 |
Jan/27/19 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
techshop7777 |
Used |
- |
$739.99
|
0 |
Dec/29/18 |
Jan/28/19 |
|
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015 |
dnd_surplus |
NEW |
- |
$500.00
|
0 |
Dec/30/18 |
Jan/29/19 |
|
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody |
tdindustrial |
NEW |
- |
$7.50
|
0 |
Dec/31/18 |
Nov/19/21 |
|
Description: LAM RESEARCH (LAM) SHOCK GAS SPRING, 754-007791-001 |
dnd_surplus |
Refurbished |
- |
$1,350.00
|
0 |
Jan/01/19 |
Jan/31/19 |
|
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073 |
maxisemi1349 |
Used |
- |
$950.00
|
0 |
Jan/02/19 |
Aug/26/22 |
|
Description: 0020-04013 PRESSURE CAP, GAS COOLED |
tfstech |
NEW |
- |
$135.00
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
svcstore |
Used |
- |
$111.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$42.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$56.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$84.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$29.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$125.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$125.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$84.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$84.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$84.99
|
0 |
Jan/03/19 |
Feb/02/19 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
techse11 |
NEW |
- |
$220.00
|
0 |
Jan/04/19 |
Feb/03/19 |
|
Description: AMAT 3.31" 1/4" VCR Inline Gas Filter Entegris WG3NS6RR2 WAFER 4020-01285 |
wilus_v3zx7z |
Used |
- |
$450.00
|
0 |
Jan/04/19 |
Feb/03/19 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
tfstech |
Used |
- |
$175.00
|
0 |
Jan/05/19 |
Feb/04/19 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
tm_semi |
Used |
- |
$1,500.00
|
0 |
Jan/05/19 |
Feb/04/19 |
|
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO |
dnd_surplus |
Refurbished |
- |
$2,000.00
|
0 |
Jan/06/19 |
Feb/05/19 |
|
Description: AMAT Applied Materials Assy. WSi 8" Gas Box 0010-09520 |
zindchau15 |
NEW |
- |
$220.00
|
4 |
Jan/07/19 |
Apr/06/20 |
|
Description: APPLIED MATERIALS 4020-01145 FLTRIN-LINE GAS 3000PSI 1/4VCR-M 100SLM SST 10RA |
dnd_surplus |
Refurbished |
- |
$500.00
|
0 |
Jan/07/19 |
Feb/06/19 |
|
Description: AMAT Applied Materials Plate, Gas Box 0020-30673 |
maxisemi1349 |
NEW |
- |
$395.00
|
1 |
Jan/08/19 |
Apr/15/19 |
|
Description: 0200-00410 UNI-INSERT, GAS DISTRIBUTION, 88 HOLES, |
j316gallery |
Used |
- |
$5,133.15
|
0 |
Jan/08/19 |
Jan/04/21 |
|
Description: 12281 APPLIED MATERIAL PCB, GAS PANEL SERIPLEX PCB,CH-SET C, PROD X 0190-35763 |
engin-15 |
Used |
- |
$80.00
|
0 |
Jan/08/19 |
Feb/07/19 |
|
Description: PN 0200-00410 UNI-INSERT,GAS DISTRIBUTION, 88 HOLES |
engin-15 |
NEW |
- |
$70.00
|
0 |
Jan/08/19 |
Feb/07/19 |
|
Description: PN 0200-09450 TUBE GAS FEED OD 6.35MM BWCVD |
zindchau15 |
NEW |
- |
$220.00
|
2 |
Jan/09/19 |
Jan/03/20 |
|
Description: APPLIED MATERIALS 4020-01040 FILTER IN-LN GAS 3000PSIG 1/4-GSKT SEAL M/M-VCR SST |
northwest_equipment_sales |
NEW |
- |
$225.00
|
0 |
Jan/10/19 |
Feb/09/19 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
powersell007 |
NEW |
- |
$499.00
|
1 |
Jan/11/19 |
Dec/05/19 |
|
Description: APPLIED MATERIALS 0020-33808 ADAPTER GAS FEED DPS AMAT *UNUSED, SEALED* |
maxisemi1349 |
NEW |
- |
$495.00
|
0 |
Jan/14/19 |
Feb/13/19 |
|
Description: 0020-32263 GAS DIST PLATE,145 HOLES .156 THICK, |
maxisemi1349 |
NEW |
- |
$365.00
|
0 |
Jan/14/19 |
Feb/13/19 |
|
Description: 0020-09933 GAS DISTR. PLATE SPUTTER |
svcstore |
Used |
- |
$387.99
|
0 |
Jan/15/19 |
Feb/14/19 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Jan/16/19 |
Feb/15/19 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
tm_semi |
Used |
- |
$75.00
|
0 |
Jan/16/19 |
Feb/15/19 |
|
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND. |
dr.dantom |
Used |
- |
$180.00
|
7 |
Jan/17/19 |
May/15/19 |
|
Description: Horiba Stec AMAT SEC-4400M 3030-06426 GAS O2 400 SCCM Mass Flow Controller |
dr.dantom |
Used |
- |
$450.00
|
0 |
Jan/17/19 |
Nov/17/24 |
|
Description: Horiba Stec AMAT SEC-4400M 3030-06426 GAS O2 400 SCCM Mass Flow Controller |
dr.dantom |
Used |
- |
$285.00
|
0 |
Jan/17/19 |
Nov/17/24 |
|
Description: AMAT Celerity 3030-13898 DSMAE10R GAS AR 50SCCM IN2 Mass Flow Controller |
adelrick123 |
NEW |
- |
$60.00
|
3 |
Jan/17/19 |
Sep/01/22 |
|
Description: AMAT 0020-30357 Plug Dist Plate Process Gas |
maxisemi1349 |
Used |
- |
$250.00
|
9 |
Jan/18/19 |
Jul/07/22 |
|
Description: 0020-31488 GAS DISTRIBUTION PLATE,13 HOLES |
wyse_avenue |
NEW |
- |
$250.00
|
0 |
Jan/18/19 |
Feb/17/19 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
wyse_avenue |
NEW |
- |
$50.00
|
0 |
Jan/18/19 |
Feb/17/19 |
|
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW |
wyse_avenue |
NEW |
- |
$150.00
|
0 |
Jan/18/19 |
Feb/17/19 |
|
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW |
tjtechseller |
NEW |
- |
$800.00
|
1 |
Jan/21/19 |
Jan/21/24 |
|
Description: 0040-34339 Applied Materials CENTER GAS FEED BLOCK TOP CLEAN ULTIMA 1.5 |
bobsgoodies2 |
Used |
- |
$129.00
|
0 |
Jan/22/19 |
Mar/31/22 |
|
Description: AMAT Applied Materials 0021-39009 BRACKET BLOCK VALVE CAJON,GAS BOX (Lot of 2) |
onlinesemi |
NEW |
- |
$25,000.00
|
0 |
Jan/26/19 |
Feb/18/19 |
|
Description: Applied Materials AMAT Tube Gas 300114-4615-0001, 0041-82918 REV 01, SHIPSAMEDAY |
solanotraders |
Used |
- |
$608.00
|
0 |
Jan/27/19 |
Feb/26/19 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
techshop7777 |
Used |
- |
$739.99
|
0 |
Jan/28/19 |
Feb/27/19 |
|
Description: Applied Materials AMAT Gas Box and Linear Heater Controller assembly 0060-02015 |
dnd_surplus |
NEW |
- |
$500.00
|
0 |
Jan/29/19 |
Feb/28/19 |
|
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody |
dnd_surplus |
Refurbished |
- |
$1,350.00
|
0 |
Jan/31/19 |
Mar/02/19 |
|
Description: AMAT Applied Materials P5000 DXL Gas Box 0040-32073 |
tfstech |
NEW |
- |
$135.00
|
0 |
Feb/02/19 |
Mar/04/19 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
vizvik16 |
Used |
- |
$270.00
|
2 |
Feb/02/19 |
Jul/02/24 |
|
Description: APPLIED MATERIALS GAS PANEL CINTROLLER BACKPPLANE 0100-00446 |
tfstech |
Used |
- |
$175.00
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
tm_semi |
Used |
- |
$1,500.00
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO |
svcstore |
Used |
- |
$95.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$72.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$72.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$36.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$48.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$72.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$24.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$107.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$107.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$72.99
|
0 |
Feb/04/19 |
Mar/06/19 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
dnd_surplus |
Refurbished |
- |
$500.00
|
0 |
Feb/06/19 |
Mar/08/19 |
|
Description: AMAT Applied Materials Plate, Gas Box 0020-30673 |
storemanager-2009 |
Scrap, for parts |
- |
$400.00
|
1 |
Feb/07/19 |
Feb/21/19 |
|
Description: NOVELLUS 02-108325-00 DURAFLO-U GAS CABINET |
csi.usa |
Used |
- |
$499.99
|
1 |
Feb/08/19 |
Mar/10/19 |
|
Description: AMAT APPLIED MATERIALS 0041-03276 GAS FEED ASSY, TOP CLEAN, Aln 300mm |
csi.usa |
NEW |
- |
$99.99
|
1 |
Feb/08/19 |
Sep/25/19 |
|
Description: NEW AMAT APPLIED MATERIALS 0190-27008 GAS FEED 3/8" BLOCK TO BLOCK HOSE ASSY |
northwest_equipment_sales |
NEW |
- |
$225.00
|
0 |
Feb/11/19 |
Mar/13/19 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
maxisemi1349 |
NEW |
- |
$495.00
|
0 |
Feb/13/19 |
Mar/15/19 |
|
Description: 0020-32263 GAS DIST PLATE,145 HOLES .156 THICK, |
maxisemi1349 |
NEW |
- |
$365.00
|
0 |
Feb/13/19 |
Mar/15/19 |
|
Description: 0020-09933 GAS DISTR. PLATE SPUTTER |
surpluseq* |
NEW |
- |
$998.05
|
0 |
Feb/14/19 |
Nov/14/19 |
|
Description: Lam Research Interferometer Top Gas Disk, 716-331157-013 |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Feb/15/19 |
Mar/17/19 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
svcstore |
Used |
- |
$329.99
|
2 |
Feb/15/19 |
Mar/13/19 |
|
Description: SMC US5833 Gas Panel Pneumatic Manifold Assy AMAT 0190-06402 +12x Solenoid Valve |
tm_semi |
Used |
- |
$75.00
|
0 |
Feb/15/19 |
Mar/18/19 |
|
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND. |
wyse_avenue |
NEW |
- |
$50.00
|
0 |
Feb/17/19 |
Mar/19/19 |
|
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW |
wyse_avenue |
NEW |
- |
$150.00
|
0 |
Feb/17/19 |
Mar/19/19 |
|
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW |
wyse_avenue |
NEW |
- |
$250.00
|
0 |
Feb/17/19 |
Mar/19/19 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
spsglobal |
NEW |
- |
$1,200.00
|
0 |
Feb/17/19 |
Mar/27/19 |
|
Description: 105-0101// AMAT APPLIED 0010-22326 ASSY, N2 HEATER FOR REMOTE GAS NEW |
vizvik16 |
NEW |
- |
$90.00
|
1 |
Feb/18/19 |
Dec/06/23 |
|
Description: APPLIED MATERIALS 0010-46718 ASSY GAS PLUG 300MM PVD |
onlinesemi |
Used |
- |
$25,000.00
|
0 |
Feb/18/19 |
Aug/30/21 |
|
Description: Applied Materials AMAT 300114-4615-0001, 0041-82918 REV 01, Tube Gas SHIPSAMEDAY |
powersell007 |
Used |
- |
$12,999.00
|
1 |
Feb/19/19 |
Jul/26/19 |
|
Description: MKS ASTeX ASTRONi AX7670-18 REACTIVE GAS GENERATOR CDN127-4 AMAT 0190-08538 |
cosplity |
NEW |
- |
$1,100.00
|
0 |
Feb/20/19 |
Aug/20/22 |
|
Description: AMAT 3030-01056 MASS FLOW CONTROLLER UFC-1100A GAS He 50SCCM |
northwest_equipment_sales |
NEW |
- |
$225.00
|
0 |
Mar/14/19 |
Apr/13/19 |
|
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1 |
powersell007 |
Used |
- |
$899.00
|
1 |
Mar/18/19 |
Jan/17/23 |
|
Description: APPLIED MATERIALS 0200-07970 GAS MANIFOLD, LID, CERAMIC, MIXING, 300MM CVD-Co |
semiconductorsolution |
NEW |
- |
$450.00
|
0 |
Mar/18/19 |
Apr/17/19 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
wyse_avenue |
NEW |
- |
$250.00
|
0 |
Mar/19/19 |
May/03/19 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
wyse_avenue |
NEW |
- |
$150.00
|
0 |
Mar/19/19 |
May/03/19 |
|
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW |
wyse_avenue |
NEW |
- |
$50.00
|
0 |
Mar/19/19 |
May/03/19 |
|
Description: AMAT 0242-34634, (KIT, MOUNTING BRACKET GAS LINE TO FI LEFT, 300MM-NEW |
techse11 |
NEW |
- |
$215.00
|
3 |
Mar/26/19 |
Jul/05/19 |
|
Description: AMAT 3.31" 1/4" VCR Inline Gas Filter Entegris WG3NS6RR2 WAFER 4020-01285 |
cosplity |
NEW |
- |
$1,100.00
|
0 |
Mar/27/19 |
Aug/30/24 |
|
Description: AMAT 0225-31826 MASS FLOW CONTROOLER UNIT UFM-1100 GAS N2 / 1SLM |
gemrkim1103 |
Used |
- |
$1,899.00
|
0 |
Mar/28/19 |
May/24/22 |
|
Description: Lam Research PCBA, JETSTREAM GAS BOX MB 810-073479-105 / Free Exp. Shipping |
storemanager-2009 |
Used |
- |
$525.00
|
0 |
Mar/28/19 |
Jul/17/21 |
|
Description: AMAT 0040-18158 GAS NOZZLE RING 18-HOLE REV B |
getspares.com_sparesllc09 |
Used |
- |
$560.00
|
0 |
Mar/28/19 |
Nov/21/22 |
|
Description: 0100-03320 / PCBA, HDPCVD 300MM AP GAS PANEL DISTRIBUTION / APPLIED MATERIALS |
storemanager-2009 |
Scrap, for parts |
- |
$700.00
|
2 |
Mar/28/19 |
Apr/08/19 |
|
Description: AMAT 0040-04650 RING, SYM, GAS DIST, 24 PORTS, ULTI |
riverstar777 |
Used |
- |
$15,000.00
|
0 |
Mar/29/19 |
Jun/04/20 |
|
Description: LAM Research 571-065780-702 16 Line Gas Box |
athomemarket |
NEW |
- |
$99.99
|
1 |
Mar/29/19 |
Apr/05/19 |
|
Description: NEW AMAT 0010-31450 Surface Mount C-Seal Gas Stick 1/4" VCR Substrate Assembly |
dnd_surplus |
NEW |
- |
$250.00
|
1 |
Mar/30/19 |
Dec/02/21 |
|
Description: AMAT Applied Materials 0020-31692 FTG, Elbow Gas Feed, Unibody |
cosplity |
NEW |
- |
$1,100.00
|
0 |
Apr/02/19 |
Sep/02/22 |
|
Description: AMAT 0225-15085 MASS FLOW CONTROOLER UNIT UFC-1260A GAS H2 / 500SCCM |
tfstech |
Used |
- |
$75.00
|
1 |
Apr/05/19 |
Feb/11/20 |
|
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board |
tfstech |
NEW |
- |
$65.00
|
0 |
Apr/03/19 |
Apr/21/20 |
|
Description: AMAT Applied Materials 0040-00443 Gas Tube Weldment Oxide |
cosplity |
NEW |
- |
$1,100.00
|
0 |
Apr/09/19 |
Sep/09/22 |
|
Description: AMAT 3030-01694 MASS FLOW CONTROLLER UNIT UFC-1160A GAS HBr / 100 SCCM |
wilus_v3zx7z |
Used |
- |
$320.00
|
1 |
Apr/09/19 |
Sep/16/19 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
svcstore |
Used |
- |
$53.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$53.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$78.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$78.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$21.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$53.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$38.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$29.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$69.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$53.99
|
0 |
Apr/08/19 |
Sep/16/19 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
wilus_v3zx7z |
Used |
- |
$390.00
|
1 |
Apr/07/19 |
Apr/08/19 |
|
Description: APPLIED MATERIALS 0090-00811 DUAL GAS LEAK DETECTOR PCB |
solanotraders |
Used |
- |
$608.00
|
1 |
Apr/12/19 |
Nov/23/20 |
|
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD |
usedeqsales |
Used |
- |
$705.19
|
0 |
May/01/19 |
Jun/29/23 |
|
Description: Lam Research 810-707054-002 Gas Box I/O Interlock Board PCB FPD Continuum Spare |
usedeqsales |
Used |
- |
$705.19
|
0 |
May/01/19 |
Jun/29/23 |
|
Description: Lam Research 810-707054-001 Gas Box I/O Interlock Board PCB FPD Continuum Spare |
grandbirdnet |
NEW |
- |
$2,800.00
|
1 |
Apr/30/19 |
Nov/18/21 |
|
Description: AMAT 0041-03276 Assy, Gas Feed, Top Clean, Aln 300m, NEW |
sfwish |
Used |
- |
$7,490.00
|
1 |
Apr/30/19 |
May/11/22 |
|
Description: NEW Applied Materials/AMAT 0040-53688 Gas Box *NO BLUE BOX!* |
visionsemi |
Used |
- |
$305.00
|
0 |
Apr/29/19 |
Apr/29/19 |
|
Description: APPLIED MATERIALS AMAT DUAL GAS LEAK DETECTOR 0090-00811 |
spsglobal |
NEW |
- |
$270.00
|
1 |
May/09/19 |
Apr/16/20 |
|
Description: 344-0402// AMAT APPLIED 1040-01065 METER FLOW GAS 70LPH SST W/SST VITON 1/4 NEW |
semiconductorsolution |
NEW |
- |
$450.00
|
1 |
May/13/19 |
Sep/23/21 |
|
Description: AMAT, Applied Materials, 3780-02273, Gas Spring 12.8" Strk 100lbs |
j316gallery |
Used |
- |
$218.06
|
1 |
May/14/19 |
May/04/23 |
|
Description: 4468 MYKROLIS IN-LINE GAS FILTER ¼ GASKET SEAL, AMAT 4020-01137 (NEW) WG2F02RR2 |
bt_store1 |
Used |
- |
$1,450.00
|
0 |
May/15/19 |
Jul/15/21 |
|
Description: AMAT 0040-09920 GAS FEEDTROUGH |
katiil3 |
Used |
- |
$69.00
|
0 |
May/21/19 |
Oct/23/21 |
|
Description: AMAT Applied Materials 3400-01057 Gas Hose 200PSI |
asmtk |
NEW |
- |
$900.00
|
0 |
May/23/19 |
Oct/01/21 |
|
Description: Applied Materials 0040-81949 COVER SIDE DUAL GAS 300 EMAX AMAT |
bt_store22 |
Used |
- |
$1,100.00
|
0 |
May/29/19 |
Jul/15/21 |
|
Description: AMAT 0040-75457 GAS FEED THRU TUBE |
bt_store1 |
Used |
- |
$1,800.00
|
0 |
May/28/19 |
Jul/15/21 |
|
Description: AMAT 0040-02520 Gas Box |
bt_store22 |
Used |
- |
$4,950.00
|
1 |
May/30/19 |
Jul/30/20 |
|
Description: AMAT 0010-10895 WXZ GAS PANEL DC PWER SUPPLY |
dgold32 |
Used |
- |
$299.99
|
1 |
May/30/19 |
Mar/31/21 |
|
Description: LAM RESEARCH 810-073479-005 JET STREAM GAS BOX MB MAIN BOARD |
bt_store22 |
Used |
- |
$3,200.00
|
0 |
Jun/03/19 |
Jul/15/21 |
|
Description: 'AMAT 0190-35197 GAS PANEL MANIFOLD G |
novusferro |
Used |
- |
$599.00
|
0 |
May/30/19 |
Jan/23/22 |
|
Description: Lam Research 715-811916-808 PLT, GAS Dist w DRV HTR |
novusferro |
Used |
- |
$3,999.00
|
0 |
May/30/19 |
Dec/29/20 |
|
Description: Lam Research 2300 Metal Etch 715-801916-808 PLT, GAS Dist w GRV HTR |
bt_store1 |
Used |
- |
$125.00
|
0 |
Jun/05/19 |
Jul/15/21 |
|
Description: AMAT 0227-02731 HARNESS ASSY GAS PANEL EXHAUST FLOW |
bt_store1 |
Used |
- |
$4,550.00
|
1 |
Jun/05/19 |
Jul/15/21 |
|
Description: AMAT 0040-31980 WXZ GAS BOX |
bt_store1 |
Used |
- |
$4,900.00
|
0 |
Jun/05/19 |
Jul/15/21 |
|
Description: AMAT 0040-09095 GAS BOX 200MM |
bt_store1 |
Used |
- |
$1,800.00
|
1 |
Jun/05/19 |
Jul/02/19 |
|
Description: AMAT 0100-35086 PCB ASSEMBLY GAS PANEL DISTRIBUTION |
bt_store1 |
Used |
- |
$270.00
|
0 |
Jun/05/19 |
Jul/15/21 |
|
Description: AMAT 0150-20012 OBSOLETE CABLE ASSY GAS INT CABLE |
vizvik16 |
Used |
- |
$250.00
|
0 |
Jun/05/19 |
Oct/28/19 |
|
Description: Advanced Energy Aera FC-PA7810C MFC, 20 SLM, N2 gas, Novellus 22-308816-00 |
prism_electronics7 |
Used |
- |
$2,090.00
|
1 |
Jun/03/19 |
Nov/07/19 |
|
Description: APPLIED MATERIALS 0100-00643 AMAT PCB DUAL GAS LEAK DETECTOR BOARD |
farmoninc |
NEW |
- |
$371.25
|
1 |
Jun/10/19 |
Sep/29/19 |
|
Description: AMAT 4020-01061 Filter IN-LN GAS 6101PSIG, Pall Gaskleen GLFPF6101VXM4AM, 451396 |
bt_store1 |
Used |
- |
$900.00
|
0 |
Jun/10/19 |
Jul/15/21 |
|
Description: AMAT 0050-61972 NF3 GAS LINE CHAMBER PROD SE MARK |
bt_store1 |
Used |
- |
$245.00
|
0 |
Jun/10/19 |
Jul/15/21 |
|
Description: AMAT 0150-35539 C A GAS PANEL POWER 55 FT |
bt_store1 |
Used |
- |
$3,450.00
|
0 |
Jun/10/19 |
Jul/15/21 |
|
Description: AMAT 0040-53688 Gas box |
farmoninc |
NEW |
- |
$215.00
|
5 |
Jun/11/19 |
Aug/31/20 |
|
Description: Entegris WG3NS6RR2 Wafergard Inline Gas Filter, AMAT 4020-01285, 451406 |
bt_store22 |
Used |
- |
$500.00
|
0 |
Jun/11/19 |
Jul/15/21 |
|
Description: AMAT 0020-26967 PCII gas trench cover |
getspares.com_sparesllc09 |
Used |
- |
$2,000.82
|
0 |
Jun/13/19 |
May/30/23 |
|
Description: 0010-09247 /ASSY,BWCVD BASIC GAS BOX/ APPLIED MATERIALS |
getspares.com_sparesllc09 |
Used |
- |
$2,000.82
|
0 |
Jun/13/19 |
May/30/23 |
|
Description: 0010-09959 /ASSY GAS BOX BWCVD/ APPLIED MATERIALS |
bt_store1 |
Used |
- |
$150.00
|
0 |
Jun/13/19 |
Aug/22/19 |
|
Description: AMAT 0270-20017 FIXTURE GAS SPRING ASSY |
bt_store1 |
Used |
- |
$180.00
|
0 |
Jun/13/19 |
Jul/15/21 |
|
Description: AMAT 0270-20018 Fixture Gas Spring Assy 13in |
dr.dantom |
NEW |
- |
$700.00
|
0 |
Jun/18/19 |
Nov/18/24 |
|
Description: UNIT MFC UFC-1660 3 SLM Gas: O2 Mass Flow Controller 1660-100424 AMAT 3030-01953 |
j316gallery |
NEW |
- |
$685.51
|
0 |
Jun/18/19 |
Aug/03/21 |
|
Description: 10048 APPLIED MATERIALS VALVE, GAS LINE #3 PRECLEAN CHAMBER (NEW) 0190-20015 |
autoquip7 |
NEW |
- |
$755.00
|
5 |
Jun/17/19 |
Jun/20/19 |
|
Description: 0100-35036, Applied Materials, AMAT, PCB, DUAL GAS LEAK DETECTOR |
cosplity |
NEW |
- |
$900.00
|
1 |
Jun/17/19 |
Sep/17/22 |
|
Description: AMAT 0190-41103 MFC HORIBA STEC SEC-Z714AGX GAS N2 / 300SCCM |
bt_store1 |
Used |
- |
$55.00
|
0 |
Jun/17/19 |
Jun/17/21 |
|
Description: AMAT 0050-03174 GAS LINE |
sparesllc09 |
Used |
- |
$50.00
|
0 |
Jun/19/19 |
Sep/10/20 |
|
Description: 15-278968-00 / TOSOH WET CLEAN KIT CERAMIC GAS FLOW INSULATOR / NOVELLUS |
cosplity |
NEW |
- |
$950.00
|
0 |
Jun/19/19 |
Sep/19/22 |
|
Description: AMAT 3030-01543 MASS FLOW CONTROLLER UFC-1160 GAS SiH2C12 / 1 SLM |
autoquip7 |
Used |
- |
$1,050.00
|
0 |
Jun/18/19 |
Jul/25/22 |
|
Description: APPLIED MATERIALS AMAT 0100-35126 PCB ASSEMBLY INCOMPATIBLE GAS LOCKOUT BOARD |
wyse_avenue |
NEW |
- |
$150.00
|
0 |
Jun/25/19 |
Jul/09/19 |
|
Description: AMAT 0242-43088, (KIT, MOUNTING BRACKET,CH C OR D, STD GAS PANEL WI)-NEW |
wyse_avenue |
NEW |
- |
$250.00
|
0 |
Jun/25/19 |
Jul/09/19 |
|
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate |
j316gallery |
Used |
- |
$190.10
|
0 |
Jun/24/19 |
Jun/24/21 |
|
Description: 13384 LAM RESEARCH GAS ASSY W/ 2X VALVES 839-482199-003 |
jake_russell |
NEW |
- |
$3,550.00
|
0 |
Jun/27/19 |
Jan/13/21 |
|
Description: [AMAT] 0040-53927, GAS BOX CHAMBER LID, PRODUCER 200MM |
j316gallery |
NEW |
- |
$1,600.00
|
0 |
Jun/30/19 |
Oct/17/19 |
|
Description: 13625 APPLIED MATERIALS KIT, 40FT. GAS PANEL UMB, CABLE SET (NEW) 0242-01385 |
maxisemi1349 |
NEW |
- |
$160.00
|
5 |
Jul/02/19 |
Dec/18/19 |
|
Description: 0200-36682 LINER QUARTZ LOWER GAS DIST |
maxisemi1349 |
NEW |
- |
$115.00
|
5 |
Jul/02/19 |
Dec/18/19 |
|
Description: 0200-36680 LINER QUARTZ UPPER GAS DIST |
svcstore |
Used |
- |
$449.99
|
0 |
Jul/03/19 |
Sep/16/19 |
|
Description: LAM Research 810-707022-001 Rev.A Gas Box Expansion Board Module |
zindchau15 |
Used |
- |
$70.00
|
0 |
Jul/03/19 |
Oct/23/21 |
|
Description: APPLIED MATERIALS 3800-00313 SMC REGULATOR GAS SRH3010-02, 7-100PSIG, 1/4 RC |
j316gallery |
Used |
- |
$2,880.27
|
0 |
Jul/03/19 |
Oct/26/21 |
|
Description: 13952 APPLIED MATERIALS GAS RING, SYM 36 PORT GAS DIST. 300MM HD 0040-99736 |
j316gallery |
Used |
- |
$2,592.50
|
0 |
Jul/03/19 |
Aug/27/20 |
|
Description: 13951 APPLIED MATERIALS GAS RING,SYM 36 PORT GAS DIST. 300MM HD 0040-70746 |
zindchau15 |
NEW |
- |
$200.00
|
1 |
Jul/05/19 |
Jul/30/19 |
|
Description: Applied Materials 4020-01094 FLTR IN-LN GAS 4000PSIG 1/4-GSKT SEAL MALE VCR |
kenjap |
Used |
- |
$59.99
|
0 |
Jul/08/19 |
Jul/15/19 |
|
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001 |
maxisemi1349 |
Used |
- |
$330.00
|
2 |
Jul/08/19 |
Dec/20/21 |
|
Description: 0020-31425 MIXER GAS BOX LID BWCVD, lot of 2 |
autoquip7 |
NEW |
- |
$750.00
|
1 |
Jul/09/19 |
Mar/04/21 |
|
Description: 0100-35036, Applied Materials, AMAT, PCB, DUAL GAS LEAK DETECTOR |
zindchau15 |
NEW |
- |
$100.00
|
0 |
Jul/10/19 |
Oct/23/21 |
|
Description: APPLIED MATERIALS 0050-52887 GAS LINE K1S STICK 1/4 FVCR TO K1S BLO |
bt_store22 |
Used |
- |
$285.00
|
0 |
Jul/12/19 |
Jul/15/21 |
|
Description: AMAT 0020-22846 GAS TRENCH COVER |
svcstore |
Used |
- |
$649.99
|
0 |
Jul/11/19 |
Sep/16/19 |
|
Description: LAM Research 810-707054-001 Rev.E3 Gas Box I/O Interlock Board Assembly |
powersell007 |
Used |
- |
$399.00
|
0 |
Jul/11/19 |
Dec/11/23 |
|
Description: APPLIED MATERIALS 0021-35087 PLATE, BLOCKER, N2/HE CARRIER GAS, DXZ/UNIV AMAT |
smartelektronikgmbh |
NEW |
- |
$150.00
|
1 |
Jul/11/19 |
Apr/06/22 |
|
Description: Applied Materials (AMAT) 1040-01015 METER FLOW GAS 7-70LPH BRS W/SST FLOAT S |
smartelektronikgmbh |
Used |
- |
$550.00
|
0 |
Jul/15/19 |
Nov/10/20 |
|
Description: 0190-20015 GAS LINE NO.3 PRECLEAN CHMBR (REACTIVE P |
kenjap |
Used |
- |
$59.99
|
0 |
Jul/15/19 |
Jul/22/19 |
|
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001 |
tchaban88 |
NEW |
- |
$20.00
|
1 |
Jul/15/19 |
Jul/22/19 |
|
Description: MOTT POU-3-SV1 GAS SHIELD 2500 PSI MAX. 06032-3159 , AMAT - 4020-01212 |
j316gallery |
NEW |
- |
$46.57
|
0 |
Jul/16/19 |
May/24/23 |
|
Description: 13882 APPLIED MATERIALS LEVER, GAS POST, MACHINED (NEW) 0020-70717 |
j316gallery |
Used |
- |
$198.57
|
1 |
Jul/16/19 |
Apr/14/23 |
|
Description: 13887 MOTT POINT-OF-USE GAS FILTER 3750PSI, AMAT P/N 4020-01205 POU-05-SV1 |
smartelektronikgmbh |
Used |
- |
$200.00
|
0 |
Jul/16/19 |
Nov/10/20 |
|
Description: 0100-01764 / SAFETY INTERLOCK GAS PANEL PRODUCER SE |
roundtable1 |
NEW |
- |
$299.00
|
0 |
Jul/16/19 |
Sep/27/21 |
|
Description: Applied Materials 0020-30815 Plate Gas Distribution 37 Holes |
svcstore |
Used |
- |
$649.99
|
0 |
Jul/16/19 |
Sep/16/19 |
|
Description: LAM Research 810-707054-002 Rev.A Gas Box I/O Interlock Board Assembly |
j316gallery |
Used |
- |
$1,150.95
|
0 |
Jul/18/19 |
Feb/22/21 |
|
Description: 12487 APPLIED MATERIALS PCB, ASSY DUAL GAS LEAK DET, 0100-35036 0190-35066 |
bt_store1 |
Used |
- |
$65.00
|
0 |
Jul/18/19 |
Jul/15/21 |
|
Description: AMAT 0020-42187 MANIFOLD INLET GAS BOX GECO |
j316gallery |
Used |
- |
$3,857.44
|
0 |
Jul/19/19 |
May/24/23 |
|
Description: 14454 APPLIED MATERIALS RING, SYM. GAS DIST. 24 PORTS, ULTIMA 0040-04650 |
j316gallery |
Used |
- |
$3,990.45
|
0 |
Jul/19/19 |
May/24/23 |
|
Description: 14455 APPLIED MATERIALS RING SYM. GAS DIST. 24 PORTS 0040-04650 |
j316gallery |
Used |
- |
$725.90
|
0 |
Jul/21/19 |
Jun/21/20 |
|
Description: 13981 APPLIED MATERIALS BLOCK,GAS FEED,TOP CLEAN,ALN,300MM 0040-82246 |
j316gallery |
Used |
- |
$2,128.24
|
0 |
Jul/21/19 |
May/24/23 |
|
Description: 13989 APPLIED MATERIALS MOUNT TOP AE GAS EXTENSION 0040-41908 |
roundtable1 |
NEW |
- |
$199.00
|
0 |
Jul/24/19 |
Mar/14/24 |
|
Description: Applied Materials 0050-24840 Gas Line, Vent, CH A, PC 2 Upper |
kenjap |
Used |
- |
$59.99
|
1 |
Jul/22/19 |
Jul/29/19 |
|
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001 |
spsglobal |
Used |
- |
$60.00
|
0 |
Jul/31/19 |
Jun/29/23 |
|
Description: 347-0301// AMAT APPLIED 0020-01003 ADAPTOR, GAS DIST LINE NEW |
j316gallery |
Used |
- |
$372.44
|
0 |
Jul/30/19 |
May/24/23 |
|
Description: 14761 APPLIED MATERIALS ASSY, GAS BOX, EC WXZ 0010-35808 |
bt_store22 |
Used |
- |
$1,700.00
|
0 |
Jul/30/19 |
Jul/15/21 |
|
Description: AMAT 0040-82866 CLEAN GAS MANIFOLD LEFT |
j316gallery |
Used |
- |
$200.00
|
1 |
Jul/29/19 |
Oct/16/19 |
|
Description: 14466 LAM RESEARCH WELDMENT, GAS FEED LINE, FLEX 839-014757-057 |
getspares.com_sparesllc09 |
Used |
- |
$500.98
|
0 |
Jul/26/19 |
Apr/09/21 |
|
Description: 810-073479-005 /JET STREAM GAS BOX MB MAIN BOARD /LAM RESEARCH |
farmoninc |
Scrap, for parts |
- |
$1,500.00
|
0 |
Aug/01/19 |
May/14/21 |
|
Description: AMAT 0050-42154 Gas Manifold Valve Assy, 945A0PLPNCSC131, 024925-10A, 407676 |
farmoninc |
Scrap, for parts |
- |
$1,500.00
|
0 |
Aug/01/19 |
May/14/21 |
|
Description: AMAT 0050-42154 Gas Manifold Valve Assy, 945A0PLPNCSC131, 024925-10A, 407675 |
roundtable1 |
NEW |
- |
$599.00
|
0 |
Aug/01/19 |
Aug/02/22 |
|
Description: Applied Materials 0200-34775 BLOCER SILOX8" UNIV CH NON STEPPER GAS BOX AMAT |
spsglobal |
Used |
- |
$30.00
|
0 |
Aug/04/19 |
Aug/07/22 |
|
Description: 347-0301// AMAT APPLIED 0020-34263 BRKT,GAS LINES,SIDE,BOTTOM,CENTURA NEW |
j316gallery |
Used |
- |
$11,177.70
|
0 |
Aug/05/19 |
Jan/26/22 |
|
Description: 15004 APPLIED MATERIALS ASSY 8 GAS BOX WSI W/0040-09136 0010-09940 |
autoquip7 |
Used |
- |
$3,330.00
|
0 |
Aug/02/19 |
Jul/25/22 |
|
Description: 0010-01989; AMAT, SS Gas Heater Assembly with 0160-00018 TC Feed Thru High K |
roundtable1 |
NEW |
- |
$399.00
|
1 |
Aug/02/19 |
Dec/02/20 |
|
Description: Applied Materials 3780-01304 Spr Gas 4”STRK 300LBS #14ROD 13.78 EXT LG Eye/Ball |
trch40 |
Used |
- |
$129.99
|
0 |
Aug/02/19 |
Oct/02/19 |
|
Description: AMI AMAT APPLIED MATERIALS 0820-01040 CALIBRATION KIT SENSOR 0190-75076 H2 GAS |
zindchau15 |
Used |
- |
$240.00
|
0 |
Aug/02/19 |
Oct/23/21 |
|
Description: AMAT Applied Materials 0200-17334 GAS MANIFOLD LID CERAMIC MIXING VOLTA, CLEANED |
zindchau15 |
Used |
- |
$140.00
|
0 |
Aug/01/19 |
Oct/23/21 |
|
Description: AMAT Applied Materials 0022-10188 MIXER GAS 300MM CVD-CO |
russell_jake |
NEW |
- |
$800.00
|
0 |
Aug/05/19 |
Mar/05/20 |
|
Description: [AMAT] 3780-00310, SPR GAS 4.0"STRK 520LB 13.78EXT-LG, PRODUCER 200MM, CVD |
j316gallery |
NEW |
- |
$241.94
|
0 |
Aug/07/19 |
Oct/17/21 |
|
Description: 15172 APPLIED MATERIALS PALL FILTER FLTR IN-LN GAS 3000 PSIG (NEW) 4020-00164 |
athomemarket |
NEW |
- |
$79.99
|
0 |
Aug/07/19 |
Nov/05/20 |
|
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865 |
roundtable1 |
NEW |
- |
$70.00
|
1 |
Aug/08/19 |
Jan/08/21 |
|
Description: Applied Materials 0020-12752 Thumb Nut Block Clean Gas Lot Of 9 |
dom0808 |
Used |
- |
$8,999.00
|
0 |
Aug/09/19 |
Nov/04/19 |
|
Description: Lam Research JETSTREAM GAS BOX 571-065780-705 All in 1 Gas Box 571-065780-705 |
dom0808 |
Used |
- |
$22,100.00
|
0 |
Aug/14/19 |
Nov/04/19 |
|
Description: Lam Research Jetstream Gas Box 571-065780-703 All in 1 Gas Box 571-065780-703 |
j316gallery |
Used |
- |
$434.69
|
0 |
Aug/14/19 |
May/18/22 |
|
Description: 14862 APPLIED MATERIALS TUBE, MOUNTING,GAS TRANSIT W/GLFPF3000MFC9 0040-00543 |
storemanager-2009 |
Used |
- |
$105.00
|
0 |
Aug/15/19 |
Jul/17/21 |
|
Description: NOVELLUS 10-140946-00 TUBE ASSY, GAS DIST, W/VAPOR |
dom0808 |
Used |
- |
$17,900.00
|
0 |
Aug/19/19 |
Sep/24/19 |
|
Description: Lam Research 16 Line IGS Gas Box 571-033051-004 |
itchelll57-5 |
Used |
- |
$160.00
|
0 |
Aug/22/19 |
Aug/29/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
capitolareatech |
Used |
- |
$4.00
|
0 |
Aug/23/19 |
Dec/05/19 |
|
Description: Applied Materials (AMAT) 4020-01273 Filter, Mott Gas Shield POU-05-SV*1 |
capitolareatech |
Used |
- |
$4.00
|
0 |
Aug/23/19 |
Sep/12/19 |
|
Description: Applied Materials (AMAT) 4020-01273 Filter, Mott Gas Shield POU-05-SV*1 |
roundtable1 |
NEW |
- |
$299.00
|
2 |
Aug/25/19 |
Apr/25/21 |
|
Description: Applied Materials 0020-30815 Plate Gas Distribution 37 Holes |
j316gallery |
Used |
- |
$864.08
|
0 |
Aug/27/19 |
Feb/22/21 |
|
Description: 15960 APPLIED MATERIALS PCB, ASSY DUAL GAS LEAK DET, 0100-35036 0190-35066 |
j316gallery |
Used |
- |
$5,200.00
|
0 |
Aug/27/19 |
Sep/18/19 |
|
Description: 15903 APPLIED MATERIALS PCB GAS PANEL DISTRIBUTION BOARD 0100-35086 |
j316gallery |
NEW |
- |
$19.87
|
0 |
Aug/28/19 |
Aug/11/22 |
|
Description: 15366 LAM RESEARCH SPACER DIRECTOR PROCESS GAS (NEW) 716-021491-001 |
capitolareatech |
Used |
- |
$395.00
|
0 |
Aug/29/19 |
Sep/18/19 |
|
Description: Applied Materials (AMAT) 0020-31804 Gas Distribution Plate 80 hole, .156 THICK, |
itchelll57-5 |
Used |
- |
$100.00
|
0 |
Aug/29/19 |
Sep/05/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
semigooods |
NEW |
- |
$15.00
|
0 |
Aug/30/19 |
May/26/21 |
|
Description: AMAT (Applied Materials) - 0040-52157 Clamp Lower Center Gas Feed 300M - OEM NEW |
capitolareatech |
Used |
- |
$17.22
|
0 |
Sep/03/19 |
Sep/19/19 |
|
Description: AMAT 0150-09576 CABLE,DIGITAL #2 GAS I/F V4 ELECTRICAL B |
starbit10 |
Used |
- |
$600.00
|
1 |
Sep/03/19 |
Nov/19/19 |
|
Description: AMAT 0090-00811 Dual Gas Leak Detector |
novusferro |
Used |
- |
$199.00
|
1 |
Sep/03/19 |
Oct/15/19 |
|
Description: Lam Research 715-330135-003 BSR Gas Injector Ring |
bt_store1 |
Used |
- |
$150.00
|
0 |
Sep/04/19 |
Jul/15/21 |
|
Description: AMAT 0270-20017 FIXTURE GAS SPRING ASSY |
semigooods |
NEW |
- |
$15.00
|
0 |
Sep/03/19 |
May/26/21 |
|
Description: AMAT 0040-52157 CLAMP LOWER CENTER GAS FEED 300MM DPS2 - OEM NEW |
j316gallery |
Used |
- |
$1,401.40
|
0 |
Sep/05/19 |
Jan/04/23 |
|
Description: 8796 APPLIED MATERIALS CABLE ASSY GAS INTCNT 50FT (15.24M) 0150-21236 |
j316gallery |
Used |
- |
$310.50
|
0 |
Sep/05/19 |
Jan/04/23 |
|
Description: 15215 APPLIED MATERIALS MANIFOLD CLEAN GAS 200MM PRODUCER 0021-04297 |
j316gallery |
Used |
- |
$223.56
|
0 |
Sep/05/19 |
Jan/04/23 |
|
Description: 4512 PALL FLTR IN-LN GAS 3000PSIG 1/4-GSKT SEAL M GLFPF3000VMM4AM |
j316gallery |
Used |
- |
$807.28
|
0 |
Sep/05/19 |
Jan/04/23 |
|
Description: 15220 LAM RESEARCH WELDMENT DUAL GAS INJECT 2300 839-016784-002 |
capitolareatech |
Used |
- |
$249.95
|
0 |
Sep/05/19 |
Nov/20/19 |
|
Description: Applied Materials (AMAT) 0020-30570 GAS DISTRIBUTION PLATE 150mm HEWEB |
capitolareatech |
Used |
- |
$379.95
|
0 |
Sep/05/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0200-09337 COVER, TOP, GAS DISTRIBUTION |
capitolareatech |
Used |
- |
$12.95
|
0 |
Sep/05/19 |
Sep/06/19 |
|
Description: Applied Materials AMAT 0020-18382 BRKT TWO FINAL VALVES GAS PANEL LOW K HD |
capitolareatech |
Used |
- |
$15.00
|
0 |
Sep/05/19 |
Sep/06/19 |
|
Description: Applied Materials AMAT 0020-37563 Clamp Top WF6SIH4 14 gas line |
capitolareatech |
Used |
- |
$95.00
|
0 |
Sep/05/19 |
Sep/06/19 |
|
Description: Applied Materials AMAT 0020-36391 COVER FRONT GAS PANEL TOP |
capitolareatech |
Used |
- |
$175.00
|
0 |
Sep/05/19 |
Sep/18/19 |
|
Description: Applied Materials AMAT 0020-36392 COVER BACK GAS PANEL TOP |
itchelll57-5 |
Used |
- |
$80.00
|
0 |
Sep/05/19 |
Sep/12/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
capitolareatech |
Used |
- |
$98.10
|
0 |
Sep/05/19 |
Sep/06/19 |
|
Description: Applied Materials AMAT 0050-03140 GAS LINE 5RA SPOOL O3 UPPER GAS PANEL D |
capitolareatech |
Used |
- |
$317.29
|
0 |
Sep/05/19 |
Sep/26/19 |
|
Description: Applied Materials AMAT 0050-43752 Gas Line OVERPRES CH B RAD TPCC |
dom0808 |
Used |
- |
$259.00
|
0 |
Sep/12/19 |
Nov/03/20 |
|
Description: Lam Research Gas injector 716-057993-335 |
itchelll57-5 |
Used |
- |
$60.00
|
0 |
Sep/12/19 |
Sep/19/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
spsglobal |
Used |
- |
$30.00
|
0 |
Sep/15/19 |
Oct/12/23 |
|
Description: 141-0701// AMAT APPLIED 0150-18014 CBL ASSY,GAS PANEL CUSTOMER INTERLOCK NEW |
dom0808 |
Used |
- |
$583.00
|
1 |
Sep/16/19 |
Jul/02/21 |
|
Description: Lam P/N 716-012639-040 Gas Injector |
svcstore |
Used |
- |
$29.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 500psi 15L N20 Gas MFC Mass Flow Controller Module AMAT 3030-11263 |
svcstore |
Used |
- |
$42.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 10L O2 Gas MFC Mass Flow Controller Control Module AMAT 3030-11382 |
svcstore |
Used |
- |
$14.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 1L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11264 |
svcstore |
Used |
- |
$57.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 5L NF3 Gas MFC Mass Flow Controller Control Module AMAT 3030-11224 |
svcstore |
Used |
- |
$57.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 500psi 3L SiF4 Gas MFC Mass Flow Controller Module AMAT 3030-11383 |
svcstore |
Used |
- |
$42.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 500psi 2L SiH4 Gas MFC Mass Flow Controller Module AMAT 3030-11265 |
svcstore |
Used |
- |
$42.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 15L He Gas MFC Mass Flow Controller Control Module AMAT 3030-11267 |
svcstore |
Used |
- |
$42.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 10L AR Gas MFC Mass Flow Controller Control Module AMAT 3030-11385 |
svcstore |
Used |
- |
$50.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 8560 700cc NH3 Gas MFC Mass Flow Controller Module AMAT 3030-11266 |
svcstore |
Used |
- |
$24.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: UNIT UFC-8565 3L N20 Gas MFC Mass Flow Controller Control Module AMAT 3030-11540 |
svcstore |
Used |
- |
$584.99
|
0 |
Sep/16/19 |
Nov/21/22 |
|
Description: LAM Research 810-707054-002 Rev.A Gas Box I/O Interlock Board Assembly |
svcstore |
Used |
- |
$584.99
|
0 |
Sep/16/19 |
Dec/27/22 |
|
Description: LAM Research 810-707054-001 Rev.E3 Gas Box I/O Interlock Board Assembly |
visionsemi |
NEW |
- |
$75.00
|
0 |
Sep/18/19 |
Jan/25/22 |
|
Description: APPLIED MATERIALS AMAT BKT GAS HEATER ASM OLYMPIA HVM 0022-25645 |
svcstore |
Used |
- |
$404.99
|
0 |
Sep/18/19 |
Dec/27/22 |
|
Description: LAM Research 810-707022-001 Rev.A Gas Box Expansion Board Module |
itchelll57-5 |
Used |
- |
$60.00
|
0 |
Sep/19/19 |
Sep/26/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
maxisemi1349 |
Used |
- |
$310.00
|
1 |
Sep/20/19 |
Mar/03/22 |
|
Description: 0021-04297 MANIFOLD CLEAN GAS 200MM |
storemanager-2009 |
Used |
- |
$1,800.00
|
0 |
Sep/20/19 |
Jul/17/21 |
|
Description: AMAT 0040-09095 GAS BOX 200MM |
j316gallery |
Used |
- |
$1,000.00
|
1 |
Sep/24/19 |
Oct/01/19 |
|
Description: 10411 APPLIED MATERIALS HORIBA STEC Z500 MFC, GAS MANIFOLD 0040-52548 |
j316gallery |
Used |
- |
$1,500.00
|
0 |
Sep/24/19 |
Oct/01/19 |
|
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003 |
pdcsystems |
Used |
- |
$500.00
|
0 |
Sep/25/19 |
Oct/25/19 |
|
Description: AMAT Applied Materials 0090-35042 Assembly Gas Panel H2 Sensor |
itchelll57-5 |
Used |
- |
$60.00
|
0 |
Sep/26/19 |
Oct/03/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
getspares.com_sparesllc09 |
Used |
- |
$206.66
|
1 |
Sep/27/19 |
Jan/17/22 |
|
Description: 0020-31425 /LID, MIXER GAS BOX, BWCVD/APPLIED MATERIALS |
j316gallery |
Used |
- |
$1,500.00
|
0 |
Oct/01/19 |
Oct/31/19 |
|
Description: 8796 APPLIED MATERIALS CABLE ASSY GAS INTCNT 50FT (15.24M) 0150-21236 |
j316gallery |
Used |
- |
$9,776.80
|
0 |
Oct/02/19 |
Sep/30/21 |
|
Description: 10411 APPLIED MATERIALS GAS MANIFOLD PANEL 0040-52548 |
j316gallery |
Used |
- |
$18,331.50
|
0 |
Oct/02/19 |
Nov/11/21 |
|
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003 |
itchelll57-5 |
Used |
- |
$60.00
|
0 |
Oct/03/19 |
Oct/10/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
techse11 |
NEW |
- |
$125.00
|
0 |
Oct/09/19 |
Sep/24/24 |
|
Description: AMAT 0200-09450, Tube Gas Feed, OD6.35mm. BWCVD/DCVC |
itchelll57-5 |
Used |
- |
$60.00
|
0 |
Oct/10/19 |
Oct/17/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
ntsurplus302 |
Used |
- |
$250.00
|
0 |
Oct/11/19 |
Sep/08/22 |
|
Description: 3010 Applied Materials 0040-00290 Gas Tube Weldment |
epicrew01 |
Used |
- |
$1,580.00
|
0 |
Oct/15/19 |
Oct/06/21 |
|
Description: Applied Material AMAT 0100-35071 ASSY,PCB GAS PANEL DISTRIBUTION |
roundtable1 |
NEW |
- |
$299.00
|
1 |
Oct/17/19 |
Feb/17/21 |
|
Description: Amat 0040-35512 Gas Line |
pdcsystems |
Used |
- |
$245.00
|
0 |
Oct/17/19 |
Jun/07/20 |
|
Description: APPLIED MATERIALS 0240-31406 5000 WXZSVD GAS MODULE |
itchelll57-5 |
Used |
- |
$60.00
|
0 |
Oct/17/19 |
Oct/24/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
spsglobal |
Used |
- |
$30.00
|
0 |
Oct/21/19 |
Oct/27/22 |
|
Description: 142-0701// AMAT APPLIED 0140-09121 HARNESS GAS PANEL COVER SWITCH USED |
pdcsystems |
Used |
- |
$1,050.00
|
0 |
Oct/22/19 |
Jun/07/20 |
|
Description: APPLIED MATERIALS 0010-25741 CONFIGURABLE BROOKS GF 125C MFC GAS STICK, 300MM-HT |
powersell007 |
Used |
- |
$99.00
|
0 |
Oct/25/19 |
Nov/25/23 |
|
Description: APPLIED MATERIALS 0200-35296 PLUG, INNER, GAS FEED, DPS A3 AMAT *UNUSED, SEALED* |
dom0808 |
Used |
- |
$1,250.00
|
2 |
Oct/28/19 |
Jun/02/20 |
|
Description: Lam Research KIYO 45 GAS FEED LINE 839-031197-103 |
pdcsystems |
Used |
- |
$1,050.00
|
0 |
Oct/29/19 |
Jun/07/20 |
|
Description: APPLIED MATERIALS 0010-81790 CONFIGURABLE BROOKS GF 125C MFC GAS STICK, 300MM-HT |
technology_and_more |
NEW |
- |
$65.00
|
0 |
Oct/29/19 |
Apr/19/20 |
|
Description: AMAT Applied Materials 3400-01057 Gas Hose 200psi SYNT |
getspares.com_sparesllc09 |
Used |
- |
$1,500.03
|
0 |
Nov/05/19 |
Mar/26/21 |
|
Description: 715-801916-808 / PLD GAS DIST 300MM W/G RV HTR / LAM RESEARCH CORPORATION |
dom0808 |
Used |
- |
$28,270.00
|
0 |
Nov/05/19 |
Feb/11/22 |
|
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-705 |
dom0808 |
Used |
- |
$42,130.00
|
0 |
Nov/05/19 |
Feb/11/22 |
|
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-704 |
dom0808 |
Used |
- |
$29,590.00
|
0 |
Nov/05/19 |
Feb/11/22 |
|
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-704 MFC not fitted. |
dom0808 |
Used |
- |
$42,240.00
|
0 |
Nov/05/19 |
Feb/11/22 |
|
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-703 |
dom0808 |
Used |
- |
$29,645.00
|
0 |
Nov/05/19 |
Feb/11/22 |
|
Description: Lam Research Jetstream Gas Box 571-065780-702 All In 1 Gas Box |
dom0808 |
Used |
- |
$29,634.00
|
0 |
Nov/05/19 |
Feb/11/22 |
|
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-702 |
dom0808 |
Used |
- |
$3,509.00
|
0 |
Nov/06/19 |
Feb/11/22 |
|
Description: Lam Research Jetstream Gas Box MB 810-073479-005 NODE BOARD TYPE 27 |
dom0808 |
Used |
- |
$2,587.00
|
0 |
Nov/06/19 |
Feb/11/22 |
|
Description: Lam Research Jetstream Gas Box MB 810-073479-005 |
xl-t_com |
Used |
- |
$300.00
|
0 |
Nov/07/19 |
Oct/19/21 |
|
Description: Amat/Applied materials 0150-20192 CABLE ASSY GAS DI/DO EXTERNAL INTERCONNECT |
gesemiconductor |
Used |
- |
$50.00
|
0 |
Nov/07/19 |
Feb/09/24 |
|
Description: Applied Materials 0150-25963 Cable Assembly Interconnect MFC to Gas Panel |
gesemiconductor |
NEW |
- |
$50.00
|
4 |
Nov/07/19 |
Dec/04/20 |
|
Description: Applied Materials 0200-08039 Housing Gas Feed Nozzle |
gesemiconductor |
NEW |
- |
$120.00
|
4 |
Nov/07/19 |
Mar/07/20 |
|
Description: Applied Materials 0020-33805 Cover Gas Feed DPS MEC |
gesemiconductor |
NEW |
- |
$225.00
|
4 |
Nov/07/19 |
Jul/03/22 |
|
Description: Applied Materials 0200-35291 Plug Inner Gas Feed Assembly DPS A1 |
gesemiconductor |
NEW |
- |
$600.00
|
4 |
Nov/07/19 |
Apr/30/20 |
|
Description: Applied Materials 0020-33804 Adapter Gas Feed Assembly DPS MEC |
itchelll57-5 |
Used |
- |
$55.00
|
0 |
Nov/08/19 |
Nov/15/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
novusferro |
Used |
- |
$599.00
|
1 |
Nov/18/19 |
Jan/20/20 |
|
Description: Applied Materials AMAT 0050-34815 Centura Gas Panel Valve Manifold |
itchelll57-5 |
Used |
- |
$55.00
|
0 |
Nov/24/19 |
Dec/01/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
gesemiconductor |
Used |
- |
$200.00
|
0 |
Nov/25/19 |
Feb/09/24 |
|
Description: LAM Research 715-330000-090 Ring Gas Injection |
alamedaauction |
NEW |
- |
$295.00
|
0 |
Nov/28/19 |
Feb/28/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
spsglobal |
Used |
- |
$260.00
|
1 |
Nov/28/19 |
Mar/23/21 |
|
Description: 125-0202// AMAT APPLIED 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES USED |
spsglobal |
Used |
- |
$100.00
|
0 |
Nov/28/19 |
Feb/06/22 |
|
Description: 116-0502// AMAT APPLIED 0200-36682 LINER, QUARTZ, LOWER, GAS DIST USED |
spsglobal |
NEW |
- |
$800.00
|
0 |
Nov/28/19 |
May/16/21 |
|
Description: 116-0502// AMAT APPLIED 0200-09448 PLATE,QTZ,GAS DIST, LOWER, 150 NEW |
spsglobal |
NEW |
- |
$300.00
|
0 |
Nov/28/19 |
May/16/21 |
|
Description: 116-0502// AMAT APPLIED 0200-09447 PLATE,QTZ, GAS DIST, UPPER, 15 NEW |
itchelll57-5 |
Used |
- |
$55.00
|
0 |
Dec/01/19 |
Dec/08/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
techshop7777 |
Used |
- |
$518.99
|
1 |
Dec/02/19 |
Dec/06/20 |
|
Description: MKS 649A-25014 PRESSURE CONTROLLER GAS He, 50 SCCM LAM RESEARCH 797-800733-001 |
j316gallery |
Used |
- |
$14,371.90
|
0 |
Dec/04/19 |
Jan/04/23 |
|
Description: 16201 APPLIED MATERIALS CHAMBER BODY, ETCH, OXIDE, SIDE GAS FEED 0040-31942 |
auctionrus |
NEW |
- |
$250.00
|
0 |
Dec/05/19 |
May/23/23 |
|
Description: Novellus 10-182853-00, Gas Manifold, VCR, 452404 |
capitolareatech |
Used |
- |
$125.00
|
0 |
Dec/06/19 |
Aug/13/20 |
|
Description: Applied Materials AMAT 0050-31597 Chamber A Gas Line to Frame 570C0026 |
capitolareatech |
Used |
- |
$47.68
|
0 |
Dec/06/19 |
Aug/13/20 |
|
Description: Applied Materials AMAT 0150-09574 CABLEANALOG 2 GAS IF V4 ELECTRICAL BO |
capitolareatech |
Used |
- |
$395.00
|
0 |
Dec/06/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-31804 Gas Dist. Plate 80 hole, .156 THICK, 200MM |
capitolareatech |
Used |
- |
$24.95
|
0 |
Dec/06/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 3780-01273 SPR GAS 1"STRK 4LBS 4"ROD DIA 4.33EXT |
capitolareatech |
Used |
- |
$95.00
|
1 |
Dec/06/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0150-09203 HARNESS ASSEMBLY GAS PANEL POWER JUMPER |
capitolareatech |
Used |
- |
$475.95
|
0 |
Dec/06/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0100-00208 PCB ASSY GAS PANEL 111 FUSED |
capitolareatech |
Used |
- |
$285.95
|
0 |
Dec/06/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0100-00208 PCB, GAS PANEL 3 BOARD |
capitolareatech |
Used |
- |
$20.25
|
0 |
Dec/06/19 |
Aug/13/20 |
|
Description: LAM RESEARCH (LAM) 839-014757-072 WLDMT ,GAS FDLN, FLEX, 72" LG |
capitolareatech |
Used |
- |
$495.95
|
0 |
Dec/07/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0150-09725 CABLE, ASSY 25' SPARE ANALOG GAS PANEL INT. |
capitolareatech |
Used |
- |
$399.95
|
0 |
Dec/07/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0150-09722 CABLE, ASSY 25' SIGITAL #1 GAS PANEL |
itchelll57-5 |
Used |
- |
$55.00
|
0 |
Dec/08/19 |
Dec/15/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
capitolareatech |
Used |
- |
$169.95
|
0 |
Dec/11/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 4020-01062 FLTR IN-LN GAS 3000PSIG 1/4-COMPRES M/M |
capitolareatech |
Used |
- |
$49.95
|
0 |
Dec/11/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0200-00811 Injector, Gas, Blank-off EMAX |
j316gallery |
NEW |
- |
$672.13
|
0 |
Dec/11/19 |
Sep/24/24 |
|
Description: 16633 APPLIED MATERIALS GAS LINE CH 3 WIDE BODY (HTR) MFC 1 V NEW 0050-20942 |
j316gallery |
Used |
- |
$333.30
|
0 |
Dec/11/19 |
Mar/05/21 |
|
Description: 289 LAM RESEARCH BSR GAS INJECTOR RING 715-330135-003 |
maxisemi1349 |
NEW |
- |
$395.00
|
3 |
Dec/12/19 |
Feb/04/21 |
|
Description: 0200-00410 UNI-INSERT, GAS DISTRIBUTION, 88 HOLES, |
autoquip7 |
NEW |
- |
$3,100.00
|
2 |
Dec/13/19 |
Jan/13/21 |
|
Description: 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX |
itchelll57-5 |
Used |
- |
$55.00
|
0 |
Dec/15/19 |
Dec/22/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
getspares.com_sparesllc09 |
Used |
- |
$457.06
|
0 |
Dec/17/19 |
Sep/20/24 |
|
Description: 0050-09597 / TUBE GAS SUPPLY POSN B&C EGEC / AMAT |
capitolareatech |
Used |
- |
$289.95
|
0 |
Dec/20/19 |
Jun/20/20 |
|
Description: Applied Materials (AMAT) 0150-35314 Cable, Assy. Gas Panel Power 40FT |
capitolareatech |
Used |
- |
$279.95
|
0 |
Dec/20/19 |
Jun/20/20 |
|
Description: Applied Materials (AMAT) 0020-26967 COVER GAS TRENCH ENDURA 8" PRECLEAN II |
capitolareatech |
Used |
- |
$299.95
|
0 |
Dec/20/19 |
Jun/20/20 |
|
Description: Applied Materials (AMAT) 0200-00032 INJECTOR, GAS, UNIVERSAL, 20-DEG, EMAX |
itchelll57-5 |
Used |
- |
$55.00
|
0 |
Dec/22/19 |
Dec/29/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
capitolareatech |
Used |
- |
$395.95
|
0 |
Dec/22/19 |
Jun/22/20 |
|
Description: Applied Materials (AMAT) 0150-09376 CABLE HEATED GAS LINE CHAMBER A |
itchelll57-5 |
Used |
- |
$55.00
|
0 |
Dec/29/19 |
Dec/29/19 |
|
Description: Applied Materials HP+ AXZ Gas panel Intlk PCB 0100-20458 |
capitolareatech |
Used |
- |
$79.95
|
0 |
Dec/30/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-09550 Bracket, Gas Line/Filter |
capitolareatech |
Used |
- |
$34.95
|
0 |
Dec/30/19 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0021-09872 Bracket, Gas Line/Filter |
vincent-senior |
Used |
- |
$600.00
|
0 |
Jan/01/20 |
Jan/14/20 |
|
Description: 0100-01652 AMAT HDP CVD GAS PANEL BD. |
getspares.com_sparesllc09 |
Used |
- |
$776.95
|
0 |
Dec/31/19 |
Sep/26/24 |
|
Description: 0150-09243 / CABLE ASSY EXPANDED GAS PANEL AFCS UPPE / AMAT |
athomemarket |
NEW |
- |
$66.39
|
0 |
Jan/03/20 |
Apr/01/21 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
capitolareatech |
NEW |
- |
$1,299.95
|
0 |
Jan/04/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0200-05512 GAS DISTRIBUTION PLATE, APC, 300MM |
athomemarket |
NEW |
- |
$38.99
|
0 |
Jan/04/20 |
Apr/02/21 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
j316gallery |
NEW |
- |
$6.31
|
9 |
Jan/05/20 |
Jan/31/23 |
|
Description: 16061 LAM RESEARCH CLAMP GAS DIRECTOR LWR ISO 713-021875-001 |
j316gallery |
NEW |
- |
$480.49
|
2 |
Jan/05/20 |
Apr/01/24 |
|
Description: 16070 LAM RESEARCH DIRECTOR PROCESS GAS (NEW) 716-021492-001 |
capitolareatech |
NEW |
- |
$399.95
|
0 |
Jan/05/20 |
Aug/13/20 |
|
Description: Applied Materials AMAT 0050-28066 GAS LINE HE PUSH GAS N2 PURGE LIQ PAN |
farmoninc |
NEW |
- |
$750.00
|
0 |
Jan/06/20 |
Nov/11/22 |
|
Description: AMAT 0190-14760 Harness Assy Gas Panel 16 Stick Chamber, 327577 |
farmoninc |
Scrap, for parts |
- |
$1,400.00
|
0 |
Jan/06/20 |
Nov/08/20 |
|
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 416581 |
farmoninc |
Scrap, for parts |
- |
$1,400.00
|
0 |
Jan/06/20 |
Nov/08/20 |
|
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 423341 |
farmoninc |
Scrap, for parts |
- |
$1,400.00
|
0 |
Jan/06/20 |
Nov/08/20 |
|
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 423337 |
farmoninc |
Scrap, for parts |
- |
$1,050.00
|
0 |
Jan/06/20 |
Nov/08/20 |
|
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 416679 |
capitolareatech |
NEW |
- |
$119.95
|
0 |
Jan/15/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0040-00405 SYNCRO VAC FTG, EXTESION GAS, WELDMENT |
capitolareatech |
NEW |
- |
$1,495.95
|
0 |
Jan/15/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0190-40011 PNEUMATIC MANIFOLD, GAS PANEL, 40 SATATION |
pickingtexas |
NEW |
- |
$1,150.00
|
0 |
Jan/16/20 |
Feb/15/20 |
|
Description: Applied Materials AMAT 0190-32000 GAS LINE HEATER JACKET KIT YRC-552-2 300851 |
pickingtexas |
NEW |
- |
$1,150.00
|
0 |
Jan/16/20 |
Feb/15/20 |
|
Description: Applied Materials AMAT 0190-19618 GAS LINE HEATER JACKET KIT Yamatake X-426.MEC |
athomemarket |
Used |
- |
$600.00
|
1 |
Jan/16/20 |
Aug/12/20 |
|
Description: AMAT Applied Materials 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. |
j316gallery |
Used |
- |
$126.56
|
0 |
Jan/16/20 |
Apr/05/23 |
|
Description: 16762 APPLIED MATERIALS CABLE SPARE ANALOG GAS PANEL INTERCONNEC 10FT 0150-09604 |
j316gallery |
Used |
- |
$335.04
|
0 |
Jan/16/20 |
Jan/28/21 |
|
Description: 16814 LAM RESEARCH POLY GAS DELIVERY WELDMENT 839-016641-002 |
maxisemi1349 |
Used |
- |
$160.00
|
1 |
Jan/18/20 |
May/23/23 |
|
Description: 0200-36682 LINER QUARTZ LOWER GAS DIST |
maxisemi1349 |
Used |
- |
$115.00
|
0 |
Jan/18/20 |
May/23/23 |
|
Description: 0200-36680 LINER, QUARTZ, UPPER, GAS DIST, GROUND, |
prism_electronics8 |
Used |
- |
$399.99
|
0 |
Jan/17/20 |
Jul/01/22 |
|
Description: AMAT APPLIED MATERIALS 0200-10246 UNI-INSERT QUARTZ GAS DISTRIBUTOR .88 |
pickingtexas |
NEW |
- |
$1,150.00
|
0 |
Jan/17/20 |
Feb/15/20 |
|
Description: Applied Materials AMAT 0190-31998 GAS LINE HEATER JACKET KIT Yamatake YRC550-1 |
prism_electronics8 |
Used |
- |
$249.99
|
1 |
Jan/17/20 |
Jul/25/22 |
|
Description: AMAT 0020-18385 HDPCVD BLOCK DUAL GAS FEED |
athomemarket |
NEW |
- |
$312.99
|
0 |
Jan/20/20 |
Mar/18/21 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
farmoninc |
Scrap, for parts |
- |
$1,400.00
|
0 |
Jan/21/20 |
Nov/08/20 |
|
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 422368 |
farmoninc |
Scrap, for parts |
- |
$1,050.00
|
0 |
Jan/21/20 |
Nov/08/20 |
|
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 416172 |
farmoninc |
Scrap, for parts |
- |
$1,400.00
|
0 |
Jan/21/20 |
Nov/08/20 |
|
Description: AMAT 4060-01088 MANFPNEU 40 Station Chamber Gas Panel PCB, BES-4705-PCB, 422370 |
getspares.com_sparesllc09 |
Used |
- |
$350.50
|
0 |
Jan/22/20 |
Nov/20/24 |
|
Description: 0020-07062 / CLAMP,GAS LINE,MOD 1 BOTTOM PURGE GAS LI / AMAT |
autoquip7 |
NEW |
- |
$425.00
|
0 |
Jan/23/20 |
Sep/03/21 |
|
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR |
surplus2012 |
NEW |
- |
$2,000.00
|
0 |
Jan/24/20 |
Jan/29/20 |
|
Description: APPLIED MATERIALS PCB GAS PANEL DISTRIBUTION BOARD 0100-35086 |
capitolareatech |
NEW |
- |
$39.95
|
0 |
Jan/24/20 |
Jun/24/20 |
|
Description: Applied Materials (AMAT) 0150-09573 CABLE, ASSY ANALOG #1 GAS I/F ELECTRICAL BO |
senior-inc |
Used |
- |
$40,000.00
|
0 |
Jan/25/20 |
Jul/23/20 |
|
Description: LRC ENHANCED GAS BOX 571-471052-001, ANALOG 12 CHANNEL |
capitolareatech |
NEW |
- |
$2,495.95
|
0 |
Jan/27/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-31343 Gas Distribution Plate |
capitolareatech |
NEW |
- |
$55.95
|
0 |
Jan/27/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-70123 PANEL GENERIC ELECTRICAL I/O EXP GAS PAN |
capitolareatech |
NEW |
- |
$459.95
|
0 |
Jan/27/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-31548 GAS DISTRIBUTION PLATE OXALIC 133 HOLE |
capitolareatech |
NEW |
- |
$99.95
|
0 |
Jan/27/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-42162 SLEEVE, COPPER, GAS TUBE PLUG, WXZ |
capitolareatech |
NEW |
- |
$499.95
|
0 |
Jan/27/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0040-18021 NOZZLE, GAS DIST O2 |
capitolareatech |
Used |
- |
$129.95
|
0 |
Jan/28/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0200-09445 LINER, QTZ,UPPER, GAS DIST, PRSP3 |
capitolareatech |
Used |
- |
$149.95
|
0 |
Jan/28/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0200-09446 LINER, QTZ, LOWER, GAS DIST, PRSP3 |
capitolareatech |
Used |
- |
$189.95
|
0 |
Jan/28/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0200-09335 LINER,GAS DIST, 200MM PRSP |
capitolareatech |
Used |
- |
$1,045.95
|
0 |
Jan/28/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0040-09149 ENC., GAS PANEL 28 LINE 0040-09152/09344 |
capitolareatech |
NEW |
- |
$1,495.95
|
0 |
Jan/28/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0020-32320 GAS DIST. PLATE, 133 HOLES, OXALIC 5-7MI |
dom0808 |
Used |
- |
$48,290.00
|
0 |
Jan/29/20 |
Feb/11/22 |
|
Description: Lam Research GAS BOX GIB, E6 853-082522-612 |
techshop7777 |
NEW |
- |
$569.00
|
1 |
Feb/05/20 |
May/12/22 |
|
Description: NEW NOVELLUS 7/8 CHANNEL GAS BOX INTLK PCB BOARD ASSY 02-054128-00 |
auctionrus |
Used |
- |
$350.00
|
0 |
Feb/10/20 |
Aug/25/22 |
|
Description: AMAT 0100-76046 Gas Panel Interface PCB, 452660 |
auctionrus |
NEW |
- |
$296.25
|
1 |
Feb/07/20 |
Dec/30/20 |
|
Description: AMAT 0100-09107 TEOS Gas Interface Board, PCB, FAB 0110-09107, 452659 |
dom0808 |
Used |
- |
$495.00
|
0 |
Feb/12/20 |
Feb/11/22 |
|
Description: Novellus GAS BOX DIST, BROOKS D-SUB 02-057958-00 |
capitolareatech |
Used |
- |
$99.95
|
0 |
Feb/14/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0040-00539 Weldment, Gas Line |
capitolareatech |
Used |
- |
$189.95
|
0 |
Feb/14/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0040-00540 Weldment, Gas Line |
capitolareatech |
Used |
- |
$249.95
|
0 |
Feb/14/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-30489 Gas Line. MXP+ |
capitolareatech |
Used |
- |
$299.95
|
0 |
Feb/14/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-30919 GAS LINE, POSITION B-C, R2, 5000 |
capitolareatech |
Used |
- |
$329.95
|
0 |
Feb/14/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-31248 GAS LINE, RAPID HELIUM DUMP, RPS |
capitolareatech |
NEW |
- |
$259.95
|
0 |
Feb/14/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 3800-01079 REGULATOR GAS PRESS 0-30 PSI 1/4MVCR-SWVL |
capitolareatech |
NEW |
- |
$499.95
|
0 |
Feb/14/20 |
Aug/13/20 |
|
Description: Applied Materials (AMAT) 0050-21116 GAS LINE ARGON SYSTEM MANIFOLD BOX 2 |
pickingtexas |
NEW |
- |
$1,150.00
|
0 |
Feb/15/20 |
Mar/15/20 |
|
Description: Applied Materials AMAT 0190-32000 GAS LINE HEATER JACKET KIT YRC-552-2 300851 |
pickingtexas |
NEW |
- |
$1,150.00
|
0 |
Feb/15/20 |
Mar/15/20 |
|
Description: Applied Materials AMAT 0190-19618 GAS LINE HEATER JACKET KIT Yamatake X-426.MEC |
pickingtexas |
NEW |
- |
$1,150.00
|
0 |
Feb/15/20 |
Mar/15/20 |
|
Description: Applied Materials AMAT 0190-31998 GAS LINE HEATER JACKET KIT Yamatake YRC550-1 |
dom0808 |
Used |
- |
$121.00
|
0 |
Feb/16/20 |
Feb/11/22 |
|
Description: Lam Research Gas Line 10-388392-00 |
dom0808 |
Used |
- |
$220.00
|
0 |
Feb/16/20 |
Feb/11/22 |
|
Description: Lam Research Gas Line 10-396190-00 |
partskorea1 |
Used |
- |
$499.00
|
0 |
Feb/19/20 |
Aug/25/22 |
|
Description: Lam Research 839-274348-001 TUBE ASSY,LOWER LL GAS SUPPLY |
dr.dantom |
Used |
- |
$170.00
|
0 |
Feb/19/20 |
Aug/25/22 |
|
Description: UNIT UFC-8565C AMAT 0190-16330 GAS N2 2000 SCCM MFC Mass Flow Controller |
dr.dantom |
Used |
- |
$170.00
|
3 |
Feb/19/20 |
Jun/06/21 |
|
Description: UNIT UFC-8161 AMAT 3030-15385 Gas SiH4 200CC Mass Flow Controller |
dom0808 |
NEW |
- |
$220.00
|
1 |
Feb/19/20 |
Jun/09/20 |
|
Description: Lam Research CLP,UPPER CHMR,GAS LN MNT 715-082955-010 |
partskorea1 |
NEW |
- |
$400.00
|
2 |
Feb/20/20 |
Feb/21/20 |
|
Description: LAM 839-016641-002 Poly gas Delivery Weldment |
dom0808 |
Used |
- |
$187.00
|
0 |
Feb/21/20 |
Feb/11/22 |
|
Description: Entegris Wafergard Surface Mount Gas Filter WGSLNFC1M Lam Research 22-192505-00 |
capitolareatech |
NEW |
- |
$9.95
|
6 |
Feb/22/20 |
Jun/22/20 |
|
Description: Lam Research LAM 715-030319-001 COVER SCREW BOT GAS R BSR |
j316gallery |
NEW |
- |
$109.62
|
0 |
Feb/23/20 |
Feb/17/22 |
|
Description: 15579 LAM RESEARCH DISC,GAS DIRECTOR,LWR ISO ETCH (NEW) 716-021873-001 |
spsglobal |
Used |
- |
$20.00
|
0 |
Feb/24/20 |
Aug/25/22 |
|
Description: 352-0403// AMAT APPLIED 0021-10024 GAS INSERT SCREW, SGD, POLY MX NEW |
capitolareatech |
NEW |
- |
$8.95
|
0 |
Feb/29/20 |
Aug/13/20 |
|
Description: Applied Materials AMAT 0060-09185 LABEL WARNING TOXIC GAS |
capitolareatech |
NEW |
- |
$8.95
|
0 |
Feb/29/20 |
Aug/13/20 |
|
Description: Lam Research LAM 839-331163-001 WLDMT TBG CHMBR PRG TOP GAS |
capitolareatech |
NEW |
- |
$8.95
|
0 |
Feb/29/20 |
Aug/13/20 |
|
Description: Lam Research LAM 839-031455-001 WELDMENT GAS LINE |
dom0808 |
Used |
- |
$1,255.00
|
2 |
Mar/02/20 |
Jun/02/20 |
|
Description: Lam Research Lam Kiyo 45 Gas Feed Line 839-031197-103 |
manufacturingequipment |
Used |
- |
$1,518.75
|
0 |
Mar/02/20 |
Sep/21/21 |
|
Description: AMAT Applied Materials 0200-06405 Ceramic Lid AG1000 Dual Gas Feed 300MM-Cleaned |
j316gallery |
Used |
- |
$1,151.70
|
0 |
Mar/04/20 |
Sep/02/21 |
|
Description: 15563 APPLIED MATERIALS GAS BOX, SIN, DXZ DCVD 0040-61266 |
j316gallery |
Used |
- |
$581.61
|
0 |
Mar/04/20 |
Feb/17/22 |
|
Description: 1344 APPLIED MATERIALS GAS LINE REDUCED FTG AMPULE 0050-25123 |
spsglobal |
Used |
- |
$340.00
|
0 |
Mar/05/20 |
Aug/25/22 |
|
Description: 324-0201// AMAT APPLIED 0040-18021 NOZZLE, GAS DIST O2 NEW |
spsglobal |
Used |
- |
$20.00
|
0 |
Mar/05/20 |
Aug/25/22 |
|
Description: 324-0201// AMAT APPLIED 0040-07630 BLANK PLATE, BACKSIDE GAS, 300 MM WATER NEW |
getspares.com_sparesllc09 |
Used |
- |
$180.68
|
0 |
Mar/06/20 |
Feb/17/22 |
|
Description: 0020-63713 / CLAMP HEATED INTRG GAS LINES 300MM DPS2 / APPLIED MATERIALS AMAT |
farmoninc |
Used |
- |
$1,750.00
|
0 |
Mar/09/20 |
Feb/17/22 |
|
Description: AMAT 0040-75457 Gas Feed Thru Tube, 452794 |
farmoninc |
Used |
- |
$1,100.00
|
0 |
Mar/10/20 |
Aug/25/22 |
|
Description: AMAT 0041-59933 Face Plate, Shower Head, Gas Distribution, 452797 |
farmoninc |
Used |
- |
$9,500.00
|
0 |
Mar/10/20 |
Aug/25/22 |
|
Description: AMAT 0041-59933 Face Plate, Shower Head, Gas Distribution, 452797 |
j316gallery |
NEW |
- |
$1,465.80
|
0 |
Mar/10/20 |
Sep/02/21 |
|
Description: 15569 APPLIED MATERIALS GAS BOX, DXZ (NEW) 0021-09760 |
pickingtexas |
NEW |
- |
$1,150.00
|
0 |
Mar/15/20 |
Jun/28/20 |
|
Description: Applied Materials AMAT 0190-31998 GAS LINE HEATER JACKET KIT Yamatake YRC550-1 |
pickingtexas |
NEW |
- |
$1,150.00
|
0 |
Mar/15/20 |
Jun/28/20 |
|
Description: Applied Materials AMAT 0190-32000 GAS LINE HEATER JACKET KIT YRC-552-2 300851 |
pickingtexas |
NEW |
- |
$1,150.00
|
0 |
Mar/15/20 |
Jun/28/20 |
|
Description: Applied Materials AMAT 0190-19618 GAS LINE HEATER JACKET KIT Yamatake X-426.MEC |
getspares.com_sparesllc09 |
Used |
- |
$350.03
|
0 |
Mar/18/20 |
Feb/17/22 |
|
Description: 0041-05336 / QX+ GAS LINE ASSY / APPLIED MATERIALS AMAT |
spsglobal |
Used |
- |
$40.00
|
0 |
Mar/19/20 |
Aug/25/22 |
|
Description: 324-0202// AMAT APPLIED 0020-04383 UPPER CAP INSULATOR, GAS COOLE [NEW] |
j316gallery |
Used |
- |
$921.36
|
1 |
Mar/19/20 |
Feb/17/22 |
|
Description: 16845 APPLIED MATERIALS PCB, NEXT GEN GAS PANEL PCB, EPI 300MM 0100-02723 |
semiconductorsolution |
NEW |
- |
$9,950.00
|
0 |
Mar/20/20 |
Sep/23/21 |
|
Description: AMAT, Applied Materials, 0010-02616, Assy sym gas ring 24 ports |
getspares.com_sparesllc09 |
Used |
- |
$350.68
|
1 |
Mar/24/20 |
Apr/03/22 |
|
Description: 0190-35894 / RESISTOR GAS FEED, TXZ / APPLIED MATERIALS AMAT |
spsglobal |
Used |
- |
$300.00
|
0 |
Mar/23/20 |
Aug/25/22 |
|
Description: 324-0203// AMAT APPLIED 0200-39199 NOZZLE, OUTER, GAS FEED, 300 MM DPS [NEW] |
hjtec_sales |
Scrap, for parts |
- |
$420.00
|
1 |
Mar/27/20 |
Sep/27/20 |
|
Description: AMAT 0090-00811 ASSY PCB DUAL GAS LEAK DETECTOR |
manufacturingequipment |
NEW |
- |
$1,012.50
|
0 |
Mar/30/20 |
Sep/21/21 |
|
Description: AMAT Applied Materials 0200-05512 Gas Distribution Plate, APC, 300MM |
j316gallery |
Used |
- |
$523.50
|
0 |
Apr/17/20 |
Jun/17/21 |
|
Description: 16508 APPLIED MATERIALS 12 SLOT MANF GAS PANEL PNUEMATICS PROD SE 0190-06402 |
powersell007 |
Used |
- |
$999.00
|
0 |
Apr/23/20 |
Nov/23/23 |
|
Description: APPLIED MATERIALS 1410-02660 HTR ASSY 0051-24082 SLD GAS 21 SEG2 POS-/3 14STK |
sgcequipment |
Used |
- |
$40.00
|
2 |
Apr/23/20 |
Nov/13/23 |
|
Description: MYKROLIS WG2F02RR2 APPLIED MATERIAL 4020-01137 IN-LINE GAS FILTER 1/4 GASKET SEA |
manufacturingequipment |
NEW |
- |
$2,794.50
|
0 |
Apr/28/20 |
Sep/21/21 |
|
Description: AMAT Applied Materials 0200-06405 Ceramic Lid AG1000 Dual Gas Feed 300MM DPS-New |
usedeqsales |
Used |
- |
$2,505.20
|
1 |
May/01/20 |
Jul/21/22 |
|
Description: AMAT Applied Materials 0220-06108 300mm Lid HPM Dual Gas Feed DPS 2 New Surplus |
maxisemi1349 |
NEW |
- |
$2,950.00
|
1 |
May/04/20 |
Jul/19/20 |
|
Description: 716-012640-011 WDO GAS INJ FACE SEAL QRTZ |
maxisemi1349 |
NEW |
- |
$2,950.00
|
0 |
May/04/20 |
Aug/24/20 |
|
Description: 716-012640-012 WDO GAS INJ FACE SEAL QRTZ |
palzamani |
Used |
- |
$85.00
|
1 |
May/04/20 |
May/07/21 |
|
Description: Applied Materials AMAT 0100-09107, TEOS Gas Interface Board |
techequipsales |
Used |
- |
$2,500.00
|
1 |
May/08/20 |
Oct/18/21 |
|
Description: LAM Research 846-077848-725 All In One Gas Box *non-working* |
techequipsales |
Used |
- |
$1,250.00
|
0 |
May/08/20 |
Sep/09/20 |
|
Description: LAM Research 846-077848-786 Jetstream Gas Box *non-working* |
j316gallery |
Used |
- |
$1,361.10
|
0 |
May/10/20 |
Jan/19/21 |
|
Description: 18625 APPLIED MATERIALS EMC COMP. CABLE ASSY GAS PANEL #1 UMBI 12.2M 0150-76204 |
j316gallery |
Used |
- |
$1,361.10
|
0 |
May/10/20 |
Jun/13/21 |
|
Description: 18622 APPLIED MATERIALS EMC COMP.,CABLE ASSY,GAS PANEL UMBILICAL 0150-76177 |
j316gallery |
Used |
- |
$942.30
|
0 |
May/10/20 |
Jun/21/21 |
|
Description: 9738 APPLIED MATERIALS EMC COMP, CABLE ASSY, GAS PANEL UMBILIC, 7.48M 0150-76178 |
getspares.com_sparesllc09 |
Used |
- |
$33,891.80
|
0 |
May/13/20 |
Jan/19/23 |
|
Description: 853-002235-182 / GIB,4PM,10RA,2X8 GAS,2X3 GAS,BOT INLET / LAM RESEARCH CORP. |
virtualspares |
NEW |
- |
$175.00
|
0 |
May/17/20 |
May/24/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
virtualspares |
NEW |
- |
$175.00
|
0 |
May/24/20 |
May/31/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
virtualspares |
NEW |
- |
$175.00
|
0 |
May/31/20 |
Jun/07/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
dom0808 |
Used |
- |
$1,255.00
|
3 |
Jun/04/20 |
Jun/05/20 |
|
Description: Lam Research Lam Kiyo 45 Gas Feed Line 839-031197-103 |
dom0808 |
Used |
- |
$1,375.00
|
1 |
Jun/04/20 |
Feb/11/22 |
|
Description: Lam Research Dual Gas Feed Line 715-008249-007 |
virtualspares |
NEW |
- |
$175.00
|
0 |
Jun/07/20 |
Jun/14/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
farmoninc |
NEW |
- |
$1,000.00
|
0 |
Jun/09/20 |
May/07/23 |
|
Description: AMAT 0200-00410 Uni-Insert Gas Distribution, 88 Holes, Quartz, 452925 |
virtualspares |
NEW |
- |
$175.00
|
0 |
Jun/14/20 |
Jun/21/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
novusferro |
Used |
- |
$799.00
|
0 |
Jun/15/20 |
Apr/26/21 |
|
Description: LAM Research 853-019732-010 Rev E Gas Box Assembly with Mass Flow Controllers |
powersell007 |
Used |
- |
$13,999.00
|
0 |
Jun/16/20 |
Jul/06/20 |
|
Description: MKS ASTeX ASTRON i 3L AX7670-85 REACTIVE GAS GENERATOR RPS AMAT 0020-97684 |
powersell007 |
Used |
- |
$5,799.00
|
1 |
Jun/16/20 |
Sep/16/21 |
|
Description: MKS ASTeX ASTRON e/ex AX7685-85 REACTIVE GAS GENERATOR RPS AMAT 0190-40602 |
j316gallery |
Used |
- |
$3,036.30
|
1 |
Jun/16/20 |
Feb/23/21 |
|
Description: 19269 LAM RESEARCH METAL ETCH PLD/LD GAS DIST 300MM W/ GRV HTR 715-801916-008 |
getspares.com_sparesllc09 |
Used |
- |
$8,753.79
|
0 |
Jun/18/20 |
Oct/08/24 |
|
Description: 0040-18158 / RING, SYM GAS DIST, ULTIMA HDP-CVD / APPLIED MATERIALS AMAT |
getspares.com_sparesllc09 |
Used |
- |
$5,303.94
|
0 |
Jun/18/20 |
Oct/09/24 |
|
Description: 0040-18080 / RING,GAS NOZZLE, HDPCVD / APPLIED MATERIALS AMAT |
getspares.com_sparesllc09 |
Used |
- |
$933.00
|
0 |
Jun/19/20 |
Nov/07/24 |
|
Description: 0020-10589 / PLATE, GAS BOX WB / APPLIED MATERIAL AMAT |
virtualspares |
NEW |
- |
$175.00
|
0 |
Jun/21/20 |
Jun/28/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
tjtechseller |
NEW |
- |
$500.00
|
0 |
Jun/23/20 |
Nov/10/24 |
|
Description: 0021-04297 Applied Materials MANIFOLD CLEAN GAS 200MM |
pdcsystems |
Used |
- |
$945.00
|
1 |
Jun/25/20 |
Nov/11/20 |
|
Description: APPLIED MATERIALS 0010-25741 CONFIGURABLE BROOKS GF 125C MFC GAS STICK, 300MM-HT |
pdcsystems |
Used |
- |
$405.00
|
1 |
Jun/25/20 |
Sep/07/20 |
|
Description: AMAT Applied Materials 0090-35042 Assembly Gas Panel H2 Sensor |
pdcsystems |
Used |
- |
$245.00
|
0 |
Jun/25/20 |
Mar/18/21 |
|
Description: APPLIED MATERIALS 0240-31406 5000 WXZSVD GAS MODULE |
pdcsystems |
Used |
- |
$945.00
|
1 |
Jun/25/20 |
Jan/14/21 |
|
Description: APPLIED MATERIALS 0010-81790 CONFIGURABLE BROOKS GF 125C MFC GAS STICK, 300MM-HT |
virtualspares |
NEW |
- |
$175.00
|
0 |
Jun/28/20 |
Jul/05/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
8ten1944 |
Used |
- |
$388.95
|
0 |
Jun/29/20 |
Jul/28/20 |
|
Description: Applied Materials 3780-01304 Spr Gas 4”STRK 300LBS #14ROD 13.78 EXT LG Eye/Ball |
virtualspares |
NEW |
- |
$175.00
|
0 |
Jul/05/20 |
Jul/12/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
spsglobal |
Used |
- |
$60.00
|
1 |
Jul/07/20 |
Jul/07/22 |
|
Description: 350-0203// AMAT APPLIED 0021-22267 BRACKET MOUNTING GAS MODULE TX [NEW] |
j316gallery |
Scrap, for parts |
- |
$1,045.95
|
1 |
Jul/08/20 |
Feb/23/21 |
|
Description: 19583 APPLIED MATERIALS PCB GAS PANEL SERIPLEX PCB CH-SET C (PARTS) 0190-35763 |
expertsurplus |
NEW |
- |
$100.00
|
3 |
Jul/09/20 |
Jul/20/21 |
|
Description: AMAT, 0050-30761, Tube Gas Supply, New |
virtualspares |
NEW |
- |
$175.00
|
0 |
Jul/12/20 |
Jul/19/20 |
|
Description: AMAT Applied Materials 0200-00410 Uni-Insert Gas Distribution Plate 88-Holes QTZ |
spsglobal |
Used |
- |
$60.00
|
0 |
Jul/13/20 |
Aug/07/22 |
|
Description: 351-0201// AMAT APPLIED 0020-34285 BRKT,GAS LINES,SIDE POST,BOTTO [NEW] |
powersell007 |
Used |
- |
$299.00
|
0 |
Jul/13/20 |
Nov/01/21 |
|
Description: APPLIED MATERIALS 0270-05812 DEGAS GAS SPRING COMPRESSION TOOL, 300MM AMAT |
liquidationbazar |
Used |
- |
$1,999.67
|
0 |
Jul/15/20 |
Jul/30/20 |
|
Description: APPLIED MATERIALS GAS PANEL III BD. 0100-00208 A |
prism_electronics12 |
Used |
- |
$13,000.00
|
1 |
Jul/21/20 |
Feb/03/21 |
|
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE |
prism_electronics12 |
Used |
- |
$15,000.00
|
0 |
Jul/21/20 |
Jul/24/20 |
|
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE |
usedeqsales |
Used |
- |
$507.20
|
0 |
Jul/23/20 |
Apr/13/23 |
|
Description: AMAT Applied Materials 0100-00582 Gas Panel Controller Backplane PCB Working |
prism_electronics8 |
Used |
- |
$424.00
|
7 |
Jul/24/20 |
May/11/22 |
|
Description: LAM RESEARCH 859-260180-100 SMC D-276-990027P STND ORB GAS Kit Harness Assembly |
8ten1944 |
Used |
- |
$338.95
|
0 |
Jul/28/20 |
Aug/27/20 |
|
Description: Applied Materials 3780-01304 Spr Gas 4”STRK 300LBS #14ROD 13.78 EXT LG Eye/Ball |
j316gallery |
Used |
- |
$836.55
|
0 |
Aug/06/20 |
Sep/16/21 |
|
Description: 7731 APPLIED MATERIALS CONFIGURABLE MFC GAS STICK 0010-25741 |
adelrick123 |
Used |
- |
$3,350.00
|
0 |
Aug/06/20 |
Apr/06/22 |
|
Description: Amat 0100-00446 Gas Panel Ctrl Backplane |
adelrick123 |
Used |
- |
$2,650.00
|
0 |
Aug/06/20 |
Apr/06/22 |
|
Description: Amat 0100-01652 HDPCVD 300MM AP GAS Panel Dist. |
yenlimited-27 |
Used |
- |
$5,175.00
|
0 |
Aug/07/20 |
Nov/28/23 |
|
Description: 810-049401-001/12 Channel Gas Cont, ORIGINAL, NEW, TCP9400DFM, TCP9400DSiE |
spsglobal |
Used |
- |
$270.00
|
0 |
Aug/10/20 |
Dec/18/22 |
|
Description: 348-0203// AMAT APPLIED 1040-01065 METER FLOW GAS 70LPH SST W/SST VITON [NEW] |
spsglobal |
Used |
- |
$220.00
|
0 |
Aug/11/20 |
Sep/12/23 |
|
Description: 111-0502// AMAT APPLIED 0020-20292 BLOCK GAS SPRING FIXTURE [USED] |
gesemiconductor |
Used |
- |
$1,000.00
|
2 |
Aug/12/20 |
Mar/20/22 |
|
Description: LAM Research 810-017075-003 PCB Gas Panel Interlock Board |
spsglobal |
Used |
- |
$130.00
|
0 |
Aug/13/20 |
Jun/18/23 |
|
Description: 177-0404// AMAT APPLIED 0050-70093 GAS LINE #3 CH D, PCII [2ND NEW] |
spsglobal |
Used |
- |
$130.00
|
0 |
Aug/13/20 |
Jun/18/23 |
|
Description: 177-0404// AMAT APPLIED 0050-70091 GAS LINE #1 CH C, PCII [2ND NEW] |
spsglobal |
Used |
- |
$720.00
|
0 |
Aug/13/20 |
Jun/18/23 |
|
Description: 177-0404// AMAT APPLIED 0050-24649 GAS LINE,CH 3,W/B,MFC,COH TI/TIN [2ND NEW] |
spsglobal |
Used |
- |
$100.00
|
0 |
Aug/13/20 |
Jun/18/23 |
|
Description: 177-0501// AMAT APPLIED 0050-70095 GAS LINE #2 CH C, PCII [2ND NEW] |
capitolareatech |
NEW |
- |
$295.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0040-00594 TUBE, KEYED, GAS |
capitolareatech |
Used |
- |
$85.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-18587 GAS, TOP FEED, WELDMENT |
capitolareatech |
NEW |
- |
$295.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-44912 GAS LINE, Y, CHAMBER A |
capitolareatech |
NEW |
- |
$95.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0040-20620 Weldment Gas Line Assy |
capitolareatech |
NEW |
- |
$171.42
|
1 |
Aug/14/20 |
May/14/21 |
|
Description: AMAT 0040-03800 GAS FEEDTHRU, 300MM TXZ |
capitolareatech |
NEW |
- |
$568.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0200-09628 WEST COAST QUARTZ GAS DIST. PLATE AMJ |
capitolareatech |
NEW |
- |
$59.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-28199 Gas Line |
capitolareatech |
NEW |
- |
$95.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-71724 Gas Line |
capitolareatech |
NEW |
- |
$185.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-06894 GAS FITTING INLET MANIFOLD |
capitolareatech |
NEW |
- |
$499.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: APPLIED MATERIAL (AMAT) 0050-10140 Fitting, Gas Supply, Adapter Ring |
capitolareatech |
NEW |
- |
$345.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0020-32263 GAS DIST PLATE. 245 HOLES .156 THICK |
capitolareatech |
NEW |
- |
$699.95
|
0 |
Aug/14/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0021-35087 PLATE,BLOCKER,N2/HE CARRIER GAS,DXZ/UNIV |
prism_electronics12 |
Used |
- |
$499.99
|
0 |
Aug/14/20 |
Jul/25/22 |
|
Description: APPLIED MATERIALS 0040-18080 RING GAS 18 NOZZLE |
capitolareatech |
Used |
- |
$404.95
|
0 |
Aug/15/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-30919 GAS LINE, POSITION B-C, R2, 5000 |
capitolareatech |
NEW |
- |
$500.00
|
1 |
Aug/15/20 |
May/15/22 |
|
Description: NOVELLUS 10-155415-00 GAS DISTRIBUTION MANIFOLD |
galacticmarketplace |
NEW |
- |
$799.99
|
3 |
Aug/15/20 |
Mar/03/21 |
|
Description: MKS Type 649A-25014 Pressure Controller 50 Torr Lam P/N 797-800733-001 Gas He |
capitolareatech |
NEW |
- |
$2,216.95
|
0 |
Aug/17/20 |
Mar/28/24 |
|
Description: LAM Research 716-330068-001 GAS RING COVER |
capitolareatech |
NEW |
- |
$36.95
|
0 |
Aug/17/20 |
Apr/17/24 |
|
Description: Lam Research (LAM) 715-031458-002 BRACKET GAS FEED TUBE FOR NEW DIS FILTER |
capitolareatech |
NEW |
- |
$467.50
|
0 |
Aug/17/20 |
May/17/22 |
|
Description: Lam Research (LAM) 715-021483-001 PLATE FEEDTHRU, GAS RING |
capitolareatech |
NEW |
- |
$21.95
|
0 |
Aug/17/20 |
Mar/28/24 |
|
Description: Lam Research (LAM) 715-011522-002 BRACKET GAS FEED TUBE LOWER FOR NEW DIS. |
capitolareatech |
NEW |
- |
$16.86
|
0 |
Aug/17/20 |
Apr/14/21 |
|
Description: LAM 716-021491-001 SPACER DIRECTOR PROCESS GAS |
capitolareatech |
NEW |
- |
$505.95
|
0 |
Aug/17/20 |
Mar/28/24 |
|
Description: Lam Research (LAM) 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1 |
capitolareatech |
NEW |
- |
$577.95
|
0 |
Aug/17/20 |
Jul/03/24 |
|
Description: LAM 856-017457-001 LAM KIT UPGRADE, GAS PNL PCB 44 |
capitolareatech |
NEW |
- |
$433.95
|
0 |
Aug/17/20 |
Jul/03/24 |
|
Description: LAM 839-014453-001 WELDMENT GAS DELIVERY |
vizvik16 |
Used |
- |
$310.00
|
1 |
Aug/18/20 |
Aug/25/20 |
|
Description: MKS 649B-30957 LAM P/N 797-800733-100, Gas He, Range 50 SCCM, 50Torr |
capitolareatech |
Used |
- |
$47.95
|
0 |
Aug/18/20 |
Sep/30/24 |
|
Description: LAM RESEARCH (LAM) 715-011521-001 BRACKET POU GAS FILTER |
capitolareatech |
Used |
- |
$1,181.95
|
0 |
Aug/18/20 |
Sep/30/24 |
|
Description: LAM RESEARCH (LAM) 715-011441-001 Ring, Gas Feed 9 " |
capitolareatech |
NEW |
- |
$212.95
|
0 |
Aug/18/20 |
Sep/30/24 |
|
Description: LAM 715-220438-002 LAM Sleeve, Bracket, Gas Feed Tube |
capitolareatech |
Used |
- |
$169.95
|
0 |
Aug/18/20 |
Sep/30/24 |
|
Description: LAM RESEARCH (LAM) 839-000865-001 NUPRO Flange Gas Line Assembly |
capitolareatech |
Used |
- |
$39.95
|
0 |
Aug/18/20 |
Sep/30/24 |
|
Description: LAM RESEARCH (LAM) 839-360149-001 KINETICS FLUID SYSTEMS GAS CONNECTION |
capitolareatech |
NEW |
- |
$19.95
|
1 |
Aug/19/20 |
Mar/19/22 |
|
Description: LAM RESEARCH (LAM) 839-014757-072 WLDMT ,GAS FDLN, FLEX, 72" LG |
capitolareatech |
Used |
- |
$59.95
|
0 |
Aug/19/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-76183 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$279.95
|
0 |
Aug/19/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-00032 GAS LINE A-2 ETCH CHAMBER |
capitolareatech |
Used |
- |
$89.95
|
0 |
Aug/19/20 |
Dec/19/23 |
|
Description: Applied Materials (AMAT) 0050-71723 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$329.95
|
0 |
Aug/19/20 |
Dec/19/23 |
|
Description: Applied Materials (AMAT) 0050-43026 GAS LINE, 2 MALE FITTINGS |
capitolareatech |
Used |
- |
$395.95
|
0 |
Aug/19/20 |
Dec/19/23 |
|
Description: Applied Materials (AMAT) 0050-06789 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$279.95
|
0 |
Aug/19/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-00264 GAS LINE, 2 MALE FITTINGS |
capitolareatech |
Used |
- |
$399.95
|
0 |
Aug/19/20 |
Dec/19/23 |
|
Description: Applied Materials (AMAT) 0050-42481 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$54.95
|
0 |
Aug/19/20 |
Dec/19/23 |
|
Description: Applied Materials (AMAT) 0050-05279 GAS LINE, WELDMENT, TEE FITTING |
capitolareatech |
Used |
- |
$69.95
|
0 |
Aug/19/20 |
Dec/19/23 |
|
Description: Applied Materials (AMAT) 0050-71791 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$279.95
|
0 |
Aug/19/20 |
Dec/19/23 |
|
Description: Applied Materials (AMAT) 0050-27450 GAS LINE |
getspares.com_sparesllc09 |
Used |
- |
$20,440.60
|
0 |
Aug/19/20 |
Dec/13/21 |
|
Description: 571-033051-004 / 16-LINE IGS GAS BOX 571-033051-11866D / LAM RESEARCH |
getspares.com_sparesllc09 |
Used |
- |
$20,440.60
|
0 |
Aug/19/20 |
Dec/13/21 |
|
Description: 571-033051-001 / KIYO ALL IN ONE GAS BOX 16 LINE IGS 571-033051-12315A2 / LAM |
getspares.com_sparesllc09 |
Used |
- |
$20,440.60
|
0 |
Aug/19/20 |
Dec/13/21 |
|
Description: 571-033051-003 / 16 LINE IGS GAS BOX 571-033051-13664C / LAM RESEARCH |
getspares.com_sparesllc09 |
Used |
- |
$20,440.60
|
0 |
Aug/19/20 |
Dec/13/21 |
|
Description: 571-033051-005 / 16-LINE IGS GAS BOX 571-033051-31050E / LAM RESEARCH |
getspares.com_sparesllc09 |
Used |
- |
$20,440.60
|
0 |
Aug/19/20 |
Jun/15/21 |
|
Description: 571-033051-002 / 16 LINE IGS GAS BOX 571-033051-13350B / LAM |
dom0808 |
Used |
- |
$137.50
|
0 |
Aug/20/20 |
Feb/11/22 |
|
Description: Novellus Gas Shield Hi-Purity Gas Diffuser 19-053642-00 |
getspares.com_sparesllc09 |
Used |
- |
$5,000.99
|
1 |
Aug/21/20 |
May/24/22 |
|
Description: 0041-31335 / PLATE GAS DISTRIBUTION TXZ 300MM CV / APPLIED MATERIALS AMAT |
j316gallery |
Used |
- |
$313.05
|
0 |
Aug/23/20 |
Jan/12/21 |
|
Description: 20431 APPLIED MATERIALS PCB GAS PANEL INTLK W/PLASMA DETECT ULTIMA TE 0100-01859 |
j316gallery |
Used |
- |
$366.45
|
0 |
Aug/23/20 |
Aug/03/21 |
|
Description: 20410 APPLIED MATERIALS GAS LINE NO.3 PRECLEAN CHMBR REACTIVE PR 0190-20015 |
capitolareatech |
NEW |
- |
$39.95
|
0 |
Aug/24/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0150-35257 CABLE, ASSEMBLY EV'S GAS DIST TO EV |
capitolareatech |
Used |
- |
$179.95
|
0 |
Aug/24/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0150-18017 Cable, Assy. Gas Panel Interlock |
capitolareatech |
Used |
- |
$843.95
|
0 |
Aug/24/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0150-09709 CABLE ASSEMBLY SET GAS TO REMOTE 25' |
capitolareatech |
Used |
- |
$60.95
|
0 |
Aug/24/20 |
Aug/31/23 |
|
Description: Applied Materials (AMAT) 0150-09517 CABLE ASSY,CAP MONO/PROC GAS ISOLATION V |
capitolareatech |
Used |
- |
$99.95
|
0 |
Aug/24/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0150-09203 Harness, Assy Gas Panel Power Jumper |
capitolareatech |
Used |
- |
$539.95
|
0 |
Aug/24/20 |
Aug/31/23 |
|
Description: Applied Materials (AMAT) 0150-09603 CABLE,ANALOG #2 GAS PANEL INTERCONNECT |
capitolareatech |
Used |
- |
$29.95
|
0 |
Aug/24/20 |
Dec/27/22 |
|
Description: Applied Materials (AMAT) 0150-18014 Cable, Assy. Gas Panel Customer Interlock |
capitolareatech |
Used |
- |
$53.95
|
0 |
Aug/24/20 |
Aug/31/23 |
|
Description: Applied Materials (AMAT) 0150-35257 CABLE, ASSY, EV's GAS DIST. TO EV |
capitolareatech |
Used |
- |
$279.95
|
0 |
Aug/24/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0150-09601 CABLE, SPARE DIGITAL GAS PANEL INTERCONNE |
capitolareatech |
Used |
- |
$669.95
|
0 |
Aug/24/20 |
Aug/31/23 |
|
Description: Applied Materials (AMAT) 0050-30920 INSERT GAS FEED B & C R2 5000, FLEXABLE |
capitolareatech |
Used |
- |
$26.95
|
0 |
Aug/24/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0140-09228 HARNESS ASSY GAS PANEL COVER SWITCH |
capitolareatech |
Used |
- |
$107.95
|
0 |
Aug/24/20 |
Aug/31/23 |
|
Description: Applied Materials (AMAT) 0140-01159 Harness, Assy. Gas Panel Door SW INTLK |
capitolareatech |
Used |
- |
$34.95
|
0 |
Aug/24/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0140-20206 HARNESS,ASSY,AL MUX CONT. REMOTE GAS |
capitolareatech |
Used |
- |
$229.95
|
0 |
Aug/24/20 |
Aug/31/23 |
|
Description: Applied Materials (AMAT) 0140-35859 HARNESS, PRESS XDCR, STD VME GAS PANEL C |
spsglobal |
Used |
- |
$180.00
|
0 |
Aug/25/20 |
Apr/27/22 |
|
Description: 322-0403// AMAT APPLIED 0020-10876 MANIFOLD GAS OUT BWCVD [USED] |
spsglobal |
Used |
- |
$60.00
|
0 |
Aug/25/20 |
Sep/27/21 |
|
Description: 351-0201// AMAT APPLIED 0020-31425 MIXER GAS BOX LID BWCVD [USED] |
spsglobal |
Used |
- |
$740.00
|
1 |
Aug/26/20 |
May/10/21 |
|
Description: 322-0402// AMAT APPLIED 0190-75076 XMTR TWO WIRE HYDROGEN GAS SENSOR W/ [ASIS] |
capitolareatech |
Used |
- |
$99.95
|
0 |
Aug/26/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-75327 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$129.95
|
0 |
Aug/26/20 |
Dec/26/23 |
|
Description: Applied Materials (AMAT) 0050-76227 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$149.95
|
0 |
Aug/26/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-46773 GAS LINE ASSY |
capitolareatech |
Used |
- |
$149.95
|
0 |
Aug/26/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-49499 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$79.95
|
0 |
Aug/26/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-76215 GAS LINE, WELDMENT FITTING |
capitolareatech |
Used |
- |
$99.95
|
0 |
Aug/26/20 |
Dec/26/23 |
|
Description: Applied Materials (AMAT) 0050-75459 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$129.95
|
0 |
Aug/26/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-42280 GAS LINE PURGE/PROCESS W/NF3 SW CXZ GAS |
capitolareatech |
Used |
- |
$99.95
|
0 |
Aug/26/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-47511 GAS LINE |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/26/20 |
Dec/26/23 |
|
Description: Applied Materials (AMAT) 0050-49179 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$129.95
|
0 |
Aug/26/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-48253 GAS LINE |
capitolareatech |
Used |
- |
$89.95
|
0 |
Aug/26/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-54548 GAS LINE WELDMENT |
8ten1944 |
Used |
- |
$318.95
|
0 |
Aug/27/20 |
Sep/25/20 |
|
Description: Applied Materials 34534 3780-01304 Spr Gas 4STRK 300LBS Number 14ROD 13.78 EXT |
capitolareatech |
Used |
- |
$449.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-24710 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$149.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-10031 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-28151 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-03725 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$159.95
|
0 |
Aug/28/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-21244 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$149.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-30857 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-27487 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$219.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-25774 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-03047 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-27484 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$229.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-18556 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$179.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-20734 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$179.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-00414 GAS LINE, FITTING, VALVE |
capitolareatech |
Used |
- |
$229.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-00708 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$229.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-28786 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$139.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-00255 GAS LINE |
capitolareatech |
Used |
- |
$129.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-27753 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$239.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-27839 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$79.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-27256 GAS LINE |
capitolareatech |
Used |
- |
$139.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-00255 GAS LINE |
capitolareatech |
Used |
- |
$149.95
|
0 |
Aug/28/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-18789 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$139.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-00947 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-00213 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$349.95
|
1 |
Aug/28/20 |
Jun/28/22 |
|
Description: Applied Materials (AMAT) 0050-20236 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-27757 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0040-36245 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$169.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-01062 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$219.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-21252 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$299.95
|
0 |
Aug/28/20 |
Mar/28/23 |
|
Description: Applied Materials (AMAT) 0050-27620 WELDMENT UPPER 5RA FL GEN NF3 GAS LINE 2 |
capitolareatech |
Used |
- |
$169.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-00029 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$239.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-04739 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$239.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-04740 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-10475 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$169.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-21193 FITTING, 0050-21193 GAS LINE |
capitolareatech |
Used |
- |
$249.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-09826 GAS LINE 0050-09826 APPLIED MATERIALS |
capitolareatech |
Used |
- |
$219.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-21703 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-25244 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$149.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-21169 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-02619 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-06778 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$119.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0040-22923 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-02096 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$329.95
|
0 |
Aug/28/20 |
Nov/28/22 |
|
Description: Applied Materials (AMAT) 0050-07406 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$219.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-02692 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$239.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-14746 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-05563 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$219.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-27931 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-27547 WELDMENT, GAS LINE FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-26292 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-31375 GAS LINE,FHD POS. B/C |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-00279 GAS LINE WELDMENT, |
capitolareatech |
Used |
- |
$219.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-25111 GAS LINE ASSY, 3 MALE FITTINGS |
capitolareatech |
Used |
- |
$219.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-21875 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-02198 GAS LINE |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-02720 GAS LINE |
capitolareatech |
Used |
- |
$189.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-20060 GAS LINE PRECLEAN CHAMB (WITH BYPASS) MF |
capitolareatech |
Used |
- |
$159.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-05268 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-26826 WELDMENT GAS LINE, FITTING |
capitolareatech |
Used |
- |
$239.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-09713 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Aug/28/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-26596 GAS LINE |
capitolareatech |
Used |
- |
$169.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-03164 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$229.95
|
0 |
Aug/28/20 |
Dec/28/23 |
|
Description: Applied Materials (AMAT) 0050-31090 GAS LINE FITTING |
capitolareatech |
Used |
- |
$254.95
|
0 |
Sep/03/20 |
Sep/30/24 |
|
Description: LAM RESEARCH (LAM) 714-024505-100 TRAY CABLE GAS PANEL |
prism_electronics12 |
Used |
- |
$637.49
|
1 |
Sep/03/20 |
Oct/02/20 |
|
Description: LAM RESEARCH 810-017075-003 GAS PANEL PCB INTERLOCK RAINBOW |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/03/20 |
Jan/03/24 |
|
Description: Applied Materials (AMAT) 0050-41090 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$359.95
|
0 |
Sep/03/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-20669 FITTING,GAS LINE |
capitolareatech |
Used |
- |
$329.95
|
0 |
Sep/03/20 |
Jan/03/24 |
|
Description: Applied Materials (AMAT) 0050-00321 GAS LINE, WELDMENT, FITTING |
capitolareatech |
Used |
- |
$249.95
|
0 |
Sep/03/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-40784 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$269.95
|
0 |
Sep/03/20 |
Jan/03/24 |
|
Description: Applied Materials (AMAT) 0050-07397 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$319.95
|
0 |
Sep/03/20 |
Jan/03/24 |
|
Description: Applied Materials (AMAT) 0050-00322 GAS LINE, FITTING, WELDMENT |
capitolareatech |
Used |
- |
$199.95
|
0 |
Sep/03/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-32279 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$359.95
|
0 |
Sep/03/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-26319 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$359.95
|
0 |
Sep/03/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-18797 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$159.95
|
0 |
Sep/03/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-34149 FITTING, GAS LINE |
capitolareatech |
Used |
- |
$359.95
|
0 |
Sep/03/20 |
Jan/03/24 |
|
Description: Applied Materials (AMAT) 0050-18799 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$239.95
|
0 |
Sep/03/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-20738 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$339.95
|
0 |
Sep/03/20 |
May/10/23 |
|
Description: Applied Materials (AMAT) 0050-42311 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$249.95
|
0 |
Sep/03/20 |
Jan/03/24 |
|
Description: Applied Materials (AMAT) 0050-00347 WELDMENT , GAS LINE |
capitolareatech |
Used |
- |
$259.95
|
0 |
Sep/03/20 |
Jan/03/24 |
|
Description: Applied Materials (AMAT) 0050-07225 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$299.95
|
0 |
Sep/03/20 |
Jan/03/24 |
|
Description: Applied Materials (AMAT) 0050-21285 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$319.95
|
0 |
Sep/03/20 |
Jan/03/24 |
|
Description: Applied Materials (AMAT) 0050-28858 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$129.95
|
0 |
Sep/03/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-35801 GAS LINE WELDMENT |
capitolareatech |
Used |
- |
$165.95
|
0 |
Sep/08/20 |
Jan/08/24 |
|
Description: Applied Materials (AMAT) 0050-41484 Weldment, GAS LINE, FITTING |
j316gallery |
NEW |
- |
$287.93
|
0 |
Sep/08/20 |
Apr/21/21 |
|
Description: 11124 MOTT POINT-OF-USE GAS FILTER 3750PSI, AMAT P/N 4020-01205 (NEW) POU-05-SV1 |
capitolareatech |
Used |
- |
$2,399.95
|
0 |
Sep/09/20 |
Mar/07/24 |
|
Description: LAM RESEARCH (LAM) 715-330984-001 CHUCK GAS INJ FXD GAP BOTTOM RING |
capitolareatech |
Used |
- |
$580.95
|
0 |
Sep/09/20 |
Mar/07/24 |
|
Description: Applied Materials 0150-09599 CABLE, ASSY DIGITAL #1 GAS PANEL INTER |
capitolareatech |
Used |
- |
$580.95
|
0 |
Sep/09/20 |
Mar/07/24 |
|
Description: Applied Materials 0150-09599 CABLE, ASSEMBLY DIGITAL #1 GAS PANEL INTER |
capitolareatech |
Used |
- |
$607.95
|
0 |
Sep/09/20 |
Mar/07/24 |
|
Description: Applied Materials 0150-09723 CABLE, ASSEMBLY 25' DIGITAL #2 GAS PANEL INTER |
capitolareatech |
Used |
- |
$539.95
|
0 |
Sep/09/20 |
Mar/07/24 |
|
Description: Applied Materials 0150-09604 CABLE, ASSEMBLY SPARE ANALOG GAS PANEL INTER |
capitolareatech |
Used |
- |
$607.95
|
0 |
Sep/09/20 |
Mar/07/24 |
|
Description: Applied Materials 0150-09727 CABLE, ASSEMBLY 25" ANALOG #1 GAS PANEL INTER |
capitolareatech |
Used |
- |
$607.95
|
0 |
Sep/09/20 |
Mar/07/24 |
|
Description: Applied Materials 0150-09724 CABLE, ASSEMBLY 25" SPARE DIGITAL GAS PANEL INTER |
j316gallery |
NEW |
- |
$3,139.95
|
0 |
Sep/10/20 |
Aug/17/21 |
|
Description: 21057 APPLIED MATERIALS GAS BOX CHAMBER LID 200MM PRODUCER (NEW) 0040-53927 |
labmax-com |
NEW |
- |
$1,900.00
|
5 |
Sep/18/20 |
Feb/09/23 |
|
Description: AMAT Applied Materials 0200-06615 LID HPM Dual Gas Flap IEP 300mm NEW |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-76735 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-41081 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-42860 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$89.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0227-42395 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-43205 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-43116 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$139.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-47328 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-53456 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$79.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-60067 GAS LINE, FITTING |
capitolareatech |
NEW |
- |
$79.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-48298 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0227-38151 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$79.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0227-34523 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-53366 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-41216 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-43115 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$129.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-83618 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-43748 GAS LINE, MAINFRAME TO CHAMBER 300SL |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-39944 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$139.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-00262 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-32177 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$129.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-18558 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$179.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-41025 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-18717 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$169.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-10096 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$119.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-39813 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-36206 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-34782 GAS LINE, WELDMENT |
capitolareatech |
NEW |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-21358 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$59.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-28804 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$119.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-04304 GAS LINE, WELDMENT |
capitolareatech |
NEW |
- |
$119.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-30919 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$69.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-26831 GAS LINE |
capitolareatech |
Used |
- |
$149.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-40887 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$119.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-28644 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$159.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-34664 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$499.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-24773 GAS LINE, INLET, HE, POS 1 |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-33706 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-32177 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-04026 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-45149 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-45370 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-02784 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$79.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-32281 GAS LINE |
capitolareatech |
Used |
- |
$139.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-37080 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-02206 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-76642 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$119.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-07089 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$139.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-21304 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$119.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-27294 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$149.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-28880 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-27396 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$99.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-31373 GAS LINE,FHD BASIC |
capitolareatech |
Used |
- |
$139.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-20722 GAS LINE ARGON VENT M/F |
capitolareatech |
Used |
- |
$149.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-47044 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$219.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-26363 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Sep/19/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-46661 GAS LINE, WELDMENT |
prism_electronics12 |
Used |
- |
$9,999.99
|
0 |
Sep/21/20 |
Sep/24/20 |
|
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG |
prism_electronics12 |
Used |
- |
$9,999.99
|
1 |
Sep/21/20 |
Feb/03/21 |
|
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG |
grandbirdnet |
NEW |
- |
$1,600.00
|
1 |
Sep/22/20 |
Apr/01/22 |
|
Description: AMAT 0040-09920 TUBE FEED THRU GAS, NEW |
visionsemi |
Used |
- |
$360.00
|
0 |
Sep/25/20 |
Nov/25/23 |
|
Description: AMAT APPLIED MATERIALS UNI-INSERT CNC GAS DISTR PLATE 0200-02776 NEW |
8ten1944 |
Used |
- |
$278.95
|
0 |
Sep/25/20 |
Oct/25/20 |
|
Description: Applied Materials 3780-01304 Spr Gas 4STRK 300LBS Number 14ROD 13.78 EXT LG |
visionsemi |
Used |
- |
$175.00
|
7 |
Sep/28/20 |
Feb/28/22 |
|
Description: AERA MASS FLOW CONTROLLER AMAT 3030-15735 MODEL: FC-PA7820C GAS H2 FITTING 6V |
talon664-6 |
NEW |
- |
$232.56
|
0 |
Sep/29/20 |
Dec/29/20 |
|
Description: Novellus 10-155415-00 Gas Distribution Manifold |
xclusivelyglam1 |
Scrap, for parts |
- |
$4,999.99
|
0 |
Oct/05/20 |
Mar/17/21 |
|
Description: LAM Research 846-051190-206 All In One Gas Box *non-working, Parts Only!* |
maxisemi1349 |
NEW |
- |
$2,950.00
|
0 |
Oct/08/20 |
Apr/19/21 |
|
Description: 716-012640-012 WDO GAS INJ FACE SEAL QRTZ |
xsysengineering |
NEW |
- |
$75.00
|
0 |
Oct/08/20 |
Jun/12/21 |
|
Description: Applied Materials (AMAT) 3780-01011 Gas Strut, Weight Assist New |
talon664-6 |
NEW |
- |
$43.60
|
0 |
Oct/13/20 |
Dec/01/20 |
|
Description: Novellus 15-289675-00 Gas Distribution Insulator, Applied Ceramics Inc |
talon664-6 |
NEW |
- |
$251.94
|
0 |
Oct/14/20 |
Dec/01/20 |
|
Description: Novellus 10-155415-00 Gas Distribution Manifold |
expertsurplus |
Used |
- |
$150.00
|
1 |
Oct/14/20 |
Aug/26/21 |
|
Description: AMAT, Gas Line No.3 Preclean Chamber Reactive PR, 0190-20015, Used |
expertsurplus |
Used |
- |
$150.00
|
2 |
Oct/16/20 |
Dec/19/23 |
|
Description: AMAT, GAS LINE, HIGH FLOW ELBOW, 1/4" VCR, 1.4, 0050-40160, New |
j316gallery |
Used |
- |
$150.00
|
0 |
Oct/18/20 |
Nov/24/21 |
|
Description: 21346 APPLIED MATERIALS CABLE ASSY GAS PANEL 4 STICK DNET MANIFO 0150-12543 |
j316gallery |
Used |
- |
$250.00
|
1 |
Oct/19/20 |
Feb/23/21 |
|
Description: 6558 PIVOTAL SYSTEMS GAS FLOW CONTROLLER, AMAT PN: 0190-62472 32-03489 |
j316gallery |
Used |
- |
$250.00
|
1 |
Oct/19/20 |
Jan/03/21 |
|
Description: 6559 PIVOTAL SYSTEMS GAS FLOW CONTROLLER, AMAT PN: 0190-62474 32-03403 |
j316gallery |
Used |
- |
$250.00
|
1 |
Oct/19/20 |
Aug/16/21 |
|
Description: 6555 PIVOTAL SYSTEMS GAS FLOW CONTROLLER, AMAT PN: 0190-62524 32-03407 |
j316gallery |
Used |
- |
$250.00
|
1 |
Oct/19/20 |
Jan/03/21 |
|
Description: 6556 PIVOTAL SYSTEMS GAS FLOW CONTROLLER, AMAT PN: 0190-62534 32-03379 |
j316gallery |
Used |
- |
$250.00
|
1 |
Oct/19/20 |
Aug/16/21 |
|
Description: 6554 PIVOTAL SYSTEMS GAS FLOW CONTROLLER, AMAT PN: 0190-62471 32-03434 |
auctionrus |
Used |
- |
$150.00
|
1 |
Oct/19/20 |
Apr/14/22 |
|
Description: Millipore WG2F02PS1 Inline Gas Filter 1/4" Swagelok Novellus 20-102707-00 407604 |
8ten1944 |
Used |
- |
$258.95
|
0 |
Oct/25/20 |
Nov/23/20 |
|
Description: Applied Materials 3780-01304 Spr Gas 4STRK 300LBS Number 14ROD 13.78 EXT LG |
autoquip7 |
NEW |
- |
$900.00
|
1 |
Oct/27/20 |
Dec/16/20 |
|
Description: 0090-75017, ASSEMBLY PCB DUAL GAS LEAK DETECTOR APPLIED MATERIALS |
j316gallery |
Used |
- |
$555.50
|
0 |
Oct/27/20 |
May/26/22 |
|
Description: 21635 APPLIED MATERIALS COVER, METAL, GAS SPRING HINGE, DXZ, DCV 0040-02503 |
capitolareatech |
NEW |
- |
$145.95
|
0 |
Oct/28/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-41602 Gas Line |
capitolareatech |
Used |
- |
$119.95
|
0 |
Oct/28/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-43465 GAS LINE FITTING |
capitolareatech |
Used |
- |
$59.95
|
0 |
Oct/28/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-07228 Gas Line Assembly |
capitolareatech |
Used |
- |
$229.95
|
0 |
Oct/29/20 |
Dec/29/23 |
|
Description: Applied Materials (AMAT) 0050-39810 Gas Line |
j316gallery |
Used |
- |
$1,988.69
|
0 |
Oct/30/20 |
May/26/22 |
|
Description: 21666 APPLIED MATERIALS GAS BOX, SILANE, 200MM PRODUCER 0040-02520 |
j316gallery |
Used |
- |
$1,277.65
|
0 |
Oct/30/20 |
May/26/22 |
|
Description: 21665 APPLIED MATERIALS GAS LINE, NF3 GAS FEED, AE, AL, ULTIMA P 0050-62135 |
autoquip7 |
NEW |
- |
$505.00
|
0 |
Nov/03/20 |
Jul/25/22 |
|
Description: 0050-03739 Gas Blk, Left Side, 300 D P S 5"APPLIED MATERIALS (AMAT) |
maxisemi1349 |
Used |
- |
$450.00
|
0 |
Nov/05/20 |
May/16/24 |
|
Description: 0020-30815 GAS DISTRIBUTION PLATE, 37 HOLES |
hdsemispares |
NEW |
- |
$1,811.25
|
0 |
Nov/05/20 |
May/26/22 |
|
Description: ASSY, PCB DUAL GAS LEAK DETECTOR AMAT 0090-05327 EQUIVALENT *USA SELLER* |
athomemarket |
Used |
- |
$79.99
|
0 |
Nov/05/20 |
Jan/03/22 |
|
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865 |
tjtechseller |
Used |
- |
$2,300.00
|
1 |
Nov/06/20 |
Sep/06/21 |
|
Description: 0040-00028 0040-31980 AMAT Applied Material WxZ Gas Box |
40-30sourcing |
Used |
- |
$2,899.00
|
0 |
Nov/06/20 |
Aug/26/21 |
|
Description: Set of LID CERAMIC DUAL GAS FLAT IEP 300MM with 0200-02967 NOZZLE INSERT |
capitolareatech |
NEW |
- |
$139.95
|
0 |
Nov/06/20 |
May/16/24 |
|
Description: Applied Materials AMAT 0050-03140 GAS LINE 5RA SPOOL O3 UPPER GAS PANEL D |
getspares.com_sparesllc09 |
Used |
- |
$2,423.20
|
0 |
Nov/09/20 |
May/16/24 |
|
Description: 0021-09983 / PLATE, GAS DIST. HEWEB 200MM / APPLIED MATERIALS AMAT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-40221 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$139.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-28386 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-27259 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$699.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-24761 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-09906 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$189.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-06393 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$129.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-06421 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$359.95
|
0 |
Nov/10/20 |
Jul/10/22 |
|
Description: Applied Materials (AMAT) 0021-09155 COVER, GAS CLEAR LID, MXP SGD |
capitolareatech |
Used |
- |
$189.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-30927 INSERT GAS FEED SGD A & D R2 5000, FLEXA |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-40506 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$189.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-03123 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$169.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-75748 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$189.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-07048 GAS LINE |
capitolareatech |
Used |
- |
$229.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-60066 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-39901 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$79.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-33004 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$179.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-40789 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$139.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-26354 GAS LINE |
capitolareatech |
Used |
- |
$109.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-43338 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-41217 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-27173 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-32328 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$119.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-22271 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$169.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-25584 GAS LINE, INLET N2 HEATER CVD SCGB |
capitolareatech |
Used |
- |
$229.95
|
0 |
Nov/10/20 |
Mar/30/23 |
|
Description: Applied Materials (AMAT) 0050-76053 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$349.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-24715 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$29.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-08312 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$129.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-04939 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$119.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-42830 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-75773 GAS LINE 3WAY F/F/M |
capitolareatech |
Used |
- |
$199.50
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-30846 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$119.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-26827 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$179.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-42851 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-42928 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$179.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-28217 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$229.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-37447 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$119.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-37901 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$249.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-42196 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-06381 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$189.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-49809 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$599.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-27034 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$559.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-28036 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$229.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-46885 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$179.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-28878 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$279.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-14711 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$349.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-40680 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$169.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-49675 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$499.95
|
0 |
Nov/10/20 |
Jan/11/24 |
|
Description: Applied Materials (AMAT) 0050-48635 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$179.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-25972 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$499.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-31974 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-24794 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$219.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-42687 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$189.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-03126 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$399.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-28746 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$459.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-26812 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$499.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-13205 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-31063 INSERT, GAS FEED, R2, 5000, A & D, .400 |
capitolareatech |
Used |
- |
$159.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-50733 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-28693 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$169.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-28875 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-04940 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-33148 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-27546 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-43748 MAINFRAME TO CHAMBER 300SL GAS LINE |
capitolareatech |
Used |
- |
$169.95
|
0 |
Nov/10/20 |
May/16/24 |
|
Description: Applied Materials (AMAT) 0050-42977 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$129.95
|
0 |
Nov/10/20 |
Mar/30/23 |
|
Description: Applied Materials (AMAT) 0050-06879 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$109.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-32245 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$109.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 839-054799-001 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$119.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-00031 GAS LINE, WELDMENT |
capitolareatech |
NEW |
- |
$179.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-28503 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$139.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-33614 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-18588 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$399.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-41183 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$359.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-48768 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-06282 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$89.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-05505 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 839-024334-900 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$159.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-07082 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$159.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-10904 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$89.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-45389 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-06280 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$189.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-43271 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$189.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-03273 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-06723 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-45548 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-26956 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$399.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-24802 GAS LINE, INSULATOR, FEED THRU ADJ AR,MIX |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0040-00188 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$159.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-31527 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$399.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-18936 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 839-460854-001 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0227-09219 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-02056 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$169.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-27474 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$109.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-71039 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$169.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-49520 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$499.95
|
0 |
Nov/10/20 |
May/26/22 |
|
Description: Applied Materials (AMAT) 0050-41872 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$99.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-42170 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$169.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: LAM RESEARCH (LAM) 839-024405-100 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$169.95
|
0 |
Nov/10/20 |
Sep/30/24 |
|
Description: LAM RESEARCH (LAM) 10-124709-00 GAS LINE |
smartelektronikgmbh |
Used |
- |
$200.00
|
1 |
Nov/11/20 |
Apr/21/22 |
|
Description: 0100-01764 / SAFETY INTERLOCK GAS PANEL PRODUCER SE |
asac.korea |
NEW |
- |
$3,180.00
|
0 |
Nov/12/20 |
Feb/06/22 |
|
Description: AMAT 0041-03276 ASSY GAS FEED TOP CLEAN 300MM |
sgcequipment |
Used |
- |
$9,500.00
|
0 |
Nov/18/20 |
Mar/03/21 |
|
Description: LAM Research 571-033051-003 16-Line IGS Gas Box |
sgcequipment |
Used |
- |
$9,500.00
|
0 |
Nov/18/20 |
Mar/03/21 |
|
Description: LAM Research 571-033051-004 16-Line IGS Gas Box |
prism_electronics12 |
Used |
- |
$10,400.00
|
1 |
Nov/18/20 |
Jan/03/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
prism_electronics12 |
Used |
- |
$4,999.99
|
0 |
Nov/18/20 |
Nov/23/20 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
semikorea |
Used |
- |
$1,000.00
|
0 |
Nov/18/20 |
Apr/04/23 |
|
Description: AMAT 0090-04904 REV 08 GAS LINE TEMPERATURE CONTROLLER |
8ten1944 |
Used |
- |
$119.95
|
0 |
Nov/23/20 |
Dec/23/20 |
|
Description: Applied Materials 3780-01304 Spr Gas 4STRK 300LBS Number 14ROD 13.78 EXT LG |
instockindustrial |
NEW |
- |
$40.18
|
0 |
Nov/24/20 |
Dec/24/20 |
|
Description: Novellus 15-289675-00 Gas Distribution Insulator, Applied Ceramics Inc |
instockindustrial |
NEW |
- |
$232.13
|
0 |
Nov/24/20 |
Dec/24/20 |
|
Description: Novellus 10-155415-00 Gas Distribution Manifold |
instockindustrial |
NEW |
- |
$322.52
|
0 |
Nov/24/20 |
Dec/24/20 |
|
Description: Novellus 10-155415-00 Gas Distribution Manifold |
capitolareatech |
Used |
- |
$179.95
|
0 |
Nov/24/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-27433 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$179.95
|
0 |
Nov/24/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-27670 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$139.95
|
0 |
Nov/24/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-06624 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Nov/24/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-00086 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$149.95
|
0 |
Nov/24/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0227-29297 GAS LINE, SEMI CONDUCTOR PART |
capitolareatech |
Used |
- |
$119.95
|
0 |
Nov/24/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-37270 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$129.95
|
0 |
Nov/24/20 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-28502 TEE FITTING GAS LINE, WELDMENT |
getspares.com_sparesllc09 |
Used |
- |
$771.72
|
1 |
Nov/24/20 |
Feb/15/24 |
|
Description: 0200-00410 / UNI-INSERT, GAS DISTRIBUTION, 88 HOLES / APPLIED MATERIALS AMAT |
talon664-6 |
NEW |
- |
$296.40
|
0 |
Dec/01/20 |
Jan/01/21 |
|
Description: Novellus 10-155415-00 Gas Distribution Manifold |
talon664-6 |
NEW |
- |
$51.30
|
0 |
Dec/01/20 |
Jan/01/21 |
|
Description: Novellus 15-289675-00 Gas Distribution Insulator, Applied Ceramics Inc |
autoquip7 |
NEW |
- |
$475.00
|
0 |
Dec/04/20 |
Apr/04/22 |
|
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR(AMAT) |
j316gallery |
NEW |
- |
$4,230.69
|
0 |
Dec/15/20 |
May/03/23 |
|
Description: 22263 LAM RESEARCH ASSY RING TOP GAS CHIP RESIS 714-802364-004 853-003792-008 |
autoquip7 |
NEW |
- |
$900.00
|
1 |
Dec/16/20 |
Dec/17/20 |
|
Description: 0090-75017, ASSEMBLY PCB DUAL GAS LEAK DETECTOR APPLIED MATERIALS |
lagpat |
NEW |
- |
$133.00
|
0 |
Dec/16/20 |
Apr/16/24 |
|
Description: AMAT 0140-17160 HARNESS ASSY PRESS XDCRS NO 2 GAS PANE |
nri-industrial |
NEW |
- |
$218.38
|
2 |
Dec/22/20 |
Jan/07/21 |
|
Description: Applied Materials 0200-35291 Gas Feed Assembly Inner Plug |
nri-industrial |
NEW |
- |
$1,005.06
|
0 |
Dec/22/20 |
Nov/10/22 |
|
Description: Applied Materials 0200-09478 Upper Gas Distribution Plate |
nri-industrial |
NEW |
- |
$733.58
|
0 |
Dec/22/20 |
May/12/22 |
|
Description: Applied Materials 0200-00410 Insert Gas Distribution Unit |
8ten1944 |
Used |
- |
$119.95
|
1 |
Dec/23/20 |
Dec/23/20 |
|
Description: Applied Materials 3780-01304 Spr Gas 4STRK 300LBS Number 14ROD 13.78 EXT LG |
instockindustrial |
NEW |
- |
$25.11
|
2 |
Dec/26/20 |
Mar/06/21 |
|
Description: Novellus 15-289675-00 Gas Distribution Insulator, Applied Ceramics Inc |
instockindustrial |
NEW |
- |
$124.78
|
2 |
Dec/26/20 |
Aug/14/21 |
|
Description: Novellus 10-155415-00 Gas Distribution Manifold |
instockindustrial |
NEW |
- |
$163.18
|
2 |
Dec/26/20 |
Aug/01/21 |
|
Description: Novellus 10-155415-00 Gas Distribution Manifold |
lagpat |
Used |
- |
$1,400.00
|
0 |
Dec/28/20 |
Mar/28/24 |
|
Description: AMAT APPLIED 0195-00222 GAS LINE STL HTR VAPOR OUT FSG PDCR SE |
lagpat |
Used |
- |
$1,600.00
|
0 |
Dec/28/20 |
Mar/28/24 |
|
Description: AMAT APPLIED 0195-00218 GAS LINE, STL HEATER INTERCONNECT |
lagpat |
Used |
- |
$1,650.00
|
0 |
Dec/28/20 |
Mar/28/24 |
|
Description: AMAT APPLIED 0195-00217 GAS LINE, STL HEATER |
lagpat |
Used |
- |
$1,750.00
|
0 |
Dec/28/20 |
Mar/28/24 |
|
Description: AMAT APPLIED 0195-00214 GAS LINE |
getspares.com_sparesllc09 |
Used |
- |
$264.87
|
1 |
Dec/28/20 |
Nov/07/21 |
|
Description: 0150-09183 / CABLE ASSY SET GAS TO REMOTE, / APPLIED MATERIALS AMAT |
nri-industrial |
NEW |
- |
$1,656.90
|
0 |
Dec/28/20 |
Nov/09/22 |
|
Description: Applied Materials 0200-09201 Lower Quartz Gas Dist Plate 8in |
nri-industrial |
NEW |
- |
$85.76
|
0 |
Dec/28/20 |
Sep/01/21 |
|
Description: Applied Materials 0200-36682 Quartz Lower Gas Dist Liner |
autoquip7 |
NEW |
- |
$910.00
|
0 |
Dec/29/20 |
Jul/25/22 |
|
Description: 0020-31602, GAS DISTRIBUTION PLATE, 150MM, HEWEB, APPLIED MATERIAL (AMAT) |
j316gallery |
Used |
- |
$2,164.36
|
1 |
Jan/04/21 |
Jan/16/24 |
|
Description: 22351 APPLIED MATERIALS PCB ASSY, RF FILTER W/ RF DETECTOR, GAS 0100-01888 |
svcstore |
Used |
- |
$4,999.99
|
0 |
Jan/08/21 |
Dec/27/22 |
|
Description: LAM Research 846-051190-846 All In One Gas Box w/2x Brooks CMX45 + Control Board |
katiil3 |
Used |
- |
$199.00
|
0 |
Jan/10/21 |
Oct/23/21 |
|
Description: Applied Materials AMAT 0100-09107, TEOS Gas Interface Board |
lagpat |
Used |
- |
$300.00
|
0 |
Jan/11/21 |
Mar/11/24 |
|
Description: PIVOTAL SYSTEMS GAS FLOW CONTROLLER, 100sccm AMAT PN: 0190-62473 32-03402 |
lagpat |
Used |
- |
$345.00
|
0 |
Jan/11/21 |
Mar/11/24 |
|
Description: PIVOTAL GAS FLOW CONTROLLER 400 sccm, AMAT PN: 0190-62533 |
industrialgaragesales |
NEW |
- |
$250.00
|
1 |
Jan/11/21 |
Oct/28/21 |
|
Description: AMAT 0200-10246 UNI-INSERT GAS DISTRIBUTION PLATE 88 HOLES QUARTZ 777 |
j316gallery |
NEW |
- |
$230.34
|
3 |
Jan/11/21 |
Oct/27/22 |
|
Description: 6578 HORIBA-STEC CRITERION MFC, GAS: O2, 500SCCM, AMAT 0190-46967 (NEW) D514MG |
j316gallery |
NEW |
- |
$300.00
|
1 |
Jan/11/21 |
Jan/27/21 |
|
Description: 6585 PIVOTAL SYSS GAS FLOW CTLR CI2 1000SCCM, AMAT 0190-47402 (NEW) 32-02084 |
j316gallery |
NEW |
- |
$661.65
|
0 |
Jan/11/21 |
Jan/30/23 |
|
Description: 6589 HORIBASTEC SERIES Z700 MFC GAS: N2 10000SCCM SEC-Z714AGX |
j316gallery |
NEW |
- |
$300.00
|
1 |
Jan/11/21 |
Jan/27/21 |
|
Description: 6584 PIVOTAL SYSS GAS FLOW CTLR HBR 1000SCCM, AMAT 0190-47492 (NEW) 32-02088 |
autoquip7 |
NEW |
- |
$3,100.00
|
1 |
Jan/14/21 |
Jul/25/22 |
|
Description: 0010-22326, APPLIED MATERIALS, ASSY, N2 HEATER FOR REMOTE GAS BOX |
spsglobal |
Used |
- |
$2,500.00
|
0 |
Jan/18/21 |
Mar/16/21 |
|
Description: 320-0202// AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION [USED] |
autoquip7 |
NEW |
- |
$1,200.00
|
1 |
Jan/20/21 |
Jan/20/21 |
|
Description: 0050-40309, APPLIED MATERIALS, GAS LINE MANIFOLD |
banyanteam |
Used |
- |
$125.00
|
4 |
Jan/20/21 |
Jul/03/22 |
|
Description: Applied Materials 0200-35291 Ceramic Plug Inner Gas Feed DPS A1 MEC |
autoquip7 |
NEW |
- |
$1,200.00
|
0 |
Jan/22/21 |
Jul/25/22 |
|
Description: 0050-40309, APPLIED MATERIALS, GAS LINE MANIFOLD |
ym3116-fmz6ox |
NEW |
- |
$110.00
|
1 |
Jan/23/21 |
Apr/12/21 |
|
Description: LAM 839-014757-067 Weldment. Gas Feed Line, FLEX |
j316gallery |
Used |
- |
$360.90
|
0 |
Jan/24/21 |
Jun/27/23 |
|
Description: 7866 APPLIED MATERIALS PCB TEOS GAS INTERFACE BD 0100-09107 |
grandbirdnet |
Used |
- |
$400.00
|
0 |
Jan/28/21 |
Oct/19/23 |
|
Description: AMAT 0020-22846 COVER, GAS TRENCH, REFURBISHED |
grandbirdnet |
NEW |
- |
$1,200.00
|
0 |
Jan/28/21 |
Oct/19/23 |
|
Description: NOVELLUS 02-111217-00 GAS BOS DISTRIBUTION WCVD 300, NEW |
getspares.com_sparesllc09 |
Used |
- |
$999.00
|
0 |
Feb/01/21 |
Sep/12/24 |
|
Description: 0020-18107 / RING, GAS DISTRIBUTION / APPLIED MATERIALS AMAT |
getspares.com_sparesllc09 |
Used |
- |
$999.99
|
0 |
Feb/01/21 |
Sep/12/24 |
|
Description: 0040-09050 / LID PLATE,GAS BOX / APPLIED MATERIALS AMAT |
getspares.com_sparesllc09 |
Used |
- |
$604.80
|
0 |
Feb/04/21 |
Oct/19/21 |
|
Description: 810-017059-001 / PCB, GAS, PANEL, INTERLOCK / LAM RESEARCH CORPORATION |
grandbirdnet |
NEW |
- |
$800.00
|
2 |
Feb/09/21 |
Jun/14/22 |
|
Description: NOVELLUS 02-057958-00 GAS BOX DIST, BROOKS D-SUB, NEW |
prism_electronics12 |
Used |
- |
$9,999.99
|
0 |
Feb/10/21 |
Feb/10/21 |
|
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG |
prism_electronics12 |
Used |
- |
$13,000.00
|
0 |
Feb/10/21 |
Feb/10/21 |
|
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE |
prism_electronics12 |
Used |
- |
$9,999.99
|
0 |
Feb/10/21 |
Feb/10/21 |
|
Description: LAM RESEARCH 571-045788-001 CDSO IGS GAS BOX, 150 PSIG |
prism_electronics12 |
Used |
- |
$13,000.00
|
0 |
Feb/10/21 |
Feb/10/21 |
|
Description: LAM RESEARCH 571-045788-003 CDSO IGS GAS BOX, 150 PSIG MAX PRESSURE |
auctionrus |
NEW |
- |
$2,200.00
|
0 |
Feb/18/21 |
Apr/20/22 |
|
Description: AMAT 4060-00023 Pneumatic Gas Manifold, 16 Station, SMC VV5Q11-ULB990251, 411211 |
farmoninc |
Used |
- |
$2,200.00
|
0 |
Feb/18/21 |
Apr/20/23 |
|
Description: AMAT 4060-00364 Pneumatic Gas Valve, 32 Station Manifold, 325790 |
auctionrus |
Used |
- |
$2,200.00
|
0 |
Feb/18/21 |
Apr/20/22 |
|
Description: AMAT 4060-00023 Pneumatic Gas Manifold, 16 Station, SMC VV5Q11-ULB990251, 411153 |
myriadindustrial |
NEW |
- |
$109.00
|
1 |
Feb/21/21 |
Aug/19/21 |
|
Description: Applied Materials AMAT 4020-00164 Pall Filter In Line Gas 3000 PSIG 1/4 - GSKT |
autoquip7 |
NEW |
- |
$1,850.00
|
0 |
Feb/24/21 |
Jul/25/22 |
|
Description: 0040-09920 GAS FEEDTROUGH, APPLIED MATERIAL (AMAT) |
farmoninc |
NEW |
- |
$45.00
|
0 |
Feb/25/21 |
Apr/20/23 |
|
Description: 2 AMAT 0020-09972 Bracket Connector Gas Panel, 100311 |
wfeng0308 |
Used |
- |
$150.00
|
1 |
Mar/02/21 |
Oct/07/21 |
|
Description: LAM 839-007158-001 GAS FEED LINE FLEX |
j316gallery |
NEW |
- |
$287.10
|
1 |
Mar/02/21 |
Apr/23/24 |
|
Description: 23564 NOVELLUS TUBE ASSY, GAS DIST TEOS VCR, 2-PORT HEATED INJ 10-042680-00 |
visionsemi |
Used |
- |
$1,600.00
|
1 |
Mar/05/21 |
Jan/04/22 |
|
Description: APPLIED MATERIALS AMAT PCB BOARD DUAL GAS LEAK DETECTOR 0090-05327 REV 03 |
visionsemi |
NEW |
- |
$300.00
|
1 |
Mar/10/21 |
Feb/10/23 |
|
Description: APPLIED MATERIALS AMAT NOMEX HOSE ASSY GAS FEED BLOCK TO BLK HD 3/8 0190-27008 |
banyanteam |
NEW |
- |
$28.50
|
1 |
Mar/17/21 |
Jun/04/21 |
|
Description: Applied Materials 3780-01273 AMAT Customline Gas Spring 1" Stroke 4 lbs |
sgcequipment |
Used |
- |
$350.00
|
0 |
Mar/18/21 |
May/17/21 |
|
Description: Applied Materials (AMAT) 0240-31406 5000 WXZCVD GAS MODULE |
athomemarket |
NEW |
- |
$312.99
|
0 |
Mar/18/21 |
May/16/22 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
xl-t_com |
Used |
- |
$377.00
|
1 |
Mar/22/21 |
Oct/19/21 |
|
Description: LAM - 810-017059-001 - PCB ORB WELD GAS BOX INTL |
dgold32 |
Used |
- |
$1,999.99
|
1 |
Mar/22/21 |
Jan/03/22 |
|
Description: LAM Research 846-051190-846 All In One Gas Box |
capitolareatech |
Used |
- |
$109.03
|
0 |
Mar/23/21 |
Jul/08/21 |
|
Description: Applied Materials (AMAT) 0050-46425 GAS LINE |
xl-t_com |
Used |
- |
$180.00
|
0 |
Mar/24/21 |
Oct/19/21 |
|
Description: APPLIED MATERIALS - 0020-10876 - ASL MANIFOLD GAS, OUT BWCVD |
dnd_surplus |
NEW |
- |
$300.00
|
2 |
Mar/24/21 |
Apr/08/21 |
|
Description: AMAT Applied Materials 4020-01061 GLFPF6101VXM4AM Gas Filter POU Gaskleen |
gosemicat |
NEW |
- |
$15.00
|
0 |
Mar/26/21 |
Jan/16/24 |
|
Description: AMAT (Applied Materials) - 0040-52157 CLAMP LOWER CENTER GAS FEED 300M - OEM NEW |
gosemicat |
NEW |
- |
$250.00
|
0 |
Mar/26/21 |
Jan/16/24 |
|
Description: AMAT (Applied Materials) - 0200-01612 INJECTOR, GAS (REV: 002) - OEM NEW |
xl-t_com |
Used |
- |
$424.00
|
0 |
Mar/29/21 |
Oct/19/21 |
|
Description: APPLIED MATERIALS - 0100-09106 - EXPANDED GAS PANEL INTERFACE BD. |
xl-t_com |
Used |
- |
$320.00
|
0 |
Mar/29/21 |
Oct/19/21 |
|
Description: APPLIED MATERIALS - 0100-09153 - PCB,GAS PANEL INTERFACE W/O STANDOFFS (used) |
xl-t_com |
Used |
- |
$150.00
|
0 |
Mar/30/21 |
Oct/19/21 |
|
Description: LAM Research - 715-330000-090 - RING, GAS INJECTION, ALUM |
visionsemi |
Used |
- |
$125.00
|
0 |
Mar/30/21 |
Sep/12/22 |
|
Description: LAM COVER NUT BOTTOM GAS FEED 715-330092-002 NEW |
ace_stellar_seller |
NEW |
- |
$1,200.00
|
0 |
Mar/31/21 |
Jan/31/23 |
|
Description: LAM RESEARCH 715-025724-001 RING, GAS FEED, 8 HOLE |
xl-t_com |
Used |
- |
$80.00
|
0 |
Apr/01/21 |
Oct/19/21 |
|
Description: LAM - 714-091010-001 - BLANKOFF, GAS BOX VENT |
athomemarket |
Used |
- |
$66.39
|
0 |
Apr/01/21 |
May/30/22 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
athomemarket |
NEW |
- |
$38.99
|
0 |
Apr/02/21 |
May/31/22 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
equipplus |
Used |
- |
$569.00
|
1 |
Apr/04/21 |
Sep/18/21 |
|
Description: LAM Research 810-073479-005 Rev A Jetstream Gas Box MB Board,Used,US^7141 |
socotek1 |
NEW |
- |
$40.80
|
1 |
Apr/06/21 |
May/02/23 |
|
Description: NEW Applied Materials AMAT APC Power Supply Gas Panel Circuit Breaker 0242-76243 |
xl-t_com |
Used |
- |
$45.00
|
0 |
Apr/09/21 |
Oct/19/21 |
|
Description: APPLIED MATERIALS - 0040-00290 - TUBE GAS WELDMENT |
xl-t_com |
Used |
- |
$120.00
|
0 |
Apr/09/21 |
Oct/19/21 |
|
Description: APPLIED MATERIALS - 0050-09595 - TUBE GAS SUPPLY POSN A&D |
testeqe |
Used |
- |
$449.99
|
1 |
Apr/17/21 |
Feb/28/24 |
|
Description: NEW Applied Materials/AMAT PN: 0200-00058 Gas Ring, Small Holes and Channels |
testeqe |
Used |
- |
$424.99
|
0 |
Apr/21/21 |
May/18/23 |
|
Description: NEW Applied Materials/AMAT PN: 0020-03379 Gas Distribution Plate |
roundtable1 |
Used |
- |
$299.00
|
0 |
Apr/25/21 |
May/18/23 |
|
Description: Applied Materials 0020-30815 Plate Gas Distribution 37 Holes |
autoquip7 |
NEW |
- |
$305.00
|
0 |
Apr/26/21 |
May/06/22 |
|
Description: 4020-01136 FLTR IN-LINE GAS 3000PSI 1/4VCR-MM 30SL, APPLIED MATERIAL (AMAT) |
techequipsales |
Used |
- |
$5,500.00
|
0 |
Apr/27/21 |
May/12/22 |
|
Description: IGC 2XCL-3 Polycold 940074-59 Closed Loop Gas Chiller 2XCL Novellus 27-255466-00 |
spsglobal |
Used |
- |
$2,500.00
|
0 |
May/03/21 |
Aug/03/22 |
|
Description: 320-0202// AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION [USED] |
j316gallery |
NEW |
- |
$523.50
|
1 |
May/09/21 |
Mar/09/22 |
|
Description: 7417 APPLIED MATERIALS GAS DISTRIBUTION PLATE (NEW) 0020-31493 |
j316gallery |
NEW |
- |
$240.60
|
0 |
May/09/21 |
May/18/23 |
|
Description: 10055 APPLIED MATERIALS FLTR IN-LINE GAS 3000PSI 1/4VCR-MM 30SL (NEW) 4020-01136 |
novusferro |
Used |
- |
$999.00
|
0 |
May/11/21 |
Jul/19/23 |
|
Description: Applied Materials 0040-41054 Adapter Upper SIP TA 8in W/O Gas Inlets |
novusferro |
Used |
- |
$399.00
|
1 |
May/11/21 |
Jul/08/24 |
|
Description: Applied Materials AMAT 0100-76046 Gas Panel Interface PCB Board |
expertsurplus |
Used |
- |
$250.00
|
1 |
May/11/21 |
Dec/01/23 |
|
Description: AMAT, 0050-21455 WELDMENT GAS LINE N2 COH TIN, Used |
j316gallery |
Used |
- |
$1,924.80
|
0 |
May/11/21 |
Sep/17/24 |
|
Description: 24838 LAM RESEARCH PCB, 007 IGS GAS BOX MB 810-073479-003 |
j316gallery |
Used |
- |
$183.11
|
0 |
May/11/21 |
Sep/17/24 |
|
Description: 24786 MOTT CORPORATION GAS FILTER, 6815010, LAM 775-335570-004 SEN408NFF11 |
psi_repair_services |
Used |
- |
$1.00
|
0 |
May/14/21 |
May/04/23 |
|
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR PCB MODEL: 0090-05327 REPAIR EVALUATION |
expertsurplus |
NEW |
- |
$150.00
|
1 |
May/17/21 |
Nov/22/21 |
|
Description: AMAT, ADAPTER BYPASS GAS LINE TXZ, 0050-26291, New |
novusferro |
Used |
- |
$19,999.00
|
0 |
May/17/21 |
Jun/02/21 |
|
Description: Applied Materials AMAT 0130-20411 Endura Gas Box |
expertsurplus |
NEW |
- |
$350.00
|
1 |
May/20/21 |
Aug/03/21 |
|
Description: AMAT, Gas Line No.3 Preclean Chamber Reactive PR, 0190-20015, New |
gbuilt |
Used |
- |
$99.00
|
0 |
May/28/21 |
May/12/22 |
|
Description: Applied Materials (AMAT) 0050-63941 Rev:002 FITTING, PURE GAS LINE |
systasemi |
NEW |
- |
$2,000.00
|
0 |
May/31/21 |
Jun/01/23 |
|
Description: AMAT 0040-03800, GAS FEEDTHRU, 300MM TXZ, OEM NEW |
j316gallery |
Used |
- |
$1,900.00
|
0 |
Jun/01/21 |
Aug/05/21 |
|
Description: 24839 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005 |
maxisemi1349 |
Used |
- |
$2,500.00
|
1 |
Jun/05/21 |
Sep/16/21 |
|
Description: 716-012640-012 WDO GAS INJ FACE SEAL QRTZ |
gesemiconductor |
Used |
- |
$100.00
|
0 |
Jun/07/21 |
Feb/02/24 |
|
Description: Applied Materials 0020-26967 Gas Trench Cover |
gesemiconductor |
Used |
- |
$135.00
|
2 |
Jun/07/21 |
Feb/02/24 |
|
Description: Applied Materials 0020-26967 Gas Trench Cover |
gbuilt |
Used |
- |
$1,249.00
|
0 |
Jun/12/21 |
Sep/16/23 |
|
Description: APPLIED MATERIALS BROOKS INSTRUMENTS GF Series GAS MANIFOLD AMAT# 0021-40439 |
anacapaequipment |
Used |
- |
$114.00
|
1 |
Jun/16/21 |
Aug/17/21 |
|
Description: CTI-Cryogenics 8044051 Cryopump Purge Gas Heater (8248)W |
magnumpihonhi |
Used |
- |
$144.49
|
1 |
Jun/16/21 |
Sep/04/21 |
|
Description: USED CTI-CRYOGENICS 8044051 Cryo-Pump Purge Gas Heater FREE SHIPPING |
getspares.com_sparesllc09 |
Used |
- |
$22,000.00
|
0 |
Jun/16/21 |
Jun/17/21 |
|
Description: AX8407A-11 / AX8400,0190-26924,OZONE GAS GENERATOR,5 - 40 SLM / MKS |
novusferro |
Used |
- |
$499.00
|
1 |
Jun/18/21 |
Oct/12/21 |
|
Description: Lam Research 810-017074-003 Rev 2 Orbital Gas Box PCB Board |
j316gallery |
Used |
- |
$1,020.45
|
1 |
Jun/18/21 |
Jul/15/21 |
|
Description: 5510 APPLIED MATERIALS ASSY, UNIVERSAL TEOS GAS BOX 0010-09961 |
levmucciacciar0 |
Used |
- |
$700.00
|
0 |
Jun/22/21 |
Jul/11/23 |
|
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM |
llscience |
Used |
- |
$3,755.00
|
1 |
Jun/29/21 |
Jun/29/21 |
|
Description: AMAT Applied Materials 0100-35086 Gas Panel Distribution PCB Assembly 2024R3-IMF |
capitolareatech |
NEW |
- |
$2,995.95
|
0 |
Jul/03/21 |
Dec/22/22 |
|
Description: Applied Materials (AMAT) 0100-20206 PCB ASSY REMOTE GAS INTERCONNECT |
capitolareatech |
Used |
- |
$1,995.95
|
0 |
Jul/03/21 |
Dec/22/22 |
|
Description: Applied Materials (AMAT) 0010-09241 ASSY CHMBR A TEOS GAS LINE/CABLES |
capitolareatech |
Used |
- |
$1,195.95
|
0 |
Jul/03/21 |
Dec/22/22 |
|
Description: Applied Materials (AMAT) 0040-09091 GAS BOX 456PL |
farmoninc |
NEW |
- |
$50.00
|
0 |
Jul/03/21 |
Dec/01/21 |
|
Description: 12 AMAT 0060-09185 Label, Warning, Toxic Gas, 101597 |
capitolareatech |
NEW |
- |
$399.95
|
0 |
Jul/03/21 |
Feb/02/22 |
|
Description: Applied Materials (AMAT) 4020-01192 MILLIPORE WG3NS1RR2 FLTR IN-LINE GAS 2393PSI |
j316gallery |
Used |
- |
$1,500.00
|
0 |
Jul/04/21 |
Jan/04/23 |
|
Description: 25665 APPLIED MATERIALS PCB DUAL GAS LEA DETECTOR 0100-02922 |
j316gallery |
Used |
- |
$1,200.00
|
1 |
Jul/04/21 |
Jul/13/21 |
|
Description: 25593 APPLIED MATERIALS XMTR TWO WIRE HYDROGEN GAS SENSOR 0190-75076 |
j316gallery |
NEW |
- |
$99.00
|
0 |
Jul/04/21 |
Jan/04/23 |
|
Description: 25627 LAM RESEARCH GAS CONFIGURATION DATA THUMBDRIVE (NEW) 853-051187-703 |
rtxparts |
Used |
- |
$900.00
|
0 |
Jul/10/21 |
Sep/14/21 |
|
Description: AMAT (Applied Materials) GAS PANEL INTERFACE . 0100-76258 Rev A |
lagpat |
Used |
- |
$1,700.00
|
1 |
Jul/10/21 |
Feb/20/23 |
|
Description: AMAT 0040-82246 REV 003 BLOK GAS FEED, TOP CLEAN, ALN 300MM ULTIMA X |
svcstore |
Used |
- |
$249.99
|
0 |
Jul/10/21 |
Dec/27/22 |
|
Description: Advanced Energy Aera FM-862 N2 Gas 80 SLM Range MFC Mass Flow Controller Module |
midrosemicro |
Used |
- |
$150.00
|
1 |
Jul/28/21 |
Sep/01/21 |
|
Description: Lot of 2 CTI-CRYOGENICS 8044051 Cryo-Pump Purge Gas Heater |
farmoninc |
Used |
- |
$150.00
|
0 |
Jul/28/21 |
Apr/04/23 |
|
Description: 2 AMAT 3780-02334 SPR Gas 139MMSTRK 175N Ball SKT End, Suspa C1617784, 102098 |
xl-t_com |
Used |
- |
$45.00
|
0 |
Jul/30/21 |
Oct/19/21 |
|
Description: APPLIED MATERIALS - 0020-24042 - BRACKET MTG GAS LINES |
xl-t_com |
Used |
- |
$250.00
|
0 |
Aug/02/21 |
Oct/19/21 |
|
Description: APPLIED MATERIALS - 0100-09107 - PCB ASSY TEOS GAS INTERFACE |
han-manza |
NEW |
- |
$600.00
|
0 |
Aug/04/21 |
Jan/20/22 |
|
Description: 775-060712-001 Millipore - In-Line Gas Filter 3/8” Gasket Seal - Lam Research |
usedeqsales |
Used |
- |
$358.21
|
0 |
Aug/04/21 |
Mar/16/23 |
|
Description: AMAT Applied Materials 0020-09933 Gas Distribution Sputter Plate Open Box New |
katiil3 |
Used |
- |
$149.00
|
0 |
Aug/11/21 |
Oct/23/21 |
|
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258 Used |
usedeqsales |
Used |
- |
$708.21
|
0 |
Aug/18/21 |
Feb/29/24 |
|
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB Rev. 002 New |
j316gallery |
NEW |
- |
$7,500.00
|
0 |
Aug/18/21 |
Dec/22/21 |
|
Description: 25897 APPLIED MATERIALS PCB ASSEMBLY, GAS PANEL DISTRIBUTION (NEW) 0100-35086 |
comwaysind |
Used |
- |
$799.00
|
0 |
Aug/26/21 |
Feb/26/24 |
|
Description: AMAT 3030-13340 MFC Multiflo UFC-8565C 20sccm GAS. Argon 750-001-A2346 Rev. E |
j316gallery |
NEW |
- |
$3,000.00
|
0 |
Sep/07/21 |
Feb/11/22 |
|
Description: LAM RESEARCH GAS CERAMIC RING ASSY (NEW) 716-037794-854 |
nri-industrial |
NEW |
- |
$85.76
|
0 |
Sep/07/21 |
Oct/11/21 |
|
Description: Applied Materials 0200-36682 Quartz Lower Gas Dist Liner |
expertsurplus |
Used |
- |
$150.00
|
0 |
Sep/07/21 |
Jun/15/23 |
|
Description: AMAT, Gas Line No.3 Preclean Chamber Reactive PR, 0190-20015, Used |
katiil3 |
Used |
- |
$299.00
|
0 |
Sep/11/21 |
Sep/12/21 |
|
Description: Applied Materials AMAT 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E |
katiil3 |
Used |
- |
$299.00
|
0 |
Sep/11/21 |
Oct/23/21 |
|
Description: Applied Materials AMAT 0150-76697 CABLE ASSY, GAS PENAL UMBILICAL 1.25FT |
katiil3 |
Used |
- |
$299.00
|
0 |
Sep/13/21 |
Oct/23/21 |
|
Description: Applied Materials AMAT 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E |
xl-t_com |
Used |
- |
$900.00
|
0 |
Sep/13/21 |
Oct/19/21 |
|
Description: APPLIED MATERIALS - 0100-00572 - PCB ASSEMBLY GAS PANEL EPI 300MM |
getspares.com_sparesllc09 |
Used |
- |
$1,329.00
|
0 |
Sep/13/21 |
Jun/01/23 |
|
Description: 0100-90863 / PWBA GAS INTERLOCK / APPLIED MATERIALS AMAT |
quick_ship_usa |
Used |
- |
$79.88
|
1 |
Sep/13/21 |
Nov/24/21 |
|
Description: Mott GasShield Gas Filter POU-3-HV1 6800002 AMAT 4020-01195 3750PSIG 1/4VCR-M |
katiil3 |
Used |
- |
$399.00
|
0 |
Sep/14/21 |
Oct/23/21 |
|
Description: Applied materials AMAT 0150-20192 CABLE ASSY GAS DI/DO EXTERNAL INTERCONNECT |
katiil3 |
Used |
- |
$129.00
|
0 |
Sep/14/21 |
Oct/23/21 |
|
Description: Applied Materials AMAT 0050-46867 FITTING, GAS LINE |
xl-t_com |
Used |
- |
$700.00
|
0 |
Sep/14/21 |
Oct/19/21 |
|
Description: APPLIED MATERIALS - 0100-09174 - ASSY, TEOS GAS INTERFACE BOARD |
rtxparts |
Used |
- |
$900.00
|
0 |
Sep/15/21 |
Dec/09/21 |
|
Description: AMAT (Applied Materials) GAS PANEL INTERFACE . 0100-76258 Rev A |
getspares.com_sparesllc09 |
Used |
- |
$1,500.03
|
0 |
Sep/15/21 |
Sep/17/21 |
|
Description: 715-801916-808 / PLD GAS DIST 300MM W/G RV HTR / LAM RESEARCH CORPORATION |
usedeqsales |
Used |
- |
$859.21
|
0 |
Sep/16/21 |
Mar/03/22 |
|
Description: AMAT Applied Materials 0226-47607 Gas Panel Analog PCB Rev. A Working Surplus |
usedeqsales |
Used |
- |
$359.21
|
3 |
Sep/17/21 |
Jan/25/22 |
|
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB Rev. E Spare |
alamedaauction |
NEW |
- |
$295.00
|
2 |
Sep/20/21 |
Sep/28/21 |
|
Description: NEW Applied Materials 0200-00410 Quartz Gas distribution Plate AMAT / SEALED |
usedeqsales |
Used |
- |
$359.21
|
3 |
Sep/28/21 |
Jan/24/22 |
|
Description: AMAT Applied Materials 0100-09107 TEOS Gas Interface PCB Rev. D Working Surplus |
katiil3 |
Used |
- |
$599.00
|
0 |
Sep/30/21 |
Oct/23/21 |
|
Description: Applied Materials AMAT PCB ASSY, GAS PANEL INTLK 0100-01864, 0090-08120, 0020-16 |
j316gallery |
Used |
- |
$11,233.50
|
0 |
Sep/30/21 |
Apr/24/23 |
|
Description: 10411 APPLIED MATERIALS GAS MANIFOLD PANEL 0040-52548 |
40-30sourcing |
Used |
- |
$2,899.00
|
0 |
Oct/14/21 |
Jun/07/22 |
|
Description: Set of LID CERAMIC DUAL GAS FLAT IEP 300MM with 0200-02967 NOZZLE INSERT |
jabedow |
NEW |
- |
$300.00
|
1 |
Oct/19/21 |
Dec/04/22 |
|
Description: Amat, 0040-08492, PLATE, GAS DISTRIBUTION, TXZ, 300MM, CVD |
katiil3 |
NEW |
- |
$219.00
|
0 |
Oct/19/21 |
Oct/23/21 |
|
Description: Applied Materials AMAT 4020-01145 FLTRIN-LINE GAS 3000PSI 1/4VCR-M 100SLM NEW |
capitolareatech |
NEW |
- |
$489.95
|
2 |
Oct/20/21 |
Aug/20/22 |
|
Description: Applied Materials AMAT 0190-20015 GAS LINE NO3 PRECLEAN CHMBR REACTIVE P |
capitolareatech |
Used |
- |
$29.95
|
0 |
Oct/20/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 3790-01177 GAS LINE, FITTING (PACK OF 6) |
capitolareatech |
Used |
- |
$799.95
|
0 |
Oct/20/21 |
Jul/20/22 |
|
Description: Applied Materials (AMAT) 0050-31101 COVER, GAS INLET, SSGD, MxP |
capitolareatech |
Used |
- |
$2,499.95
|
0 |
Oct/20/21 |
Oct/20/22 |
|
Description: Applied Materials (AMAT) 0240-71249 KIT, CH4 WIDE BODY MANIFOLD GAS LINES |
capitolareatech |
NEW |
- |
$299.95
|
4 |
Oct/21/21 |
Jan/21/23 |
|
Description: Applied Materials (AMAT) 0040-96353 GAS LINE ASSEMBLY1 XR80-IMPLANT |
j316gallery |
Used |
- |
$1,500.00
|
0 |
Oct/22/21 |
Oct/22/21 |
|
Description: 26580 APPLIED MATERIALS PCB, DUAL GAS LEAK DETECTOR 0100-35120 |
nri-industrial |
NEW |
- |
$161.21
|
0 |
Oct/22/21 |
Sep/08/22 |
|
Description: Applied Materials 0200-36682 Quartz Lower Gas Dist Liner |
capitolareatech |
Used |
- |
$299.95
|
0 |
Oct/25/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-42238 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$699.95
|
0 |
Oct/25/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-05423 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$299.95
|
0 |
Oct/25/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-42164 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$299.95
|
0 |
Oct/25/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-05036 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$1,299.95
|
0 |
Oct/25/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-39083 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$299.95
|
0 |
Oct/25/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-43163 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$299.95
|
0 |
Oct/25/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-05046 GAS LINE, REGULATOR |
capitolareatech |
Used |
- |
$299.95
|
0 |
Oct/25/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-34891 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$199.95
|
0 |
Oct/25/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-42828 WELDMENT, GAS LINE |
j316gallery |
Used |
- |
$7,500.00
|
0 |
Oct/28/21 |
Nov/08/21 |
|
Description: 26195 APPLIED MATERIALS ASSY, PS, APC GAS PANEL, 55FT, 0100-35173 0010-30377 |
techequipsales |
Used |
- |
$2,500.00
|
1 |
Nov/01/21 |
Jan/05/22 |
|
Description: LAM Research 846-077848-725 All In One Gas Box *non-working* |
j316gallery |
Used |
- |
$976.65
|
0 |
Nov/03/21 |
Mar/22/23 |
|
Description: 26582 APPLIED MATERIALS PCB ASSY, CONFIGURABLE INTLK, TXZ GAS BOX 0100-20458 |
capitolareatech |
Used |
- |
$229.95
|
0 |
Nov/04/21 |
Apr/06/22 |
|
Description: Applied Materials (AMAT) 0226-45647 GAS LINE |
capitolareatech |
Used |
- |
$399.95
|
0 |
Nov/04/21 |
Apr/06/22 |
|
Description: Applied Materials (AMAT) 0226-48666 GAS LINE |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/05/21 |
Mar/05/24 |
|
Description: Applied Materials (AMAT) 0050-30513 WELDMENT, GAS LINE, HELIUM, FILTER TO NU |
capitolareatech |
Used |
- |
$699.95
|
0 |
Nov/05/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-43480 GAS LINE, CH2, PROCESS W/ SUB ZERO BESC, |
capitolareatech |
Used |
- |
$899.95
|
0 |
Nov/05/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-05418 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$799.95
|
0 |
Nov/05/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-31978 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$899.95
|
0 |
Nov/05/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-32178 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$799.95
|
0 |
Nov/05/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-25568 GAS LINE, N2 CONTAINMENT VENT NO. 1 |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-04941 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/08/21 |
Apr/20/23 |
|
Description: Applied Materials (AMAT) 839-024338-900 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 839-470089-001 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-28410 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/08/21 |
Apr/20/23 |
|
Description: Applied Materials (AMAT) 0224-41838 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-08521 WELDMENT, Y-LINE, N2 PURGE, GAS LINE, PR |
capitolareatech |
Used |
- |
$199.95
|
0 |
Nov/08/21 |
Apr/20/23 |
|
Description: Applied Materials (AMAT) 10-122034-00 GAS LINE, FITTING |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/08/21 |
Apr/20/23 |
|
Description: Applied Materials (AMAT) 0050-36753 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/08/21 |
Apr/20/23 |
|
Description: Applied Materials (AMAT) 0050-33138 GAS LINE |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-43394 WELDMENT, GAS LINE |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-31599 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-42927 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-35533 GAS LINE, WLEDMENT |
capitolareatech |
Used |
- |
$299.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-45043 GAS LINE, WELDMENT |
capitolareatech |
Used |
- |
$129.95
|
0 |
Nov/08/21 |
Sep/30/24 |
|
Description: Applied Materials (AMAT) 0050-70039 GAS LINE, FITTING |
capitolareatech |
NEW |
- |
$229.95
|
2 |
Nov/08/21 |
Jun/08/24 |
|
Description: APPLIED MATERIALS 4020-01040 GLFPF3000VMM4AM FLTR GAS 3000PSIG 1/4-GSKT SEAL |
katiil3 |
Used |
- |
$399.00
|
0 |
Nov/09/21 |
Mar/09/22 |
|
Description: Applied materials AMAT 0150-20192 CABLE ASSY GAS DI/DO EXTERNAL INTERCONNECT |
katiil3 |
Used |
- |
$599.00
|
0 |
Nov/09/21 |
Mar/09/22 |
|
Description: Applied Materials AMAT PCB ASSY, GAS PANEL INTLK 0100-01864, 0090-08120, 0020-16 |
katiil3 |
Used |
- |
$1,000.00
|
0 |
Nov/09/21 |
Mar/09/22 |
|
Description: Applied materials/ AMAT 0041-62587 GAS BOX FOR CENTER FLOW |
katiil3 |
Used |
- |
$299.00
|
0 |
Nov/09/21 |
Mar/09/22 |
|
Description: Applied Materials AMAT 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E |
katiil3 |
Used |
- |
$399.00
|
0 |
Nov/09/21 |
Mar/09/22 |
|
Description: Applied materials 0040-50344 Gas box chamber lid 200MM PMD Producer |
katiil3 |
Used |
- |
$129.00
|
1 |
Nov/10/21 |
Oct/10/22 |
|
Description: Applied Materials AMAT 0050-46867 FITTING, GAS LINE |
katiil3 |
Used |
- |
$69.00
|
0 |
Nov/10/21 |
Dec/10/23 |
|
Description: AMAT Applied Materials 3400-01057 Gas Hose 200PSI |
j316gallery |
Used |
- |
$18,331.50
|
0 |
Nov/11/21 |
Nov/23/22 |
|
Description: 10533 LAM RESEARCH 16 LINE IGS GAS BOX 571-033051-003 |
itproshop |
Used |
- |
$9,500.00
|
1 |
Nov/29/21 |
Dec/09/21 |
|
Description: CTI Cryogenics IS-2000V Helium Gas Compressor |
starbillias |
Used |
- |
$10,500.00
|
0 |
Dec/02/21 |
Dec/11/21 |
|
Description: CTI Cryogenics IS 1000 Compressor LV Cryo Pump IS-1000 Helium Gas 8135927G001 |
rtxparts |
Used |
- |
$900.00
|
0 |
Dec/09/21 |
Feb/21/22 |
|
Description: AMAT (Applied Materials) GAS PANEL INTERFACE . 0100-76258 Rev A |
bntyhunter07 |
Used |
- |
$330.21
|
0 |
Dec/12/21 |
Jun/01/23 |
|
Description: Veriflow diaphragm valve 5 gas manifold weldment AMAT 0050-21148 |
itproshop |
Used |
- |
$9,500.00
|
1 |
Dec/15/21 |
Jun/15/22 |
|
Description: CTI Cryogenics IS-2000V Helium Gas Compressor |
lamont_sanford_surplus |
Used |
- |
$117.85
|
1 |
Dec/15/21 |
Dec/17/21 |
|
Description: 2) CTI-Cryogenics 8043074 -- Cryopump Gas Supply Hose - 10' Stainless , 260 PSI |
patkor1 |
Used |
- |
$860.00
|
0 |
Dec/30/21 |
Jul/12/22 |
|
Description: Advanced Energy FC-7700CD / # J L7B 0666 gas controller |
vihu_7208 |
NEW |
- |
$100.00
|
0 |
Dec/30/21 |
Apr/29/22 |
|
Description: 0200-36682 LINER QUARTZ LOWER GAS DIST GROUND |
farmoninc |
Used |
- |
$1,500.00
|
0 |
Jan/08/22 |
Jan/04/22 |
|
Description: AMAT 0240-31406 WxZ CVD Gas Module, 0020-42024, swagelok 6LV-BNBW4-C, 102999 |
farmoninc |
NEW |
- |
$1,250.00
|
0 |
Jan/08/22 |
Jun/30/22 |
|
Description: LAM RESEARCH 715-330000-090 RING , GAS INJECTION , ALUMINUM, 103000 |
athomemarket |
Used |
- |
$79.99
|
0 |
Jan/08/22 |
Jun/30/22 |
|
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865 |
expertsurplus |
NEW |
- |
$175.00
|
0 |
Jan/08/22 |
Jun/30/22 |
|
Description: AMAT, Gas Line Weldment, 0050-10110, New |
expertsurplus |
NEW |
- |
$150.00
|
0 |
Jan/08/22 |
Jun/30/22 |
|
Description: AMAT, Gas Line Weldment, 0050-28553, New |
roundtable1 |
NEW |
- |
$149.99
|
0 |
Jan/08/22 |
Jun/30/22 |
|
Description: Applied Materials 0040-62617 Bracket, Gas Line Universal, FI Outer Left Upper |
j316gallery |
Used |
- |
$200.00
|
1 |
Jan/08/22 |
Aug/19/22 |
|
Description: 26912 LAM RESEARCH PCB, GAS BOX (PARTS) 810-017074-003 |
prism_electronics12 |
Used |
- |
$11,200.00
|
0 |
Jan/10/22 |
Feb/23/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
farmoninc |
Used |
- |
$5,500.00
|
0 |
Jan/12/22 |
Jun/30/22 |
|
Description: AMAT P5000 CHAMBER LID, 0040-32073 GAS BOX, 0010-09761 5000 CVD GAS BOX, 103134 |
sgcequipment |
NEW |
- |
$175.00
|
1 |
Jan/20/22 |
Sep/20/23 |
|
Description: Applied Materials (AMAT) 0200-04814 SINGLE GAS INSERT SHOWER HEAD 300MM |
sgcequipment |
NEW |
- |
$155.00
|
1 |
Jan/20/22 |
Nov/13/23 |
|
Description: Applied Materials (AMAT) 0200-04381 GAS INSERT, SINGLE FEED,300MM,DPN PLUS |
folkestonestars |
Used |
- |
$1.00
|
0 |
Jan/22/22 |
Jan/22/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
excessdealer |
Used |
- |
$600.00
|
1 |
Jan/27/22 |
May/25/22 |
|
Description: LAM RESEARCH 571-033051-002 16-LINE IGS GAS BOX 150 PSIG |
tfstech |
Used |
- |
$98.00
|
0 |
Jan/27/22 |
Aug/17/22 |
|
Description: CTI Cryogenics 8044051 Purge Gas Heater 90-120 Vac 90 W 165 Ohm Working 1/4 Tube |
farmoninc |
Used |
- |
$5,500.00
|
0 |
Jan/27/22 |
Apr/20/23 |
|
Description: AMAT CHAMBER LID P5000, 0040-09091 GAS BOX, AMAT 0020-10135, 103397 |
farmoninc |
Used |
- |
$5,500.00
|
0 |
Jan/27/22 |
Apr/12/23 |
|
Description: AMAT P5000 CHAMBER LID, 0040-09091 GAS BOX, AMAT 0040-10135, 0020-09604, 103394 |
merakii-uk |
Used |
- |
$1.00
|
0 |
Jan/29/22 |
Jan/29/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
southwestsurplusliquidators |
NEW |
- |
$212.49
|
2 |
Feb/01/22 |
Jun/01/22 |
|
Description: Applied Materials 0200-09478 Upper Gas Distribution Plate |
getspares.com_sparesllc09 |
Used |
- |
$206.66
|
0 |
Feb/04/22 |
Mar/03/22 |
|
Description: 0020-31425 / LID, MIXER GAS BOX, BWCVD / APPLIED MATERIALS AMAT |
katiil3 |
Used |
- |
$3,499.00
|
0 |
Feb/05/22 |
May/13/24 |
|
Description: LAM Research 839-271815-002 Rev. B COVER L/LK, ENHANCE GAS FLW, FSW |
jappartsgalore |
Used |
- |
$1.00
|
0 |
Feb/06/22 |
Feb/06/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
bertrum4572 |
Used |
- |
$1.00
|
0 |
Feb/06/22 |
Feb/06/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
eddiesrecords |
Used |
- |
$1.00
|
0 |
Feb/06/22 |
Feb/06/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
bakclk1 |
Used |
- |
$1.00
|
0 |
Feb/07/22 |
Feb/07/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
farmoninc |
Used |
- |
$850.00
|
0 |
Feb/09/22 |
May/04/23 |
|
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 103542 |
j316gallery |
Used |
- |
$2,122.30
|
0 |
Feb/10/22 |
Mar/13/23 |
|
Description: 27090 LAM RESEARCH PCB, JETSTREAM GAS BOX MB 810-073479-005 |
agri-supplyukltd |
Used |
- |
$1.00
|
0 |
Feb/11/22 |
Feb/11/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
openanduseb4-labels |
Used |
- |
$1.00
|
0 |
Feb/12/22 |
Feb/12/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
stewart8768 |
Used |
- |
$1.00
|
0 |
Feb/12/22 |
Feb/12/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
sootysmtg |
Used |
- |
$1.00
|
0 |
Feb/12/22 |
Feb/13/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
jskautomotivenew |
Used |
- |
$1.00
|
0 |
Feb/17/22 |
Feb/17/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
classiccover |
Used |
- |
$1.00
|
0 |
Feb/19/22 |
Feb/20/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
ledfirstchoiceltd |
Used |
- |
$1.00
|
0 |
Feb/19/22 |
Feb/20/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
rtxparts |
Used |
- |
$900.00
|
0 |
Feb/21/22 |
Aug/21/22 |
|
Description: AMAT (Applied Materials) GAS PANEL INTERFACE . 0100-76258 Rev A |
southwestsurplusliquidators |
NEW |
- |
$89.99
|
1 |
Feb/22/22 |
Mar/02/22 |
|
Description: Mott Gas Shield POU-05-SV1 Applied Materials (AMAT) 4020-01273 Filter |
j316gallery |
Used |
- |
$800.00
|
1 |
Feb/23/22 |
Feb/27/22 |
|
Description: 27171 APPLIED MATERIALS PWBA, GAS I'LOCK SDS 10 TORR, 0020-80288 0100-99011 |
prism_electronics12 |
Used |
- |
$15,000.00
|
0 |
Feb/23/22 |
Mar/02/22 |
|
Description: NEW LAM RESEARCH 846-035344-001 GAS BOX |
prism_electronics12 |
Used |
- |
$5,999.99
|
0 |
Feb/23/22 |
Mar/02/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
solanotraders |
Used |
- |
$112.50
|
1 |
Feb/23/22 |
Sep/05/22 |
|
Description: ^^ CTI CRYOGENICS GAS HEATER P/N 8044051 (SC71) |
fogmodels |
Used |
- |
$1.00
|
0 |
Feb/26/22 |
Feb/26/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
love_my_cottage |
Used |
- |
$1.00
|
0 |
Feb/26/22 |
Feb/26/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
hewalex |
Used |
- |
$1.00
|
0 |
Feb/26/22 |
Feb/26/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
jennyb7362 |
Used |
- |
$1.00
|
0 |
Feb/28/22 |
Feb/28/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
avonvalleymusic |
Used |
- |
$1.00
|
0 |
Mar/01/22 |
Mar/01/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
soldtou1 |
Used |
- |
$199.99
|
0 |
Mar/04/22 |
Sep/15/22 |
|
Description: Lam Research 853-056618-004 1002685-0735 Gas Box Interface PM4 Cable Assembly |
soldtou1 |
Used |
- |
$199.99
|
0 |
Mar/04/22 |
May/18/23 |
|
Description: Lam Research 833-016952-002 1002685-0707 41PG PM Gas Box Cable Assembly Harness |
pccentre-528 |
Used |
- |
$1.00
|
0 |
Mar/04/22 |
Mar/05/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
farmoninc |
Used |
- |
$2,500.00
|
0 |
Mar/07/22 |
Jun/30/22 |
|
Description: AMAT 0021-23550 P5000 CVD GAS BOX MIXING, AMS, AMZ, STI DOUBLE CVGF, 104055 |
katiil3 |
Used |
- |
$399.00
|
0 |
Mar/10/22 |
May/13/24 |
|
Description: Applied materials 0040-50344 Gas box chamber lid 200MM PMD Producer |
katiil3 |
Used |
- |
$399.00
|
0 |
Mar/10/22 |
Jul/16/24 |
|
Description: Applied materials AMAT 0150-20192 CABLE ASSY GAS DI/DO EXTERNAL INTERCONNECT |
katiil3 |
Used |
- |
$299.00
|
0 |
Mar/10/22 |
Jul/16/24 |
|
Description: Applied Materials AMAT 0150-76698 CABLE ASSY,GAS PANEL UMBILICAL#2,25FT.-E |
katiil3 |
Used |
- |
$299.00
|
0 |
Mar/10/22 |
Jul/16/24 |
|
Description: Applied Materials AMAT 0150-76697 CABLE ASSY, GAS PENAL UMBILICAL 1.25FT |
farmoninc |
Used |
- |
$350.00
|
0 |
Mar/12/22 |
Jan/30/23 |
|
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 104082 |
emarts-uk |
Used |
- |
$1.00
|
0 |
Mar/12/22 |
Mar/12/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
mydepoz |
Used |
- |
$1.00
|
0 |
Mar/12/22 |
Mar/12/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
farmoninc |
Used |
- |
$395.00
|
0 |
Mar/13/22 |
Jan/30/23 |
|
Description: AMAT 0100-09107 TEOS GAS INTERFACE BOARD ASSY, PCB, FAB 0110-09107, 103781 |
bmc.london |
Used |
- |
$1.00
|
0 |
Mar/13/22 |
Mar/13/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
giftwearonline |
Used |
- |
$1.00
|
0 |
Mar/13/22 |
Mar/13/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
thecollectorinlondon |
Used |
- |
$1.00
|
0 |
Mar/13/22 |
Mar/13/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
techequipsales |
Used |
- |
$2,500.00
|
1 |
Mar/16/22 |
Feb/06/23 |
|
Description: LAM Research 846-077848-725 All In One Gas Box *non-working* |
auctionrus |
Used |
- |
$3,500.00
|
0 |
Mar/18/22 |
Nov/15/24 |
|
Description: AMAT 0021-09760 GAS BOX AMO,DXZ P5000, 104124 |
signedsport |
Used |
- |
$1.00
|
0 |
Mar/20/22 |
Mar/20/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
washablefabrics |
Used |
- |
$1.00
|
0 |
Mar/20/22 |
Mar/20/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
retrotex |
Used |
- |
$1.00
|
0 |
Mar/20/22 |
Mar/20/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
maxisemi1349 |
Used |
- |
$450.00
|
0 |
Mar/21/22 |
May/17/24 |
|
Description: 0050-30761 TUBE,GAS SUPPLY, SGD |
autoquip7 |
Used |
- |
$3,900.00
|
0 |
Mar/31/22 |
Jul/25/22 |
|
Description: 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy. (AMAT) |
theswerve0 |
Used |
- |
$1.00
|
0 |
Apr/02/22 |
Apr/02/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
k-semi |
Used |
- |
$137.50
|
3 |
Apr/04/22 |
Sep/18/24 |
|
Description: Novellus Gas Shield Hi-Purity Gas Diffuser 19-053642-00 |
dom0808 |
Used |
- |
$2,528.90
|
0 |
Apr/04/22 |
Apr/10/22 |
|
Description: Lam Research Jetstream Gas Box MB 810-073479-005 |
dom0808 |
Used |
- |
$495.00
|
0 |
Apr/04/22 |
May/22/22 |
|
Description: Novellus GAS BOX DIST, BROOKS D-SUB 02-057958-00 |
j316gallery |
Used |
- |
$1,400.00
|
0 |
Apr/05/22 |
Jan/04/23 |
|
Description: 27967 APPLIED MATERIALS PLATE, GAS DIST, TXZ 300MM CVD PARTS 0041-31335 |
farmoninc |
Used |
- |
$395.00
|
0 |
Apr/07/22 |
Jan/30/23 |
|
Description: AMAT 0100-09107 TEOS GAS INTERFACE BOARD ASSY, PCB, 103976 |
getspares.com_sparesllc09 |
Used |
- |
$453.22
|
0 |
Apr/12/22 |
Oct/12/23 |
|
Description: 0200-09197 / PLATE GAS DIST,LOWER, QUARTZ,4-6IN A / APPLIED MATERIALS AMAT |
farmoninc |
Used |
- |
$500.00
|
0 |
Apr/14/22 |
Jan/30/23 |
|
Description: AMAT 0100-09153 GAS PANEL BOARD, PCB, FAB 0110-09153, 105013 |
itproshop |
Used |
- |
$9,000.00
|
0 |
Apr/19/22 |
Jun/15/22 |
|
Description: Edwards / CTI Cryogenics IS-1000V Helium Gas Compressor AS IS |
farmoninc |
Used |
- |
$500.00
|
0 |
Apr/19/22 |
Jan/30/23 |
|
Description: AMAT 0100-09153 GAS PANEL BOARD, PCB, FAB 0110-09153, 105068 |
j316gallery |
Used |
- |
$280.00
|
0 |
Apr/20/22 |
Jul/22/22 |
|
Description: 27771 APPLIED MATERIALS PCB, GAS BOX MFC DISTRIBUTION 0100-00567 |
intek22 |
NEW |
- |
$65.00
|
0 |
Apr/21/22 |
Mar/06/23 |
|
Description: New AMAT 4020-00076 1.125” C-Seal GAS MINI WAFERGARD Filter NF-Mini |
usedeqsales |
Used |
- |
$504.22
|
0 |
Apr/22/22 |
Apr/02/23 |
|
Description: AMAT Applied Materials 0226-31379 TEOS Gas Interface PCB 0100-09107 Working |
cosplity |
Used |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0226-10778 MFC UNIT UFC-1160A GAS SIH4 / 30SCCM |
cosplity |
Used |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0226-44039 MFC UNIT UFC-1160 GAS He / 1.5SLM |
cosplity |
Used |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 3030-01058 MFC UNIT UFC-1100A GAS N2 / 50SCCM |
cosplity |
Used |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0226-32418 MFC UNIT UFC-1460A GAS HCL / 100SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0225-33919 MFC UNIT UFC-1160A GAS CL2 / 100SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 3030-02158 MFC UNIT UFC-1160A GAS N2 / 500SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 3030-01061 MFC UNIT UFC-1100A GAS He / 50SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0226-48836 MFC UNIT UFC-1160A GAS N2 / 3SLM |
cosplity |
Used |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0226-48837 MFC UNIT UFC-1160A GAS N2 / 500SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 3030-09583 MFC UNIT UFC-8165 GAS H2 / 4 SLM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0225-34492 MFC UNIT UFC-1400A GAS N2 / 3 SLM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0225-33335 MFC UNIT UFC-1400A GAS N2 /100SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0226-33293 MFC UNIT UFC-1260A GAS N2 /3SLM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: 3030-01489 MFC UNIT UFC-1100 GAS N2 / 500SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0226-48214 MFC UNIT UFC-1160A GAS O2 / 20SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0225-33920 MFC UNIT UFC-1160A GAS He / 20SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 0226-49440 MFC UNIT UFC-1160A GAS SF6 / 200SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 3030-02502 MFC UNIT UFC-1160A GAS N2 / 500SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 3030-01693 MFC UNIT UFC-1160A GAS CL2 / 100SCCM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 3030-00738 MFC UNIT UFC-8165 GAS N2 / 5 SLM |
cosplity |
NEW |
- |
$900.00
|
0 |
Apr/25/22 |
Aug/25/22 |
|
Description: AMAT 3030-09580 MFC UNIT UFC-8165 GAS Ar / 5 SLM |
midwestreverselogistics2 |
NEW |
- |
$499.99
|
0 |
Apr/27/22 |
Jun/09/22 |
|
Description: Applied Materials (AMAT) 0041-06901 Gas Feed Thru SST HVCR Metal ALD 300MM New |
all-of-cpu |
Used |
- |
$63.00
|
0 |
Apr/29/22 |
Mar/14/23 |
|
Description: ADVANCED ENERGY PI-98 MULTI GAS MASS FLOW CONTROLLER FCPI980CBAXDIDJAA |
farmoninc |
Used |
- |
$5,000.00
|
0 |
May/03/22 |
May/26/22 |
|
Description: AMAT 0010-09635 5000 CVD DELTA SACVD Top Chamber Gas Mixing Block, 104308 |
usedeqsales |
Used |
- |
$1,754.35
|
0 |
May/09/22 |
Mar/10/23 |
|
Description: AMAT Applied Materials 0041-39918 Mass Flow Controller Gas Panel Producer Spare |
prism_electronics12 |
Used |
- |
$13,000.00
|
0 |
May/12/22 |
May/24/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
prism_electronics12 |
Used |
- |
$20,000.00
|
0 |
May/12/22 |
Oct/26/22 |
|
Description: NEW LAM RESEARCH 846-035344-001 GAS BOX |
vizvik16 |
Used |
- |
$90.00
|
2 |
May/15/22 |
Jun/27/24 |
|
Description: APPLIED MATERIALS 0022-10188 CL-MIXER GAS 300MM CVD |
athomemarket |
NEW |
- |
$312.99
|
0 |
May/16/22 |
Jul/14/23 |
|
Description: NEW AMAT 0100-02194 PWB/PWBA HP Gas Interlock PCB Card |
vizvik16 |
NEW |
- |
$110.00
|
0 |
May/19/22 |
Jul/19/24 |
|
Description: Applied Materials 0050-52887 GAS LINE K1S STICK 1/4 FVCR TO K1S BLO |
cosplity |
Used |
- |
$1,800.00
|
0 |
May/20/22 |
Aug/20/22 |
|
Description: AMAT 4060-00999 GAS PANEL COMMUNICATION BOX 4060-00999B |
getspares.com_sparesllc09 |
Used |
- |
$500.68
|
1 |
May/23/22 |
Sep/13/22 |
|
Description: 853-031197-807 / ASSY WLDMT CTR GAS, DZ, BA / LAM RESEARCH CORPORATION |
prism_electronics12 |
Used |
- |
$4,999.99
|
1 |
May/24/22 |
May/27/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
usedeqsales |
Used |
- |
$705.22
|
0 |
May/25/22 |
Aug/31/23 |
|
Description: AMAT Applied Materials 0100-02745 INTLK Gas Heater Control PCB Producer Working |
prism_electronics12 |
Used |
- |
$13,000.00
|
0 |
May/27/22 |
May/27/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
athomemarket |
Used |
- |
$66.39
|
0 |
May/30/22 |
Jul/28/23 |
|
Description: Applied Materials 0050-40161 Gas Line Reducer Elbow-1/4"F to 1/4"M Cajon AMAT |
athomemarket |
NEW |
- |
$24.97
|
0 |
May/31/22 |
Jul/29/23 |
|
Description: NEW AMAT 0050-75344 2P Gas Feed-Thru Adapter Stainless Steel |
farmoninc |
Used |
- |
$150.00
|
0 |
Jun/02/22 |
Mar/07/24 |
|
Description: AMAT 0020-26967 Cover, Gas Trench, Endura, 8", PVD, PT-0143-0017, 106179 |
farmoninc |
NEW |
- |
$295.00
|
0 |
Jun/02/22 |
Mar/07/24 |
|
Description: AMAT 0020-26967 Cover, Gas Trench, Endura, 8", PVD, PT-0143-0017, 106178 |
prism_electronics12 |
Used |
- |
$6,500.00
|
1 |
Jun/03/22 |
Jun/08/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
farmoninc |
Used |
- |
$1,000.00
|
0 |
Jun/07/22 |
Dec/19/22 |
|
Description: AMAT 0200-00410 Uni-Insert Gas Distribution, 88 Holes, Quartz, 200mm, 106301 |
40-30sourcing |
Used |
- |
$2,899.00
|
0 |
Jun/08/22 |
Apr/20/23 |
|
Description: Set of LID CERAMIC DUAL GAS FLAT IEP 300MM with 0200-02967 NOZZLE INSERT |
atxdeals4u |
Used |
- |
$1,000.00
|
0 |
Jun/09/22 |
Sep/15/22 |
|
Description: AMAT 0090-04904 REV 08 Gas Line Temperature Controller |
ladydidog |
Used |
- |
$9.51
|
0 |
Jun/13/22 |
Jun/14/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
autoquip7 |
NEW |
- |
$475.00
|
0 |
Jun/15/22 |
Jul/25/22 |
|
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR(AMAT) |
prism_electronics12 |
Used |
- |
$13,000.00
|
0 |
Jun/15/22 |
Jun/16/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
farmoninc |
Used |
- |
$350.00
|
0 |
Jun/17/22 |
Jan/30/23 |
|
Description: AMAT 0100-09106 Expanded Gas Panel Interface Board, PCB, FAB 0110-09106, 106950 |
sgcequipment |
Used |
- |
$550.00
|
0 |
Jun/20/22 |
Jun/30/22 |
|
Description: Applied Materials/AMAT 0041-06901 GAS FEED THRU SST HVCR METAL ALD 300MM |
michelleshellmark |
Used |
- |
$9.51
|
0 |
Jun/21/22 |
Jun/21/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
waste-not-recycling |
Used |
- |
$474.95
|
0 |
Jun/24/22 |
Aug/08/22 |
|
Description: Applied Materials AMAT 0100-09114 Rev B Gas Panel Board Assy w/ Clippard EV-3M-2 |
trendysavers-uk |
Used |
- |
$9.51
|
0 |
Jun/24/22 |
Jun/25/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
redrockranch |
Used |
- |
$59.00
|
0 |
Jun/27/22 |
Feb/14/24 |
|
Description: APPLIED MATERIALS (AMAT) 0050-48298 GAS LINE, FITTING |
visionsemi |
Used |
- |
$3,000.00
|
0 |
Jun/28/22 |
Feb/15/23 |
|
Description: APPLIED MATERIALS HDPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001 |
usedeqsales |
Used |
- |
$2,006.22
|
0 |
Jun/28/22 |
Sep/25/24 |
|
Description: AMAT Applied Materials 0090-00811 Dual Gas Leak Detector PCB Card Working Spare |
waste-not-recycling |
Used |
- |
$220.00
|
0 |
Jul/01/22 |
Aug/08/22 |
|
Description: AMAT Applied Materials 0020-09604 & 0020-10153B GAS FEED THROUGH TEFLON |
farmoninc |
Used |
- |
$2,500.00
|
0 |
Jul/05/22 |
May/18/23 |
|
Description: AMAT 0021-23550 P5000 CVD GAS BOX MIXING, AMS, AMZ, STI DOUBLE CVGF, 107731 |
usedeqsales |
Used |
- |
$3,507.22
|
0 |
Jul/07/22 |
Apr/02/23 |
|
Description: AMAT Applied Materials 0040-09259 P5000 WSI Gas Box Shower Head New Surplus |
getspares.com_sparesllc09 |
Used |
- |
$280.87
|
1 |
Jul/07/22 |
Jan/26/23 |
|
Description: 4020-01059 / FILTER, INLINE GAS, GLFPF3000VMM4 / APPLIED MATERIALS AMAT |
rtxparts |
Used |
- |
$8,000.00
|
0 |
Jul/08/22 |
Mar/14/23 |
|
Description: Amat P5000 FULL GAS PANEL Expanded Gas Panel Interface: 0100-09106 Rev E EOS Ga |
farmoninc |
Used |
- |
$850.00
|
0 |
Jul/13/22 |
Sep/08/22 |
|
Description: AMAT 0100-09115 Gas Panel Analog Board PCB, 108083 |
visionsemi |
Used |
- |
$1,000.00
|
1 |
Jul/18/22 |
Jul/20/22 |
|
Description: AMAT APPLIED MATERIALS 0040-99736 GAS RING |
visionsemi |
Used |
- |
$1,000.00
|
1 |
Jul/18/22 |
May/13/24 |
|
Description: AMAT APPLIED MATERIALS 0041-00187 GAS RING |
visionsemi |
Used |
- |
$5,170.65
|
0 |
Jul/18/22 |
May/29/23 |
|
Description: APPLIED MATERIALS 0100-01652 REV 001 HPCVD 300MM AP GAS PANEL DISTRIBUTIONS ASSY |
visionsemi |
Used |
- |
$1,029.26
|
0 |
Jul/18/22 |
May/13/24 |
|
Description: AMAT APPLIED MATERIALS 0041-00187 GAS RING |
visionsemi |
Used |
- |
$1,027.08
|
0 |
Jul/18/22 |
Jul/20/22 |
|
Description: AMAT APPLIED MATERIALS 0040-99736 GAS RING |
tolleyshops |
Used |
- |
$395.00
|
0 |
Jul/19/22 |
Aug/19/22 |
|
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX |
tolleyshops |
Used |
- |
$395.00
|
0 |
Jul/19/22 |
Aug/19/22 |
|
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX |
sgcequipment |
Used |
- |
$2,500.00
|
1 |
Jul/20/22 |
Aug/21/23 |
|
Description: Applied Materials/AMAT 0040-02520 GAS BOX |
ntsurplus302 |
Used |
- |
$18,000.00
|
0 |
Jul/20/22 |
May/18/23 |
|
Description: 6027 LAM Research 16-Line IGS Gas Box, 571-033051-001 |
ntsurplus302 |
Used |
- |
$18,000.00
|
0 |
Jul/20/22 |
May/18/23 |
|
Description: 6026 LAM Research 16-Line IGS Gas Box, 571-033051-001 |
j316gallery |
Used |
- |
$6,500.00
|
0 |
Jul/28/22 |
Jun/27/23 |
|
Description: 13952 APPLIED MATERIALS GAS RING, SYM 36 PORT GAS DIST. 300MM HD 0040-99736 |
ntsurplus302 |
Used |
- |
$18,000.00
|
0 |
Jul/30/22 |
May/18/23 |
|
Description: 6033 LAM Research 16-Line IGS Gas Box, 571-033051-001 |
jabedow |
Used |
- |
$300.00
|
0 |
Jul/31/22 |
Feb/15/23 |
|
Description: APPLIED MATERIALS VAC PUMP/REM GAS BOX INTERLOCKS INTERCONNECT, 0100-20243 |
time-4-dreamz |
Used |
- |
$9.51
|
0 |
Aug/02/22 |
Aug/03/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
southweststeam1 |
Used |
- |
$9.51
|
0 |
Aug/08/22 |
Aug/08/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
waste-not-recycling |
Used |
- |
$220.00
|
1 |
Aug/08/22 |
Jan/31/23 |
|
Description: AMAT Applied Materials 0020-09604 & 0020-10153B GAS FEED THROUGH TEFLON |
waste-not-recycling |
Used |
- |
$474.95
|
1 |
Aug/08/22 |
Jan/31/23 |
|
Description: Applied Materials AMAT 0100-09114 Rev B Gas Panel Board Assy w/ Clippard EV-3M-2 |
railhome |
Used |
- |
$9.51
|
0 |
Aug/11/22 |
Aug/12/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
railhome |
Used |
- |
$40.90
|
0 |
Aug/12/22 |
Aug/12/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
top_formalwear-accessories |
Used |
- |
$9.51
|
0 |
Aug/13/22 |
Aug/13/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
hawkg2 |
Used |
- |
$9.51
|
0 |
Aug/14/22 |
Aug/14/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
visionsemi |
Used |
- |
$130.00
|
0 |
Aug/15/22 |
Nov/07/22 |
|
Description: AMAT 0190-34217 AERA PI-98 SERIES MASS FLOW CONTROLLER H2 GAS 30000 SCCM RANGE |
adelrick123 |
Used |
- |
$775.00
|
1 |
Aug/17/22 |
Mar/28/24 |
|
Description: AMAT 0010-15297 200mm Gas Plug PC2 |
j316gallery |
Used |
- |
$580.00
|
1 |
Aug/18/22 |
Apr/12/23 |
|
Description: 29054 LAM RESEARCH PCB, GAS BOX 810-017074-003 |
tfstech |
Used |
- |
$68.00
|
1 |
Aug/22/22 |
Oct/31/22 |
|
Description: CTI Cryogenics 8044051 Purge Gas Heater 90-120 Vac 90 W 165 Ohm Working 1/4 Tube |
spsglobal |
Used |
- |
$2,600.00
|
0 |
Aug/24/22 |
Jul/02/24 |
|
Description: 129-0102// AMAT APPLIED 0100-02134 (#1) PCB ASSEMBLY, GAS PANEL [USED] |
waste-not-recycling |
Used |
- |
$375.95
|
0 |
Aug/29/22 |
Jun/08/23 |
|
Description: AMAT GAS Panel III BD Assy. 0100-00208 Applied Materials |
0115haywood8 |
Used |
- |
$39.80
|
0 |
Aug/31/22 |
Aug/31/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
tolleyshops |
Used |
- |
$395.00
|
0 |
Aug/31/22 |
Sep/30/22 |
|
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX |
tolleyshops |
Used |
- |
$395.00
|
0 |
Aug/31/22 |
Oct/31/22 |
|
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX |
ordipackdepannage |
Used |
- |
$9.51
|
0 |
Sep/08/22 |
Sep/09/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
ebon625 |
Used |
- |
$9.51
|
0 |
Sep/09/22 |
Sep/10/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
dosbrollc |
Used |
- |
$127.50
|
1 |
Sep/09/22 |
Feb/16/23 |
|
Description: NIPPON SEISEN N-150 NASCLEAN ALL METAL GAS FILTER AMAT 4020-00157 FLTR IN-LN GAS |
bestoneshop-korea |
Used |
- |
$179.99
|
1 |
Sep/11/22 |
Jun/29/23 |
|
Description: CTI-CRYOGENICS GAS HEATER 8044051 |
carolyns_cosmetics |
Used |
- |
$3,751.03
|
0 |
Sep/12/22 |
Sep/12/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
farmoninc |
Used |
- |
$4,950.00
|
0 |
Sep/13/22 |
Sep/14/23 |
|
Description: Applied Materials 0010-10055 Gas Box, WXZ AMAT CVD, 109770 |
riccardinocatania |
Used |
- |
$9.51
|
0 |
Sep/13/22 |
Sep/13/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
usedeqsales |
Used |
- |
$809.22
|
1 |
Sep/19/22 |
Jan/01/23 |
|
Description: Novellus Systems 16-032609-00 Gas PLATE MTG PED D STA#1 New Surplus |
farmoninc |
Used |
- |
$800.00
|
0 |
Sep/21/22 |
Mar/09/23 |
|
Description: APPLIED MATERIALS AMAT 0020-32319 GAS DISTRIBUTION PLATE, 109994 |
j316gallery |
Used |
- |
$363.66
|
0 |
Sep/21/22 |
Jun/25/24 |
|
Description: 29345 APPLIED MATERIALS PCB ASSY, GAS PANEL CONTROLLER BACKPLANE 0100-00446 |
all-of-cpu |
Used |
- |
$99.00
|
0 |
Sep/22/22 |
Apr/19/23 |
|
Description: AMAT 0090-03653, GAS PANEL LEAK SENSOR |
usedeqsales |
Used |
- |
$1,009.22
|
1 |
Sep/22/22 |
Sep/22/22 |
|
Description: AMAT Applied Materials 0100-35057 Gas Panel Distribution PCB Working Surplus |
goldenagecycles |
Used |
- |
$9.51
|
0 |
Sep/25/22 |
Sep/25/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
cbnumismatique |
Used |
- |
$9.51
|
0 |
Sep/25/22 |
Sep/25/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
excessdealer |
Used |
- |
$999.00
|
1 |
Sep/27/22 |
Oct/22/22 |
|
Description: AKT 0100-71088 Chamber Gas Backplane |
riccardinocatania |
Used |
- |
$9.51
|
0 |
Sep/27/22 |
Sep/27/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
farmoninc |
NEW |
- |
$200.00
|
1 |
Sep/30/22 |
Dec/13/22 |
|
Description: AMAT 0020-10876 MANIFOLD GAS OUT RWCD, ASL-441, REV C, 110274 |
tolleyshops |
Used |
- |
$395.00
|
0 |
Sep/30/22 |
Oct/30/22 |
|
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX |
giftwareireland |
Used |
- |
$1.00
|
0 |
Oct/01/22 |
Oct/02/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
grandbirdnet |
Used |
- |
$950.00
|
1 |
Oct/04/22 |
Dec/26/22 |
|
Description: AMAT 0190-06402 MANIFOLD, GAS PANEL PNUEMATICS PROD SE, USED |
comwaysind |
Used |
- |
$1,076.76
|
0 |
Oct/04/22 |
Sep/04/24 |
|
Description: Applied Materials 0051-31681 weldment mixed process gas sidi NEW |
desahogostore |
Used |
- |
$9.51
|
0 |
Oct/04/22 |
Oct/04/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
horner_shearing |
Used |
- |
$9.51
|
0 |
Oct/04/22 |
Oct/04/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
alldeal25 |
Used |
- |
$2,950.00
|
0 |
Oct/09/22 |
Nov/04/23 |
|
Description: AMAT GAS PANEL MANIFOLD "G" APPLIED MATERIALS 0190-35197 |
dreams-and-jeans |
Used |
- |
$1.00
|
0 |
Oct/09/22 |
Oct/09/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
goldenagecycles |
Used |
- |
$9.51
|
0 |
Oct/10/22 |
Oct/10/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
sgcequipment |
Used |
- |
$500.00
|
0 |
Oct/11/22 |
Oct/19/22 |
|
Description: Applied Materials/AMAT 0020-33804 Gas Feed Adapter |
farmoninc |
Used |
- |
$750.00
|
0 |
Oct/13/22 |
May/18/23 |
|
Description: AMAT 0100-00580 IGL CONFIG. CARD GAS INTLK REV 001, VAL-001-2410-10, 110618 |
allenbradley-auto |
NEW |
- |
$950.00
|
0 |
Oct/13/22 |
Nov/15/24 |
|
Description: 4GVGR-N 3320-01024 GAS Key 1/4 IN Contoured |
boroda22 |
NEW |
- |
$205.00
|
6 |
Oct/18/22 |
Oct/18/22 |
|
Description: PALL GLFPF6101VXM4AM in LINE GAS FILTER, AMAT 4020-01061, NOS |
j316gallery |
Used |
- |
$1,300.00
|
1 |
Oct/21/22 |
May/05/24 |
|
Description: 30060 APPLIED MATERIALS 5-WAY GASLINE, PRESSURE MODULE, GAS MODULE XZ 0050-06878 |
farmoninc |
Used |
- |
$5,500.00
|
0 |
Nov/02/22 |
Sep/11/24 |
|
Description: AMAT 0190-01543 INTERLOCK GAS PANEL MODULE POLY-300MM, 111103 |
visionsemi |
Used |
- |
$18.00
|
1 |
Nov/08/22 |
Nov/15/22 |
|
Description: AMAT 0190-34217 AERA PI-98 SERIES MASS FLOW CONTROLLER H2 GAS 30000 SCCM RANGE |
farmoninc |
NEW |
- |
$950.00
|
0 |
Nov/10/22 |
Dec/22/22 |
|
Description: AMAT 0200-09190 GAS RING, 96 HOLES, 111416 |
farmoninc |
NEW |
- |
$950.00
|
0 |
Nov/10/22 |
Dec/22/22 |
|
Description: AMAT 0200-09190 GAS RING, 96 HOLES, 111414 |
sgcequipment |
Used |
- |
$150.00
|
0 |
Nov/17/22 |
Dec/18/23 |
|
Description: Lam Research PN: 515-093934-002 |
europlantsvivai |
Used |
- |
$1.00
|
0 |
Nov/19/22 |
Nov/19/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
maxisemi1349 |
Used |
- |
$295.00
|
1 |
Nov/19/22 |
May/02/23 |
|
Description: 0020-31488 GAS DISTRIBUTION PLATE,13 HOLES |
sgcequipment |
Used |
- |
$600.00
|
1 |
Nov/21/22 |
Jul/21/23 |
|
Description: LAM RESEARCH 715-330984-001 Gas Injection Ring |
farmoninc |
Used |
- |
$750.00
|
0 |
Nov/22/22 |
Mar/08/23 |
|
Description: AMAT 0100-76046 Gas Panel Interface PCB, 111607 |
farmoninc |
Used |
- |
$850.00
|
0 |
Nov/22/22 |
Mar/02/23 |
|
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB Rev. C, 111630 |
spsglobal |
Used |
- |
$2,500.00
|
0 |
Nov/24/22 |
Aug/28/24 |
|
Description: 129-0102 AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION 30 [USED] |
semiconseller124 |
Used |
- |
$26,000.00
|
0 |
Nov/28/22 |
Jan/18/23 |
|
Description: LAM Research 16-Line IGS Gas Box 571-033051-003 |
kollexion |
Used |
- |
$1.00
|
0 |
Nov/28/22 |
Nov/28/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
voltapaginanet |
Used |
- |
$11.21
|
0 |
Nov/29/22 |
Nov/30/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
farmoninc |
NEW |
- |
$300.00
|
0 |
Nov/29/22 |
Dec/06/23 |
|
Description: AMAT 4020-01061 FLTR IN-LN GAS 6101PSIG 1/4-GSKT MLIN, 111894 |
079gabriel2005 |
Used |
- |
$2.09
|
0 |
Dec/05/22 |
Dec/05/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
giftwearonline |
Used |
- |
$2.09
|
0 |
Dec/18/22 |
Dec/18/22 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
yenlimited-27 |
Used |
- |
$4,455.00
|
0 |
Dec/21/22 |
Nov/28/23 |
|
Description: 810-077433-002 / LAM RESEARCH PCB, A4 GAS BOX INTERFACE, VMIVME 5530S 8 |
sgcequipment |
Used |
- |
$301.64
|
0 |
Dec/22/22 |
Nov/28/23 |
|
Description: Applied Materials/AMAT Gas Tube PN: 0040-00290 |
jtechsemi |
Used |
- |
$4,000.00
|
0 |
Dec/28/22 |
Apr/03/23 |
|
Description: Applied Materials 0050-88884 WELDMENT DUAL GAS FEED 3 LEGS 300MM DPS AMAT |
dgold32 |
Used |
- |
$2,999.99
|
1 |
Jan/02/23 |
Jan/05/23 |
|
Description: LAM Research 16-Line IGS Gas Box, 571-033051-001 |
farmoninc |
NEW |
- |
$2,750.00
|
0 |
Jan/04/23 |
Aug/31/23 |
|
Description: AMAT 0100-00208, PCB ASSY GAS PANEL III FUSED BOARD, KIT 0240-00867, 112976 |
ramix-part |
NEW |
- |
$2,556.90
|
0 |
Jan/05/23 |
Feb/09/23 |
|
Description: Applied Materials 0041-86999 GAS BOX |
ramix-part |
Used |
- |
$1,750.50
|
0 |
Jan/05/23 |
Feb/09/23 |
|
Description: Applied Materials 0041-48723 AMAT SHOWERHEAD, HEATED DUAL CHANNEL, SINGLE GAS |
ramix-part |
Used |
- |
$1,701.00
|
0 |
Jan/05/23 |
Feb/09/23 |
|
Description: Applied Materials 0041-48723 AMAT SHOWERHEAD, HEATED DUAL CHANNEL, SINGLE GAS |
mppatrick |
Used |
- |
$2.09
|
0 |
Jan/14/23 |
Jan/14/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
i-s-m-e |
Used |
- |
$7,421.06
|
1 |
Jan/15/23 |
Aug/17/23 |
|
Description: Novellus Gas Assembly Helium 02-298084-00 Rev A |
astrade2012 |
NEW |
- |
$249.00
|
1 |
Jan/18/23 |
Dec/07/23 |
|
Description: AMAT 4020-01061 FLTR IN-LN GAS 6101PSIG 1/4-GSKT MLIN M VCR 88T |
pcexchange_sales |
Used |
- |
$1,579.00
|
0 |
Jan/19/23 |
Aug/09/23 |
|
Description: AMAT Centura AP Gas Panel Temperature Controller P/N 0190-24680 |
getspares.com_sparesllc09 |
Used |
- |
$19,000.60
|
0 |
Jan/19/23 |
Jan/26/24 |
|
Description: 853-002235-182 / GIB 4PM 10RA 2X8 GAS 2X3 GAS BOT INLET / LAM RESEARCH CORP |
tolleyshops |
Used |
- |
$395.00
|
0 |
Jan/23/23 |
Feb/23/23 |
|
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX |
tolleyshops |
Used |
- |
$395.00
|
0 |
Jan/23/23 |
Feb/23/23 |
|
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX |
cosplity |
Used |
- |
$3,000.00
|
0 |
Jan/31/23 |
Feb/28/23 |
|
Description: NOVELLUS 02-266868-00 SESIOC IXT WTS HV 0 GAS BOX VER 4.72 |
cosplity |
NEW |
- |
$400.00
|
0 |
Feb/01/23 |
May/31/23 |
|
Description: AMAT 0150-29326 CABLE ASSY FOR DESICA GAS BOX LIQUID FLOW |
techequipsales |
Used |
- |
$450.00
|
1 |
Feb/06/23 |
Feb/08/23 |
|
Description: AMAT 0242-13944 414123R01-PJ KIT 300MM N/C PNEU Valve PROCESS GAS *new surplus |
cosplity |
Used |
- |
$500.00
|
0 |
Feb/07/23 |
Apr/07/23 |
|
Description: AMAT 0190-34217 AERA PI-98 MASS FLOW CONTROLLER H2 GAS 30000 SCCM RANGE |
tfstech |
Used |
- |
$179.99
|
1 |
Feb/18/23 |
Feb/24/23 |
|
Description: CTI Cryogenics Cryo Purge Valve 8044047 120 VAC CTI Purge Gas Heater 8044051 |
farmoninc |
Used |
- |
$1,200.00
|
0 |
Feb/22/23 |
Jun/01/23 |
|
Description: AMAT 0020-04022 PEDESTAL, 125MM OXIDE GAS COOLED, ADAPTER LID, 114672 |
eleganthairextensions |
Used |
- |
$2.09
|
0 |
Feb/26/23 |
Feb/26/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
svcstore |
Used |
- |
$249.99
|
0 |
Feb/28/23 |
Nov/28/23 |
|
Description: Advanced Energy Aera FM-862 N2 Gas 80 SLM Range MFC Mass Flow Controller Module |
svcstore |
Used |
- |
$499.99
|
0 |
Mar/01/23 |
Dec/01/23 |
|
Description: LAM Research 810-707054-001 Rev.E3 Gas Box I/O Interlock Board Assembly |
athomemarket |
Used |
- |
$79.99
|
0 |
Mar/01/23 |
Dec/20/23 |
|
Description: NEW Applied Materials/AMAT 0100-03071 Gas Box Interlock Board Rev.01 0110-02865 |
ledamoiseau |
Used |
- |
$2.09
|
0 |
Mar/05/23 |
Mar/06/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
powersell007 |
Used |
- |
$2,999.00
|
0 |
Mar/08/23 |
Mar/21/23 |
|
Description: APPLIED MATERIALS 0010-50867 GAS STICK, ENDURA2 AMAT *UNUSED* |
papyrus10 |
Used |
- |
$2.09
|
0 |
Mar/12/23 |
Mar/13/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
rtxparts |
Used |
- |
$8,000.00
|
0 |
Mar/15/23 |
Oct/09/23 |
|
Description: Applied Materials P5000 FULL GAS PANEL Expanded Gas Panel AMAT 0100-09106 |
punki64 |
Used |
- |
$2.09
|
0 |
Mar/20/23 |
Mar/20/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
myriadindustrial |
NEW |
- |
$49.75
|
1 |
Mar/20/23 |
Sep/26/23 |
|
Description: Applied Materials AMAT 0150-00918 Cable Resistor Jump Gas Feed Thru Com |
myriadindustrial |
NEW |
- |
$299.00
|
1 |
Mar/20/23 |
Jul/21/24 |
|
Description: Applied Materials AMAT 0020-18540 CAP Gas IN RPSC Ultima |
farmoninc |
Used |
- |
$1,000.00
|
1 |
Mar/21/23 |
Feb/28/24 |
|
Description: AMAT 0020-04076 PLATE, GAS DISTRIBUTION, 104389 |
getspares.com_sparesllc09 |
Used |
- |
$3,487.82
|
0 |
Mar/22/23 |
Jun/01/23 |
|
Description: 0010-54967 / GAS BOX WITH YAMAMOTO DIFFERENTIAL PRESSURE SWITCH MS65L/ AMAT |
ghis6969 |
Used |
- |
$2.09
|
0 |
Mar/25/23 |
Mar/26/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
lasar |
Used |
- |
$800.95
|
1 |
Mar/26/23 |
Aug/03/23 |
|
Description: CELERITY 0010-90811 SiF4 GAS PANEL ASSEMBLY |
cosplity |
NEW |
- |
$1,100.00
|
0 |
Apr/02/23 |
Jun/01/23 |
|
Description: AMAT 0226-10777 MASS FLOW CONTROOLER UNIT UFC-1160A GAS N2 / 2SLM |
bo19531 |
Used |
- |
$6.01
|
0 |
Apr/02/23 |
Apr/03/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
tolleyshops |
Used |
- |
$1,600.00
|
0 |
Apr/03/23 |
Jun/02/23 |
|
Description: AMAT 0021-41126 Gas Distribution Plate 119423 37 Holes AMAT Applied Materials |
semikorea |
Used |
- |
$3,000.00
|
0 |
Apr/05/23 |
May/25/23 |
|
Description: AMAT 0090-04904 REV 08 GAS LINE TEMPERATURE CONTROLLER |
farmoninc |
Used |
- |
$3,500.00
|
0 |
Apr/07/23 |
Jun/18/24 |
|
Description: AMAT 0040-31980 GAS BOX EC WXZ REV 003 TIL-296-1781, 104799 |
farmoninc |
Used |
- |
$800.00
|
1 |
Apr/11/23 |
Dec/12/23 |
|
Description: AMAT 0100-90640 PWBA I TO V CONVERTOR, 104973 |
getspares.com_sparesllc09 |
Used |
- |
$750.26
|
0 |
Apr/17/23 |
May/16/24 |
|
Description: 716-007136-002 / HPT UPPER QUARTZ GAS RING / LAM RESEARCH |
getspares.com_sparesllc09 |
Used |
- |
$750.26
|
0 |
Apr/17/23 |
Apr/17/23 |
|
Description: 716-007136-002 / HPT UPPER QUARTZ GAS RING / LAM RESEARCH |
all-of-cpu |
Used |
- |
$85.50
|
0 |
Apr/19/23 |
Jul/22/23 |
|
Description: AMAT 0090-03653 gas panel leak sensor |
rtxparts |
Used |
- |
$460.00
|
0 |
Apr/24/23 |
Oct/09/23 |
|
Description: Applied Materials HP Gas Interlock PCB AMAT 0120-00985 |
getspares.com_sparesllc09 |
Used |
- |
$6,123.99
|
1 |
Apr/24/23 |
Jun/17/24 |
|
Description: 0041-31335 / PLATE GAS DISTRIBUTION TXZ 300MM CV / APPLIED MATERIALS AMAT |
farmoninc |
Used |
- |
$1,500.00
|
0 |
Apr/26/23 |
Sep/05/24 |
|
Description: AMAT 0100-00446 GAS PANEL CONTROLLER BACKPPLANE, 115485 |
part-soulution |
Used |
- |
$1,385.12
|
0 |
Apr/27/23 |
Jun/15/23 |
|
Description: Lam Research Gas Feed Line 839-031197-809 (As-Is) |
part-soulution |
Used |
- |
$1,385.12
|
0 |
Apr/27/23 |
Jun/15/23 |
|
Description: Lam Research WLDMT CTR GAS,DZ 839-031197-807 (As-Is) |
mayraytan |
Used |
- |
$425.00
|
0 |
May/03/23 |
Jul/17/24 |
|
Description: Applied Materials AMAT Gas Filter 4020-01145 4020-01137 |
farmoninc |
Used |
- |
$325.00
|
0 |
May/03/23 |
Aug/31/23 |
|
Description: AMAT 0020-09933 Gas Distribution Sputter Plate, D&H 475, 115763 |
tolleyshops |
Used |
- |
$395.00
|
0 |
May/05/23 |
Jun/05/23 |
|
Description: AMAT 0020-10135 AMP 046 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX |
tolleyshops |
Used |
- |
$395.00
|
0 |
May/05/23 |
Jun/05/23 |
|
Description: AMAT 0020-10135 AMP 410 CVD CHAMBER DELTA TEOS GAS MANIFOLD BOX |
dts_ind_llc |
NEW |
- |
$109.00
|
1 |
May/05/23 |
Mar/12/24 |
|
Description: New Applied Materials 4020-01094 Inline Gas Filter 1/4 Male Gasket Seal Stainles |
dts_ind_llc |
NEW |
- |
$59.00
|
1 |
May/05/23 |
Apr/03/24 |
|
Description: 2 New Applied Materials 0040-20056 Adapter Elbow 1/4 VCR to Mini CF Gas Line SSS |
powersell007 |
Used |
- |
$599.00
|
0 |
May/09/23 |
Dec/09/23 |
|
Description: PIVOTAL 32-02400 HBr 500SCCM AMAT 0190-49517 MFC, GFC 1000, GAS FLOW CONTROLLER |
j316gallery |
Used |
- |
$700.00
|
0 |
May/10/23 |
Sep/17/24 |
|
Description: 32681 LAM RESEARCH WELDMENT DUAL GAS INJECT 2300 839-016784-002 |
johnp1225 |
NEW |
- |
$149.99
|
0 |
May/11/23 |
Oct/01/23 |
|
Description: Applied Materials Gas Line Inject Port 300mm radiance 0050-80886 rev 03 |
texpcrigs |
NEW |
- |
$50.00
|
0 |
May/14/23 |
May/19/23 |
|
Description: Applied Materials 0050-75845 GAS LINE, WELDMENT HPN2 TRANSFER PRODUCER ETCH |
sgcequipment |
Used |
- |
$500.00
|
0 |
May/17/23 |
Nov/29/23 |
|
Description: Applied Materials/AMAT Cable Heated Gas Line PN: 0150-09376 |
texpcrigs |
NEW |
- |
$50.00
|
0 |
May/19/23 |
May/24/23 |
|
Description: Applied Materials 0050-75845 GAS LINE, WELDMENT HPN2 TRANSFER PRODUCER ETCH |
texpcrigs |
NEW |
- |
$50.00
|
0 |
May/24/23 |
May/26/23 |
|
Description: Applied Materials 0050-75845 GAS LINE, WELDMENT HPN2 TRANSFER PRODUCER ETCH |
farmoninc |
Used |
- |
$875.00
|
0 |
May/25/23 |
Sep/09/24 |
|
Description: AMAT 0020-30323 Plate, Gas Distribution 8 101 Holes, Shower Head, 118132 |
ssarah712 |
Used |
- |
$2.09
|
0 |
May/28/23 |
May/28/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
visionsemi |
Used |
- |
$1,200.00
|
0 |
May/31/23 |
Jul/27/23 |
|
Description: AMAT APPLIED MATERIALS 0090-04904 GAS LINE TEMP CONTROLLER PRODUCER |
scs6688 |
NEW |
- |
$225.00
|
0 |
Jun/01/23 |
Oct/23/24 |
|
Description: Applied Materials 0050-94288 GAS LINE,TEOS GOAL POST,PRODUCER GT, New |
farmoninc |
NEW |
- |
$195.00
|
0 |
Jun/01/23 |
Aug/30/23 |
|
Description: AMAT 0050-30513 WELDMENT, GAS LINE, HELIUM, FILTER TO NU, 118737 |
farmoninc |
NEW |
- |
$700.00
|
0 |
Jun/02/23 |
Sep/05/24 |
|
Description: AMAT 0200-35612 PLUG GAS FEED TXZ, 118775 |
generalbonaparte |
Used |
- |
$2.09
|
0 |
Jun/04/23 |
Jun/04/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
maverickindustrialsales |
Used |
- |
$2,849.99
|
1 |
Jun/05/23 |
Aug/16/23 |
|
Description: CTI-Cryogenics 8032550G002 8200 Series Compressor 220VAC 1PH 2.0kW, Helium Gas |
dch2023 |
NEW |
- |
$220.00
|
0 |
Jun/07/23 |
Oct/24/24 |
|
Description: Applied Materials 0050-94288 GAS LINE,TEOS GOAL POST,PRODUCER GT |
j316gallery |
Used |
- |
$1,200.00
|
0 |
Jun/09/23 |
Jun/22/23 |
|
Description: 32843 SMC 12-SLOT GAS PANEL PNUEMATICS PROD SE, AMAT 0190-06402 US5833 |
hhulo |
Used |
- |
$2.09
|
0 |
Jun/11/23 |
Jun/11/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
tolleyshops |
Used |
- |
$1,600.00
|
0 |
Jun/12/23 |
Jul/11/23 |
|
Description: AMAT 0021-41126 Gas Distribution Plate 119423 37 Holes AMAT Applied Materials |
techshop7777 |
Used |
- |
$3,600.00
|
1 |
Jun/13/23 |
Jun/14/23 |
|
Description: LAM Research 571-065780-703 All In One Gas Box / AS-IS, Reade Description |
techshop7777 |
Used |
- |
$5,600.00
|
1 |
Jun/13/23 |
Jun/15/23 |
|
Description: LAM Research 571-065780-702 All In One Gas Box / AS-IS, Reade Description |
techshop7777 |
Used |
- |
$3,600.00
|
0 |
Jun/19/23 |
Jun/20/23 |
|
Description: LAM Research 571-065780-703 All In One Gas Box / AS-IS, Reade Description |
techshop7777 |
Used |
- |
$5,600.00
|
0 |
Jun/19/23 |
Jun/20/23 |
|
Description: LAM Research 571-065780-702 All In One Gas Box / AS-IS, Reade Description |
semikorea |
Used |
- |
$3,000.00
|
0 |
Jun/21/23 |
Aug/01/23 |
|
Description: AMAT 0090-04904 REV 08 GAS LINE TEMPERATURE CONTROLLER |
outletmp3 |
Used |
- |
$2.09
|
0 |
Jun/24/23 |
Jun/24/23 |
|
Description: LAM RESEARCH 571-045788-002 CDSO IGS GAS BOX 150 PSIG |
techford_and_son |
Used |
- |
$49.99
|
0 |
Jun/26/23 |
Oct/26/23 |
|
Description: Unit, MFC UFC-3020 H2 100 SLM VIU, Cal Gas: H2 AMAT # 3030-01792 |
gefen-technology |
Used |
- |
$11,160.00
|
0 |
Jun/27/23 |
Sep/20/23 |
|
Description: AMAT Applied Materials 0041-86999 GAS BOX SRP PRODUCER GT |
automationlink44 |
Used |
- |
$400.00
|
1 |
Jun/27/23 |
Jul/16/23 |
|
Description: AMAT Applied Materials 0100-09114 Gas Panel Board PCB Rev. B P5000 |
dgold32 |
Used |
- |
$99.99
|
1 |
Jun/28/23 |
Jun/28/23 |
|
Description: Applied Materials AMAT 3000SCCM GAS: O2 MASS FLOW CONTROLLER 0190-76156 |
farmoninc |
NEW |
- |
$1,100.00
|
0 |
Jul/03/23 |
Oct/12/23 |
|
Description: AMAT 0050-21342 Gas Line System Manifold, 121166 |
farmoninc |
NEW |
- |
$1,100.00
|
0 |
Jul/03/23 |
Oct/12/23 |
|
Description: AMAT 0050-21342 Gas Line System Manifold, 121165 |
automationlink44 |
Used |
- |
$175.00
|
1 |
Jul/03/23 |
May/14/24 |
|
Description: AMAT Applied Materials 0100-09153 Gas Panel Board PCB cards Rev. E |
spsglobal |
Used |
- |
$950.00
|
2 |
Jul/07/23 |
Jul/06/23 |
|
Description: 156-0202 AMAT APPLIED 0195-00220 GAS LINE HTR HE SUPPLY FSG PDCR SE MKII [NEW] |
yenlimited-27 |
Used |
- |
$2,675.00
|
0 |
Jul/08/23 |
Nov/28/23 |
|
Description: 810-077433-002 / LAM RESEARCH PCB, A4 GAS BOX INTERFACE, VMIVME 5530S 8 |
farmoninc |
Used |
- |
$4,500.00
|
0 |
Jul/11/23 |
Nov/27/23 |
|
Description: AMAT Gas Box Feedthru, Teflon, AMS/AMZ/STI Double CVGF, 0021-23550, 121756 |