[click to login]
WSEMI


TAGS > new in

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: New in OEM packaging , AMAT 0140-75285 Harness ASSY. SCRA
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0620-00812 Dry nova cable CUFC-6C, New in OEM package
farmoninc
[view on eBay]
Used 8
in stock
$7,900.00
Description: AMAT 0010-03372 WxZ Heater Assembly, 8 inch, New in Box and papers
farmoninc
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03369 WxZ Heater Assembly, 8 inch, New in Box with parpers
farmoninc
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03332 WxZ Heater Assembly, 8 inch, new in Box and papers
farmoninc
[view on eBay]
Used 2
in stock
$7,900.00
Description: AMAT 0010-03371 WxZ Heater Assembly, 8 inch, New in Box and papers
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0021-23329 SHIELD, CHAMBER SIP II 200MM AMAT *NEW IN BOX*
radwell_international
[view on eBay]
Used 5
in stock
$365.00
Description: APPLIED MATERIALS 1220-01016 / 122001016 (NEW IN BOX)
j316gallery
[view on eBay]
NEW 2
in stock
$759.35
Description: 17211 APPLIED MATERIALS CLAMP 8" BUFFER FROG LEG (NEW IN OPEN PACK) 0020-21105
j316gallery
[view on eBay]
NEW 1
in stock
$166.23
Description: 18492 APPLIED MATERIALS GASLINE (NEW IN OPEN PACK) 0051-29491
j316gallery
[view on eBay]
NEW 1
in stock
$139.45
Description: 18634 APPLIED MATERIALS GASLINE (NEW IN OPEN PACK) 0051-17953
j316gallery
[view on eBay]
NEW 1
in stock
$139.45
Description: 18636 APPLIED MATERIALS GASLINE (NEW IN OPEN PACK) 0051-18341
j316gallery
[view on eBay]
NEW 4
in stock
$40.17
Description: 18635 APPLIED MATERIALS GASLINE (NEW IN OPEN PACK) 0051-03222
j316gallery
[view on eBay]
NEW 2
in stock
$200.84
Description: 18661 APPLIED MATERIALS HEATER JACKET SLEEVE (NEW IN OPEN) 0190-45228
j316gallery
[view on eBay]
NEW 1
in stock
$300.12
Description: 18656 APPLIED MATERIALS HEATER JACKET SLEEVE (NEW IN OPEN) 1410-02725
j316gallery
[view on eBay]
NEW 3
in stock
$200.84
Description: 18665 APPLIED MATERIALS CABLE ASSY, 2.7M (NEW IN OPEN) 0140-75440
j316gallery
[view on eBay]
NEW 4
in stock
$233.18
Description: 18686 APPLIED MATERIALS CABLE ASSY, 2.7M (NEW IN OPEN BAG) 0140-75441
j316gallery
[view on eBay]
NEW 1
in stock
$200.84
Description: 18687 APPLIED MATERIALS CABLE ASSY, 1.3M (NEW IN OPEN BAG) 0140-28462
bms-semicon
[view on eBay]
NEW 1
in stock
$129.99
Description: LAM RESEARCH 853-195744-001 NEW IN BAG
j316gallery
[view on eBay]
NEW 1
in stock
$377.71
Description: 19044 APPLIED MATERIALS VALVE, RELIEF 30MM (NEW IN OPEN BAG) 3870-90048
j316gallery
[view on eBay]
NEW 1
in stock
$315.13
Description: 19661 APPLIED MATERIALS CABLE ASSY EBARA MONO PUMP (NEW IN OPEN PACK) 0150-20221
j316gallery
[view on eBay]
NEW 1
in stock
$107.12
Description: 20957 APPLIED MATERIALS CABLE ASSY, 2M (NEW IN OPEN PACK) 0150-45475
j316gallery
[view on eBay]
NEW 1
in stock
$133.90
Description: 20953 APPLIED MATERIALS CABLE ASSY (NEW IN OPEN PACK) 0150-45463
j316gallery
[view on eBay]
NEW 1
in stock
$206.40
Description: 20952 APPLIED MATERIALS CABLE ASSY (NEW IN OPEN PACK) 0140-80295
j316gallery
[view on eBay]
NEW 1
in stock
$291.12
Description: 21312 APPLIED MATERIALS HEATER JACKET SLEEVE (NEW IN OPEN PACK) 1410-02174
racingmopars109
[view on eBay]
NEW 1
in stock
$275.00
Description: LAM Research 839-180137-001 WLDMT VLV AIR OPERATED NEW IN BAG FREE SHIPPING
radwell_international
[view on eBay]
Used 5
in stock
$76.00
Description: APPLIED MATERIALS 0190-72794 / 019072794 (NEW IN BOX)
radwell_international
[view on eBay]
Used 13
in stock
$3,820.40
Description: ADVANCED ENERGY THYRO-PX-2PX-500-495-HF / THYROPX2PX500495HF (NEW IN BOX)
kansas1stind
[view on eBay]
NEW 1
in stock
$82.95
Description: Applied Materials 3300-06002 ISO-80 4" LL Flex Coupling New in Package
bradm215
[view on eBay]
NEW 1
in stock
$296.99
Description: 1 – NEW AMAT 0040-53452 Dual RPS Supporter SEALED PACKAGE. NEW in Package
albsemicon
[view on eBay]
NEW 1
in stock
$599.99
Description: Lam Research 810-800060-102 REV.A ASSY,PCB,LON NODE,RS-232 ADIO NEW IN BAG
radwell_international
[view on eBay]
Used 1
in stock
$684.00
Description: LAM RESEARCH 853-001583-016 / 853001583016 (NEW IN BOX)
albsemicon
[view on eBay]
NEW 2
in stock
$899.99
Description: 15-032014-01 /QTZ WINDOW, ETCH RESISTANT, FUSED SI / NOVELLUS new in box
allenbradley-auto
[view on eBay]
NEW 2
in stock
$6,500.00
Description: ONE NEW AMAT 0100-35117
allenbradley-auto
[view on eBay]
NEW 1
in stock
$3,900.00
Description: ONE NEW Nor-Cal 3870-06249 BUTTERFLY Valve
allenbradley-auto
[view on eBay]
NEW 1
in stock
$6,240.00
Description: ONE NEW AMAT 0040-86543 HT SWLL Bellow 1M
albsemicon
[view on eBay]
NEW 2
in stock
$899.99
Description: Applied Materials 0021-20718 Pedestal Cover Lower CDSL-A-439 NEW IN DOUBLE BAG
albsemicon
[view on eBay]
NEW 1
in stock
$299.99
Description: Applied Materials (AMAT) 0270-70045 new in bag glass window
albsemicon
[view on eBay]
NEW 1
in stock
$799.99
Description: 0200-09911 QUARTZ COVER RING / APPLIED MATERIALS AMAT NEW IN BAG
albsemicon
[view on eBay]
NEW 1
in stock
$950.88
Description: 0021-20437 / DISK 8IN B101 TI SHUTTER / APPLIED MATERIALS AMAT NEW IN DOUBLE BAG
albsemicon
[view on eBay]
NEW 1
in stock
$799.99
Description: LAM RESEARCH 713-025164-004 REV. A RING, PTN,GAS FEED, DUAL ZONE NEW IN BAG
albsemicon
[view on eBay]
NEW 1
in stock
$129.99
Description: AMAT APPLIED 0020-20753 PLATE POWER SUPPLY CORD STRAIN RELEIF NEW IN BAG
albsemicon
[view on eBay]
NEW 2
in stock
$389.99
Description: Novellus 15-034848-00 HUB, LOWER, INDEXER new in bag
albsemicon
[view on eBay]
NEW 1
in stock
$999.99
Description: NOVELLUS 15-118380-00 REV.A RING, RETAINING, 4 PIECE, THIN, NEW IN BAG
albsemicon
[view on eBay]
NEW 1
in stock
$725.77
Description: APPLIED MATERIAL AMAT 0020-31463 / CARRIER,COMPLIANT, EXT CATHODE NEW IN BAG
albsemicon
[view on eBay]
Used 1
in stock
$199.99
Description: AMAT, Vacuum Fitting, 0050-00160, Applied Materials new in bag
albsemicon
[view on eBay]
NEW 1
in stock
$995.99
Description: AMAT APPLIED 0021-03980 OUTER SHIELD W/O WINDOW, TXZ CHAMBER NEW IN DOUBLE BAGS
radwell_international
[view on eBay]
Used 6
in stock
$152.00
Description: APPLIED MATERIALS 0020-18498 / 002018498 (NEW IN BOX)
lqzhome
[view on eBay]
NEW 3
in stock
$7,127.56
Description: AMAT 0100-35117 Interconnect New in box Fast shipping#DHL or FedEx
albsemicon
[view on eBay]
NEW 1
in stock
$169.99
Description: APPLIED MATERIALS AMAT Cable ASSY Dnet 3m 300v 80c RSM-RKM new in bag 0620-02896
albsemicon
[view on eBay]
Used 1
in stock
$1,650.00
Description: LAM RESEARCH TOP WAFER CLAMP, 7.5" SHADOW 716-028668-281 new in bag
albsemicon
[view on eBay]
Used 1
in stock
$1,999.99
Description: AMAT Applied Materials 0020-22660 8" Clamp Ring W’S HLZ-PB-365-005 NEW IN BAG
filling-parts
[view on eBay]
Used 1
in stock
$2,948.03
Description: New in box ADVANCED ENERGY 1P400-280HF controller
filling-parts
[view on eBay]
Used 1
in stock
$3,635.80
Description: New in box ADVANCED ENERGY 1P400-110H-ASM controller
yiannisshop
[view on eBay]
NEW 1
in stock
$1,544.90
Description: AMAT 009077106 Assembly, RTD Transmitter 1 NEW IN SEALED BAG 1 NEW OPEN BAG
albsemicon
[view on eBay]
NEW 1
in stock
$1,850.88
Description: Applied Materials 0021-35744 Plate Blocker TXZ 200mm new in double bag
albsemicon
[view on eBay]
NEW 1
in stock
$1,350.77
Description: AMAT 0021-35752 Ceramic SHIELD INNER CHAMBER, TXZ, NEW IN BAG Applied materils

This tag has been viewed 1 time

Most recent views:

Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST
Japan Saturday, Sep/07/2024 at 4:16 pm CST
Japan Saturday, Sep/07/2024 at 4:09 pm CST
Russian Federation Saturday, Sep/07/2024 at 4:09 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
jrrogal NEW - $85.00 1 Dec/16/12 Dec/21/12
Description: OPTO 22 Snap B3000-HA Brain New In Box - Never Used
jrrogal NEW - $145.00 0 Dec/22/12 Jan/01/13
Description: OPTO 22 Snap B3000-HA Brain New In Box - Never Used
dashhound02 NEW - $39.99 1 Dec/29/12 Jan/05/13
Description: Lot of 12 MKS/HPS NW16 S/V SEAL, CTRING ASSY NW-16-CR-SV KF 16 New In Box!!!
maplewood888 NEW - $30.00 1 Dec/31/12 Jan/07/13
Description: NEW IN SEALED BAG TESCOM REGULATOR 44-3261JRU2-376 50PSIG OUTPUT MAX
6105moss NEW - $1,850.00 1 Jan/05/13 Jan/12/13
Description: TREBOR M50DS01000A0 PUMP, AK4476, 3/4"X1 IN FLARE; NEW IN ORIGINAL BOX
sunnking NEW - $11.99 0 Jan/18/13 Jan/19/13
Description: Vector W28-6EU 28 ga Tefzel Insulated wire 150 ft. New in Bag
bring_recycling NEW - $150.00 0 Jan/21/13 Jan/28/13
Description: Lot (6) Mott GasShield POU-015-NSV1 NanoMetal NEW in FOIL
sunnking NEW - $11.99 0 Jan/28/13 Feb/04/13
Description: Vector W28-6EU 28 ga Tefzel Insulated wire 150 ft. New in Bag
maplewood888 NEW - $30.00 1 Jan/21/13 Jan/28/13
Description: NEW IN SEALED BAG TESCOM REGULATOR 44-3261JRU2-376 50PSIG OUTPUT MAX
esoteric_specialties NEW - $575.00 0 Jan/30/13 Feb/09/13
Description: Electroglas / Probe Specialists FET Power Board DAR 566875-00 New in the box!
carlangas2002 NEW - $80.00 0 Jan/30/13 Mar/01/13
Description: NEW IN BOX OMRON E2K-C25MF1 CAPACITIVE PROXIMITY SWITCH SENSOR E2KC25MF1
bobsgoodies NEW - $19.97 5 Feb/12/13 Feb/16/13
Description: MasterFlex Neoprene Food Tubing 1/4" ID 50" Long New In Box AMAT 3860-01596
bobsgoodies NEW - $19.97 1 Feb/13/13 Mar/14/13
Description: MasterFlex Neoprene Food Tubing 06402-17 50" Long New In Box AMAT 3860-01381
bobsgoodies NEW - $21.97 1 Mar/15/13 Mar/22/13
Description: MasterFlex Neoprene Food Tubing 06402-17 50" Long New In Box AMAT 3860-01381
neilan1987 NEW - $399.99 0 Apr/01/13 Apr/08/13
Description: APPLIED MATERIALS AMAT 0190-06926 Blue RF Cable NEW in Cleanroom Packaging
neilan1987 NEW - $399.99 0 Apr/02/13 Apr/09/13
Description: APPLIED MATERIALS AMAT 0190-15905 Blue RF Cable NEW in Cleanroom Packaging
neilan1987 NEW - $349.99 0 Apr/09/13 Apr/16/13
Description: APPLIED MATERIALS AMAT 0190-06926 Blue RF Cable NEW in Cleanroom Packaging
neilan1987 NEW - $349.99 0 Apr/09/13 Apr/16/13
Description: APPLIED MATERIALS AMAT 0190-15905 Blue RF Cable NEW in Cleanroom Packaging
artsemi NEW - $2,970.00 1 Apr/03/13 Mar/31/22
Description: RF Shaped Window Lam Research 716-330121-001, New in sealed package.
carlangas2002 NEW - $72.50 1 May/04/13 May/07/13
Description: NEW IN BOX OMRON E2K-C25MF1 CAPACITIVE PROXIMITY SWITCH SENSOR E2KC25MF1
disco.sue1952 Used - $399.99 0 May/18/13 Sep/27/21
Description: Applied Materials Heater, 0010-03559 New In Box.
hot!cardz NEW - $11,500.00 0 Jul/26/13 Aug/05/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $16,000.00 0 Jul/28/13 Jul/29/13
Description: AMAT 0040-32905 ESC / Pedestal / echuck Applied Materials * OEM * New In Box
hot!cardz NEW - $11,500.00 0 Aug/08/13 Aug/18/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $11,500.00 0 Aug/19/13 Aug/29/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $11,500.00 0 Aug/29/13 Sep/08/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $11,500.00 0 Sep/09/13 Sep/19/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $11,500.00 0 Sep/24/13 Oct/04/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
imca00 NEW - $4,499.00 0 Oct/08/13 Oct/13/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/08/13 Oct/13/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
hot!cardz NEW - $11,500.00 0 Oct/10/13 Oct/20/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
imca00 NEW - $4,499.00 0 Oct/20/13 Oct/25/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/20/13 Oct/25/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
imca00 NEW - $3,999.00 0 Oct/28/13 Nov/07/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/28/13 Nov/07/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
hot!cardz NEW - $11,500.00 0 Oct/28/13 Nov/07/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
tdindustrial NEW - $19.95 32 Nov/04/13 Feb/24/14
Description: AMAT Bulb 2P-Tungston, 1010-01088 1KW, Clear, T-8, 1500Hr, New in Box, Sealed
hot!cardz NEW - $11,500.00 0 Nov/11/13 Nov/21/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
imca00 NEW - $550.00 0 Nov/22/13 Nov/01/14
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
imca00 NEW - $3,999.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
hot!cardz NEW - $11,500.00 0 Nov/21/13 Dec/01/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $11,500.00 0 Dec/03/13 Dec/13/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $11,500.00 0 Dec/13/13 Dec/23/13
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
hot!cardz NEW - $11,500.00 0 Jan/07/14 Jan/17/14
Description: Novellus 02-033134-01 Pedestal 200mm OEM New In Box
imca00 NEW - $12,500.00 0 Feb/13/14 Feb/18/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Feb/19/14 Feb/24/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 Feb/18/14 Feb/23/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $12,500.00 0 Feb/23/14 Feb/28/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Feb/24/14 Mar/01/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 Feb/28/14 Mar/05/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $12,500.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $4,500.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $12,500.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 Apr/29/14 May/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $12,500.00 0 May/04/14 May/09/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
waste-not-recycling NEW - $19.99 0 Jul/30/14 Aug/06/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
imca00 NEW - $4,500.00 0 Jul/28/14 Aug/02/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
waste-not-recycling NEW - $69.99 0 Aug/01/14 Aug/31/14
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged.
waste-not-recycling NEW - $699.99 0 Aug/01/14 Aug/08/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
imca00 NEW - $12,500.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
waste-not-recycling NEW - $29.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
imca00 NEW - $4,500.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 NEW - $12,500.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
waste-not-recycling NEW - $59.99 0 Sep/02/14 Oct/02/14
Description: Lot of 4 AMAT Cambridge 0040-96353 Gas Line Assemblies, New in sealed packaged.
waste-not-recycling NEW - $29.99 0 Sep/06/14 Oct/06/14
Description: Applied Materials 0015-90059 Vane Switch, Modified, Replaces 0015-90, New in Box
waste-not-recycling NEW - $499.99 0 Sep/08/14 Oct/08/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
imca00 NEW - $7,450.00 0 Oct/03/14 Oct/10/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
waste-not-recycling NEW - $399.99 0 Oct/09/14 Nov/08/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
waste-not-recycling NEW - $299.99 0 Nov/10/14 Dec/10/14
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
waste-not-recycling NEW - $299.99 0 Dec/11/14 Jan/10/15
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
powersell007 NEW - $199.00 2 Dec/17/14 Jan/06/17
Description: APPLIED MATERIALS 0021-20495 HEAT SHIELD,RIGHT HALF,HTHU REV A AMAT *NEW IN BOX*
imca00 NEW - $550.00 0 Dec/29/14 May/28/15
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
imca00 NEW - $7,450.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $7,450.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
waste-not-recycling NEW - $299.99 0 Jan/10/15 Feb/09/15
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
imca00 NEW - $7,450.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $7,450.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $7,450.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
powersell007 NEW - $859.00 0 Feb/07/15 Nov/23/17
Description: APPLIED MATERIALS 0041-04514 ZONE 1 CLAMP, 300MM TITAN CALYPSO AMAT *NEW IN BOX*
waste-not-recycling NEW - $299.99 1 Feb/09/15 Feb/20/15
Description: Lam RF Match, Gear Drive, Assy 853-015130-002 Upper Etcher Mini, New in the box
imca00 NEW - $7,450.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $7,450.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
usedeqsales NEW - $406.11 1 Jun/29/11 Mar/02/15
Description: Mykrolis Solaris SCR01 SCR0153E1 Filter Lot of Seven (7) new in box
kellansurplus NEW - $25.00 1 Mar/03/15 Apr/24/15
Description: A Single New in Box AMAT 4020-01019 Micron Filter Cartridge
imca00 NEW - $7,450.00 0 Mar/10/15 Mar/17/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $7,450.00 0 Mar/17/15 Mar/24/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $7,450.00 1 Mar/24/15 Mar/25/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
redbarnstuff NEW - $179.99 0 Apr/23/15 May/23/15
Description: ENTEGRIS FLUOROWARE HFC-12F 2 way valve N.C.High flow 3/4" NEW IN BOX
tdindustrial NEW - $1,495.00 1 Apr/21/15 Apr/23/15
Description: KLA-Tencor Assembly, 995-0185-00, 0019620-000 R. AA, 52-1150, New in Box
tdindustrial NEW - $1,495.00 1 Apr/21/15 Apr/23/15
Description: KLA-Tencor Assembly, 97010823-2EM1, New in Box
powersell007 Used - $159.00 1 May/20/15 Dec/20/21
Description: APPLIED MATERIALS 0021-21765 SPACER, HEATER, 300MM, PVD AMAT *NEW IN BOX*
janjan2520 NEW - $49.99 2 Feb/10/15 Jun/17/15
Description: Swagelok Vertical Flow Sensor, 6L-FV4B-VR4, New in Box
albelectric NEW - $599.99 0 May/25/15 Jun/24/15
Description: Ontrak chuck new Srd 13-8081-145 P2 NEW in BAG
nh7654 NEW - $515.00 0 Sep/04/15 Oct/04/15
Description: New In Box Lam Research Lower Electrode P/N# 715-007469-002
epitaxialparts NEW - $366.66 0 Dec/02/15 Jun/16/16
Description: Parker Skinner Valve 73222BN63N00N0L111C2 AMAT 824314 3870-01006 SV-8 New In Box
rdserv NEW - $64.99 1 May/27/15 Dec/23/15
Description: Hitachi 882-596 Piston Assembly For EC10SB Compressor New In Package
outback6stk NEW - $250.00 0 May/11/16 May/18/16
Description: Z127224 Yaskawa SGMP-01U3AP08 AC Servo Motor AMAT 0190-77181 - New in Box
outback6stk NEW - $300.00 1 May/18/16 Jun/02/16
Description: Z127224 Yaskawa SGMP-01U3AP08 AC Servo Motor AMAT 0190-77181 - New in Box
cleaningthegarage2016 NEW - $366.66 0 Jun/16/16 Sep/13/16
Description: Parker Skinner Valve 73222BN63N00N0L111C2 AMAT 824314 3870-01006 SV-8 New In Box
bobsgoodies2 Used - $100.00 0 Oct/12/16 Mar/31/22
Description: AMAT 0150-37304 CABLE Assembly. K-Tec New, In sealed bag.Applied Materials
877444unix NEW - $20.00 0 Oct/19/16 Nov/18/16
Description: PALL ULTIPOR VF FILTER CL3DV0P1G NEW IN THE BOX NOS
powersell007 Used - $129.00 0 Jan/13/17 Dec/13/23
Description: APPLIED MATERIALS 0021-20495 HEAT SHIELD,RIGHT HALF,HTHU REV A AMAT *NEW IN BOX*
cubit001 NEW - $275.00 2 Feb/01/17 Mar/03/17
Description: Lam Inner Gate Bellows 839-012255-001 REV F New In box, Sealed
cubit001 NEW - $275.00 0 Mar/05/17 Apr/04/17
Description: Lam Inner Gate Bellows 839-012255-001 REV F New In box, Sealed
cubit001 NEW - $275.00 0 Apr/05/17 May/05/17
Description: Lam Inner Gate Bellows 839-012255-001 REV F New In box, Sealed
cubit001 NEW - $275.00 0 Jun/29/17 Jul/29/17
Description: Lam Inner Gate Bellows 839-012255-001 REV F New In box, Sealed
ainevjb NEW - $75.00 0 Jul/28/17 Jan/17/18
Description: AMAT 0020-30356 Gas Feed Thru NEW IN PACKAGE Leopold APPLIED MATERIALS P5000
howard9996 NEW - $330.00 0 Jul/31/17 Aug/30/17
Description: LAM 716-069709-059 rev. C Ceramic Ring New in Box
howard9996 NEW - $330.00 0 Aug/31/17 Sep/30/17
Description: LAM 716-069709-059 rev. C Ceramic Ring New in Box
plccenter Used - $190.00 0 Sep/21/17 Nov/15/23
Description: APPLIED MATERIAL 0190-35604 / 019035604 (NEW IN BOX)
howard9996 NEW - $330.00 0 Oct/06/17 Jan/14/18
Description: LAM 716-069709-059 rev. C Ceramic Ring New in Box
powersell007 Used - $859.00 0 Nov/23/17 Mar/03/22
Description: APPLIED MATERIALS 0041-04514 ZONE 1 CLAMP, 300MM TITAN CALYPSO AMAT *NEW IN BOX*
commando-eight NEW - $109.00 4 Feb/17/18 Aug/05/19
Description: Gate, Hard Anodized LAM P/N 715-008067-001, New in Package
ainevjb NEW - $50.00 0 Mar/09/18 Jan/14/20
Description: AMAT 0020-04063 UV WINDOW FILTER ENDPOINT NEW IN PACKAGE ETCH P5000
plccenter Used - $25.00 0 Jun/03/19 Jan/28/23
Description: APPLIED MATERIAL 3300-05873 / 330005873 (NEW IN BOX)
todayztrend NEW - $996.88 3 Aug/15/19 Dec/18/19
Description: LAM Research 715-027638-814 Upper Liner Plug, Brand New In original Box!!!!
plccenter Used - $105.00 0 Oct/27/20 May/26/22
Description: APPLIED MATERIALS 0140-70323 / 014070323 (NEW IN BOX)
visionsemi NEW - $2,150.00 0 Oct/28/20 May/06/22
Description: LAM RESEARCH DGF 810-031325-105 16 IGS MOTHERBOARD PCB NEW IN BOX
mattron747 NEW - $85.00 0 Feb/27/21 Sep/27/21
Description: Novellus, 15-055721-00, Split Bushing, New in Bag
mlbwannabe NEW - $499.99 0 Mar/12/21 Mar/19/21
Description: 1310-00019 AMAT Commercial Spec Sheet for SDSM-1604 New in Sealed Package
mlbwannabe NEW - $279.99 1 Mar/20/21 Feb/22/23
Description: 1310-00019 AMAT Commercial Spec Sheet for SDSM-1604 New in Sealed Package
semixicon NEW - $60,000.00 0 May/19/21 Sep/01/21
Description: OEM NEW in Original Sealed Box AMAT Producer 300mm Heater 0010-59798
7gms_pp6gbph NEW - $1,000.00 0 May/27/21 Mar/27/22
Description: 1 PCS NEW IN BOX Lam controller 853-801876-014
pusterd NEW - $350.00 0 Jun/16/21 Jun/17/21
Description: CTi 901D-2589-B / 901D2589B (NEW IN BOX)
esprprts Used - $340.00 3 Jul/28/21 Sep/07/21
Description: ADVANCED ENERGY RF GENERATOR CABLE (NEW IN OPEN PACK) 1345510-00
xiahk82 NEW - $6,666.00 1 Aug/12/21 Jan/22/22
Description: AE Advanced Energy PE II 3157600-004 10K RF Power Supply Generator, New in stock
farwestproductsales Used - $749.95 0 Aug/14/21 Sep/01/22
Description: Applied Materials 0200-08200 AMAT Fixed Lift Ring NEW IN BOX! FREE SHIPPING!
radwelloverstock Used - $6.25 0 Nov/24/21 Feb/17/22
Description: APPLIED MATERIAL 3300-05873 / 330005873 (NEW IN BOX)
ea-1173 NEW - $500.00 0 Jan/11/22 Jan/12/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
equippedvisionllc Used - $2,999.00 0 Jan/12/22 Jan/22/22
Description: Advanced Energy 10kW PE II 10k 400v 40khz RF Generator (NEW IN BOX)
ea-1173 NEW - $250.00 1 Jan/18/22 Jan/18/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
ea-1173 NEW - $250.00 2 Feb/01/22 Feb/01/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
equippedvisionllc Used - $3,500.00 0 Feb/28/22 Jun/13/22
Description: Advanced Energy 10kW PE II 10k 400v 40khz RF Generator (NEW IN BOX)
ea-1173 NEW - $250.00 2 Mar/01/22 Mar/01/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
ea-1173 NEW - $250.00 2 Apr/01/22 Apr/01/22
Description: AMAT APPLIED 0010-02172 ASSY, LEFT HAND PIVOT AND BEARING, NEW In Closed Package
ea-1173 NEW - $250.00 10 Apr/03/22 Apr/03/22
Description: AMAT APPLIED 0010-02173 ASSY, RIGHTHAND PIVOT AND BEARING, NEW In Closed Package
phxinn NEW - $325.00 0 May/02/22 Sep/05/24
Description: Amat 0020-79091 CMP 8" Wafer Retaining Ring, New In Sealed Bag
albelectric NEW - $129.99 1 May/21/22 Sep/30/22
Description: Applied Materials 0680-01199 3P MAG THERM 240 VAC 30A New in bag
equippedvisionllc Used - $999.00 0 Jun/13/22 Jun/21/22
Description: Advanced Energy 10kW PE II 10k 400v 40khz RF Generator (NEW IN BOX)
wbwca NEW - $15.00 0 Jun/30/22 Aug/28/24
Description: Applied Materials ~ Harness Assembly 0140-29548 ~ new in sealed package NIP
alvin1462 Used - $2,000.00 1 Aug/19/22 Jun/02/23
Description: AE Advanced Energy MDX 1.5K DC Magnetron Power Supply 3152164-016 (NEW in box )
bonescollector Used - $250.00 1 Sep/10/22 Mar/15/23
Description: LAM Research 716-028123-004 Ceramic Ring Filler Ring New In Box
allenbradley-auto NEW - $3,000.00 0 Oct/13/22 Mar/11/24
Description: ONE NEW MKS AMAT 0190-32372 CDN396 CARD 1M
albelectric NEW - $299.99 0 Oct/30/22 Jun/01/23
Description: Applied Materials 0020-20719 RAIL SUPPORT RF GEN CABINET NEW IN BAG LOTS OF 2
albsemicon Used - $599.99 0 Dec/24/22 Mar/07/24
Description: AMAT 0020-22852 Shield QMS 11-3-94-41 NEW IN BAG
albelectric NEW - $299.99 1 Dec/25/22 Mar/27/23
Description: PENTAGON TECHNOLOGIES 15-052619-00 E CERAMIC TONGS NOVELLUS NEW IN BAG/BOX
albelectric NEW - $150.00 0 Dec/25/22 May/18/23
Description: AMAT 0270-20016 Wrench Bellows Lift, Applied Materials new in bag 11677400
albelectric NEW - $499.99 0 Mar/18/23 Jun/01/23
Description: Applied Materials/AMAT 0040-76367 MANIFOLD TALL NEW IN DOUBLE BAG
albsemicon NEW - $99.99 8 Mar/18/23 Mar/04/24
Description: LAM RESEARCH 716-011533-001 REV.B GLASS PIECE NEW IN BAGS
labedge Used - $195.00 0 Apr/08/23 Apr/18/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
labedge Used - $195.00 0 Apr/19/23 Apr/29/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
labedge Used - $195.00 0 Apr/29/23 May/09/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
labedge Used - $146.25 0 May/15/23 May/24/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
yiannisshop NEW - $2,777.00 0 May/26/23 May/28/23
Description: AMAT 0090-77106 Assembly, RTD Electronic.1 NEW IN SEALED BAG 1 NEW OPEN BAG
labedge Used - $109.69 0 May/31/23 Jun/10/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
labedge Used - $82.27 0 Jun/11/23 Jun/21/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
labedge Used - $61.70 0 Jun/24/23 Jul/04/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box
nigo2009 NEW - $4,610.00 0 Jun/28/23 Sep/12/23
Description: Genuine New in Box AMAT AKT 0100-01996 Expedited Shipping DHL Fedex Shipping
labedge Used - $46.28 0 Jul/08/23 Jul/18/23
Description: Cryo Compressor Absorber For CVI Cyro Pump New In Box