[click to login]
WSEMI


TAGS > 1

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: NEW Novellus 60-183488-00 assy, Pneumatic bank #1, Festo, C3 SPD
farmoninc
[view on eBay]
Used 3
in stock
$20.00
Description: NEW AMAT 0150-05133 Cable, Ethernet, 12 inch Hub 1, port 5 to J3
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-00181 Cable, MFC Ch 1, Anneal Chamber
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0040-91797 Heat Shield Oven Type 1
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: 2 New AMAT 0140-78192 Cable HD SWP 1,2,3,4 encoder MTR pane
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: New AMAT 0150-01496 cable assy. SMIF par port 1 wafer LDR DC
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: 10 AMAT 0720-02394 Conn HSG 2 POS 22AWG .1 CTR 94V-2 323622
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0242-26154 Kit, IVL Heater Jacket, Upper Zone 1, Chamber A
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0100-00882 PCB ASSEMBLY, 1 SEC. TIME DELAY FOR PUMP
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0100-01086 PWB CONFIG LOOP CONTROLLER '1'
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0100-00882 PCB Assembly, 1 sec, Time Delay For Pump
grandbirdnet
[view on eBay]
NEW 2
in stock
$50.00
Description: AMAT 3300-04783 FTG, PIPE PLUG 1 – 1/2″ THD, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0200-20071 Ring Inner 150/140MM 1 Flat BWCVD
grandbirdnet
[view on eBay]
NEW 1
in stock
$60.00
Description: AMAT 0020-78260 MNTG BRKT 1 LOADCUP, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$165.00
Description: NEW AMAT 0010-05495 Hose Assy, Astron Supply, Line #1
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-77473 PLATEN 1,CAROUSEL MP7-DVRS 6&9, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$110.00
Description: AMAT 0140-77132 HD ROT 1 PWR, DVR-CNTRL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$117.00
Description: AMAT 0140-77105 PLATEN 1,PWR DVR-CNTRL BKHD, USED
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0190-06731 Encoder Cable 1
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: NEW AMAT 3300-01141 FTG TBG GLD 1 T SST VCO
farmoninc
[view on eBay]
NEW 2
in stock
$390.00
Description: AMAT 1410-00256 Heater Jacket, CHC, Zone 1, 200MM Producer
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 3060-01005 BRG RLR Cam FOL 1 DIA Replaces 862029
usedeqsales
[view on eBay]
Used 13
in stock
$408.14
Description: Lam Research 810-802901-305 MB Node 1 PM Common PCB 710-802901-305 Used Working
peggiegrady
[view on eBay]
Used 1
in stock
$39.99
Description: APPLIED MATERIAL 0050-50030 FLANGE REV #1 LOT OF 2
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: Qty of 16 AMAT 3090-01116 Bolt, skt ltd, 1/2-20 x 1 1/2 L, Hex STL, 2
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0190-89094 Supply Manifold, 1 Chamber, Water Column, Griswold 3644DJGI
farmoninc
[view on eBay]
Used 5
in stock
$110.00
Description: AMAT 0150-10077 Pressure Switch Cable 1 WXZ, 397912
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 1410-00628 Heater Jacket, PLIS BPSG, Zone 5, Item 1, Watlow 013072500H
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-98322 Tube, Gas Feed #1
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0040-98322 TUBE, GAS FEED #1
grandbirdnet
[view on eBay]
NEW 1
in stock
$250.00
Description: LAM 03-449509-02 CBL ASSY, EMO,PM PUMP,MACH 1,75FT,SOLA EX, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0150-05134 CABLE, ETHERNET 12" HUB 1, PORT 6 TO J5
farmoninc
[view on eBay]
Used 2
in stock
$100.00
Description: AMAT 0150-91373 Earthing Braid Floorplate 1
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0010-02576 HOSE ASSY, CHAMBER A #1, RETURN
grandbirdnet
[view on eBay]
Used 31
in stock
$500.00
Description: AMAT 0021-78097 MANIFOLD, 1 VALVE, UPA MANIFOLD ASSY , USED
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0150-00183, A Cable, PCB, Stepper, Ch. 1 Anneal 402115
farmoninc
[view on eBay]
NEW 1
in stock
$525.00
Description: 3 AMAT 0150-00183 Cable, PCB, Stepper, Ch. 1 Anneal 402125
farmoninc
[view on eBay]
NEW 1
in stock
$145.00
Description: AMAT 0150-00183 Cable, PCB, Stepper, Ch. 1 Anneal 402150
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-89093 Return Manifold, 1 Chamber, Water Column, 406268
auctionrus
[view on eBay]
NEW 1
in stock
$10.00
Description: AMAT 0190-01205 Fuse BLK 1 Pole 600V 30A 2AWG Wire MA 406773
auctionrus
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0040-00556 Side Shield 1, 407103
auctionrus
[view on eBay]
NEW 84
in stock
$75.00
Description: AMAT 0910-01205 FUSE BLACK 1 POLE 600V 30A 2AWG WIRE MA BUSS 60C/75C WIRE 407077
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$71.50
Description: Instrument Optical Data Link DM-G/DM-H Hokuyo Automatic DM-HB1 BM13550L01 AMAT 1
usedeqsales
[view on eBay]
Used 1
in stock
$408.15
Description: AMAT Applied Materials 0022-05342 LDM AB Type 1 Cover Reseller Lot of 6 New
auctionrus
[view on eBay]
NEW 2
in stock
$295.00
Description: AMAT 0150-09727 Cable Assembly, 25' Analog #1 Gas Panel Interconnect, 409496
auctionrus
[view on eBay]
NEW 2
in stock
$200.00
Description: AMAT 0140-07290 Harness Chem 1 Spray Bar Flow Sensor BB2, 411073
auctionrus
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT 1270-01220 Sw, Pb Mom 1 Nc Nema Ty13 Without HD 412994
auctionrus
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0150-04394 Cable, Shelf #1 413498
auctionrus
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0150-09722 Cable, Assembly 25' Digital #1 Gas Panel Interconnect 413788
auctionrus
[view on eBay]
Used 2
in stock
$350.00
Description: AMAT 0150-00921 Cable Assembly, Chamber 1 MF Interlock 413811
auctionrus
[view on eBay]
NEW 1
in stock
$115.00
Description: AMAT 0190-05889 HTR GL CHB 0050-51971 Watlow Part 1 3 Ticla Producer 413742
auctionrus
[view on eBay]
NEW 2
in stock
$295.00
Description: AMAT 0150-02786 Cable Assy, Heat Exchanger 1, EMC Comp, Harness, 412827
auctionrus
[view on eBay]
NEW 1
in stock
$25.00
Description: AMAT 0150-11700 Cable Assembly, Jumper, MF Pnau Block #1 414048
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-01404 Harness Etch - 300MM Gen 1, 2 DPS 410730
auctionrus
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0140-01404 Harness Etch - 300MM Gen 1, 2 DPS, 414111
auctionrus
[view on eBay]
Used 2
in stock
$300.00
Description: AMAT 0140-01404 Harness Etch - 300MM Gen 1, 2 DPS, 414109
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-38934, Harness, Cable Assembly, Dome, Umbilical #1,300MM, DSP. 410739
auctionrus
[view on eBay]
NEW 3
in stock
$150.00
Description: AMAT 0150-04975 Cable Assembly, 1 Pressure Transducer Display, Harness, 414159
auctionrus
[view on eBay]
Used 1
in stock
$65.00
Description: AMAT 0140-77650, Cable, Harness, Tubing Bundle 1. 414316
auctionrus
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0140-20286, Cable, Harness Assembly, Chamber 1 and 4 AC. 414411
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 1270-00380, 400CR, H1417-0, SW Assembly, ST 1, 2 Mapping Interlock. 414419
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 1270-00380, 400CR, H1417-0, SW Assembly, ST 1, 2 Mapping Interlock. 414418
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 1270-00380, 400CR, H1417-0, SW Assembly, ST 1, 2 Mapping Interlock. 414420
auctionrus
[view on eBay]
NEW 2
in stock
$40.00
Description: AMAT 0150-20089 Cable Harness Assy, 1 KW Supply, 414585
auctionrus
[view on eBay]
Used 2
in stock
$30.00
Description: AMAT 0150-05140 Cable, Ethernet 12" Hub 1 Port 1 To Hub 414677
ecomicron
[view on eBay]
NEW 1
in stock
$200.00
Description: 0050-28508, AMAT, WLDMNT, SLD TOP/BTM SPLIT, SPOOL, POS 1,
lwltech
[view on eBay]
Used 1
in stock
$125.00
Description: MKS 621C01TBFHB SIGNAL CONDITIONER, AMAT 1350-01051, 1 TORR
ecomicron
[view on eBay]
Used 1
in stock
$2,500.00
Description: 0150-97563, AMAT, CABLE ASSY, CHAMBER HEATER 1, SACVD, PRO
ecomicron
[view on eBay]
Used 1
in stock
$700.00
Description: 0150-37288, AMAT, CABLE HEATER, GPLIS CH B, SEG 1,CENTURA
partskorea1
[view on eBay]
Used 3
in stock
$599.00
Description: LAM 810-802901-300 Rev. B MB Node 1 PM Common PCB
ecomicron
[view on eBay]
NEW 1
in stock
$500.00
Description: 0150-35622, AMAT, CBL, ASSY DOME UMBILICAL #1
ecomicron
[view on eBay]
Used 1
in stock
$5,800.00
Description: 0150-35567, AMAT, C/A MAIN FRAME UMBILICAL #1, 55 FT
ecomicron
[view on eBay]
Used 1
in stock
$800.00
Description: 0140-76820, AMAT, H/A CD ADAPTOR, PHASE 1 TO PHASE 2, WATER
j316gallery
[view on eBay]
NEW 3
in stock
$1,143.72
Description: 5813 APPLIED MATERIALS HONEYCOMB COLLIMATOR, 1/2" HEX: 1,8 INCH (NEW) 0020-23811
farmoninc
[view on eBay]
Used 1
in stock
$375.00
Description: AMAT 0150-22631, Cable Assembly, Robot Cont Inton 1 WL ECP. 415755
farmoninc
[view on eBay]
Used 1
in stock
$375.00
Description: AMAT 0150-22631, Cable Assembly, Robot Cont Intcon 1 WL ECP. 415754
artsemi
[view on eBay]
NEW 10
in stock
$1,450.00
Description: 15-110411-00 Window, Sapphire, for Novellus Concept 1 and Concept 2 Sequel Altus
artsemi
[view on eBay]
NEW 10
in stock
$995.00
Description: 15-032014-00 Window, Quartz, Etch Resistant, Novellus Concept 1 and Concept 2
farmoninc
[view on eBay]
Used 1
in stock
$225.00
Description: LAM 810-802901-317 Node 1, PM, Common Fan Detect PCB, FAB 710-802901-317, 416500
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD. 417379
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD. 417377
farmoninc
[view on eBay]
NEW 4
in stock
$14,000.00
Description: AMAT 0190-16013 Exhaust Switching Unit TEC-05 Kit 1 & 2, ESC-C2-5-X7, 415168
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0050-49905 Rev.002, WELDMENT, N2 INLET L.O.T.O., Chamber 1. 417599
farmoninc
[view on eBay]
NEW 1
in stock
$985.00
Description: AMAT 0190-35801 Briskheat APM275003 FG Heating Jacket, 1 3/4"ID, 417870
farmoninc
[view on eBay]
NEW 2
in stock
$125.00
Description: AMAT 0190-05887 Heater GL CHB 0050-51971 Part 1 TICL4 Producer, 417991
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0190-05887 Heater GL CHB 0050-51971 Part 1 TICL4 Producer, Watlow, 418010
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 3020-01189, On-Trak 31-0008-027, Bimba, CYL, AIR, 1 -1/ 16BOREx2. 418083
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0190-15735 Rev.P1, 9281-03 Rev.A, Harness Assembly DNET Gas Panel 1. 418267
farmoninc
[view on eBay]
Used 2
in stock
$675.00
Description: AMAT 0020-75402 Rev.A, KLC 1702, Reducer, 2" FNPT x 1 1/4" MNPT FTG. 418307
farmoninc
[view on eBay]
NEW 1
in stock
$850.00
Description: AMAT 0190-05836, WATLOW, HTR GL, CHC 0050-52510 Part 1 TICL4 PRODU. 418375
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0140-78284 Rev.P1, DCA 4304, Cable Cleaner EMO 1 200mm. 418379
farmoninc
[view on eBay]
NEW 1
in stock
$575.00
Description: 3 AMAT 0150-09616 SPAN IPS 122 Type 1, 8-30VDC, 12W Cable Pressure Switch 418436
j316gallery
[view on eBay]
Used 5
in stock
$375.36
Description: 5042 APPLIED MATERIALS PRODUCER S LOADLOCK HOOP TRAY SIDE 1 0021-24318
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-37349 Plate, MNTG, SCR Fuse Black Return, Mod 1 CH, 419375
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0040-37349 Plate, MNTG, SCR Fuse Black Return, Mod 1 CH, 419374
farmoninc
[view on eBay]
Used 1
in stock
$4,900.00
Description: AMAT 0150-35565 Cable Assembly Gas Panel #1 Umbilical, 55FT, 419584
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0150-35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura. 419575
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0150-35305, Cable Assembly Gas Panel, #1 Umbilical Long, Centura. 419574
farmoninc
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT 0021-32122 Rev.003, PIN Shield #1, PPR, IECP. 419673
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 318-0201// AMAT APPLIED 0200-09574 HOOP,REV 1 CERAMIC,200MM USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 319-0103// AMAT APPLIED 0190-00544 (#1) AMAT COMPONENT USED
spsglobal
[view on eBay]
Used 61
in stock
$60.00
Description: 323-0102// AMAT APPLIED 3090-01119 BOLT 12PT HD 1/4-28 X 1 SST SIL PLT NEW
spsglobal
[view on eBay]
Used 201
in stock
$5.00
Description: 351-0401// AMAT APPLIED 0680-01158 CB KEEPER NUT FOR F-FRAME BREAKERS 1 NEW
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$5.50
Description: Screw (AMAT) 3790-01218 STDF M/F 1/4 HEX X 1 GAL 8-32 X 3/8
grandbirdnet
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0040-83284 ZONE 1 CLAMP, USED
spsglobal
[view on eBay]
Used 1
in stock
$850.00
Description: 130-0402 AMAT APPLIED 0100-09112 (#1) THERMOELECTRIC DRIVER USED
srctech
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT Applied Materials Dual Helium Gas Line #1 HP-CVD Ultima 0050-18943
spsglobal
[view on eBay]
Used 1
in stock
$15,000.00
Description: 106-0101// AMAT APPLIED 0010-25154 (#1) (WITH COVER) HEATER USED
spsglobal
[view on eBay]
Used 1
in stock
$6,000.00
Description: 108-0501// AMAT APPLIED 0010-20223 (#1) wMAGNET REM 11.3"TIN ASY USED
spsglobal
[view on eBay]
Used 1
in stock
$1,300.00
Description: 131-0501// AMAT APPLIED 0240-33168 (#1) MXP CHAMBER, VC USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 131-0201 AMAT APPLIED 0020-24534 (#1) 0020-26340 0020-25311 13 FLT SRC-W USED
spsglobal
[view on eBay]
Used 4
in stock
$350.00
Description: 129-0501// AMAT APPLIED 0010-09103 (#1) (NO BUTTON) STAND ALONE MONITOR USED
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 136-0601// AMAT APPLIED 0010-76952 (#1) ASSY, IHC CHAMBER A, B, AND C, NEW
spsglobal
[view on eBay]
Used 4
in stock
$2,500.00
Description: 136-0601// AMAT APPLIED 0010-00744 (#1) 20SCCM 122A-11063 USED
spsglobal
[view on eBay]
Used 3
in stock
$250.00
Description: 130-0401// AMAT APPLIED 0100-09099 (#1) (WITH COVER) 0020-09359 INTERCONN USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 130-0203// AMAT APPLIED 0100-09081 (#1) (WITH COVER) 0020-10235 N2-DRYVAC USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 124-0204// AMAT APPLIED 0020-10187 (#1) CLAMP RING Q WINDOW 200M USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 129-0201// AMAT APPLIED 0100-09029 (#1) 0020-09425 CCB ASSY, TURBO INTERCON USED
alvin1462
[view on eBay]
Used 1
in stock
$1,388.00
Description: NOVELLUS CHAMBER RING , Dark Space, 300mm Arcs Type 1 , 15-136486-02
j316gallery
[view on eBay]
NEW 2
in stock
$259.29
Description: 10054 APPLIED MATERIALS HEATER JKT,ZONE 3, ITEM 1, 200MM PROD (NEW) 1410-00297
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 003-0102// AMAT APPLIED 3620-01146 (#1) wPUMP CRYO COMPRESSOR 8510 FOR [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 342-0303// AMAT APPLIED 0040-61076 BRACKET #1 RFPP GFNERATOR DPS NEW
spsglobal
[view on eBay]
Used 1
in stock
$630.00
Description: 342-0303// AMAT APPLIED 0020-24349 BRKT CLAMP COH FLT-TRGT CH 1 & NEW
bornalliancecom
[view on eBay]
Used 1
in stock
$2,395.00
Description: Applied Materials 0040-20319 Front Door Cover AMAT PVD (1 lot of 2)
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 104-0401// AMAT APPLIED 1410-00589 HEATER JACKET, UPPER, ZONE 1, ITEM 1, SA NEW
j316gallery
[view on eBay]
NEW 1
in stock
$445.75
Description: 10246 APPLIED MATERIALS FLTR HSG BRS HD SST SUMP 1 CRTG 3/4 IN (NEW) 4020-01013
grandbirdnet
[view on eBay]
Used 1
in stock
$160.00
Description: AMAT 0140-01946 CABLE BRUSH POS MOTORS POWER SCRUBBER 1, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$190.00
Description: AMAT 0140-02526 CABLE, SENSOR-CONTROL, BLKHD 1, SCRUBBER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$120.00
Description: AMAT 0140-78149 CABLE, SYS SENSOR-CONTR, BLKHD 1, SYSTEM, USED
usedeqsales
[view on eBay]
Used 2
in stock
$354.18
Description: AMAT Applied Materials 0021-78097 Manifold 1 Valve UPA Assembly Copper Used
catalystparts
[view on eBay]
Used 1
in stock
$60.00
Description: AMAT Applied Materials 0150-20576 EMO 1 Cable
catalystparts
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT Applied Materials 0242-25370 RP Toxic Chamber Exhaust Install Kit Part 1
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: Novellus 02-123968-00 WTS-HV 1 SIOC, 407828
j316gallery
[view on eBay]
Used 37
in stock
$707.67
Description: 2622 APPLIED MATERIALS CLAMP RING, 8" SNNF, SST, E/E 3.302MM, 1 0020-01416
j316gallery
[view on eBay]
NEW 2
in stock
$1,237.36
Description: 10892 APPLIED MATERIALS LID SIDE 1, UPPER SSWLL (NEW) 0041-04312
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 342-0402// AMAT APPLIED 0020-26851 (#1) BLOCK,WATER INPUT/OUTPUT USED
farmoninc
[view on eBay]
Used 1
in stock
$40.00
Description: AMAT 0150-20089 Cable Harness Assy, 1 KW Supply, 424765
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: Aera FC-7800CD Mass Flow Controller MFC, SiH4 1 SLM Novellus 22-117947-00 421472
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: Aera FC-7800CD Mass Flow Controller MFC AR, 1 SLM, Novellus 22-109672-00, 421451
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: Aera FC-D980C Mass Flow Controller MFC SiH4 1 SLM, Novellus 22-136138-00, 421418
spsglobal
[view on eBay]
Used 2
in stock
$260.00
Description: 145-0601// AMAT APPLIED 0150-20014 CABLE ASSY, CHAMBER 1 INTERCON USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 145-0101// AMAT APPLIED 0150-16077 (BROKEN) CA GAS PANEL #1 UNBILICAL,50 FT ASIS
dnd_surplus
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
auctionrus
[view on eBay]
Used 2
in stock
$45.00
Description: AMAT 0150-20182 Cable Assembly, Chassis GND, MDX, 1 FT, 450412
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 148-0201// AMAT APPLIED 0150-35210 (#1) (BROKEN) ASSY CHAMBR A-B- C-D ASIS
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 141-0101// AMAT APPLIED 0150-20619 (#1) (CUT CABLE) ASSY SYS EXP CH5/CHE ASIS
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 149-0501// AMAT APPLIED 0150-66539 (#1) (CUT CABLE) ASIS
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 142-0301// AMAT APPLIED 0010-03320 WATER RETURN HOSE CH 1,4,C & D NEW
comwaysind
[view on eBay]
Used 8
in stock
$456.55
Description: BriskHeat / Applied Materials 0190-42980 Rev. 1 UAPM23065TSN-060V REV. A 149W He
j316gallery
[view on eBay]
Used 1
in stock
$403.60
Description: 12333 LAM RESEARCH PCB, NODE 1, PM, COMMON FAN DETECT 810-802901-317
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 342-0103// AMAT APPLIED 0021-39932 POST, UPPER #1 VESPEL, EXT PED NEW
vizko2017
[view on eBay]
Used 1
in stock
$200.00
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-360-002
spsglobal
[view on eBay]
Used 1
in stock
$1,800.00
Description: 124-0203// AMAT APPLIED 0020-27690 CLAMP RING 8" SNNF SHUT COMP 1 NEW
dieseltdiguy
[view on eBay]
NEW 1
in stock
$11.33
Description: Chamber Panel MTG 10-32 x 1 PHH 3690-02032
grandbirdnet
[view on eBay]
Used 7
in stock
$2,000.00
Description: AMAT 0200-35336 RING,FOCUS,ESC 195MM, FLAT, 1 IN, DPS, refurbished
spsglobal
[view on eBay]
Used 5
in stock
$150.00
Description: 156-0203// AMAT APPLIED 1410-01098 (#1) HTR JKT FORELINE TMP- 1000C90D USED
spsglobal
[view on eBay]
Used 4
in stock
$850.00
Description: 156-0202// AMAT APPLIED 0195-00216 GAS LINE, STL HEATER, FORELN DIVERT 1, P NEW
spsglobal
[view on eBay]
Used 1
in stock
$720.00
Description: 156-0401// AMAT APPLIED 0190-35188 ASSY, HTR UPPER EXHAUST, 1 WPW NEW
spsglobal
[view on eBay]
Used 1
in stock
$780.00
Description: 156-0503// AMAT APPLIED 0190-35186 ASSY, HTR,SPOOL EXHAUST 1 WPI, NEW
dieseltdiguy
[view on eBay]
NEW 1
in stock
$20.00
Description: Applied Materials 4300-02311 ELBOW 1/8T X 10 - 32UNF 1 TOUCH DISCON x1
spsglobal
[view on eBay]
Used 12
in stock
$30.00
Description: 143-0603// AMAT APPLIED 0150-20182 CABLE ASSY, CHASSIS GND, MDX, 1 FT NEW
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 344-0302// AMAT APPLIED 0020-31517 ENCODER,HOME SENSOR,REV 1 CERA NEW
usedeqsales
[view on eBay]
Used 3
in stock
$253.19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev. 1 Used Working
midwestsemi
[view on eBay]
Used 1
in stock
$23.00
Description: 9X NEW AMAT 3300-04144 STRAIGHT UNION TUBE OD 1/8” QUICK PUSH TO CONNECT 1 TOUCH
partskorea1
[view on eBay]
Used 1
in stock
$29,999.00
Description: LAM 571-065780-702 ALL IN 1 GAS BOX
maxisemi1349
[view on eBay]
Used 1
in stock
$800.00
Description: 0200-09557 RING, FOCUSING, QZ, 15MM POLY, EXTCATH 1
spsglobal
[view on eBay]
Used 1
in stock
$180.00
Description: 323-0502// AMAT APPLIED 0190-76024 (#1) SW ASSY, EMO TURN TO RELEASE, NEW
surplusssam
[view on eBay]
NEW 1
in stock
$89.99
Description: AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT NEW
spsglobal
[view on eBay]
Used 197
in stock
$10.00
Description: 344-0501// AMAT APPLIED 3780-01091 WSHR WAVE SPRG 3WAVE .48OD X .35ID X .1 NEW
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 129-0102 AMAT APPLIED 0100-35175 (#1) PCB, ASSY RTP CHAMBER INTERFACE USED
j316gallery
[view on eBay]
NEW 1
in stock
$585.27
Description: 13474 APPLIED MATERIALS CABLE, EMC COMP, ANALOG #1 GAS (NEW) 0150-76225
j316gallery
[view on eBay]
NEW 1
in stock
$515.78
Description: 13475 APPLIED MATERIALS CABLE DIGITAL #1 GAS PANEL, CABLE (NEW) 0150-76222
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0040-47723 Manifold, Output, 1 Hole, Right, 200MM, 451387
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0040-47724 Manifold, Output, 1 Hole, Left, 200MM, 451388
j316gallery
[view on eBay]
NEW 1
in stock
$1,861.00
Description: 13632 APPLIED MATERIALS CABLE ASSY CHAMBER 1 INTCNT 50FT (NEW) 0150-21234
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 345-0101// AMAT APPLIED 0020-23631 VENT LINES BRACKET 1 OF 3 NEW
j316gallery
[view on eBay]
NEW 1
in stock
$313.87
Description: 13749 APPLIED MATERIALS CABLE ASSY HTR AC POS 1 2 4 WIDE BODY NEW 0150-70132
grandbirdnet
[view on eBay]
Used 4
in stock
$3,000.00
Description: NOVELLUS 02-262491-00 SIOC Speed 1 Field Connector Module, USED
grandbirdnet
[view on eBay]
Used 5
in stock
$3,000.00
Description: NOVELLUS 02-262490-00 SIOC Speed 1 Field Connector Module, USED
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 318-0303 AMAT APPLIED 0240-03931 (#1) KIT, WATER RESISTIVITY METER 2 USED
codex0
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT 0150-09727 Cable Assembly, 25' Analog #1 Gas Panel Interconnect, 409496
novusferro
[view on eBay]
NEW 7
in stock
$99.00
Description: Applied Materials AMAT 0022-17399 Plate, Suppt, Heater, Side 1, SST, PCLL-BE
fa-parts
[view on eBay]
Used 17
in stock
$300.00
Description: AMAT AKT CVD 5500 CHAMBER CONTROL BOARD 0100-71059 REV:E4 OR E3 OR 1 FREE SHIP
j316gallery
[view on eBay]
Used 4
in stock
$219.13
Description: 14856 APPLIED MATERIALS CYL AIR 1 1/8BOREZ1-1/4 STRK 3020-01093
roundtable1
[view on eBay]
Used 1
in stock
$199.99
Description: AMAT 0190-00177 CDO RECIRCULATION FLOWMETER King Instruments Model 733136306 1 W
roundtable1
[view on eBay]
NEW 1
in stock
$599.99
Description: AMAT 0050-18785 WLDMT 1 FINAL VALVE LEFT FUJIKIN ULTIMA HDP-DVD
j316gallery
[view on eBay]
Used 1
in stock
$2,133.90
Description: 7527 APPLIED MATERIALS SHELL ASSY 200MM MXP+EP ESC ASSY NOTCH, 1 MIL 0021-82260
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 141-0502// AMAT APPLIED 0150-20184 (#1) CABLE ASSY, SOURCE SUPPLY GROU USED
roundtable1
[view on eBay]
Used 1
in stock
$199.00
Description: AMAT 0140-02870 HARN ASSY,FINAL VALVES 1 THRU 4 STATUS
ntsurplus302
[view on eBay]
Used 1
in stock
$280.00
Description: 2825 2 Applied Materials 0020-62770 & 0020-62771 Plasma Screens 1 Shadow Lift
expertsurplus
[view on eBay]
NEW 1
in stock
$25.00
Description: AMAT 3690-01862 SCR CAP SKT HD 8-32 x 1, Lot of 6
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 142-0602// AMAT APPLIED 0140-20007 HARNESS ASSY STEPPER INT. 1 SYSTEM NEW
christyhtx
[view on eBay]
NEW 1
in stock
$8.00
Description: NOVELLUS 8-32 X 1 3/8 AL p/n; 21-176578-00 (10pk)
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$899.25
Description: 0200-01051 / LINER SIDE QUARTZ ASP-200MM PHASE 1 ASP / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 2
in stock
$1,395.00
Description: 0090-00139 / ELEC ASSY WB ENDURA 1,2,4 & D LTESC / AMAT
grandbirdnet
[view on eBay]
Used 2
in stock
$6,800.00
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM, USED
j316gallery
[view on eBay]
NEW 1
in stock
$672.13
Description: 16633 APPLIED MATERIALS GAS LINE CH 3 WIDE BODY (HTR) MFC 1 V NEW 0050-20942
j316gallery
[view on eBay]
NEW 1
in stock
$156.36
Description: 16230 APPLIED MATERIALS METER FLOW AIR 5-50SCFH 2SCALEX4.56H 1 (NEW) 1040-01022
j316gallery
[view on eBay]
Used 1
in stock
$2,688.53
Description: 15586 LAM RESEARCH RING CLAMP,UPPER ELECTRODE 716-28552-001 1
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$92.21
Description: 0660-01508 / CARD, APC ANALOG OUTPUT 1 CHANNEL / AMAT
dr.dantom
[view on eBay]
Used 1
in stock
$300.00
Description: Applied Materials 0242-19050 KIT CB HEAT EXCHANGER #1 MAIN AC 380-480V SYSTEM
j316gallery
[view on eBay]
Used 2
in stock
$423.81
Description: 16764 APPLIED MATERIALS CABLE,DIGITAL #1 GAS PANEL INTERCONNECT, 3M 0150-09599
spsglobal
[view on eBay]
Used 3
in stock
$2,000.00
Description: 345-0401// ENI DOFBC2-075 (#1) ENI 000-1103-088 660-002296-004 RF MATCH ASIS
j316gallery
[view on eBay]
Used 16
in stock
$506.24
Description: 15756 LAM RESEARCH PCB MB, NODE 1, PM, COMMON 810-802901-002
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$350.50
Description: 0020-07062 / CLAMP,GAS LINE,MOD 1 BOTTOM PURGE GAS LI / AMAT
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 332-0401// AMAT APPLIED 0010-30528 (#1) ASSEMBLY, UPPER FACILITIES PANEL, NEW
dr.dantom
[view on eBay]
Used 3
in stock
$69.99
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
bestoneshop-korea
[view on eBay]
Used 1
in stock
$279.99
Description: AMAT 0190-02748 Flex Scanner Transition Module Board #1
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 335-0301// AMAT APPLIED 0190-27579 3155132-004 (#1) [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 010-0101// AMAT APPLIED 0010-37255 (#1) APPLIED MATRIALS COMPONENTS [ASIS]
j316gallery
[view on eBay]
Used 10
in stock
$759.35
Description: 18195 APPLIED MATERIALS CLAMP RING 8" SNNF SST E/E 3.302MM 1 PARTS 0020-01416
grandbirdnet
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0040-77157 RACK 1 CASSETTE TRAY CMP, USED
j316gallery
[view on eBay]
Used 1
in stock
$160.61
Description: 18596 LAM RESEARCH CABLE ASSY, 40CM, PCA-J04, MFC 1 853-256895-001
comwaysind
[view on eBay]
Used 1
in stock
$18,464.60
Description: 0090-06065-R01 Controller TRC CPCI Producer SE Block 1 Rev. 01 AMAT 0090-06065
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$927.92
Description: 15-110411-00 / WINDOW, SAPPHIRE, NOVELLUS CONCEPT 1 & 2 / NOVELLUS
expertsurplus
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT, 0050-38120, Weldment, 90 Deg Elbow Foreline EXT #1, New
senior-inc
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0090-00139 (0140-00476) ELEC ASSY WB ENDURA 1,2,4 & D LTESC
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-43517, Line O2 Purge #1, 200MM Radiance, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0020-13151, Top Mount Upper Vent Support 1 of 2, New, Lot of 2
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-39473, Line, Vent #1 WXP Chamber POS A, B C, D, New
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 350-0302// AMAT APPLIED 0900-01015 (#1) FLTR RFI POWER LINE 6 AMP SERIES S [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 141-0401// AMAT APPLIED 0150-09182 (#1) 0020-10345 CABLE ASSY, R5232 [USED]
spsglobal
[view on eBay]
Used 6
in stock
$300.00
Description: 340-0402// AMAT APPLIED 0140-04361 (#1) HARNESS ASSY, CHAMBER TOP COVER [NEW]
expertsurplus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT, 0050-70091, Gas Line #1 CH C PCII, New
noam-tech
[view on eBay]
Used 1
in stock
$520.00
Description: 0040-96312 Arm Bracket 1 Set
farmoninc
[view on eBay]
NEW 1
in stock
$975.00
Description: AMAT 0010-36397 1 Set, Hose Assy, DPS, STD Cathode, Supply/Return, 321573
visionsemi
[view on eBay]
Used 2
in stock
$1,500.00
Description: LAM RESEARCH NOVELLUS VECTOR EXTREME EIOC 1 785-179350-002 REV B
spsglobal
[view on eBay]
Used 2
in stock
$240.00
Description: 355-0501// AMAT APPLIED 0020-22187 (#1) 0020-13953 PLATE MOUNT ADAPTER [ASIS]
spsglobal
[view on eBay]
Used 2
in stock
$1,800.00
Description: 129-0102// AMAT APPLIED 0100-40044 (#1) wPCBA,REMOTE CHAMBER INTERFACE [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 112-0203// AMAT APPLIED 0010-09341 (#1) WAFER LIFT ASSY [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 176-0403// AMAT APPLIED 0050-20072 GAS LINE CHAMBER 3 (HEATER) MFC 1 VCR [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 176-0404// AMAT APPLIED 0050-21777 GASLINE H2O COOLED CH C VENT (W/CH 1) [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 177-0501// AMAT APPLIED 0050-21703 GASLINE CH 1 LOWER VENT (W/CH C) [NEW]
capitolareatech
[view on eBay]
NEW 1
in stock
$87.95
Description: Applied Materials (AMAT) 1410-01444 Heater Jacket, 30 Mil B Layer Upper Zone 1 C
capitolareatech
[view on eBay]
NEW 1
in stock
$99.95
Description: Applied Materials (AMAT) 1270-01566 SW THERMO SPST OPEN 96.1 C CLOSE 87.8C 1
capitolareatech
[view on eBay]
NEW 1
in stock
$45.95
Description: Applied Materials (AMAT) 3870-03250 Needle Valve, 1/4FNPT X 1, 2000PSI, 138 Bar
capitolareatech
[view on eBay]
NEW 3
in stock
$2,995.95
Description: Applied Materials (AMAT) 0010-10200 ASSY, PEDESTAL ESC 200MM 1 FLT WEB
capitolareatech
[view on eBay]
Used 1
in stock
$895.95
Description: Applied Materials (AMAT) 0020-30279 RING CLAMPING 1 FLAT QUARTZ WINDOW PRSP
capitolareatech
[view on eBay]
NEW 177
in stock
$270.95
Description: Applied Materials (AMAT) 0040-01190 Hub Ring 1, Upper Fixed Ring VHP+ DIRECT
capitolareatech
[view on eBay]
NEW 1
in stock
$1,001.95
Description: AMAT 0140-05719 Harness Assembly, Mainframe 1 Pneumatics, IE
capitolareatech
[view on eBay]
NEW 1
in stock
$159.95
Description: Applied Materials (AMAT) 0140-03769 HARNESS ASSY, AC PWR INPUT, DCPS UNIT #1
capitolareatech
[view on eBay]
NEW 5
in stock
$699.95
Description: AMAT 0200-10017 SGD,OUTER, 1' 25"
capitolareatech
[view on eBay]
NEW 1
in stock
$1,294.95
Description: Applied Materials (AMAT) 0200-09910 Ring, Focus, 8", 230MM ID X 1 .38 High, Notc
capitolareatech
[view on eBay]
NEW 3
in stock
$41.95
Description: Applied Materials (AMAT) 0200-09575 Lift Pin, REV 1 Ceramic Hoop, 200 MM
capitolareatech
[view on eBay]
Used 4
in stock
$2,187.95
Description: Applied Materials (AMAT) 0200-09574 HOOP,REV 1 CERAMIC,200MM
capitolareatech
[view on eBay]
NEW 5
in stock
$218.95
Description: AMAT 0200-02929 Insert Ring, Columnar SI, #1, Step .06, 3
capitolareatech
[view on eBay]
Used 1
in stock
$80.95
Description: Applied Materials (AMAT) 3310-01123 GAUGE PRESS 30HG/30PSI 1 %AC 2"D 1/4MNPT
capitolareatech
[view on eBay]
NEW 1
in stock
$52.95
Description: NOVELLUS 15-112447-02 LOCATOR,PIN,STD,TYPE 1
capitolareatech
[view on eBay]
NEW 3
in stock
$128.95
Description: LAM 515-032639-001 REV 1 TOOL, CYLINDER HEIGHT ADJUST
capitolareatech
[view on eBay]
Used 1
in stock
$25.95
Description: Lam Research (LAM) 713-013486-001 Plate, 2 3/4" X 1 1/8"
capitolareatech
[view on eBay]
Used 1
in stock
$39.95
Description: LAM RESEARCH (LAM) 715-011522-022 BRACKET REV 1
j316gallery
[view on eBay]
NEW 1
in stock
$130.36
Description: 20247 APPLIED MATERIALS CABLE ASSY, CHASSIS GND, MDX, 1 FT (NEW) 0150-20182
capitolareatech
[view on eBay]
Used 1
in stock
$594.95
Description: LAM RESEARCH (LAM) 810-802901-300 PCB, MB, NODE 1, PM, COMMON
capitolareatech
[view on eBay]
NEW 1
in stock
$42.95
Description: Novellus 15-315136-00 PIN MCA SAPPPIRE 316DIAX 1316 LG 1 FLAT E
capitolareatech
[view on eBay]
NEW 4
in stock
$229.95
Description: Lam Research LAM 853-017930-003 ASSY HARNESS SOLENOID 1
capitolareatech
[view on eBay]
NEW 17
in stock
$16.95
Description: Novellus 15-391861-24 PIN MCA SAPH 316DIA 08131IN 1 FLAT END
capitolareatech
[view on eBay]
NEW 2
in stock
$13.95
Description: Novellus 15-391861-29 PIN MCA SAPH 316DIA 08141IN 1 FLAT END
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 323-0402// AMAT APPLIED 0040-00457 (#1) CABLE FIBRE OPTIC 6 FOOT [NEW]
capitolareatech
[view on eBay]
NEW 2
in stock
$50.95
Description: LAM RESEARCH (LAM) 15-391861-28 PIN, MCA, SAPH, 3/16 DIA, 0.8139IN, 1 FLA
capitolareatech
[view on eBay]
NEW 2
in stock
$50.95
Description: NOVELLUS 15-391861-32 PIN, MCA. SAPH, 3/16DIA, 0.8147in, 1 FLAT END 
capitolareatech
[view on eBay]
NEW 4
in stock
$50.95
Description: NOVELLUS 15-391861-12 PIN, MCA, SAPH, 3/16 DIA, 0.8107IN, 1 FLAT END
capitolareatech
[view on eBay]
NEW 3
in stock
$50.95
Description: NOVELLUS 15-391861-27 PIN, MCA. SAPH, 3/16 DIAMETER, 0.8137IN, 1 FLAT
capitolareatech
[view on eBay]
NEW 3
in stock
$50.95
Description: NOVELLUS 15-391861-30 PIN, MCA, SAPH, 3/16 DIA, 0.8143in, 1 FLAT END
capitolareatech
[view on eBay]
Used 1
in stock
$24.95
Description: Applied Materials (AMAT) 3300-91306 FITTING, ELBOW FEM. 1 OD X 3/4 NP2
spsglobal
[view on eBay]
Used 1
in stock
$310.00
Description: 342-0303// AMAT APPLIED 0020-24349 BRKT CLAMP COH FLT-TRGT CH 1 & [USED]
storefarm
[view on eBay]
Used 2
in stock
$1,498.00
Description: [Used] NOVELLUS / 02-266870-00 / WTS-HV SIOC 1, Rev.A, 1pcs
capitolareatech
[view on eBay]
Used 1
in stock
$674.95
Description: Applied Materials (AMAT) 0150-20025 Cable, Assy. Remote #1
spsglobal
[view on eBay]
Used 10
in stock
$30.00
Description: 350-0201// AMAT APPLIED 0020-20617 (#1) BRACKET HANDLE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 176-0103// AMAT APPLIED 0050-38795 WELDMENT,1 VALVE MANIFOLD,NUPRO,10RA [ASIS]
powersell007
[view on eBay]
Used 1
in stock
$1,299.00
Description: APPLIED MATERIALS 0150-22402 CABLE ASSY, ADAPTOR, SQ RF MATCH PH 1 AC B AMAT
j316gallery
[view on eBay]
NEW 26
in stock
$133.83
Description: 20884 APPLIED MATERIALS CABLE ASSY, CH-G ECAT XFR 1, PRODUCER (NEW) 0150-33725
j316gallery
[view on eBay]
NEW 23
in stock
$133.83
Description: 20887 APPLIED MATERIALS CABLE ASSY, CH-C ECAT XFR 1, PRODUCER (NEW) 0150-33723
j316gallery
[view on eBay]
NEW 15
in stock
$160.61
Description: 20879 APPLIED MATERIALS CABLE ASSY, CH-A ECAT XFR 1, PRODUCER (NEW) 0150-33722
capitolareatech
[view on eBay]
Used 7
in stock
$161.95
Description: Applied Materials 0140-75153 Harness, Assy. PLC LLA Interconnect Phase 1
capitolareatech
[view on eBay]
NEW 1
in stock
$15.95
Description: Applied Materials 3400-90044 1 Hose PVC REINF 8id x 135 od 3.5 ft long
capitolareatech
[view on eBay]
NEW 1
in stock
$94.95
Description: Applied Materials 0140-35364 Harness, Assy. Power Fluorescent Lite #1
capitolareatech
[view on eBay]
Used 1
in stock
$17.95
Description: Applied Materials 3860-01050 TUBING PLASTIC 1/8 OD .032 WALL 1 PIECE 30' LONG
grandbirdnet
[view on eBay]
Used 5
in stock
$50.00
Description: AMAT 0100-00063 LIMIT DETECTOR PWB 1 0110-00021, USED
capitolareatech
[view on eBay]
Used 2
in stock
$499.95
Description: Applied Materials (AMAT) 0050-24773 GAS LINE, INLET, HE, POS 1
j316gallery
[view on eBay]
NEW 17
in stock
$120.44
Description: 20977 APPLIED MATERIALS CABLE ASSY CH-A MOTION ECAT XFR 1 PROD (NEW) 0150-33786
j316gallery
[view on eBay]
NEW 15
in stock
$120.44
Description: 20978 APPLIED MATERIALS CABLE ASSY, CH-A DAQ XFR 1, PRODUCER (NEW) 0150-33692
j316gallery
[view on eBay]
NEW 15
in stock
$133.83
Description: 20966 APPLIED MATERIALS CABLE ASSY, CH-F DAQ XFR 1, PRODUCER (NEW) 0150-33696
j316gallery
[view on eBay]
NEW 24
in stock
$120.44
Description: 20971 APPLIED MATERIALS CABLE ASSY, ECAT IN-EJB XFR 1, PRODUCER (NEW) 0150-33767
j316gallery
[view on eBay]
NEW 18
in stock
$120.44
Description: 20973 APPLIED MATERIALS CABLE ASSY, ECAT IN-XFR 1 OSI, PRODUCER (NEW) 0150-33764
j316gallery
[view on eBay]
NEW 4
in stock
$120.44
Description: 20981 APPLIED MATERIALS CABLE ASSY CH-B MOTION ECAT XFR 1 PROD (NEW) 0150-33788
j316gallery
[view on eBay]
NEW 16
in stock
$227.56
Description: 20901 APPLIED MATERIALS CBL ASSY J59-XFR 1 VAC ROBOT INTLK PROD (NEW) 0150-34018
j316gallery
[view on eBay]
NEW 15
in stock
$200.78
Description: 20923 APPLIED MATERIALS CABLE ASSY, DNET-MFC XFR 1, PRODUCER (NEW) 0150-33774
j316gallery
[view on eBay]
NEW 25
in stock
$160.61
Description: 20902 APPLIED MATERIALS CBL ASSY UPLINK-CH I/OE-SW XFR 1 £-PROD (NEW) 0150-33769
j316gallery
[view on eBay]
NEW 3
in stock
$294.50
Description: 20919 APPLIED MATERIALS CABLE ASSY, DC POWER SUPPLY 208VAC 1 (NEW) 0150-29790
j316gallery
[view on eBay]
NEW 1
in stock
$495.36
Description: 20995 APPLIED MATERIALS CABLE ASSY, BRUSH 1 GAP MOTOR HOME SW (NEW) 0150-10919
bestoneshop-korea
[view on eBay]
Used 1
in stock
$319.99
Description: AKT AMAT 0100-71055 REV.04 CVD COMMUNICATION INTERFACE BOARD #1
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT, WELDMENT 1 VALVE MANF OFFSET NUPRO ULTIMA, 0050-26869, Used
expertsurplus
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT, ADAPTER, SHUTTER CHAMBER @ 1,D MIXED, 0050-24750, New, Lot of 2
j316gallery
[view on eBay]
Used 1
in stock
$278.52
Description: 21362 APPLIED MATERIALS GL, PROCESS, POS 1 NF3, K1S, 220-32229-000 0050-92816
nissiglobal
[view on eBay]
Used 1
in stock
$7.69
Description: 3PCS Applied Materials AMAT SS Screw, 3690-01210 5/16-18 X 1
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 114-0301// NOVELLUS 02-279241-00 SESIOC 1 IXT PVD [USED]
spsglobal
[view on eBay]
Used 3
in stock
$310.00
Description: 322-0102// AMAT APPLIED 0190-35606 SWITCH, FLOW, WATER 1 GPM SET PROTEUS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 350-0301 AMAT APPLIED 0020-10112 (#1) APPLIED MATRIALS COMPONENTS [ASIS]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,373.40
Description: 0150-21115 / CABLE ASSY,REMOTE 1 INTCNT -100FT / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$7,048.04
Description: 0010-05669 / ROLLER ASSEMBLY 1, MEG HVM 300MM / APPLIED MATERIALS AMAT
bestoneshop-korea
[view on eBay]
NEW 3
in stock
$249.99
Description: 1PC LAM 839-123141-030 REV:A SWAGELOK 6L-ELD8-WWX-P MANUAL VALVE #1
bestoneshop-korea
[view on eBay]
Used 2
in stock
$449.99
Description: LAM 853-801876-015 REV:B Controller #1
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$513.39
Description: 0150-22631 / CABLE ASSY, ROBOT CONT INTCON 1 WL ECP / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$410.71
Description: 0150-20014 / CABLE ASSY, CHAMBER 1 INTERCONNECT, 25' / APPLIED MATERIALS AMAT
systasemi
[view on eBay]
NEW 1
in stock
$1,600.00
Description: AMAT, 0660-00222, PCB EMAX CT+DPSII DIO BOARD, CARD DIGITAL, I/O 48POINT 1 SLO
grandbirdnet
[view on eBay]
Used 1
in stock
$28,000.00
Description: AMAT 0010-29128 ASSY, CERAMIC HEATER, HA-12 VER 1, 300MM , NEW
jollyg00d
[view on eBay]
NEW 3
in stock
$18.00
Description: (1) O-Ring LAM Research FEP ENCAP FKM 15.60" ID x 0.706" P/N 734-021063-706
jollyg00d
[view on eBay]
NEW 31
in stock
$11.00
Description: (1) O-Ring LAM Research 2.425" ID x 0.103" P/N 734-092561-143
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: Novellus 61-413271-00 ASM, FE-HD EIOC 0 CMN MACH 1 G6, Contorller, 453656
myriadindustrial
[view on eBay]
NEW 2
in stock
$329.00
Description: 1 each AMAT Applied Materials Wafer Present Switch 0090-77162 REV P2 DCA 0051
jollyg00d
[view on eBay]
NEW 12
in stock
$11.00
Description: (1) O-Ring LAM Research 5.359" ID x 0.139" P/N 734-092561-253
jollyg00d
[view on eBay]
NEW 4
in stock
$11.00
Description: (1) O-Ring LAM Research 3.984" ID x 0.139" P/N 734-092561-242
grandbirdnet
[view on eBay]
NEW 1
in stock
$13,000.00
Description: AMAT 409894R3-RV Item 144-Bag 1 of 2 0242-42922 (300mm RADIANCEPLUS RETROF, NEW
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$360.68
Description: 0050-87467 / GAS LINE FLOW 1 INLET TO OUTER MANIFOLD / APPLIED MATERIALS AMAT
jollyg00d
[view on eBay]
NEW 3
in stock
$6.00
Description: (1) O-Ring LAM Research / AECI 7.734" ID x 0.139" Part Number 734-061280-265
jollyg00d
[view on eBay]
NEW 5
in stock
$9.00
Description: (1) LAM 715-031960-007 Plunger , 1/4 Turn Anodized Cleaned
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 1 ELBOW EXHAUST 300MM 0190-46994 NEW
visionsemi
[view on eBay]
NEW 8
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 1 ELBOW EXHAUST 300MM 0190-46595 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$650.00
Description: APPLIED MATERILAS AMAT HEATER JACKET PRE ISO BEND 1 EXHAUST 300MM 0190-46997 NEW
equipplus
[view on eBay]
Used 1
in stock
$189.00
Description: Lam Research 810-802901-305 Rev C MB,Node 1,PM,Common Pcb Board,Used,Mal^7101
maxisemi1349
[view on eBay]
Used 2
in stock
$1,550.00
Description: 34-278028-01 SENSOR,FLOW,0-5 LPM, SAC, CELL 1
j316gallery
[view on eBay]
Used 1
in stock
$250.05
Description: 24905 APPLIED MATERIALS PCB, EPI 300MM INTLK MOD 1, DIP498, 15049804 0190-14285
sgcequipment
[view on eBay]
Used 2
in stock
$165.00
Description: Applied Materials (AMAT) 0190-48920 REV 1 SCG100 GAUGE 10 TORR 0-10V 13-32VDC
partskorea1
[view on eBay]
Used 1
in stock
$999.00
Description: AMAT 0130-02362 003 Mainframe Interlock 1 Relays
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$875.88
Description: 0200-39140 / RING,FOCUS,1 IN CERAMIC,195MM NTCH NO FLAT / APPLIED MATERIALS AMAT
maxisemi1349
[view on eBay]
Used 5
in stock
$575.00
Description: 0020-17939 SHIM,C-ESC,1 HE ZONE,200MM SNNF/JMF, lot of 5
sdlindustrialclub
[view on eBay]
Used 1
in stock
$699.00
Description: 1 pcs Used Lam Research Power Module PN 853-015686-005
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: 1 Lot of 225 AMAT 0840-01165 Diode Zener 12V 5% DO-35 P K G, 101066
j316gallery
[view on eBay]
Used 2
in stock
$231.70
Description: 7234 APPLIED MATERIALS SIDE SHIELD 1 0040-00556
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 76 AMAT 3550-01024 Dowel Pins, 18-8SS, 3/8 X 1 1/2, 101289
nissiglobal
[view on eBay]
Used 1
in stock
$615.99
Description: NOVELLUS 02-108688-01 REV 1 W/ WTS LPB-DC BREAKOUT BOARD
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0680-90070 MTG Kit, CTT Breaker, 1 PO, 101309
capitolareatech
[view on eBay]
NEW 1
in stock
$1,695.95
Description: Applied Materials (AMAT) 0200-09542 CLAMP RING 150/145 1 FLT
capitolareatech
[view on eBay]
Used 1
in stock
$249.95
Description: Applied Materials (AMAT) 0140-09434 HARNESS ASSY MINICONTROLLER, SLOT 1, H2O
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 1 Lot of 70 AMAT 3550-90029 Pin Spirol 2MM X 14MM, 101647
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 1 Lot of 10 AMAT 3300-05099 FTG TBG EL 1/8T X 1/8MNPT NKL-PLT, 101729
usedeqsales
[view on eBay]
Used 1
in stock
$1,603.60
Description: Digital Dynamics 03-144747-00 WTS SF sioc 1 WTS1 Novellus 02-144985-00 Working
farmoninc
[view on eBay]
NEW 1
in stock
$40.00
Description: 1 Lot of 20 AMAT 3420-90045 Insulator BSHNG Type SF3, 101839
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0010-20155 w/ Assy 8" Heater VCR, CH 1, 0010-20138, 0020-20914, 102101
capitolareatech
[view on eBay]
Used 7
in stock
$931.95
Description: Applied Materials (AMAT) 0050-35989 LINE 1 1/4 MANUAL VALVE
capitolareatech
[view on eBay]
NEW 1
in stock
$2,395.95
Description: Applied Materials (AMAT) 0200-35351 RING,FOCUS,ESC 145MM,SEMI FLAT,1 IN,DPS
capitolareatech
[view on eBay]
Used 1
in stock
$37.95
Description: Applied Materials (AMAT) 0015-20124 SCR 1/4 - 20 X 1 TITANIUM VENTED FLAT HE
myriadindustrial
[view on eBay]
Used 4
in stock
$24.75
Description: Applied Materials AMAT Slit Valve Screw 5/16 - 18 1 1/4 0020-41414
sdlindustrialclub
[view on eBay]
Used 1
in stock
$1,399.00
Description: 1 pcs Used Lam Research motherboard V7668A-132L00W02 605-064676-008 Defective
usedeqsales
[view on eBay]
Used 3
in stock
$3,209.21
Description: Digital Dynamics 02-109475-00 sioc SPEED 1 Control Novellus 02-109471-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$3,209.21
Description: Digital Dynamics 02-109475-00 sioc SPEED 1 Control Novellus 27-053659-00 Working
usedeqsales
[view on eBay]
Used 1
in stock
$909.21
Description: Novellus Systems 15-141120-00 300mm PVD Dummy Pedestal Rev. 1 Working Surplus
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$496.03
Description: 0051-02924 (LOT OF 4) / SST. PIPE TUBE 1 5-8 INCH OD 3-16 INCH THICK TUBE / AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$459.21
Description: Novellus Systems 02-111301-00 Gas Box Distribution PCB Speed 300 Rev. 1 Working
j316gallery
[view on eBay]
NEW 1
in stock
$1,797.61
Description: 16151 APPLIED MATERIALS NOZZLE 4-HOLES 60 DEG, BAFFLE, ULTIMA 1. NEW 0200-01009
j316gallery
[view on eBay]
Used 3
in stock
$322.31
Description: 26373 LAM RESEARCH PCB, NODE 1, PM, COMMON FAN DETECT 810-802901-317
j316gallery
[view on eBay]
Used 3
in stock
$266.01
Description: 26372 LAM RESEARCH PCB, NODE 1, PM, COMMON FAN DETECT (PARTS) 810-802901-317
j316gallery
[view on eBay]
Used 2
in stock
$266.01
Description: 26375 LAM RESEARCH PCB MB, NODE 1 PM COMMON (PARTS) 810-802901-307
capitolareatech
[view on eBay]
Used 1
in stock
$239.95
Description: Applied Materials (AMAT) 0150-70132 CABLE ASSY HEATER AC POS 1 2 4 WIDE BODY
capitolareatech
[view on eBay]
Used 6
in stock
$98.95
Description: Applied Materials (AMAT) 0040-00556 SIDE SHIELD 1
j316gallery
[view on eBay]
Used 1
in stock
$1,847.59
Description: 26452 LAM RESEARCH PCB NODE 1 INTERLOCK CONTROL 810-072687-414
j316gallery
[view on eBay]
Used 1
in stock
$323.46
Description: 26449 LAM RESEARCH PCB, MB NODE 1 PM COMMON (PARTS) 810-802901-004
j316gallery
[view on eBay]
Used 1
in stock
$1,149.00
Description: 26483 APPLIED MATERIALS NOZZLE 4-HOLES 60 DEG, BAFFLE, ULTIMA 1. 0200-01009
capitolareatech
[view on eBay]
Used 4
in stock
$799.95
Description: Applied Materials (AMAT) 0050-25568 GAS LINE, N2 CONTAINMENT VENT NO. 1
vizvik16
[view on eBay]
Used 2
in stock
$560.00
Description: LAM Research 853-111462-019, NODE 1 INTERLOCK CONTROL 810-072687
capitolareatech
[view on eBay]
NEW 1
in stock
$149.95
Description: APPLIED MATERIALS (AMAT) 0140-20286 HARNESS ASSY CHAMBER 1 AND 4 AC
spsglobal
[view on eBay]
Used 1
in stock
$1,300.00
Description: 130-0701// AMAT APPLIED 0010-70058 (#1) STOR ELEV ASSY, 29 POSN USED
katiil3
[view on eBay]
Used 1
in stock
$99.00
Description: Applied Materials /AMAT 1290-01559 Fuse BLK 100A 1/4-20 1 Pole 6x QTY
dgold32
[view on eBay]
Used 1
in stock
$499.99
Description: LAM Research 853-111462-019, NODE 1 INTERLOCK CONTROL 810-072687
allforsale555
[view on eBay]
Used 1
in stock
$199.00
Description: Applied materials AMAT 0150-03024 CABLE ASSY, HEAD 1 UPA (4P), ANALOG I/O,
allforsale555
[view on eBay]
Used 1
in stock
$149.00
Description: Applied Materials AMAT 0150-05471 CABLE ASSY, HEAD 1 UPA, DIGITAL I/O, MIR
spsglobal
[view on eBay]
Used 1
in stock
$4,800.00
Description: 330-0201// AMAT APPLIED 0010-08217 (#1) APPLIED MATRIALS COMPONENTS [ASIS]
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 343-0201// AMAT APPLIED 0020-22996 (#1) ACTIVATOR PIN ,6"ALL [USED]
spsglobal
[view on eBay]
Used 2
in stock
$1,500.00
Description: 317-0301// AMAT APPLIED 0020-70284 (#1) TUBE, ROBOT [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 317-0301// AMAT APPLIED 0020-35780 (#1) TUBE ROBOT 5200 [ASIS]
sgcequipment
[view on eBay]
Used 1
in stock
$6,100.00
Description: Applied Materials (AMAT) 0040-47724 Manifold Output 1 Hole Left 200mm ASSEMBLY
bntyhunter07
[view on eBay]
Used 1
in stock
$638.21
Description: Veriflow diaphragm 2 valve opposing manifold with weldment AMAT 0050-93001 Rev 1
bntyhunter07
[view on eBay]
Used 1
in stock
$468.21
Description: Veriflow diaphragm 1 valve with gas manifold weldment AMAT 0050-09388
bntyhunter07
[view on eBay]
Used 1
in stock
$434.21
Description: FUJIKIN 1 VALVE 3 GAS MANIFOLD WITH WELDMENT AMAT 0050-03454 Rev C
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: ADVANCED ENERGY Mass Flow Controller Aera TC FC-D980C, MFC, 1 SLM, O2, 102853
visionsemi
[view on eBay]
Used 1
in stock
$1,900.00
Description: LAM RESEARCH NOVELLUS VECTOR EXTREME EIOC 1 61-384815-00
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: ADVANCED ENERGY Mass Flow Controller Aera TC FC-D980C, MFC, 1 SLM, SiH4, 102993
j316gallery
[view on eBay]
NEW 1
in stock
$152.33
Description: 26884 APPLIED MATERIALS LEVELING PIN, REV 1 CERAMIC HOOP (NEW) 0020-31515
j316gallery
[view on eBay]
NEW 1
in stock
$226.97
Description: 16664 APPLIED MATERIALS PRESSURE SWITCH CABLE 1 WXZ (NEW) 0150-07482
bntyhunter07
[view on eBay]
Used 1
in stock
$1,234.22
Description: FUJIKIN MANIFOLD 3 + 1 WITH WELDMENT 0050-41467 REV 001 AMAT
j316gallery
[view on eBay]
Used 1
in stock
$3,757.31
Description: 7783 NOVELLUS CONTROLLER HDSIOC 1 VECTOR FIRMWARE VERSION 5.0 02-321647-00
usedeqsales
[view on eBay]
Used 2
in stock
$501.22
Description: AMAT Applied Materials 0021-41125 Gas Distribution Plate 1 Working Surplus
ecotech.ltd
[view on eBay]
Used 1
in stock
$300.00
Description: Lam Research 853-140051-001 Rev 1 Bimba D-52403-AYG Cylender Lifter
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0010-70303 Module MFG Assy 11.3" SRC, STD, 1 , Endura, 0030-20002, 103428
makeanofferonit
[view on eBay]
NEW 5
in stock
$172.72
Description: (1) APPLIED MATERIALS 3300-06002 FLEX COUPLING 4" LL ISO-80
makeanofferonit
[view on eBay]
NEW 15
in stock
$146.82
Description: (1) APPLIED MATERIALS 3300-04527 FLANGE BLANK NO SEAL
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0150-77120 CBL PLATEN 1 MTR 125 FT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0150-77145 CBL ASSY, PAD COND 1 ENCODER UMBIL 125', USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77264 CNTRL BKHD PAD COND 1, USED
bradm215
[view on eBay]
NEW 1
in stock
$296.99
Description: 1 – NEW AMAT 0040-53452 Dual RPS Supporter SEALED PACKAGE. NEW in Package
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 000-0000// AMAT APPLIED 0010-70066W (#1) 0010-70008 wASSY HEAT EXCHANGER [ASIS]
visionsemi
[view on eBay]
Used 1
in stock
$1,000.00
Description: APPLIED MATERIALS AMAT WATER DELIVERY SYSTEM115V 1 PHASE 50/60 HZ 0180-05574
soldtou1
[view on eBay]
Used 1
in stock
$169.99
Description: Lam Research 853-085351-001 1002685-1006 J DISP 1 Cable Assembly Harness AMAT
sfwish
[view on eBay]
NEW 1
in stock
$39.97
Description: NEW Applied Materials 3860-02338 Teflon/PFA Tubing 1/4" OD x 5/32" ID, 1 Meter
b-aras0
[view on eBay]
NEW 2
in stock
$1,349.79
Description: *NEW* 0041-49938 PLATE SMD PLATE COATED CHAMBER LID SIDE 1 FRONTIER PRODUCER GT
katiil3
[view on eBay]
NEW 1
in stock
$399.00
Description: Applied Materials AMAT 3480-01369 MOUNT VIB ABSORB MALE/FEM 1/4-20 1''DIA 1 12x
wecansellthat
[view on eBay]
NEW 5
in stock
$249.99
Description: APPLIED MATERIALS 0140-25911 HARNESS ASSEMBLY Ampoule 1 & 2 INTCN, VAL ShipsFREE
j316gallery
[view on eBay]
Used 1
in stock
$2,969.27
Description: 14522 APPLIED MATERIALS PCB, CPRO3/128 30000 REV C2 CPU, 2.0 BIOS W 1 0190-12218
spsglobal
[view on eBay]
Used 3
in stock
$500.00
Description: 129-0401// AMAT APPLIED 0100-11002 (#1) PWB DIGITAL I/O [USED]
j316gallery
[view on eBay]
Used 1
in stock
$3,066.17
Description: 28051 LAM RESEARCH E-HD SIOC 1 GSBX FLX CMPL, GAMMA XPRS HDSIOC 1 02-361376-00
globaltech18
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT Applied materials mf #1 interlocks 300mm liner platform 0130-02333 REV. 05
tamoldelectronic
[view on eBay]
Used 1
in stock
$285.00
Description: 1 Pc AMAT 0150-10409 REV 01
tamoldelectronic
[view on eBay]
Used 1
in stock
$549.00
Description: 1 Pc AMAT 0150-29956 HARNESS ASAPTOR SESNOR SIDE, ENDP 407119R13-DA
katiil3
[view on eBay]
Used 1
in stock
$249.00
Description: LAM Research 03-410914-01 Cable Assy FOUP 1, INTERFACE, C3VCTR
j316gallery
[view on eBay]
Used 1
in stock
$670.20
Description: 28000 LAM RESEARCH PCB MODULE ASSY, MB NODE 1, PM, COMMON 810-802901-002
j316gallery
[view on eBay]
Used 2
in stock
$540.46
Description: 27799 LAM RESEARCH PCB, MB, NODE 1 PM, COMMON 810-802901-004
vangtasticfinds
[view on eBay]
Used 1
in stock
$39.99
Description: Novellus Systems 34-170141-00 1 N Type RF Coaxial Sensor Module AMV-GPT3-SNSR
cosplity
[view on eBay]
NEW 1
in stock
$3,800.00
Description: AMAT 0090-03028 ELECTRICAL ASSY BB2 CHEM 1 FLOW CONT 0-250 ML/MIN
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: 1 Lot of 60 AMAT 3700-01379 ORING ID 9.975 CSD .210, 105163
bitsho_datk5o
[view on eBay]
Used 1
in stock
$125.80
Description: WELDMENT P/N: 0010-46407 REV 1 UNIT
ne_1994
[view on eBay]
Used 1
in stock
$245.99
Description: WELDMENT P/N: 0010-46407 REV 1 UNIT new.
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 323-0302// AMAT APPLIED 0010-00201 (#1) D.I.SENSOR ASSY [ASIS]
visionsemi
[view on eBay]
Used 5
in stock
$3,000.00
Description: LAM RESEARCH 61-428059-00 VECTOR EXTREME EIOC 1 REV A
visionsemi
[view on eBay]
Used 4
in stock
$3,000.00
Description: N0VELLUS CONTROLLER VECTOR HDSIOC 1 02-321647-00 REV A VERSION 5.0
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,695.03
Description: 127A-13608 / PRESSURE TRANSDUCER 1 TORR +/15 VDC 0-10 VDC AMAT 1350-01143 / MKS
j316gallery
[view on eBay]
Used 10
in stock
$1,340.40
Description: 28157 APPLIED MATERIALS DUAL HELIUM GAS LINE #1, HDP-CVD, ULTIMA 0050-18943
tamoldelectronic
[view on eBay]
Used 4
in stock
$2,000.00
Description: Digital Dynamics Novellus 02-281593-00 HDSIOC 1 C3 UV-CURE Rev A Ver 4.72
vizvik16
[view on eBay]
Used 1
in stock
$140.00
Description: APPLIED MATERIALS 0090-06111 SENSOR ASSY PLATEN 1 PCW TEMPERATURE CMP
gophersales
[view on eBay]
Used 3
in stock
$5,560.00
Description: Applied Materials, AMAT, AKT 0026-73995 REV 1 0029 0417 0002 Plasma Source
sfwish
[view on eBay]
Used 1
in stock
$7,900.00
Description: Applied Materials/AMAT 0041-45868 LID SIDE 1, UPPER SSWLL *OPEN BOX! - NO BOX!*
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD, 106839
farmoninc
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT 0010-03320 WATER RETURN HOSE CH 1,4,C & D, 106936
xiahk82
[view on eBay]
NEW 2
in stock
$179.00
Description: APPLIED MATERIALS 0150-23113 CABLE HEATER SIDE 1, 300MM,PROD, NO RF
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-87169 HOSE ASSY, HTR LIFT 1 SEND, PRODUCER SE, 106994
j316gallery
[view on eBay]
Used 1
in stock
$317.06
Description: 28385 APPLIED MATERIALS PCB, DIGI I/O, 48POINT, 1 SLOT, 3U CPCI 0660-00222
j316gallery
[view on eBay]
Used 4
in stock
$1,349.11
Description: 28419 APPLIED MATERIALS 5-WAY MANIFOLD VALVE, CLEAN LINE #1 0050-41494
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 1 Lot of 3 AMAT 0200-09886 FINGER, 6, MCVD, 107322
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 1 Lot of 5 AMAT 0200-09886 FINGER, 6, MCVD, 107321
farmoninc
[view on eBay]
NEW 1
in stock
$4,900.00
Description: AMAT 0240-76976 Helium Cryo kit for 1 Cryo Chamber at C, D or E, 107796
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,825.03
Description: 627B01TBC1B / BARATRON CAPACITANCE MANOMETER 1 TORR AMAT 1350-01325 SUPER-E /MKS
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 177-0402// AMAT APPLIED 0050-46295 (#1) 0050-46755 0050-46756 0050-83995 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 354-0501// AMAT APPLIED 0040-88434 (#1) GS22-200-ACR-225 APPLIED MATRIALS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 354-0501// AMAT APPLIED 0040-88433 (#1) GS22-200-ACR-225 APPLIED MATRIALS [USED]
automotiveapple
[view on eBay]
Used 4
in stock
$4,761.90
Description: As-Is NOVELLUS SESIOC 1 IXT PVD 02-279241-00
automotiveapple
[view on eBay]
Used 2
in stock
$4,761.90
Description: As-Is NOVELLUS SESIOC 1 IXT WTS HV 1 02-266870-00
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$50.68
Description: 0910-00340 / FUSE FAST ACT 25 A 600V 12-32 X 1 1-2 CL / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$30.68
Description: 15-391861-09 / PIN,MCA,SAPH,3-16DIA,0.8101IN,1 FLAT END / LAM RESEARCH CORP.
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$35.68
Description: 15-391861-06 / PIN,MCA,SAPH,3-16DIA,.8099IN,1 FLAT END / LAM RESEARCH CORP.
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 135-0201// AMAT APPLIED 0010-24405 (#1) 0021-26854 0021-26855 0021-26857 [ASIS]
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0050-87164 HOSE ASSY, HTR LIFT 1 RET, PRODUCER SE MARKII, 108156
visionsemi
[view on eBay]
Used 1
in stock
$1,500.00
Description: NOVELLUS ASM FE-EIOC 1 BELOW CHAMBER 61-431986-00 REV 2 013596-0006
visionsemi
[view on eBay]
Used 1
in stock
$1,534.69
Description: NOVELLUS Asm Fe-Eioc 1 Dessous Chambre 61-431986-00 Rev 2 013596-0006
visionsemi
[view on eBay]
Used 1
in stock
$1,542.25
Description: NOVELLUS ASM FE-EIOC 1 BELOW CHAMBER 61-431986-00 REV 2 013596-0006
farmoninc
[view on eBay]
NEW 2
in stock
$2,500.00
Description: AMAT 0190-09291 RING, WAFER LIFT, REV 1 CERAMIC, 200 MM, 108288, 108289
dgold32
[view on eBay]
Used 1
in stock
$199.99
Description: NOVELLUS 61-358683-00 FE-HD 1 MACH 4 HNDLR G6 Controller
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,230.77
Description: 02-128733-00/ SIOC 1 CONTROLLER ASSY PVD-MOD 02-128733-00 03-12785-00 /NOVELLUS
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: 1 Lot of 3 Novellus 04-716648-01 Varian 04-716648-01 HEATER BASE SHIELD, 108895
spsglobal
[view on eBay]
Used 6
in stock
$2,600.00
Description: 129-0102// AMAT APPLIED 0100-02134 (#1) PCB ASSEMBLY, GAS PANEL [USED]
cosplity
[view on eBay]
Used 1
in stock
$1,500.00
Description: LAM RESEARCH 853-111462-015 NODE 1 INTERLOCK CONTROL
cosplity
[view on eBay]
Used 1
in stock
$800.00
Description: NOVELLUS 02-052787-00 SIOC WTS 1 INTERLOCK DIGITAL DYNAMICS
powersell007
[view on eBay]
Used 1
in stock
$2,999.00
Description: APPLIED MATERIALS 0041-84695 LINK 1, UPPER 7, 2 DEGREE OFFSET CR 300MM AMAT -NEW
surplusssam
[view on eBay]
NEW 1
in stock
$254.99
Description: Applied Materials AMAT 3140-00056 cover 1 inch shaft pack of 8 new
grandbirdnet
[view on eBay]
Used 1
in stock
$2,000.00
Description: ADVANCED ENERGY AS71C Aera Source Unit SI(OC2H5)4 1 SCCM, USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,900.00
Description: 0010-01648 CLEAR LID ASSY, 200MM PRODUCER BAG 1 OF 2, NEW
grandbirdnet
[view on eBay]
NEW 3
in stock
$500.00
Description: AMAT 0050-87467 GAS LINE FLOW 1 INLET TO OUTER MANIFOLD, NEW
ace_stellar_seller
[view on eBay]
NEW 1
in stock
$249.00
Description: APPLIED MATERIALS 0150-20305 CABLE ASSY 150kva 200v gfi-load 1 XFMR SEC
ace_stellar_seller
[view on eBay]
Used 1
in stock
$199.00
Description: APPLIED MATERIALS 0150-20305 CABLE ASSY 150kva 200v gfi-load 1 XFMR SEC
txsemisales
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0190-15030 / ROBOT 1 SIGNAL CONTROL CABLE FOR KAWASAKI A3 ROBOT Used
palmindustrial
[view on eBay]
Used 0
in stock
$599.99
Description: AEG/ADVANCED ENERGY THYRISTOR POWER CONTROLLER THYRO A 2A 500-130 H 1
jiach_9809
[view on eBay]
NEW 1
in stock
$430.00
Description: 1 SET LAM RESEARCH 715-223064-001 & 713-223072-001
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0150-20014 CABLE ASSY, CHAMBER 1 INTERCONNECT, 25', 110622
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,900.00
Description: AMAT 0010-01648 CLEARLID ASSY, 200MM PRODUCER BAG 1 OF 2, NEW
roundtable1
[view on eBay]
NEW 1
in stock
$49.99
Description: Applied Materials 3300-00156 FTG Pipe TEE 1” SOC X SOC PVC White (lot of 2)
farmoninc
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0050-40523 LINE MIX DOPANT 1 VENT 300MM EPI, VERIFLO 42600726, 111347
spsglobal
[view on eBay]
Used 1
in stock
$6,000.00
Description: 165-0101 AMAT APPLIED 0010-09001 (#1) SYSTEMS ELECTRONICS ASSY [ASIS]
j316gallery
[view on eBay]
Used 1
in stock
$3,348.90
Description: 31312 LAM RESEARCH CONTROLLER ASSY, EIOC 1 VXL 61-422697-00
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0200-09574 200MM CERAMIC HOOP REV 1, 06-15004-00, 111677
farmoninc
[view on eBay]
NEW 3
in stock
$950.00
Description: AMAT 0620-02743 CABLE ASSY 15A 208V L6-15P RING-TERM 1, 112200
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0620-02743 CABLE ASSY 15A 208V L6-15P RING-TERM 1, 112199
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0620-02743 CABLE ASSY 15A 208V L6-15P RING-TERM 1, 112198
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0620-02743 CABLE ASSY 15A 208V L6-15P RING-TERM 1, 112197
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-00952 ASSY, ELEC STD, W/C MCA + ENDURA 1 & 4, 112378
liquiditech
[view on eBay]
Used 1
in stock
$2,250.00
Description: APPLIED MATERIALS 0040-62524 INTERPLATEN PLUG 1 300MM HVM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$468.26
Description: 0010-76086 /WATER VALVE ASSY. CH 1,3, C / APPLIED MATERIALS AMAT
spsglobal
[view on eBay]
Used 1
in stock
$8,000.00
Description: 164-0201 AMAT APPLIED 0010-76322 (#1) ASSY., COOL DOWN CHAMBER, NON-ENP [ASIS]
amat-sparescom
[view on eBay]
Used 1
in stock
$995.00
Description: Applied Materials 0230-09277 & 0230-09285 P-5000 Board Schematics Volume 1 & 2  
j316gallery
[view on eBay]
NEW 1
in stock
$112.65
Description: 20068 APPLIED MATERIALS CB KEEPER NUT FOR F-FRAME BREAKERS 1 (NEW) 0680-01158
j316gallery
[view on eBay]
Used 1
in stock
$231.06
Description: 9186 APPLIED MATERIALS HOSE ASSY, HTR LIFT 1 SEND, PRODUCER SE 0050-80941
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0150-20025 Cable, Assy. Remote #1, 113235
metkorea
[view on eBay]
Used 1
in stock
$240.35
Description: Novellus Ststems Sioc Ixt Pvd Sioc 1 03-279240-00 26-279237-00 0327924000 262792
gophersales
[view on eBay]
Used 1
in stock
$1,220.00
Description: Applied Materials AMAT AKT 0100-71251 REV 1 MF VME Interlocks 1 Board Card
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0100-09379 ASSY, PCB, LAMP TEST, MOD 1, PAB, REV P2, CHAMBER B, 113513
jayhoehlinc
[view on eBay]
Used 1
in stock
$5,999.95
Description: Advanced Energy 3157600-004 PEII Reactive Sputtering PSU 1100V 10kW 40KHz #1
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0030-20002 Module MFG Assy 11.3" SRC, STD, 1 , Endura, 113552
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0100-09379 ASSY, PCB, LAMP TEST, MOD 1, PAB, REV P2, CHAMBER B, 113599
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0020-21115 AMI 5500 ENDURA PRE CLEAN 1 CHAMBER 5 CERAMIC HOOP ARM, 113938
farmoninc
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0020-28445 ADV 101 Ti/TiN KIT UPPER SHIELD BOX 1, 114070
farmoninc
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0020-28445 ADV 101 Ti/TiN KIT UPPER SHIELD BOX 1, 114069
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 1 lot of 2 AMAT 0020-16590 Bracket, EMO Switch Large Shroud, 113769
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 119-0101 AMAT APPLIED 0190-28013 (#1) TARGET, DIFF BOND TI W/O C'BORE, DURA ASIS
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 129-0201 AMAT APPLIED 0100-20070 (#1) 0020-21637 PCB ASSY,MAG/LAMP DIST [USED]
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-21115 AMI 5500 ENDURA PRE CLEAN 1 CHAMBER 5 CERAMIC HOOP ARM, 114331
visionsemi
[view on eBay]
Used 1
in stock
$1,500.00
Description: NOVELLUS 61-358683-00 REV A MACH IV EIOC 1 79-10098-00 FE-HD 1 MACH4 HDLR G6
mountainmerchants
[view on eBay]
NEW 1
in stock
$2,000.00
Description: *NEW* 0041-49938 PLATE SMD PLATE COATED CHAMBER LID SIDE 1 FRONTIER PRODUCER GT
metkorea
[view on eBay]
Used 1
in stock
$2,776.18
Description: Novellus Systems / Sesioc 0 Pvd Ixt / 02-272805-00 Rev 1 (Dhl/Fedeex/Ems)
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0150-20014 CABLE ASSY, CHAMBER 1 INTERCONNECT, 25', 114903
dch2023
[view on eBay]
NEW 1
in stock
$175.00
Description: APPLIED MATERIALS 0150-23113 CABLE HEATER SIDE 1, 300MM,PROD, NO RF
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0140-78284 CABLE CLEANER EMO 1 200MM, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 1 Lof of 3 AMAT 3300-02155, KF 25 Tee Union Flange 3T-NW-25B, 324808
farmoninc
[view on eBay]
Used 1
in stock
$1,450.00
Description: Novellus 16-126664-01 REV 1,PVD Universal Shield,15LB,ESC RF Table, Grit,APVD
j316gallery
[view on eBay]
Used 1
in stock
$4,000.00
Description: 32528 NOVELLUS SESIOC 1 PVD IXT 02-277104-00
j316gallery
[view on eBay]
Used 2
in stock
$4,000.00
Description: 32524 NOVELLUS SESIOC 1 IXT WTS HV, WTS-HV SIOC 1 02-266870-00
j316gallery
[view on eBay]
Used 1
in stock
$4,000.00
Description: 32529 NOVELLUS 300 PVD MOD-1, IXT PVD SIOC 1 02-277104-00
j316gallery
[view on eBay]
Used 1
in stock
$593.66
Description: 32511 NOVELLUS TRUST AUTOMATION 1 AXIS Z TABLE, TA2019 (PARTS) 19-131418-00
astrade2012
[view on eBay]
Used 1
in stock
$499.00
Description: MAT 0190-18396 ASSY CH A HOSE AMAT 1 SMC SUPPLY TO MALE QD MAG
liufen-20162
[view on eBay]
Used 1
in stock
$303.58
Description: 1 pc Used 685-042168-004 Lam Research controller
liquiditech
[view on eBay]
Used 1
in stock
$47.70
Description: AMAT 3300-07079 FTG PIPE CONN 1" ORFS X 1 MNPT SEAL-LOK BRS
insolvtrade
[view on eBay]
Used 1
in stock
$2,500.00
Description: cti cryogenics 8200 compressor #1
grandbirdnet
[view on eBay]
NEW 4
in stock
$850.00
Description: AMAT 0021-24183 LINER, BOTTOM SIDE 1, 300MM SE, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$350.67
Description: 810-802901-307 / MB NODE 1 PM COMMON PCB / LAM RESEARCH
j316gallery
[view on eBay]
Used 2
in stock
$4,000.00
Description: 32530 NOVELLUS SESIOC 1 PVD IXT 02-167050-00
j316gallery
[view on eBay]
Used 2
in stock
$4,200.00
Description: 32532 NOVELLUS SESIOC 1 PVD IXT 02-258471-00
j316gallery
[view on eBay]
Used 8
in stock
$4,200.00
Description: 32534 NOVELLUS SESIOC 1 PVD IXT 02-265264-00
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: 1 Lot of 10 AMAT 0190-35113 XYCARB CERAMICS 10078206 Halogen Bulb, 120V, 117010
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: LOTS OF 3 AMAT 0022-73888 REV 1, BRACKET SUPPORT REV 002, 117581
spsglobal
[view on eBay]
Used 8
in stock
$100.00
Description: 130-0103 AMAT APPLIED 0130-20265 (#1) PCB ASSY, FILTER BOARD USED
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: LOTS OF 6 AMAT 0020-02313 Bracket 1, ESC N2 Purge , 0.25" Gasline, 118350
farmoninc
[view on eBay]
NEW 2
in stock
$1,000.00
Description: LOT OF 20 AMAT 0020-02313 Bracket 1, ESC N2 Purge , 0.25" Gasline, 118385
yiannisshop
[view on eBay]
NEW 1
in stock
$1,986.30
Description: AMAT 009077106 Assembly, RTD Transmitter 1 NEW IN SEALED BAG 1 NEW OPEN BAG
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0150-09602 CABLE, ANALOG #1 GAS PANEL INTERCONNECT, 118451
j316gallery
[view on eBay]
Used 1
in stock
$3,150.00
Description: 32958 NOVELLUS HDSIOC 1 SBR-XT BATH-A72 02-345098-00
spsglobal
[view on eBay]
Used 1
in stock
$20,000.00
Description: 000-0000 AMAT APPLIED 0010-22568 (#1) APPLIED MATRIALS COMPONENTS [ASIS]
spsglobal
[view on eBay]
Used 1
in stock
$20,000.00
Description: 000-0000 AMAT APPLIED 0010-22567 (#1) ALPS CENTER WATER SOURCE, 300MM [ASIS]
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0150-09602 CABLE, ANALOG #1 GAS PANEL INTERCONNECT, 118961
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0150-20014 CABLE ASSY, CHAMBER 1 INTERCONNECT, 25', 116022
albsemicon
[view on eBay]
NEW 1
in stock
$1,450.77
Description: 1 AMAT APPLIED 0021-35944 OBS SHIELD,OUTER,CHB,TXZ NEW
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: Lot of 2 AMAT 0020-76954 Bracket, Clamp 1 1/2 - 2 1/2, 119143
spsglobal
[view on eBay]
Used 3
in stock
$38,000.00
Description: 000-0000 AMAT APPLIED 0010-22569 (#1) 0010-13034 CENTER SOURCE ASIS
cosplity
[view on eBay]
Used 1
in stock
$700.00
Description: NOVELLUS 02-052787-00 SIOC WTS 1 INTERLOCK DIGITAL DYNAMICS (CONNECTOR BROKEN)
pdxprinters
[view on eBay]
Used 1
in stock
$2,250.00
Description: AS IS UNTESTED APPLIED MATERIALS HTR DRIVER 1 PHASE 208VAC 30A P1164A 0190-35875
farmoninc
[view on eBay]
Used 27
in stock
$100.00
Description: 1 PACK OF 2 AMAT 0020-22512 REST BUTTON, PEDESTAL, 120439
cosplity
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0010-77445 UPPER PNEUMATIC ASSEMBLY, TITAN 1, USED AS IS
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 1270-01220 SW PB MOM 1 NC NEMA TY13 WITHOUT HD, 120774
farmoninc
[view on eBay]
NEW 1
in stock
$1,750.00
Description: AMAT 0240-13282 KIT, EXHAUST PANEL SWITCH CLASS 1, 120007
jiecana69
[view on eBay]
Used 1
in stock
$344.50
Description: 1 pc Used 685-042168-004 Lam Research controller #A6-9
gemrkim1103
[view on eBay]
Used 1
in stock
$1,099.00
Description: NOVELLUS GAMMA 2130 DC POWER 26-169462-00 REV 1, 03-169462-00, 76-169462-00

This tag has been viewed 4 times

Most recent views:

Taiwan (Province of China) Wednesday, May/08/2024 at 10:44 pm CST
China Wednesday, May/08/2024 at 10:40 pm CST
United States of America Wednesday, May/08/2024 at 10:39 pm CST
United States of America Wednesday, May/08/2024 at 10:31 pm CST
Taiwan (Province of China) Wednesday, May/08/2024 at 10:29 pm CST
Taiwan (Province of China) Wednesday, May/08/2024 at 10:21 pm CST
Taiwan (Province of China) Wednesday, May/08/2024 at 10:14 pm CST
Korea (Republic of) Wednesday, May/08/2024 at 10:11 pm CST
Korea (Republic of) Wednesday, May/08/2024 at 10:08 pm CST
Korea (Republic of) Wednesday, May/08/2024 at 10:07 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
bobsgoodies NEW - $48.00 0 Sep/10/12 Oct/10/12
Description: Parker 1 MMO-SS 1" SS F. Pipe Tee Fitting 316 Stainless Steel AMAT 3300-03616
johndfw5040 NEW - $200.00 1 Sep/13/12 Sep/27/12
Description: Stec Mass Flow System Controller MFC Sec-4400RC HE 1 SLM 797-092054-702
farmoninc Used - $750.00 0 Nov/01/12 Dec/03/15
Description: Advanced Energy Aera TC-PC-PA7800C MFC, 1 SLM, Ar Gas, Novellus 22-268673-00
alvin1462 Used - $198.00 1 Sep/16/08 Dec/04/12
Description: APTECH AP1210S 4PW FV8 FV8 IV4IV4 0 1 Regulator Valve/G
capitolareatech NEW - $1.00 0 Oct/22/12 Sep/17/13
Description: LAM RESEARCH 3790-01301 SPACER SST SHIM 10,95X8X0,1
grt_bargains_4-u NEW - $500.00 0 Dec/18/12 Dec/21/12
Description: HAKKO FR-1012B PRE HEATER INFRA RED BENCH TOP PREHEATER 1 TO 4 ZONE SETTINGS NEW
esprprts Used - $225.00 1 Dec/21/12 Jan/02/13
Description: AMAT 3030-09099 CELERITY UFM-9660 6L He. Only used 1 day.
bobsgoodies NEW - $67.00 0 Jan/03/13 May/17/13
Description: Used in AMAT 0050-28314 Assy. Gems Piston Type, FS-380 Flow Switch 183431 1 GPM
capitolareatech NEW - $2.00 0 Jan/04/13 Jun/03/13
Description: LAM 713-011585-001 COVER, CLAMP BOLT ("A DIM. = .1)
capitolareatech NEW - $1,800.00 1 Jan/04/13 Jul/12/13
Description: AMAT 0200-02407 PUMPING RING, CERAMIC C-CHANNEL, SIDE 1
capitolareatech NEW - $35.00 0 Jan/04/13 Jun/03/13
Description: LAM 955-009539-007 INSULATION,TUBING,1 1/8ID x.43 x 100FT
capitolareatech NEW - $562.50 0 Jan/04/13 Sep/26/14
Description: AMAT 0242-85561 316L FORELINE #1 KIT,HDP-DE/IPS 5200,W/P
capitolareatech NEW - $4,500.00 1 Jan/04/13 Jan/05/13
Description: AMAT 0010-23934 HEATER ASSY MC TP SR, CH. 1 PRODUCER 200
bobsgoodies NEW - $35.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0190-07379 Rev.001 Etch Centura AP Release E1.2 Disc 1 300mm control system
svcstore Scrap, for parts - $38.99 0 Jan/17/13 Jan/20/13
Description: MKS Instruments Baratron 370HA-00001 Pressure Sensor Head Unit 1 Torr 10-Pin
dvkelectronics NEW - $225.00 0 Dec/22/12 Jan/21/13
Description: New KIT HPS VALVE REPAIR w/ 1 BELLOWS NW-40 ASSY KIT, 3 0-RING 2.609 ID X .139
svcstore Used - $99.99 0 Feb/01/13 Feb/04/13
Description: MKS 621C Absolute Pressure Transducer Signal Conditioner 621C01TBFHB 1 Torr
esolutions1 NEW - $269.10 1 Feb/02/13 Mar/26/13
Description: Applied Materials AMAT 0050-18528 WLDMT MFLD 1 FINAL VALVE VERIFLO LOW K H.
lovinagooddeal NEW - $65.00 1 Jan/31/13 Feb/02/13
Description: 1 SWAGELOK SS 1/4" TUBE BELLOWS METERING VALVE SS-4BMG
capitolareatech NEW - $350.00 0 Feb/05/13 Sep/03/13
Description: LAM 853-021640-001 WELDMT PRIM SHUTOFF GAS 6 7 1
bobsgoodies Used - $98.00 0 Feb/11/13 Mar/13/13
Description: OMRON E3HF-IDE 1 AMAT 0090-00159 SRD Wafer Sensor Receiver
bobsgoodies NEW - $35.00 1 Feb/11/13 Feb/13/13
Description: AMAT 0190-07379 Rev.001 Etch Centura AP Release E1.2 Disc 1 300mm control system
bobsgoodies NEW - $198.00 3 Feb/12/13 Mar/13/13
Description: AMAT 3930-01092 CntRL Temp 100-240V TC-IN DC & Relay output 1 9888-11CD-AARG
bobsgoodies Used - $2,177.00 0 Feb/26/13 Mar/18/13
Description: Applied Materials 1120-01071 OPT Bifurcated BDL SPC 210/230N BICON (1 Assembly)
farmoninc NEW - $750.00 0 Mar/01/13 Sep/27/13
Description: AMAT 0010-36397 1 Set, Hose Assy, DPS, STD Cathode, Supply/Return
bobsgoodies Used - $1,477.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 1120-01071 OPT Bifurcated BDL SPC 210/230N BICON (1 Assembly)
bobsgoodies NEW - $120.00 0 Mar/18/13 Jul/09/13
Description: Fuji Electric SC-3N/UD Contactor 2NC2H0B22 2NC2H0 3-Ph 70A AMAT 1200-01278 +1
capitolareatech NEW - $125.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0050-09400 WELDMENT,LINE 1,CHAMBER B
capitolareatech NEW - $9.37 0 Mar/19/13 Sep/10/14
Description: AMAT 0150-35712 CABLE HEATER, CH. A/C, LINE 1, DCVD, CENTU
capitolareatech NEW - $12.00 0 Mar/20/13 Sep/16/13
Description: AMAT 3420-01083 INSUL 1' IS STRIGHT 6' LONG SILICONE
athomemarket Used - $614.99 0 Apr/01/13 Apr/08/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
visionsemi Used - $99.99 0 Apr/04/13 Apr/09/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20440
visionsemi Used - $99.99 0 Apr/04/13 Apr/09/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20441
athomemarket Used - $614.99 0 Apr/10/13 May/10/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
visionsemi Used - $99.99 0 Apr/11/13 Apr/16/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20440
visionsemi Used - $99.99 0 Apr/11/13 Apr/16/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20441
bobsgoodies Used - $977.00 0 Apr/17/13 May/17/13
Description: Applied Materials 1120-01071 OPT Bifurcated BDL SPC 210/230N BICON (1 Assembly)
visionsemi Used - $89.99 0 Apr/18/13 Apr/25/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20441
visionsemi Used - $89.99 0 Apr/18/13 Apr/25/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20440
capitolareatech NEW - $6.69 0 May/08/13 Jul/24/13
Description: AMAT 0015-20124 SCR 1/4 - 20 X 1 TITANIUM VENTED FLAT HE
csi.usa Used - $1,000.00 0 May/10/13 May/13/13
Description: APPLIED MATERIALS P/N 0010-70162 ACTUATOR SLIT VALVE ASSY.(1 new, 1 used)
athomemarket Used - $614.99 0 May/10/13 Jun/09/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
bobsgoodies Used - $977.00 1 May/17/13 May/21/13
Description: Applied Materials 1120-01071 OPT Bifurcated BDL SPC 210/230N BICON (1 Assembly)
bobsgoodies Used - $98.00 0 May/17/13 Jun/29/13
Description: OMRON E3HF-IDE 1 AMAT 0090-00159 SRD Wafer Sensor Receiver
athomemarket Used - $614.99 0 Jun/09/13 Jul/09/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
systasemi Used - $700.00 0 Jun/10/13 Jul/10/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
bobsgoodies NEW - $68.00 0 Jun/13/13 Jul/09/13
Description: AMAT 0190-35674 HOSE,HX RETURN CHMB A SP2 CENTURA CMF 1 Male 1 Female Tube end
capitolareatech NEW - $71.72 0 Jun/21/13 Sep/14/14
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
systasemi Used - $700.00 0 Jul/10/13 Aug/09/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
athomemarket Used - $553.49 0 Jul/10/13 Aug/09/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 02-254142-00 Rev 1 SESIOC 2 IXT WTSHV Firmware Ver 4.6 with Warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 02-292162-00 Rev 1 HDSIOC Coil MON IXT Firmware Ver 4.72 with Warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 19-112486-00 TiN SWM ISIOC 2 A/B 02-112694-00 Rev 1 w/ 60 day warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus G19-10043-00 ISIOC Transfer 02-121693-00 Rev 1 with 60 day warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 27-119743-00 ISIOC HCM APVD G19-10116-00 02-124968-00 Rev 1 w/ Warranty
athomemarket Used - $514.99 0 Jul/23/13 Jul/30/13
Description: AMAT Endura Extended Block 1 Controller RTC CPCI with VMICPCI-7326 0090-05548
usedeqsales Used - $607.13 0 Jul/26/13 May/04/15
Description: AMAT Applied Materials 1350-00133 Baratron Capacitance Manometer 1 Torr Tested
farmoninc Used - $450.00 0 Jul/26/13 May/18/23
Description: AMAT 0050-75839 gasline #1, CH D, PCIIE
odysseystudios NEW - $3,900.00 0 Jul/30/13 Nov/17/15
Description: NEW Applied Materials 0240-52896 Rev 1 Kit, L-Door DRPII, Endura 2 SWLL
odysseystudios NEW - $4,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-43051 Rev 1 Kit, SWLL Purge Gaslines and EQ to ATM
odysseystudios NEW - $3,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-45500 Rev 1 Kit, Vent/Vac DRPII, Endura 2 SWLL
odysseystudios NEW - $3,900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0242-45497 Rev 1 Kit, DRPII SWLL Hoop Endura 2 + Warranty
odysseystudios Refurbished - $450.00 1 Jul/30/13 Feb/25/18
Description: LSA Cleanpart 233555099 Top Ceramic Ring Applied Materials 0200-36399 Rev 1
athomemarket Used - $463.49 0 Jul/31/13 Aug/30/13
Description: AMAT Endura Extended Block 1 Controller RTC CPCI with VMICPCI-7326 0090-05548
farmoninc Used - $150.00 0 Aug/03/13 May/18/23
Description: AMAT 0040-01114 bracket chamber rot PCB mod 1 toxic MD
athomemarket Used - $553.49 0 Aug/09/13 Sep/08/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
systasemi Used - $700.00 0 Aug/11/13 Sep/10/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
capitolareatech NEW - $9.29 0 Aug/14/13 Oct/08/14
Description: NOVELLUS 15-112447-02 LOCATOR,PIN,STD,TYPE 1
offerandown Used - $40.00 1 Aug/28/13 Sep/04/13
Description: 1 INDRAMAT AC Servo Motor Controller 0540-90022 AMAT
athomemarket Used - $463.49 0 Aug/30/13 Sep/29/13
Description: AMAT Endura Extended Block 1 Controller RTC CPCI with VMICPCI-7326 0090-05548
prism_electronics6 Used - $600.00 0 Sep/05/13 Mar/04/22
Description: NOVELLUS SESIOC IXT PVD SIOC 1, Rev. 1, Firmware Ver: 4.6, 02-258471-00
athomemarket Used - $553.49 0 Sep/08/13 Oct/08/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
systasemi Used - $700.00 0 Sep/10/13 Oct/10/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
athomemarket Used - $514.99 1 Sep/29/13 Oct/28/13
Description: AMAT Endura Extended Block 1 Controller RTC CPCI with VMICPCI-7326 0090-05548
ultra_clean_warehouse NEW - $549.47 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II 0040-78151 - MANIFOLD BLOCK 1, 300MM - REV 001
jmcvea NEW - $30.00 2 Sep/30/13 Oct/01/13
Description: Swagelok ss 1610-6 Tube Fitting Union, 1 in. Tube OD
tbyrd331 NEW - $70.00 2 Sep/28/13 Dec/27/13
Description: Swagelok 1 1/4 Tube X 1 1/4 Male Pipe Male Connector SS-2000-1-20
eisale1535 NEW - $1,950.00 0 Oct/03/13 Oct/13/16
Description: AMAT 0041-34688 SHELL ASSY, 200MM. MXP+EP ESC ASSY NOTCH, 1 MIL, AMAT 0021-82260
prism_electronics7 Used - $129.99 0 Oct/07/13 Jul/27/22
Description: Novellus 839-170150-100 Rev 1 Weldment Ext. Exit L/L Unit Gas Box
athomemarket Used - $614.99 0 Oct/08/13 Nov/07/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
fa-parts Used - $1,000.00 1 Oct/11/13 Nov/26/20
Description: YASKAWA AC SERVO MOTOR USAHEM-01-TL31 #1
systasemi Used - $700.00 0 Oct/14/13 Nov/13/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
visionsemi NEW - $19.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
visionsemi NEW - $30.00 0 Oct/15/13 Oct/15/13
Description: LAM RESEARCH 754-092082-002 GUIDE BEARING ASSY QTY 1
visionsemi NEW - $30.00 3 Oct/15/13 Oct/20/13
Description: LAM RESEARCH 754-092082-002 GUIDE BEARING ASSY QTY 1
keykorea Used - $3,900.00 1 Oct/16/13 Apr/17/15
Description: AMAT 0010-01711 5-PHASE STEPPING MOTOR, 1 SET, USED
keykorea Used - $3,900.00 0 Oct/16/13 Feb/21/18
Description: AMAT 0010-35048 5-PHASE STEPPING MOTOR, 1 SET, USED
keykorea Used - $3,900.00 1 Oct/16/13 Apr/03/17
Description: AMAT 0010-70264 5-PHASE STEPPING MOTOR, 1 SET, USED
keykorea Used - $3,900.00 0 Oct/16/13 Apr/11/19
Description: AMAT 0010-70149 5-PHASE STEPPING MOTOR, 1 SET, USED
yayais2012 Used - $400.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $400.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
keykorea NEW - $286.00 1 Oct/25/13 Feb/18/14
Description: AMAT 0190-77410 CABLE ASSY, SENSOR Z, FLEX 1, WALKING BE, NEW
keykorea NEW - $176.00 0 Oct/27/13 Oct/06/16
Description: AMAT 0090-77179 GRIPPER SENSOR HARNESS, ARM 1, NEW
visionsemi NEW - $19.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
grandbirdnet NEW - $320.00 1 Oct/29/13 Feb/08/24
Description: AMAT 0090-77156 WAFER PRESENT SWITCH, BRUSH UNIT #1,2, NEW
sagatron-inc Used - $750.00 10 Oct/29/13 Oct/30/13
Description: Applied Materials AMAT 1 Heat Exchanger PN# 0242-02163 / 0010-70073 Rev C
athomemarket NEW - $99.99 0 Oct/30/13 Nov/06/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
prism_electronics6 Used - $1,800.00 1 Oct/31/13 Nov/19/13
Description: Novellus Heater Pedestal, PED ASSY, STA 1, C3UCTR, 02-264308-00
offerandown Used - $59.00 1 Nov/06/13 Nov/13/13
Description: 1 Mirra Flat Panel Display "A" 0010-77529 with keyboard AMAT
keykorea NEW - $140.00 0 Nov/06/13 Apr/11/18
Description: AMAT 0140-78043 CABLE, SENSOR-CONTROL, BLKHD 1, SCRUBBER, NEW
athomemarket NEW - $90.99 0 Nov/07/13 Nov/14/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Used - $614.99 0 Nov/07/13 Dec/07/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
yayais2012 Used - $95.00 0 Nov/14/13 Dec/14/13
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
supertechshop NEW - $249.00 0 Nov/14/13 Dec/14/13
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
systasemi Used - $700.00 0 Nov/14/13 Dec/14/13
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
athomemarket NEW - $90.99 0 Nov/15/13 Dec/15/13
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
usedeqsales Used - $261.13 0 Nov/25/13 May/04/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 3030-00298 1 SLPM N2 Used
usedeqsales NEW - $1,012.13 0 Dec/02/13 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11993 1 L He New
keykorea Used - $220.00 2 Dec/02/13 Jun/12/16
Description: AMAT 0090-77156 WAFER PRESENT SWITCH, BRUSH UNIT #1,2, WORKING
keykorea Used - $185.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-02526 CABLE, SENSOR-CONTROL, BLKHD 1, SCRUBBER, WORKING
keykorea Used - $160.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-01946 CABLE BRUSH POS MOTORS POWER SCRUBBER 1, WORKING
athomemarket Used - $614.99 0 Dec/07/13 Jan/06/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
keykorea Used - $115.00 0 Dec/08/13 Apr/11/18
Description: AMAT 0140-78149 CABLE, SYS SENSOR-CONTR, BLKHD 1, SYSTEM, WORKING
keykorea Used - $240.00 0 Dec/08/13 Apr/11/18
Description: AMAT 0140-78538 CABLE MOTOR ENCODER SCRUB 1, WORKING
keykorea Used - $130.00 0 Dec/09/13 Feb/02/17
Description: AMAT 0140-77004 HARNESS, PAD 1, MANIF, WORKING
capitolareatech NEW - $9.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-02036 PIN 1 inch
capitolareatech NEW - $3.19 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-66634 Heater, Cap, 1 Wire
yayais2012 Used - $95.00 0 Dec/14/13 Jan/13/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
athomemarket NEW - $97.99 0 Dec/15/13 Jan/14/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
systasemi Used - $700.00 0 Dec/16/13 Jan/15/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
yayais2012 Used - $400.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $400.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
capitolareatech NEW - $21.63 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 3700-03731 K17455 - COMPOUND 4079 O-RING 12.841 ID X .1
supertechshop NEW - $249.00 0 Dec/17/13 Jan/16/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
dr.fantom NEW - $80.00 0 Dec/29/13 Feb/12/15
Description: Applied Materials / AMAT 3300-03617 FTGTUBE ADPTR 1'' TUBE X 1'' FNPT-SST
visionsemi NEW - $19.00 0 Jan/02/14 Jun/20/16
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
athomemarket Used - $616.99 0 Jan/06/14 Feb/05/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3870-03250 SPN400B Needle Valve, 1/4FNPT X 1, 2000PSI,
athomemarket Used - $99.99 0 Jan/16/14 Jan/23/14
Description: Novellus 02-321159-00 FE-HD 1 Mach4 Hndlr Mach IV EIOC 1 Digital Dynamics
systasemi Used - $700.00 0 Jan/15/14 Feb/14/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
supertechshop NEW - $249.00 0 Jan/20/14 Feb/19/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
keykorea NEW - $250.00 0 Jan/22/14 Jan/11/17
Description: AMAT 0021-79491 BRACKET 1 DI FLOW VALVE DDF3, NEW
yayais2012 Used - $180.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
capitolareatech NEW - $198.65 0 Jan/22/14 Sep/19/14
Description: AMAT 3800-01102 95930W2PFSMM 43800906, Pressure Regulator; AIR-0-100PSI 2 PORT 1
athomemarket Used - $90.99 0 Jan/24/14 Jan/31/14
Description: Novellus 02-321159-00 FE-HD 1 Mach4 Hndlr Mach IV EIOC 1 Digital Dynamics
athomemarket Used - $3,299.99 0 Jan/27/14 Feb/03/14
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
athomemarket Used - $90.99 0 Feb/03/14 Mar/05/14
Description: Novellus 02-321159-00 FE-HD 1 Mach4 Hndlr Mach IV EIOC 1 Digital Dynamics
athomemarket Used - $2,970.99 0 Feb/04/14 Feb/11/14
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
athomemarket Used - $616.99 0 Feb/05/14 Mar/07/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
athomemarket Used - $129.99 0 Feb/06/14 Feb/13/14
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
athomemarket Used - $2,970.99 0 Feb/12/14 Mar/14/14
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
farmoninc Used - $7,500.00 0 Feb/12/14 Feb/07/23
Description: AMAT 0010-76036 P5000 Mini Controller with module, S262, 1 Phase, 3Wire, 120VAC
athomemarket Used - $117.99 0 Feb/14/14 Feb/21/14
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
systasemi Used - $700.00 0 Feb/17/14 Mar/19/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
supertechshop NEW - $249.00 0 Feb/20/14 Mar/22/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
yayais2012 Used - $200.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
athomemarket Used - $117.99 0 Feb/24/14 Mar/26/14
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
prism_electronics7 Used - $320.00 1 Mar/10/14 Nov/25/19
Description: Novellus SIOC Controller Assy PVD-MOD SIOC 1 02-128733-00 03-12785-00 rev. 2
athomemarket Used - $616.99 0 Mar/07/14 Apr/06/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
athomemarket Used - $2,970.99 0 Mar/15/14 Apr/14/14
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
athomemarket NEW - $97.99 0 Mar/16/14 Apr/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
yayais2012 Used - $95.00 0 Mar/17/14 Apr/16/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
bobsgoodies NEW - $38.00 2 Mar/21/14 Mar/24/14
Description: AMAT 3870-01023 Swagelok SS-4C-1 1/4" Check Valve 1 PSI Cracking Pressure
systasemi Used - $700.00 0 Mar/19/14 Apr/18/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
fablogic NEW - $1,000.00 0 Mar/22/14 Aug/19/14
Description: AMAT PVD 0010-20287 & 0010-20288 Handler, Assy. LT & RT (NEW; 1 pair)
yayais2012 Used - $200.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
supertechshop NEW - $249.00 0 Mar/26/14 Apr/25/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
athomemarket Used - $117.99 0 Mar/27/14 Apr/26/14
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
prism_electronics6 Used - $249.95 1 Mar/26/14 Mar/23/16
Description: NOVELLUS 02-299117-00 Gamma xPRS HDSIOC 1, Rev. A, Firmware Ver. 5.0
athomemarket NEW - $69.99 0 Apr/02/14 Apr/09/14
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
athomemarket Used - $90.99 0 Apr/05/14 May/05/14
Description: Novellus 02-321159-00 FE-HD 1 Mach4 Hndlr Mach IV EIOC 1 Digital Dynamics
systasemi NEW - $570.00 0 Apr/06/14 Jun/01/23
Description: AMAT 0050-92816 GAS LINE, POS 1 NF3
athomemarket Used - $616.99 0 Apr/07/14 May/07/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
grandbirdnet Used - $3,800.00 0 Apr/09/14 Apr/12/18
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM, WORKING
capitolareatech Used - $150.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0660-00027 BGICP-UPS-C CPCI UPS, 1 MIN, 50W, CARD
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 1270-01783 E17W-H52 Pressure Switch, 1 Amp Resistive,
athomemarket Used - $2,970.99 0 Apr/14/14 May/14/14
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
athomemarket NEW - $97.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
yayais2012 Used - $95.00 0 Apr/16/14 May/16/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
systasemi Used - $700.00 0 Apr/20/14 May/20/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
prism_electronics7 Used - $119.99 0 Apr/24/14 Aug/02/22
Description: Novellus Vacuum T-fitting 10-300748-00 Cal Weld 10-300748 Rev. 1
yayais2012 Used - $200.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
athomemarket Used - $117.99 0 Apr/26/14 May/26/14
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
supertechshop NEW - $249.00 0 Apr/28/14 May/28/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
keykorea Used - $100.00 0 May/04/14 Nov/25/15
Description: AMAT 0140-78039 CABLE, SENSOR-CONTROL, BLKHD 1, SRD , USED
yayais2012 Used - $95.00 0 May/17/14 Jun/16/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
athomemarket NEW - $97.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Used - $2,970.99 0 May/14/14 Jun/13/14
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
bobsgoodies NEW - $175.00 1 May/19/14 May/20/14
Description: AMAT 0010-36557 Assy Hose 1/2" Braided Stainless/Teflon 1, Supply 1,Return 75"
farmoninc NEW - $1,500.00 0 May/20/14 Dec/02/15
Description: NEW AMAT 0190-21434 TARGET MONOBLOCK, ALCU 1/SI 1
systasemi Used - $700.00 0 May/22/14 Jun/21/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
farmoninc NEW - $200.00 1 May/25/14 May/26/14
Description: AMAT 1360-01121 XFMRCURRENT Monitor wide band 1 THK UG-2, Pearson, 4100
athomemarket Used - $117.99 0 May/26/14 Jun/25/14
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
yayais2012 Used - $200.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
keykorea Used - $4,500.00 0 May/29/14 Apr/11/18
Description: AMAT 0010-33479 ROLLER 1 ASSY,MEGASONIC TANK 300MM , USED
athomemarket Used - $117.99 0 Jul/25/14 Aug/24/14
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
capitolareatech NEW - $8.79 0 Jul/22/14 Sep/20/14
Description: LAM Research (LAM) 713-013486-001 Plate, 2 3/4" X 1 1/8"
systasemi Used - $700.00 0 Jul/23/14 Aug/22/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
athomemarket Used - $90.99 0 Aug/03/14 Sep/02/14
Description: Novellus 02-321159-00 FE-HD 1 Mach4 Hndlr Mach IV EIOC 1 Digital Dynamics
prism_electronics7 Used - $77.99 0 Aug/04/14 Apr/24/22
Description: Lot of 196 Scew Bolts SKT HEX 1/4-20 x 1 3/4 SS Novellus 21-041270-28
athomemarket Used - $914.99 0 Aug/05/14 Aug/12/14
Description: GE Fanuc CP3450-S000609 Controller RTC CPCI Endura Short Block 1 AMAT 0090-04470
athomemarket Used - $616.99 0 Aug/05/14 Sep/04/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
athomemarket NEW - $97.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
athomemarket Used - $2,970.99 0 Aug/12/14 Sep/11/14
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
supertechshop NEW - $249.00 0 Aug/11/14 Sep/10/14
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
yayais2012 Used - $95.00 0 Aug/16/14 Sep/15/14
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
peggiegrady Used - $39.99 0 Aug/19/14 Aug/26/14
Description: APPLIED MATERIAL 0050-50030 FLANGE REV #1 LOT OF 2
farmoninc NEW - $1,500.00 0 Aug/22/14 Aug/03/15
Description: AMAT 0010-05775 Assembly, Lower Electronic Box, Brush 1. DIR
athomemarket Used - $117.99 0 Aug/24/14 Sep/23/14
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
systasemi Used - $700.00 0 Aug/25/14 Sep/24/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
exper-tech NEW - $125.00 1 Aug/25/14 Aug/26/14
Description: AMAT Applied Materials 0190-02841 CDO Ceramic Packing, 1 Bag of 250+ pcs, New
usedeqsales Used - $408.14 1 Aug/25/14 Jun/01/23
Description: Lam Research 810-802901-300 MB Node 1 PM Common PCB 710-802901-300 Used Working
usedeqsales Used - $408.14 1 Aug/26/14 Feb/05/18
Description: Lam Research 810-802901-307 MB Node 1 PM Common PCB 710-802901-307 Used Working
bobsgoodies NEW - $98.00 3 Aug/27/14 Jan/08/15
Description: OMRON E3HF-IDE 1 AMAT 0090-00159 SRD Wafer Sensor Receiver
usedeqsales Used - $808.14 6 Aug/27/14 Jul/28/18
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 1 810-17031-4 Used Working
yayais2012 Used - $180.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
athomemarket Used - $90.99 0 Sep/02/14 Oct/02/14
Description: Novellus 02-321159-00 FE-HD 1 Mach4 Hndlr Mach IV EIOC 1 Digital Dynamics
athomemarket Used - $616.99 0 Sep/04/14 Oct/04/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
athomemarket NEW - $69.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
capitolareatech NEW - $135.00 0 Sep/27/14 Dec/26/14
Description: LAM RESEARCH (LAM) 853-017930-003 ASSY, HARNESS, SOLENOID #1
athomemarket Used - $90.99 1 Oct/02/14 Oct/20/14
Description: Novellus 02-321159-00 FE-HD 1 Mach4 Hndlr Mach IV EIOC 1 Digital Dynamics
capitolareatech NEW - $297.50 0 Sep/27/14 Dec/26/14
Description: Lam Research (LAM) 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1
capitolareatech NEW - $35.00 0 Sep/27/14 Dec/26/14
Description: NOVELLUS 61-292278-00 MKS 9615-0362 Heater Jacket, 120V, 59W, 0.49A, 50/60Hz, 1
capitolareatech NEW - $45.00 0 Sep/27/14 Dec/26/14
Description: NOVELLUS 61-292277-00 MKS 9615-0356 Heater Jacket, 120V, 47W, 0.39A, 50-60Hz, 1
athomemarket Used - $616.99 0 Oct/05/14 Nov/04/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
athomemarket Used - $2,970.99 0 Oct/12/14 Nov/11/14
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
systasemi Used - $700.00 0 Dec/01/14 Dec/31/14
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
athomemarket Used - $117.99 0 Nov/23/14 Dec/23/14
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
svcstore NEW - $139.99 0 Dec/02/14 Dec/05/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
grandbirdnet NEW - $600.00 0 Dec/03/14 Jun/01/23
Description: AMAT 0140-11548 HARNESS ASSY MFC PANEL 1, NEW
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $199.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
athomemarket NEW - $72.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
capitolareatech NEW - $35.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 1210-03062 RESPOS TEMP COEF RESETTABLE 30V 2.50A 1. ***
svcstore NEW - $139.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $199.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $139.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
convertechs3 Used - $599.99 0 Dec/10/14 Dec/17/14
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
athomemarket Used - $2,972.99 0 Dec/11/14 Jan/10/15
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
svcstore NEW - $139.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $199.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/11/14 Dec/14/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket Used - $504.99 0 Dec/12/14 Jan/11/15
Description: GE Fanuc CP3450-S000609 Controller RTC CPCI Endura Short Block 1 AMAT 0090-04470
svcstore NEW - $139.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
athomemarket NEW - $99.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore NEW - $139.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $199.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $139.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
supertechshop NEW - $249.00 0 Dec/17/14 Jan/16/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
convertechs3 Used - $549.99 0 Dec/17/14 Dec/24/14
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $139.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $199.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
benta09 NEW - $59.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
farmoninc NEW - $950.00 0 Dec/18/14 May/27/15
Description: AMAT 0240-01038 Kit Ardel REPL 8110-5 1 Sys, 26-81433-00 26-72267-00 26-82268-00
athomemarket Used - $99.99 0 Dec/18/14 Dec/25/14
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
svcstore NEW - $139.99 0 Dec/18/14 Dec/21/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
keykorea NEW - $1,800.00 0 Dec/18/14 Dec/23/14
Description: AMAT 1040-01180 060-E692-01 METER INSTR MODEL NK W/LOAD CELL MODEL 1, NEW
svcstore NEW - $139.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $199.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
yayais2012 Used - $95.00 0 Dec/21/14 Jan/20/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
svcstore NEW - $139.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
athomemarket Used - $126.99 0 Dec/23/14 Jan/22/15
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
svcstore NEW - $139.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $199.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $199.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $139.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
convertechs3 Used - $524.99 0 Dec/26/14 Jan/02/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
capitolareatech Used - $25.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-01114 BRACKET CHAMBER ROT PCB MOD 1 TOXIC MOD
capitolareatech NEW - $185.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77118 HARNESS, PAD COND 1, ENC
capitolareatech NEW - $120.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-77940 CABLE, HEAD SWEEP 1,2 PWR, CNTRL BKHD TO
capitolareatech NEW - $164.52 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00183 Cable, PCB, Stepper, CH. 1, ANNEAL
capitolareatech NEW - $165.54 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00740 Cable Assembly., SRD Pneumatic #1
capitolareatech NEW - $280.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77150 HT ROT 1 PWR, MNFRM BKHD
capitolareatech NEW - $150.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-78284 CABLE CLEANER EMO 1 200MM
capitolareatech NEW - $15.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-02730 DUPONT 68786-102 CONNector JUMPER 2 POS .1
capitolareatech NEW - $14.35 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-03019 HUBBELL 2610A Twist Lock Receptacle, 30A, 1
capitolareatech NEW - $67.22 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01151 AMPHENOL (AMP) 1-51692-1 CONN PLUG CA MTG 1
capitolareatech NEW - $15.01 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01564 AMPHENOL (AMP) 207216-2 CONN RCPT PNL MTG 1
capitolareatech NEW - $229.11 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-05441 CB, 5 MA GFCI 20A 1 POLE QUICKLAG
capitolareatech NEW - $1,726.07 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0227-10215 EMC Comp., Cable Assembly, Gas PNL #1 UMB
capitolareatech NEW - $633.48 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-32817 GAS LINE,A3,UHP,SEGMENT 1,CENTURA CMF,5J
capitolareatech NEW - $1,550.00 0 Dec/26/14 Feb/27/15
Description: NOVELLUS 02-109472-00 DIGITAL DYNAMICS ASSY,INTLK,HDP SIOC 1
capitolareatech NEW - $46.57 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00031 Beam Splitter 12.5 X 17.5 X 1 50R/50T
capitolareatech NEW - $5.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3080-01028 BELT TIMING 1/5P X 3/8W 60T NEOPRENE 1
capitolareatech NEW - $339.48 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3750-01028 GASONICS A95-062-01 REV 1 CABLE ASSY CHAMBE
capitolareatech NEW - $136.45 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3760-00148 SMC MXQ12-75B SMC MXQ12-75B; SLIDE LINEAR 1
capitolareatech NEW - $15.00 0 Dec/27/14 Aug/24/15
Description: APPLIED MATERIALS (AMAT) 3780-00246 ACE 6/P1/100/135/P1/VVV/A-100N Gas Spring 1
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: LAM RESEARCH (LAM) 3790-01301 SPACER SST SHIM 10,95X8X0,1
capitolareatech NEW - $21.83 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3690-00440 ASM CO 116060-1.00 SS 1 SCR CAPTIVE CAP SKT
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01474 SCHROFF 2110-268 SCR MACH KNRL HD M21.5 X 1
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-03448 SCR MACH FLT HD 8-32 X 1/2L SLOTTED SST 1
capitolareatech NEW - $45.00 0 Dec/27/14 Jan/21/16
Description: NOVELLUS 61-292277-00 MKS 9615-0356 Heater Jacket, 120V, 47W, 0.39A, 50-60Hz, 1
capitolareatech NEW - $35.00 0 Dec/27/14 Aug/24/15
Description: NOVELLUS 61-292278-00 MKS 9615-0362 Heater Jacket, 120V, 59W, 0.49A, 50/60Hz, 1
capitolareatech NEW - $75.30 0 Dec/27/14 Nov/22/15
Description: LAM RESEARCH (LAM) 515-032639-001 REV 1 TOOL, CYLINDER HEIGHT ADJUST
capitolareatech NEW - $15.00 0 Dec/27/14 Jan/26/15
Description: LAM Research (LAM) 713-013486-001 Plate, 2 3/4" X 1 1/8"
capitolareatech Used - $55.79 0 Dec/28/14 Feb/24/16
Description: LAM RESEARCH (LAM) 839-024318-100 REV 1 TUBE ASSY
capitolareatech NEW - $135.00 0 Dec/28/14 Feb/24/16
Description: LAM RESEARCH (LAM) 853-017930-003 ASSY, HARNESS, SOLENOID #1
svcstore NEW - $128.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket Used - $101.99 0 Dec/30/14 Jan/29/15
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
bornalliancecom NEW - $4,500.00 0 Dec/31/14 Jul/29/15
Description: AMAT PVD 0010-20287 & 0010-20288 Handler, Assy. LT & RT (NEW; 1 pair)
svcstore NEW - $128.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore Used - $128.99 0 Jan/01/15 Jan/05/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
yayais2012 Used - $200.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
svcstore NEW - $183.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
bobsgoodies NEW - $87.00 0 Jan/02/15 Jan/03/15
Description: AMAT 0050-28314 Gems Piston Type FS-380 Flow Switch 183431 1 GPM
farmoninc NEW - $250.00 0 Jan/02/15 Mar/02/23
Description: AMAT 0150-02786 Cable Assy, Heat Exchanger 1, EMC COMP, Assembly
convertechs3 Used - $499.99 0 Jan/02/15 Jan/09/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
systasemi Used - $700.00 0 Jan/04/15 Feb/03/15
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
svcstore NEW - $128.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
bobsgoodies NEW - $87.00 1 Jan/05/15 Jun/19/15
Description: AMAT 0050-28314 Gems Piston Type FS-380 Flow Switch 183431 1 GPM
athomemarket NEW - $57.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
farmoninc NEW - $770.00 0 Jan/07/15 Jun/26/21
Description: AMAT 0140-00660 Harness Assy, Seriplex Genrack Signals 1, Assembly
grandbirdnet NEW - $1,900.00 0 Jan/07/15 Mar/02/23
Description: AMAT 3030-09600 LFM LF-210A-EVD TICL4 .05GPM FS 1/4 & 1 , NEW
svcstore NEW - $128.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
dr.fantom Refurbished - $80.00 0 Jan/08/15 Feb/12/15
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
bms-semicon Used - $599.90 0 Jan/08/15 Mar/02/23
Description: Novellus PDL CVD 61-286900-00 REV 1 102770-10-05
farmoninc NEW - $120.00 0 Jan/08/15 Feb/02/16
Description: 14 AMAT 3300-02279 FTG Elbow 1/8T X 1/8MNPT 1 Touch Quick Disconnect, Fitting
svcstore NEW - $183.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
convertechs3 Used - $424.99 0 Jan/09/15 Jan/16/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
athomemarket Used - $2,909.99 0 Jan/10/15 Feb/09/15
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
svcstore NEW - $128.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
athomemarket Used - $481.99 0 Jan/11/15 Feb/10/15
Description: GE Fanuc CP3450-S000609 Controller RTC CPCI Endura Short Block 1 AMAT 0090-04470
svcstore NEW - $183.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket NEW - $88.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore NEW - $128.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
powersell007 NEW - $399.00 1 Jan/16/15 Jan/09/18
Description: NEW APPLIED MATERIALS 0010-75341 REV 001 ASSY,5KVA XFMR TRANSFORMER PHASE 1 AMAT
benta09 NEW - $59.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
svcstore NEW - $183.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $183.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $128.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $128.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
convertechs3 Used - $374.99 0 Jan/17/15 Jan/24/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
supertechshop NEW - $249.00 0 Jan/19/15 Feb/18/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore NEW - $128.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 Used - $95.00 0 Jan/20/15 Feb/19/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
usedeqsales Used - $1,251.15 1 Jan/20/15 Mar/04/15
Description: Novellus 02-252369-00 HDSIOC 1 Vector Controller Used Working
svcstore NEW - $183.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket Used - $114.99 0 Jan/22/15 Feb/21/15
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
svcstore NEW - $128.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
convertechs3 Used - $359.99 0 Jan/24/15 Jan/31/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $128.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $128.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
athomemarket Used - $89.99 0 Jan/29/15 Feb/28/15
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
svcstore NEW - $183.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $128.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
convertechs3 Used - $349.99 0 Jan/31/15 Feb/07/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $128.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 Used - $180.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
svcstore NEW - $183.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $128.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
systasemi Used - $700.00 0 Feb/05/15 Mar/07/15
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
athomemarket NEW - $57.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $128.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
powersell007 NEW - $859.00 0 Feb/07/15 Nov/23/17
Description: APPLIED MATERIALS 0041-04514 ZONE 1 CLAMP, 300MM TITAN CALYPSO AMAT *NEW IN BOX*
svcstore NEW - $183.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
convertechs3 Used - $334.99 0 Feb/08/15 Feb/15/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
partskorea1 Used - $999.00 1 Feb/09/15 May/05/15
Description: MEI T008-2001 Rev. 1 eXMP Controller AMAT P/N 0190-13990
athomemarket Used - $2,909.99 0 Feb/09/15 Mar/11/15
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
svcstore NEW - $128.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $128.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
athomemarket Used - $481.99 0 Feb/10/15 Mar/12/15
Description: GE Fanuc CP3450-S000609 Controller RTC CPCI Endura Short Block 1 AMAT 0090-04470
svcstore NEW - $183.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket NEW - $88.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
dr.fantom Used - $1,500.00 1 Feb/12/15 May/08/15
Description: Digital Dynamics / Novellus VECTOR HDSIOC 1 02-252396-00
dr.fantom Refurbished - $69.99 0 Feb/12/15 Feb/10/20
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
svcstore NEW - $128.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
grandbirdnet NEW - $200.00 0 Feb/13/15 Mar/02/23
Description: AMAT 3700-03864 ORING 1 .600 CSD 210 KALREZ 8575 , NEW
svcstore NEW - $183.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Feb/13/15 Feb/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $128.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
convertechs3 Used - $319.99 0 Feb/16/15 Feb/23/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
offerandown Used - $69.00 0 Feb/16/15 Feb/23/15
Description: 1 Vexta PH265-05B-C1 Stepper Motor with dual ended 1/4"shaft AMAT 0090-09003
svcstore NEW - $183.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
benta09 NEW - $59.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
svcstore NEW - $183.99 0 Feb/16/15 Feb/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
sparesllc09 Used - $20,000.00 0 Feb/17/15 Nov/14/15
Description: 0242-88819 ,0010-21676/ VECTRA IMP 1 SOURCE ASSY PVD/ AMAT
svcstore NEW - $128.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
supertechshop NEW - $249.00 0 Feb/19/15 Mar/21/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore NEW - $183.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 Used - $95.00 0 Feb/19/15 Mar/21/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
svcstore NEW - $183.99 0 Feb/19/15 Feb/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket Used - $114.99 0 Feb/21/15 Mar/23/15
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
svcstore NEW - $128.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Feb/22/15 Feb/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
convertechs3 Used - $299.99 0 Feb/23/15 Mar/02/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $128.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Feb/25/15 Feb/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $128.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
athomemarket Used - $89.99 0 Feb/28/15 Mar/30/15
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
svcstore NEW - $183.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Feb/28/15 Mar/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
convertechs3 Used - $274.99 0 Mar/02/15 Mar/09/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $128.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $128.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $183.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Mar/03/15 Mar/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
offerandown Used - $99.00 0 Mar/03/15 Apr/02/15
Description: 1 Vexta PH265-05B-C1 Stepper Motor with dual ended 1/4"shaft AMAT 0090-09003
used1eqsales Used - $200.00 0 Mar/05/15 May/26/17
Description: AMAT 0020-28668 Poppet Valve 301178-2710 Rev 1 Lot of 4 used working
offerandown Used - $100.00 0 Mar/05/15 Apr/04/15
Description: 1 VEXTA PK569AUHA 5-Phase Stepping Motor .AMAT 0090-39195
offerandown Used - $100.00 0 Mar/05/15 Apr/04/15
Description: 1 VEXTA PK569AUA 5-Phase Stepping Motor AMAT 0190-35788
yayais2012 Used - $180.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
svcstore NEW - $128.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
offerandown Used - $1,000.00 1 Mar/06/15 Mar/08/15
Description: 1 NSK ELA Series ELA-B014CFB VHP Motor Controller AMAT 0190-03545
svcstore NEW - $128.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Mar/06/15 Mar/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket NEW - $57.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
systasemi Used - $700.00 0 Mar/09/15 Mar/12/15
Description: MKS Manometer Gauge, 223B-22412, 27-156444-00 , 1 Torr, Novellus , Working
convertechs3 Used - $259.99 0 Mar/09/15 Mar/16/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $128.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
offerandown Used - $1,000.00 1 Mar/10/15 Mar/10/15
Description: 1 NSK ELA Series ELA-B014CFC-03 300mm Motor Controller AMAT 0190-03543
athomemarket Used - $2,909.99 0 Mar/11/15 Apr/10/15
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
offerandown NEW - $175.00 1 Mar/12/15 Mar/19/15
Description: 1 AMAT 0870-01011 (NIB) YASKAWA ELECTRIC SGDA-01AS SERVO DRIVE
athomemarket Used - $481.99 0 Mar/12/15 Apr/11/15
Description: GE Fanuc CP3450-S000609 Controller RTC CPCI Endura Short Block 1 AMAT 0090-04470
svcstore NEW - $128.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $183.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $183.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket NEW - $88.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore NEW - $128.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
convertechs3 Used - $234.99 0 Mar/16/15 Mar/23/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $169.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $169.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
benta09 NEW - $59.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
offerandown Used - $1,000.00 0 Mar/18/15 Mar/18/15
Description: 1 NSK ELA Series ELA-B014CFC-03 300mm Motor Controller AMAT 0190-03543
offerandown NEW - $175.00 0 Mar/19/15 Mar/26/15
Description: 1 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS SERVO DRIVE
svcstore NEW - $169.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $169.99 0 Mar/21/15 Mar/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 Used - $95.00 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
athomemarket Used - $114.99 0 Mar/23/15 Apr/22/15
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
convertechs3 Used - $219.99 0 Mar/23/15 Mar/30/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $169.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
supertechshop NEW - $249.00 0 Mar/24/15 Apr/23/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore NEW - $169.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $128.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
offerandown NEW - $175.00 0 Mar/26/15 Apr/02/15
Description: 1 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS SERVO DRIVE
svcstore NEW - $169.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $169.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $128.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $169.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket Used - $89.99 0 Mar/30/15 Apr/29/15
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
svcstore NEW - $169.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
convertechs3 Used - $199.99 0 Mar/30/15 Apr/06/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $128.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $169.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
yayais2012 Used - $180.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
svcstore NEW - $169.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $128.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Apr/06/15 Apr/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
convertechs3 Used - $184.99 0 Apr/06/15 Apr/13/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
athomemarket NEW - $57.99 0 Apr/07/15 May/07/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $169.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $169.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $128.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
offerandown NEW - $175.00 0 Apr/09/15 Apr/16/15
Description: 1 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS SERVO DRIVE
offerandown Used - $100.00 0 Apr/09/15 Apr/28/15
Description: 1 VEXTA PK569AUHA 5-Phase Stepping Motor .AMAT 0090-39195
used1eqsales Used - $804.15 0 Apr/09/15 May/26/17
Description: AMAT 0010-25341 CPI-VMO Chamber 1 used for parts sold as is
svcstore NEW - $128.99 0 Apr/09/15 Apr/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
dvkelectronics Used - $400.00 0 Apr/10/15 May/10/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
athomemarket Used - $2,909.99 0 Apr/10/15 May/10/15
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
svcstore NEW - $169.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket Used - $481.99 0 Apr/11/15 May/11/15
Description: GE Fanuc CP3450-S000609 Controller RTC CPCI Endura Short Block 1 AMAT 0090-04470
svcstore NEW - $169.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $128.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Apr/12/15 Apr/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
athomemarket NEW - $88.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
convertechs3 Used - $174.99 0 Apr/13/15 Apr/20/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $169.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $128.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
offerandown Used - $90.00 0 Apr/15/15 May/15/15
Description: 1 VEXTA PK569-NAA 5-Phase Stepping Motor .AMAT 0190-09552
svcstore NEW - $128.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $169.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
catalystparts NEW - $100.00 0 Apr/16/15 Dec/12/15
Description: Applied Materials K-Tec 0150-20112 Cable Assy, EMO Generator 1,2, 6 1/2"
offerandown NEW - $175.00 0 Apr/16/15 Apr/23/15
Description: 1 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SERVOPACK SGDA-01AS
svcstore NEW - $169.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $128.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $128.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $169.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
offerandown Used - $80.00 0 Apr/20/15 May/20/15
Description: 1 VEXTA PH265 -05-A25 5-Phase Stepping Motor with brake AMAT 0190-09010
ntc_tech Used - $1,125.00 1 Apr/20/15 Mar/27/19
Description: Novellus 853-163840-001 EIOC 1 VXL/VXT ASM, FE-EIOC 1 CFD OXIDE CONTA
svcstore NEW - $169.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
yayais2012 Used - $95.00 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
convertechs3 Used - $159.99 0 Apr/21/15 Apr/28/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $169.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
athomemarket Used - $114.99 0 Apr/22/15 May/22/15
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
svcstore NEW - $118.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $118.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
dvkelectronics Used - $200.00 0 Apr/22/15 May/22/15
Description: (1) Gatekeeper SS-500K-I-4R Inert Gas Purifier w/ Tescom + Parker Valve +
offerandown NEW - $175.00 0 Apr/23/15 Apr/30/15
Description: 1 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SERVOPACK SGDA-01AS
bevemill-s2ycf8rn Used - $175.00 1 Apr/03/15 Apr/23/15
Description: MKS INSTRUMENTS 127A-13608 BARATRON 1 TORR
svcstore NEW - $169.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
xl-t_com NEW - $26.00 0 Apr/25/15 Nov/21/15
Description: APPLIED MATERIALS - 3790-01038 - STDF- 1 Bag of qty(13)
svcstore NEW - $118.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $169.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $118.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $169.99 0 Apr/26/15 Apr/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $169.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
convertechs3 Used - $149.99 0 Apr/28/15 May/05/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $118.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $118.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
athomemarket Used - $89.99 0 Apr/29/15 May/29/15
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
svcstore NEW - $169.99 0 Apr/29/15 May/02/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
offerandown NEW - $175.00 0 Apr/30/15 May/07/15
Description: 1 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SERVOPACK SGDA-01AS
svcstore NEW - $169.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $118.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $118.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $169.99 0 May/02/15 May/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $169.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $118.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $118.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
yayais2012 Used - $180.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
convertechs3 Used - $134.99 0 May/05/15 May/12/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $118.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $118.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $169.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
offerandown NEW - $175.00 0 May/08/15 May/15/15
Description: 1 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SERVOPACK SGDA-01AS
gigabitpartsolutions Used - $577.50 1 May/07/15 Feb/18/16
Description: Baratron MKS D27B01RCEC0B0 Applied Materails (AMAT) 1350-00201 1 TORR/100MT Dual
athomemarket NEW - $57.99 0 May/07/15 Jun/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $169.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $169.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
dvkelectronics Used - $375.00 0 May/10/15 Jun/09/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $118.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $118.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
keykorea Used - $600.00 0 May/10/15 Apr/12/18
Description: AMAT 0090-77291 ASSY, CHEM 1 PRESSURE SWITCH, MEG LDM, USED
offerandown Used - $150.00 0 May/11/15 Jun/10/15
Description: 1 Sanyo Denki P50B05020DCS00M AC Servo Motor BL Super P5 AMAT 0090-00655
offerandown Used - $100.00 0 May/11/15 Jun/10/15
Description: 1 VEXTA PK569AUA 5-Phase Stepping Motor AMAT 0190-35788
athomemarket Used - $2,909.99 0 May/11/15 Jun/10/15
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
athomemarket Used - $481.99 0 May/12/15 Jun/11/15
Description: GE Fanuc CP3450-S000609 Controller RTC CPCI Endura Short Block 1 AMAT 0090-04470
convertechs3 Used - $124.99 0 May/13/15 May/20/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
athomemarket NEW - $88.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore NEW - $118.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $118.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $156.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
farmoninc NEW - $575.00 0 May/15/15 Oct/12/15
Description: 3 AMAT 0150-09616 SPAN IPS 122 TYPE 1 8-30VDC 12W CABLE PRESSURE SWITCH A 401889
offerandown NEW - $175.00 0 May/15/15 May/22/15
Description: 1 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SERVOPACK SGDA-01AS
svcstore NEW - $118.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $118.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $156.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $156.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
convertechs3 Used - $114.99 0 May/20/15 May/27/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $156.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
offerandown Used - $20.00 0 May/21/15 May/28/15
Description: 1 VEXTA PH265 -05-A25 5-Phase Stepping Motor with brake AMAT 0190-09010
offerandown NEW - $175.00 0 May/22/15 May/29/15
Description: 1 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SERVOPACK SGDA-01AS
svcstore NEW - $109.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $109.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
yayais2012 Used - $95.00 0 May/23/15 Jun/22/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
athomemarket Used - $114.99 0 May/23/15 Jun/22/15
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
svcstore NEW - $156.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $156.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $109.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $109.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $156.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
convertechs3 Used - $99.99 0 May/27/15 Jun/26/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcompucycle NEW - $249.00 0 May/28/15 Jun/27/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore NEW - $109.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $109.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
offerandown NEW - $175.00 0 May/29/15 Jun/05/15
Description: 1 YASKAWA ELECTRIC SERVOPACK SGDA-01AS (NIB) AMAT 0870-01010
athomemarket Used - $89.99 0 May/29/15 Jun/28/15
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
svcstore NEW - $156.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $156.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $109.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $109.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $156.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $109.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $109.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 Used - $180.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
athomemarket NEW - $57.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $109.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $109.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $156.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $156.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
dvkelectronics Used - $350.00 0 Jun/09/15 Jul/09/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $109.99 0 Jun/09/15 Jun/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $109.99 0 Jun/09/15 Jun/12/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
athomemarket Used - $2,909.99 0 Jun/10/15 Jul/10/15
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
athomemarket Used - $481.99 0 Jun/11/15 Jul/11/15
Description: GE Fanuc CP3450-S000609 Controller RTC CPCI Endura Short Block 1 AMAT 0090-04470
athomemarket NEW - $88.99 0 Jun/12/15 Jul/12/15
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore NEW - $109.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $109.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $156.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $109.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $156.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $109.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $156.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
surplusssam Used - $999.99 1 Jun/17/15 Jun/27/15
Description: AMAT 0020-21699 CLASS 1 LASER ALIGNMENT ORIENTER REV D
svcstore NEW - $156.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $156.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $156.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
yayais2012 Used - $95.00 0 Jun/22/15 Jul/22/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
athomemarket Used - $114.99 0 Jun/22/15 Jun/10/17
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
gigabitpartsolutions Used - $108.90 1 Jun/23/15 Jul/14/20
Description: OEM Part Novellus 02-109472-00 ASSY,INTLK,HDP SIOC 1 SPEED 1 Interlock
svcstore NEW - $100.99 0 Jun/23/15 Jun/26/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $100.99 0 Jun/23/15 Jun/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $156.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
convertechs3 Used - $84.99 0 Jun/26/15 Jul/26/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
svcstore NEW - $100.99 0 Jun/26/15 Jun/29/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $100.99 0 Jun/26/15 Jun/29/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
auctionrus NEW - $75.00 1 Jun/27/15 Nov/24/16
Description: AMAT 0020-81812 Bracket 1, WOHS, 406476
svcstore NEW - $156.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $156.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket Used - $89.99 0 Jun/28/15 Jun/17/17
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
svcstore NEW - $100.99 0 Jun/29/15 Jul/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $100.99 0 Jun/29/15 Jul/02/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $156.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcompucycle NEW - $249.00 0 Jul/01/15 Jul/31/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore NEW - $100.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $100.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
esprprts Scrap, for parts - $450.00 1 Jul/03/15 Jul/04/15
Description: AMAT 0020-21699 CLASS 1 LASER ALIGNMENT ORIENTER REV 001
svcstore NEW - $156.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $100.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $100.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
athomemarket NEW - $57.99 0 Jul/06/15 Jun/24/17
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $156.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $156.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $100.99 0 Jul/08/15 Jul/11/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $100.99 0 Jul/08/15 Jul/11/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
yayais2012 Used - $180.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
dvkelectronics Used - $350.00 0 Jul/09/15 Aug/08/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
athomemarket Used - $2,909.99 0 Jul/10/15 Jun/28/17
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
svcstore NEW - $144.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $144.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
athomemarket Used - $433.79 0 Jul/11/15 Mar/04/16
Description: GE Fanuc CP3450-S000609 Controller RTC CPCI Endura Short Block 1 AMAT 0090-04470
svcstore NEW - $100.99 0 Jul/11/15 Jul/14/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $100.99 0 Jul/11/15 Jul/14/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
athomemarket NEW - $88.99 0 Jul/12/15 Jun/30/17
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
svcstore NEW - $144.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $100.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $100.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $144.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $144.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $100.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $100.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
esprprts Scrap, for parts - $375.00 1 Jul/18/15 Jul/21/15
Description: AMAT 0020-21699 CLASS 1 LASER ALIGNMENT ORIENTER REV C
svcstore NEW - $144.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $144.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 Used - $95.00 0 Jul/23/15 Aug/22/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
svcstore NEW - $100.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $100.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $144.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $144.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
convertechs3 Used - $74.99 1 Jul/26/15 Aug/18/15
Description: Aera /AE TC FC D980C 1 SLM / SIH4 MFC Novellus 22-136138-00 Mass Flow Controller
gigabitpartsolutions NEW - $49.50 0 Jul/27/15 Mar/24/16
Description: O-Ring DU PONT AS-568A Applied Materails (AMAT) 3700-01360 PKG 4 ID .359 CSD .1
svcstore NEW - $92.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $92.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $144.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $92.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
svcstore NEW - $92.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $144.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $144.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcompucycle NEW - $249.00 0 Aug/02/15 Sep/01/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore NEW - $92.99 0 Aug/02/15 Aug/05/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
offerandown NEW - $175.00 0 Aug/02/15 Aug/09/15
Description: 1 YASKAWA ELECTRIC SERVOPACK SGDA-01AS (NIB) AMAT 0870-01010
svcstore NEW - $92.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $349.99 0 Aug/03/15 Aug/06/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore NEW - $144.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $199.99 0 Aug/03/15 Aug/06/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $144.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $92.99 0 Aug/05/15 Aug/08/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
metkorea Used - $2,700.00 0 Aug/06/15 Mar/25/21
Description: Novellus Systems / Sesioc 0 Pvd Ixt / 02-272805-00 Rev 1 (Dhl/Fedeex/Ems)
svcstore NEW - $92.99 0 Aug/06/15 Aug/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $349.99 0 Aug/06/15 Aug/09/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Aug/06/15 Aug/09/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $144.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $92.99 1 Aug/08/15 Aug/10/15
Description: NEW AMAT Applied Materials L-Collar SI-SIC 1.5mm Step Ring Unit 0200-08167 #1
dvkelectronics Used - $350.00 0 Aug/08/15 Sep/07/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $92.99 0 Aug/09/15 Aug/12/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $349.99 0 Aug/09/15 Aug/12/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Aug/09/15 Aug/12/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
offerandown NEW - $175.00 0 Aug/10/15 Aug/17/15
Description: 1 YASKAWA ELECTRIC SERVOPACK SGDA-01AS (NIB) AMAT 0870-01010
svcstore NEW - $144.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 Used - $200.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
svcstore NEW - $92.99 0 Aug/12/15 Aug/15/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $349.99 0 Aug/12/15 Aug/15/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Aug/12/15 Aug/15/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $144.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Aug/14/15 Aug/17/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $92.99 0 Aug/15/15 Aug/18/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $349.99 0 Aug/15/15 Aug/18/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Aug/15/15 Aug/18/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $144.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Aug/17/15 Aug/20/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
usedeqsales NEW - $308.15 1 Aug/18/15 Jan/15/19
Description: Pila 775600 Safety Relay PNOZ 1 24VAC PNOZ X Series AMAT 1200-00114 New
svcstore NEW - $92.99 0 Aug/18/15 Aug/20/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $349.99 0 Aug/18/15 Aug/21/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Aug/18/15 Aug/21/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $144.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $92.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $144.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
equipplus Used - $289.00 7 Aug/20/15 Oct/11/17
Description: AMAT 0041-04514 Zone 1 Clamp,300MM Titan CALYPSO,Applied Materials, Unused(3839)
svcstore Used - $349.99 0 Aug/21/15 Aug/26/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Aug/21/15 Aug/26/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
yayais2012 Used - $95.00 0 Aug/22/15 Sep/21/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
offerandown NEW - $175.00 0 Aug/22/15 Aug/29/15
Description: 1 YASKAWA ELECTRIC SERVOPACK SGDA-01AS (NIB) AMAT 0870-01010
svcstore NEW - $144.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
esprprts Scrap, for parts - $425.00 1 Aug/23/15 Aug/24/15
Description: AMAT 0020-21699 CLASS 1 LASER ALIGNMENT ORIENTER REV B
svcstore Used - $349.99 0 Aug/26/15 Aug/31/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Aug/26/15 Aug/31/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
gigabitpartsolutions NEW - $24.20 0 Aug/27/15 Nov/25/15
Description: O-Ring GREEN TWEED 9122-SSE38 LAM RESEARCH (LAM) 734-007524-122 Chemraz PKG 2 1
gigabitpartsolutions NEW - $17.60 0 Aug/27/15 Mar/25/16
Description: O-Ring GREEN TWEED 921-SSE38 LAM RESEARCH (LAM) 734-007524-121 Chemraz PKG 2 1.
svcstore NEW - $144.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $92.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $349.99 0 Aug/31/15 Sep/05/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Aug/31/15 Sep/05/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $144.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
offerandown NEW - $175.00 0 Sep/01/15 Sep/08/15
Description: 1 YASKAWA ELECTRIC SERVOPACK SGDA-01AS (NIB) AMAT 0870-01010
esprprts Scrap, for parts - $385.00 1 Sep/03/15 Sep/04/15
Description: AMAT 0020-21699 CLASS 1 LASER ALIGNMENT ORIENTER REV B
svcstore NEW - $144.99 0 Sep/04/15 Sep/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $349.99 0 Sep/05/15 Sep/10/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Sep/05/15 Sep/10/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $144.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
dvkelectronics Used - $350.00 0 Sep/07/15 Oct/07/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $92.99 0 Sep/08/15 Sep/13/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
offerandown NEW - $175.00 0 Sep/08/15 Sep/15/15
Description: 1 YASKAWA ELECTRIC SERVOPACK SGDA-01AS (NIB) AMAT 0870-01010
svcstore NEW - $144.99 0 Sep/09/15 Sep/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $279.99 0 Sep/10/15 Sep/15/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Sep/10/15 Sep/15/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
yayais2012 Used - $200.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
svcstore NEW - $144.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $92.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $144.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
offerandown NEW - $175.00 0 Sep/15/15 Sep/22/15
Description: 1 YASKAWA ELECTRIC SERVOPACK SGDA-01AS (NIB) AMAT 0870-01010
svcstore Used - $349.99 0 Sep/15/15 Sep/20/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Sep/15/15 Sep/20/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $144.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $144.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $92.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
used1eqsales Used - $3,509.15 1 Sep/21/15 Apr/14/16
Description: AMAT 0010-14528 Magnetic Source 1 PVD CPI-VMO Endura 404663 300mm used working
yayais2012 Used - $95.00 0 Sep/21/15 Oct/21/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
svcstore Used - $349.99 0 Sep/20/15 Sep/25/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Sep/20/15 Sep/25/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
eisale1535 Used - $3,850.00 0 Sep/21/15 Oct/09/16
Description: Yaskawa XU-CN1112A Robot Controller AMAT 0190-15107 Rev 1 Used Working
offerandown NEW - $175.00 0 Sep/22/15 Sep/29/15
Description: 1 YASKAWA ELECTRIC SERVOPACK SGDA-01AS (NIB) AMAT 0870-01010
svcstore NEW - $144.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $92.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $349.99 0 Sep/25/15 Sep/30/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Sep/25/15 Sep/30/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $129.99 0 Sep/26/15 Oct/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $144.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $92.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
offerandown NEW - $175.00 0 Sep/30/15 Sep/30/15
Description: 1 YASKAWA ELECTRIC SERVOPACK SGDA-01AS (NIB) AMAT 0870-01010
svcstore Used - $349.99 0 Oct/01/15 Oct/06/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $199.99 0 Sep/30/15 Oct/05/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $129.99 0 Oct/01/15 Oct/06/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
esprprts Scrap, for parts - $355.00 0 Oct/01/15 Oct/06/15
Description: AMAT 0020-21699 CLASS 1 LASER ALIGNMENT ORIENTER REV D
svcstore NEW - $144.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $92.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $129.99 0 Oct/06/15 Oct/11/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $199.99 0 Oct/06/15 Oct/11/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Oct/07/15 Oct/12/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
dvkelectronics Used - $325.00 0 Oct/07/15 Nov/06/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $144.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $92.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $129.99 0 Oct/11/15 Oct/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $199.99 0 Oct/11/15 Oct/16/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Oct/12/15 Oct/17/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
yayais2012 Used - $180.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
svcstore NEW - $108.74 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $92.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $129.99 0 Oct/16/15 Oct/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $199.99 0 Oct/16/15 Oct/21/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Oct/17/15 Oct/22/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore NEW - $92.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $144.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $129.99 0 Oct/21/15 Oct/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $199.99 0 Oct/21/15 Oct/26/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
yayais2012 Used - $95.00 0 Oct/21/15 Nov/20/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
svcstore Used - $349.99 0 Oct/22/15 Oct/27/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore NEW - $92.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $144.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $129.99 0 Oct/26/15 Oct/31/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $199.99 0 Oct/26/15 Oct/31/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Oct/27/15 Nov/01/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore NEW - $129.99 0 Oct/31/15 Nov/05/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $199.99 0 Oct/31/15 Nov/05/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Nov/01/15 Nov/06/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore NEW - $82.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $129.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $82.99 0 Nov/04/15 Nov/09/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $129.99 0 Nov/05/15 Nov/10/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $199.99 0 Nov/05/15 Nov/10/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Nov/06/15 Nov/11/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
auctionrus NEW - $650.00 0 Nov/07/15 Jan/07/22
Description: AMAT 0190-15891 Assembly, Heater, SLD SEG 1, Gas 4, Etch, 411004
svcstore NEW - $129.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $82.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $199.99 0 Nov/10/15 Nov/15/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Nov/11/15 Nov/16/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore NEW - $115.99 0 Nov/11/15 Nov/16/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
yayais2012 Used - $180.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
dvkelectronics Used - $300.00 0 Nov/12/15 Dec/12/15
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
svcstore NEW - $129.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $82.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore Used - $199.99 0 Nov/15/15 Nov/20/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
esprprts Scrap, for parts - $355.00 1 Nov/16/15 Nov/16/15
Description: AMAT 0020-21699 CLASS 1 LASER ALIGNMENT ORIENTER REV B
athomemarket Used - $249.99 0 Nov/16/15 Nov/23/15
Description: LAM Research 810-802901-307 Rev. C Node 1 PM Common PCB Board/Card
svcstore Used - $349.99 0 Nov/16/15 Nov/21/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore NEW - $115.99 0 Nov/16/15 Nov/21/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $129.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $82.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
yayais2012 Used - $95.00 0 Nov/21/15 Dec/21/15
Description: APPLIED MATERIALS ISSUE G SIDE 1 0120-90521 DAQ POWER SUPPLY
svcstore NEW - $115.99 0 Nov/21/15 Nov/26/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
supertechshop NEW - $99.00 0 Nov/22/15 Dec/22/15
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
svcstore Used - $349.99 0 Nov/23/15 Nov/28/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $178.99 0 Nov/23/15 Nov/28/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
athomemarket Used - $249.99 0 Nov/24/15 Nov/12/17
Description: LAM Research 810-802901-307 Rev. C Node 1 PM Common PCB Board/Card
svcstore NEW - $129.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $82.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $115.99 0 Nov/26/15 Dec/01/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $349.99 0 Nov/28/15 Dec/03/15
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $178.99 0 Nov/28/15 Dec/03/15
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $129.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $82.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $115.99 0 Dec/02/15 Dec/07/15
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $178.99 0 Dec/03/15 Jan/02/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Dec/03/15 Jan/02/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
offerandown NEW - $30.88 1 Dec/04/15 Dec/11/15
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
svcstore NEW - $129.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $82.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
svcstore NEW - $115.99 0 Dec/07/15 Jan/06/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
farmoninc NEW - $1,500.00 0 Dec/07/15 Jul/23/18
Description: AMAT 0190-21434 Target Monoblac, ALCU 1/SI 1, Farmon ID 327869
offerandown NEW - $21.50 1 Dec/29/15 Jan/05/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
supertechshop NEW - $99.00 0 Dec/23/15 Jan/22/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
ssssayag NEW - $27.00 0 Jan/01/16 Jan/31/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
tdindustrial NEW - $100.00 1 Jan/02/16 Jan/25/17
Description: LAM Alliance Auxiliary Drawings and Schematic, 406-240204-010 Rev A, Volume 1
svcstore Used - $349.99 0 Jan/02/16 Feb/01/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $178.99 0 Jan/02/16 Feb/01/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $129.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $82.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
grandsemicon*** NEW - $400.00 0 Jan/03/16 Jan/10/16
Description: LAM PN: 799-012856-001 LAM ATR 8 V2 Amplifier/ Brooks' PN: 002-9479-04 REV : 1
offerandown NEW - $31.00 1 Jan/05/16 Jan/12/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
svcstore NEW - $115.99 0 Jan/06/16 Feb/05/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
grandsemicon*** NEW - $400.00 0 Jan/10/16 Jan/17/16
Description: LAM PN: 799-012856-001 LAM ATR 8 V2 Amplifier/ Brooks' PN: 002-9479-04 REV : 1
yayais2012 Used - $200.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
offerandown NEW - $102.50 1 Jan/12/16 Jan/19/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
dvkelectronics Used - $300.00 0 Jan/13/16 Feb/12/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
discount_computer_parts Used - $299.99 6 Jan/15/16 Feb/17/19
Description: Novellus SIOC Speed 1 Field Connector Module 02-262491-00 Chassis Mezzanine
grandsemicon*** NEW - $400.00 0 Jan/18/16 Jan/25/16
Description: LAM PN: 799-012856-001 LAM ATR 8 V2 Amplifier/ Brooks' PN: 002-9479-04 REV : 1
offerandown NEW - $27.00 1 Jan/19/16 Jan/22/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
ecomicron NEW - $1,500.00 0 Jan/22/16 Feb/07/18
Description: 0190-35789, Applied Materials,MULTIPLEXED I/O CONTROL BD, AUX 1
offerandown NEW - $20.00 1 Jan/22/16 Jan/29/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
capitolareatech NEW - $75.30 0 Jan/23/16 Feb/24/16
Description: LAM RESEARCH (LAM) 515-032639-001 REV 1 TOOL, CYLINDER HEIGHT ADJUST
capitolareatech NEW - $45.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03250 Parker SPN400B Needle Valve, 1/4FNPT X 1, 2
capitolareatech NEW - $45.00 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 61-292277-00 MKS 9615-0356 Heater Jacket, 120V, 47W, 0.39A, 50-60Hz, 1
capitolareatech NEW - $158.36 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3330-01090 ORIENTAL MOTOR GFB5G15 GEAR BOX REDUCTION 1
capitolareatech NEW - $247.86 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91655 CONNECTOR (2) IS0-100, (1) KF-40, (1) KF-
capitolareatech NEW - $66.22 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-01934 Swagelok 316L-4-VCR-3AS FTG TBG GLD SHORT 1
capitolareatech NEW - $30.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3600-10001 SNAP-TITE 021383-TP SOLENOID VALVE; ORIF: 1
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01414 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01387 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $220.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01385 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01384 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $250.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01383 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $30.98 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 15-112447-02 LOCATOR,PIN,STD,TYPE 1
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $46.85 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 15-112447-01 LOCATOR,PIN,MOER,TYPE 1
capitolareatech NEW - $69.51 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00031 Beam Splitter 12.5 X 17.5 X 1 50R/50T
capitolareatech NEW - $633.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-32817 GAS LINE,A3,UHP,SEGMENT 1,CENTURA CMF,5J
capitolareatech NEW - $1,726.07 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-10215 EMC Comp., Cable Assembly, Gas PNL #1 UMB
capitolareatech NEW - $229.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-05441 CB, 5 MA GFCI 20A 1 POLE QUICKLAG
capitolareatech NEW - $751.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-00928 NF SENSOR ASSEMBLY CLASS 1 (3M); 315-6803
ssssayag NEW - $50.00 0 Jan/24/16 Feb/23/16
Description: AMAT 0040-52131, Cover, S-Probe Triton- 1 Lot of 2 items
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-77037 PCB INTERLOCK #1 IN CONTROLLER MIRRA CMP
esprprts Scrap, for parts - $330.00 1 Jan/25/16 Jan/27/16
Description: AMAT 0020-21699 CLASS 1 LASER ALIGNMENT ORIENTER REV D
supertechshop NEW - $99.00 0 Jan/25/16 Feb/24/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
auctionrus NEW - $300.00 0 Jan/26/16 Aug/08/18
Description: AMAT 0150-95207, Harting, Han 6HSP, Cable, Assembly, CFA, X13A.P1/SMIF, ARM 1.
grandsemicon*** Used - $400.00 0 Jan/26/16 Mar/11/19
Description: LAM PN: 799-012856-001 LAM ATR 8 V2 Amplifier/ Brooks' PN: 002-9479-04 REV : 1
invac-systems Used - $950.00 0 Jan/29/16 Apr/21/21
Description: MKS Baratron Capacitance Manometer 628BX01TBE1B, 1 Torr - USED
ssssayag NEW - $27.00 0 Jan/31/16 Mar/01/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
russellyd Scrap, for parts - $15,000.00 0 Feb/01/16 Mar/02/16
Description: 0195-02563 AMAT STECTRUM 1 SET
auctionrus NEW - $30.00 1 Feb/01/16 Jul/04/17
Description: AMAT 0140-77650, Cable, Harness, Tubing Bundle 1. 414325
offerandown NEW - $20.50 1 Feb/03/16 Feb/10/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
svcstore Used - $349.99 0 Feb/03/16 Mar/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore NEW - $115.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore Used - $160.99 0 Feb/04/16 Mar/05/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore NEW - $73.99 0 Feb/04/16 Feb/05/16
Description: NEW AMAT Applied Materials Chamber Liner Upper Bracket Ring 0200-35162 #1
sparesllc09 Used - $1,500.00 0 Feb/09/16 Apr/25/17
Description: 0010-20079 /CONTROLLER- TEMPERATURE,1820,1, DRIVER,PVD, ENDURA / AMAT
svcstore NEW - $103.99 0 Feb/09/16 Mar/10/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
offerandown NEW - $20.00 1 Feb/10/16 Feb/17/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
usedparts-pk Used - $699.90 1 Feb/13/16 Sep/17/18
Description: OCEAN OPTICS S2000 SPECTROMETER LAM 2300 Etcher P/N LAM 685-801852-002 #1
ssssayag NEW - $50.00 0 Feb/20/16 Mar/21/16
Description: Amat 0020-62219 Washer,Al, 020"Orifice, Ultima,HDPCVD-119423-0310, 1 lot of 30
systasemi Used - $200.00 0 Feb/18/16 Mar/19/16
Description: AMAT 9100B24P2, 0150-09648, Proteus Industries CABLE ASSY, FLOW SWITCH,1
asset_asset Used - $3,969.94 0 Feb/16/16 Oct/24/18
Description: NOVELLUS 02-252725-00 ASSY,SIOC,1,V4.72,VECTOR
ssssayag NEW - $50.00 0 Feb/23/16 Mar/24/16
Description: AMAT 0040-52131, Cover, S-Probe Triton- 1 Lot of 2 items
moes_place_1983 NEW - $395.00 1 Feb/24/16 Mar/14/17
Description: AMAT Applied Materials 0190-02362 0130-02362 Mainframe Interlock 1 Relays NEW
capitolareatech NEW - $100.00 0 Feb/24/16 Mar/11/16
Description: LAM 770-009005-001 CYLINDER AIR STROKE 2 3/4" BORE 1 5/8"""
capitolareatech NEW - $80.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-05495 Hose Assembly, ASTRON Supply, Line 1, CH. C, Push-Lok 801-6 WP
capitolareatech NEW - $382.30 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-10017 SGD,OUTER, 1' 25"
capitolareatech NEW - $317.84 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-10016 SGD,INNER,6 EAR,1' 25"
capitolareatech NEW - $739.43 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09910 Ring, Focus, 8", 230MM ID X 1 .38 High, Notch
capitolareatech NEW - $41.55 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09575 Lift Pin, REV 1 Ceramic Hoop, 200 MM
capitolareatech NEW - $1,209.57 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-09574 HOOP,REV 1 CERAMIC,200MM
capitolareatech NEW - $125.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-02929 Insert Ring, Columnar SI, #1, Step .06, 3
capitolareatech NEW - $120.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1410-01388 WATLOW Heater Jacket 30 MIL B LAYER UPPER ZONE 1 CHAM, 18V, 16W
capitolareatech NEW - $120.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1410-01386 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 1 CHAM, 16V, 14W
capitolareatech NEW - $220.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1410-01385 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $79.46 0 Feb/25/16 Jun/24/16
Description: AMAT 1350-01278 XDCR Pressure Range: 0-10"WC, Supply: 15-24VDC/24VAC, Output: 1
capitolareatech NEW - $38.41 0 Feb/25/16 Jun/24/16
Description: AMAT 1270-01566 SW THERMO SPST OPEN 96.1 C CLOSE 87.8C 1
capitolareatech NEW - $225.00 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $30.98 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 15-112447-02 LOCATOR,PIN,STD,TYPE 1
capitolareatech NEW - $46.85 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 15-112447-01 LOCATOR,PIN,MOER,TYPE 1
capitolareatech NEW - $247.86 0 Feb/25/16 Jun/24/16
Description: AMAT 3300-91655 CONNECTOR (2) IS0-100, (1) KF-40, (1) KF-16
capitolareatech NEW - $106.25 0 Feb/25/16 Jun/24/16
Description: AMAT 670-091765-040 CIRCUIT BREAKER, 250 VAC, DC65V, 2210-T210-K0MI-H121 4A, 1 P
capitolareatech NEW - $75.30 0 Feb/25/16 Jun/24/16
Description: LAM 515-032639-001 REV 1 TOOL, CYLINDER HEIGHT ADJUST
supertechshop NEW - $99.00 0 Feb/25/16 Mar/26/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
capitolareatech NEW - $35.00 0 Feb/25/16 Jun/24/16
Description: LAM 955-009539-007 INSULATION,TUBING,1 1/8ID x.43 x 100FT
capitolareatech NEW - $297.50 0 Feb/25/16 Jun/24/16
Description: LAM 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1
capitolareatech NEW - $135.00 0 Feb/25/16 Jun/24/16
Description: LAM 853-017930-003 ASSY, HARNESS, SOLENOID #1
vipermn Used - $1,000.00 0 Feb/28/16 Mar/12/16
Description: APPLIED MATERIALS 0010-09297 ASSY 15 VOLT POWER SUPPLY (1)
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01444 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01418 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 25V, 27W
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01414 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $250.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01383 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01427 WATLOW, Heater Jacket, 30 MIL B Layer Upper Zone 1 Chamber, 28V,
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1410-01421 Heater Jacket, 30 MIL B Layer Upper Zone 1 Chamber
capitolareatech Used - $225.00 0 Feb/29/16 Jun/28/16
Description: AMAT 3030-02330 STEC SEC-4500MO-UC Mass Flow Controller; Range: 10 SLM Gas: O2 1
ssssayag NEW - $27.00 0 Mar/01/16 Mar/31/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
capitolareatech Used - $125.00 1 Mar/02/16 Jun/30/16
Description: AMAT 0190-35093 INELCO TRANSFORMER PRI 200/208/480V 120VAC SEC. 1.
bobsgoodies2 Used - $240.00 0 Mar/04/16 Mar/31/22
Description: AMAT 3870-01023 Swagelok SS-4C-1 1/4" Check Valve 1 PSI Cracking (Lot of 4)
20041014625pm Scrap, for parts - $1,295.00 0 Mar/06/16 May/02/16
Description: Lam Research CPU 810-017034-005 REV 1
gesemiconductor NEW - $160.00 0 Mar/08/16 Mar/13/19
Description: Applied Materials 0020-83962 Electrode EH 1 HE
texassemicontech Used - $2,390.00 0 Mar/09/16 Aug/07/20
Description: Lam Research OnTrak 853-100105-001 Rev. 1 NEXT O/S Rev. 3.2
russellyd Scrap, for parts - $12,500.00 0 Mar/10/16 Apr/09/16
Description: 0195-02563 or RACK-AM300-HDPCVD2 AMAT STECTRUM 1 SET
visionsemi NEW - $229.00 2 Mar/11/16 Dec/06/16
Description: AMAT STEC MASS FLOW CONTROLLER SEC-7330M HORIBA Ar 1 SLM 3030-10853
onlinegaragesale03 NEW - $84.99 1 Mar/11/16 Jul/14/16
Description: Applied Materials AMAT 0020-09933 Gas Distribution Plate Sputter ma 1 D23
dvkelectronics Used - $300.00 0 Mar/15/16 Apr/14/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
ssssayag NEW - $40.00 0 Mar/21/16 Apr/20/16
Description: Amat 0020-62219 Washer,Al, 020"Orifice, Ultima,HDPCVD-119423-0310, 1 lot of 30
svcstore Used - $279.99 0 Mar/21/16 Apr/20/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $160.99 0 Mar/22/16 Apr/21/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
ssssayag NEW - $35.00 0 Mar/24/16 Apr/23/16
Description: AMAT 0040-52131, Cover, S-Probe Triton- 1 Lot of 2 items
gigabitpartsolutions NEW - $27.50 0 Mar/25/16 Feb/14/19
Description: O-Ring DU PONT AS-568A Applied Materails (AMAT) 3700-01360 PKG 4 ID .359 CSD .1
svcstore NEW - $103.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $115.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
gigabitpartsolutions NEW - $24.20 0 Mar/26/16 May/22/19
Description: O-Ring GREEN TWEED 9122-SSE38 LAM RESEARCH (LAM) 734-007524-122 Chemraz PKG 2 1
offerandown Used - $78.00 1 Mar/26/16 Mar/31/16
Description: 1 INDRAMAT TDM 1.2-100-300-W1-000 AC SERVO DRIVE CONTROLLER AMAT P/N 0540-90014
supertechshop NEW - $99.00 0 Mar/26/16 Apr/25/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
offerandown Used - $73.00 1 Mar/31/16 Apr/05/16
Description: 1 INDRAMAT TDM 1.2-100-300-W1-000 AC SERVO DRIVE CONTROLLER AMAT P/N 0540-90014
ssssayag NEW - $25.00 0 Apr/01/16 May/01/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
capitolareatech Used - $25.00 0 Apr/04/16 Jul/03/16
Description: AMAT 0040-01114 BRACKET CHAMBER ROT PCB MOD 1 TOXIC MOD
ecomicron NEW - $600.00 0 Apr/04/16 May/05/16
Description: 0040-34339 (or 0040-86016), AMAT, CENTER GAS FEED BLOCK TOP CLEAN ULTIMA 1
capitolareatech NEW - $29.60 0 Apr/05/16 Jul/04/16
Description: AMAT 3310-01079 Pressure Gauge, Range: 30 PSI 1-1/2 Connection: 1/8 ANPT CBM 1 1
capitolareatech NEW - $27.97 0 Apr/05/16 Jul/04/16
Description: AMAT 0900-90006 RFI Power Line Filter10A Two-stage General Purpose; FILTER EMI 1
capitolareatech NEW - $21.49 0 Apr/05/16 Jul/04/16
Description: AMAT 0680-90051 Circuit Breaker, 1 Pole, SP 20A 50/60HZ QP 40C, SWD HACR Type 12
capitolareatech NEW - $21.49 0 Apr/05/16 Jul/04/16
Description: AMAT 0680-90023 Circuit Breaker 1 POLE 30 AMP, HACR Type, 120/240 V.AC
offerandown Used - $51.00 1 Apr/05/16 Apr/12/16
Description: 1 INDRAMAT TDM 1.2-100-300-W1-000 AC SERVO DRIVE CONTROLLER AMAT P/N 0540-90014
capitolareatech Refurbished - $21.51 0 Apr/06/16 Jul/05/16
Description: AMAT 0660-00027 CPCI UPS, 1 MIN, 50W, CARD
capitolareatech NEW - $23.80 0 Apr/06/16 Jul/05/16
Description: AMAT 670-091765-050 CIRCUIT BREAKER ELL ARM 5A. 250 VAC, 1 POLE, 5 AMP, NO AUXIL
gti-semi Used - $8,000.00 1 Apr/07/16 Jul/14/18
Description: AMAT, CU-TITAN 1 COPPER HEAD, p/n 0010-77197
systasemi Used - $200.00 0 Apr/11/16 May/11/16
Description: AMAT 9100B24P2, 0150-09648, Proteus Industries CABLE ASSY, FLOW SWITCH,1
dvkelectronics Used - $300.00 0 Apr/15/16 May/15/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
capitolareatech NEW - $2.00 0 Apr/19/16 Sep/15/16
Description: LAM 713-011585-001 COVER, CLAMP BOLT ("A DIM. = .1)
ssssayag NEW - $30.00 0 Apr/20/16 May/20/16
Description: Amat 0020-62219 Washer,Al, 020"Orifice, Ultima,HDPCVD-119423-0310, 1 lot of 30
capitolareatech NEW - $10.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-66634 Heater, Cap, 1 Wire
svcstore Used - $349.99 0 Apr/20/16 May/20/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
capitolareatech NEW - $15.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0720-02730 CONNector JUMPER 2 POS .1 CTR GOLD/NICKEL
capitolareatech NEW - $10.15 0 Apr/20/16 Sep/15/16
Description: AMAT 0680-01062 Circuit Breaker, 1 Phase, 250V, 20A, 50/60Hz
capitolareatech NEW - $12.36 0 Apr/20/16 Sep/15/16
Description: AMAT 0680-01009 Circuit Breaker Magnetic Circuit Protector, 1 Pole, 10A
svcstore Used - $160.99 0 Apr/21/16 May/21/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
offerandown NEW - $20.00 1 Apr/21/16 Apr/28/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
ssssayag NEW - $35.00 0 Apr/23/16 May/23/16
Description: AMAT 0040-52131, Cover, S-Probe Triton- 1 Lot of 2 items
svcstore NEW - $115.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $103.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
supertechshop NEW - $99.00 0 Apr/25/16 May/19/16
Description: NEW Novellus Autocal Interface 03-145147-00 Concept 1 & 2 PCB Board Assy
offerandown NEW - $20.00 0 Apr/28/16 May/05/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
ecomicron NEW - $1,000.00 0 Apr/28/16 Jun/02/16
Description: 3030-01654, AMAT, UNIT- MFC UFC-1100A N2 1 SLM VIU, Cal Gas: N
ssssayag NEW - $25.00 0 May/01/16 May/31/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
20041014625pm Scrap, for parts - $695.00 0 May/02/16 May/09/16
Description: Lam Research CPU 810-017034-005 REV 1
capitolareatech NEW - $1.00 0 May/02/16 Jul/01/16
Description: AMAT 1260-01037 STRIP TERM .025 SQ 1 PIN .10CTR GLD SGL
offerandown NEW - $38.75 1 May/05/16 May/12/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
consulteam NEW - $94.95 1 May/05/16 Feb/02/17
Description: OMEGA OS36-K-280F, INFRARED THERMOCOUPLE; AMAT P/N 0150-18060, ULTIMA TC,1 EACH
ecomicron Used - $5,000.00 0 May/06/16 Jun/05/18
Description: 0220-43178, AMAT 1, HEAT EXCHANGER
all-of-cpu Used - $144.20 0 May/08/16 Nov/21/21
Description: LOT OF 7 Applied Materials 0050-08486 WLDMT Adapter 1 SEG 0 Ultima
bobsgoodies2 NEW - $85.00 0 May/09/16 Mar/31/22
Description: AMAT 3300-06059 1 1/2" Hose Fitting X 1" Tube 90 degree Hose End 316 SS
20041014625pm Scrap, for parts - $695.00 0 May/10/16 May/17/16
Description: Lam Research CPU 810-017034-005 REV 1
offerandown NEW - $20.00 1 May/12/16 May/19/16
Description: 1 YASKAWA ELECTRIC SERVOPACK'S SGDA-01AS (NIB) AMAT 0870-01010
ecomicron NEW - $2,600.00 0 May/16/16 May/03/23
Description: 0040-20614, AMAT, COLLIMATOR FOIL 1: 1X5/8 HEX
dvkelectronics Used - $300.00 0 May/16/16 Jun/15/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
20041014625pm Scrap, for parts - $995.00 0 May/17/16 Jun/12/16
Description: Lam Research CPU 810-017034-005 REV 1
capitolareatech NEW - $170.00 0 May/19/16 Sep/15/16
Description: AMAT 0050-21255 GASLINE VENT #1 CH1 VAR 5RA
svcstore Used - $160.99 0 May/21/16 May/31/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 May/21/16 May/31/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
surplusssam NEW - $29.99 0 May/23/16 Dec/03/19
Description: 1' NEW SWAGELOK SS-8R16TA16TA16-12 THERMOPLASTIC HOSE AMAT 3400-01547
surplusseller13 Used - $256.98 0 May/24/16 Dec/06/17
Description: LAM Research 810-802901-307 MB Node 1 PCB Used
svcstore NEW - $103.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $115.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
systasemi Used - $200.00 0 May/31/16 Jun/30/16
Description: AMAT 9100B24P2, 0150-09648, Proteus Industries CABLE ASSY, FLOW SWITCH,1
consulteam NEW - $39.95 1 Jun/03/16 Jan/10/18
Description: OSK5502, OMEGA ENGINEERING, THERMISTOR PROBE, AMAT 1150-01001, 1 EACH
svcstore Used - $143.99 0 Jun/04/16 Jul/04/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Jun/04/16 Jul/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
virtualspares NEW - $149.00 1 Jun/05/16 Jun/05/21
Description: MKS foreline heater AMAT p/n # 1410-00834 1 pcs 208v 64w 0.31A 50/60 Hz
virtualspares NEW - $115.00 0 Jun/05/16 Sep/23/21
Description: Watlow foreline heater AMAT p/n # 1410-00182 1 pcs 63v 104w
esprprts Scrap, for parts - $650.00 1 Jun/07/16 Jun/08/16
Description: AMAT 0020-21699 CLASS 1 LASER ALIGNMENT ORIENTER REV B
dvkelectronics Used - $300.00 0 Jun/16/16 Jul/16/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
visionsemi NEW - $19.00 0 Jun/23/16 Jan/19/17
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
svcstore NEW - $92.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $103.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
systasemi Used - $200.00 0 Jun/30/16 Jan/15/24
Description: AMAT 9100B24P2, 0150-09648, Proteus Industries CABLE ASSY, FLOW SWITCH,1
svcstore Used - $349.99 0 Jul/05/16 Aug/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $128.99 0 Jul/05/16 Aug/04/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
capitolareatech NEW - $5.00 0 Jul/08/16 Sep/15/16
Description: AMAT 3080-01028 BELT TIMING 1/5P X 3/8W 60T NEOPRENE 1
capitolareatech NEW - $34.75 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-92049 PICK-UP TYPE 1
capitolareatech NEW - $75.24 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-90421 Insulator 1
capitolareatech NEW - $165.54 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-00740 Cable Assembly., SRD Pneumatic #1
capitolareatech NEW - $164.52 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-00183 Cable, PCB, Stepper, CH. 1, ANNEAL
capitolareatech NEW - $150.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-78284 CABLE CLEANER EMO 1 200MM
capitolareatech NEW - $120.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-77940 CABLE, HEAD SWEEP 1,2 PWR, CNTRL BKHD TO
capitolareatech NEW - $280.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-77150 HT ROT 1 PWR, MNFRM BKHD
capitolareatech NEW - $185.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-77118 HARNESS, PAD COND 1, ENC
capitolareatech NEW - $111.52 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-95222 CFA X13A.P2/SMIF ARM 1
capitolareatech NEW - $387.59 0 Jul/08/16 Sep/15/16
Description: AMAT 0226-40266 Heat Exchanger Cable Assembly 1
capitolareatech NEW - $150.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-02601 Cable Assembly, DNET Trunk, .1 Meter, 300V
capitolareatech NEW - $98.88 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-01360 Cable Assembly Parallel 75FT DB25-M/F IEEE 1
capitolareatech NEW - $751.15 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-00928 NF SENSOR ASSEMBLY CLASS 1 (3M); 315-68030-00
capitolareatech NEW - $51.12 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-00870 Cable Assembly 15A, 208V, L6-15P / RING-TERM 1
capitolareatech NEW - $100.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-00804 DRY NOVA CONTROL BOX POWER CABLE ASSY 1.
capitolareatech NEW - $27.97 0 Jul/08/16 Sep/15/16
Description: AMAT 0900-90006 RFI Power Line Filter10A Two-stage General Purpose; FILTER EMI 1
capitolareatech NEW - $67.22 0 Jul/08/16 Sep/15/16
Description: AMAT 0720-01151 CONN PLUG CA MTG 1 POS COAX UG-204C
capitolareatech NEW - $60.75 0 Jul/08/16 Sep/15/16
Description: AMAT 1200-01531 Relay Contactor 3 Pole Open, Type: AUX: 1 NO-Side, Coil: 24V, 50
capitolareatech NEW - $3,000.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1140-00538 PSU G3 TO SPEC 0190-24145, Series KL, Input: 208V, 48-63Hz, 1 Ph
capitolareatech NEW - $69.51 0 Jul/08/16 Sep/15/16
Description: AMAT 1120-00031 Beam Splitter 12.5 X 17.5 X 1 50R/50T
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01444 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01427 WATLOW, Heater Jacket, 30 MIL B Layer Upper Zone 1 Chamber, 28V,
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01421 Heater Jacket, 30 MIL B Layer Upper Zone 1 Chamber
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01418 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 25V, 27W
capitolareatech NEW - $50.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01414 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $500.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01391 Heater Jacket, 30 MIL B Layer Upper Zone 1 CHAM, 45V, 41W
capitolareatech NEW - $120.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01388 WATLOW Heater Jacket 30 MIL B LAYER UPPER ZONE 1 CHAM, 18V, 16W
capitolareatech NEW - $150.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01387 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $120.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01386 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 1 CHAM, 16V, 14W
capitolareatech NEW - $220.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01385 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $150.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01384 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $250.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01383 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $225.00 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $30.98 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 15-112447-02 LOCATOR,PIN,STD,TYPE 1
capitolareatech NEW - $46.85 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 15-112447-01 LOCATOR,PIN,MOER,TYPE 1
capitolareatech NEW - $75.30 0 Jul/09/16 Sep/15/16
Description: LAM 515-032639-001 REV 1 TOOL, CYLINDER HEIGHT ADJUST
capitolareatech NEW - $297.50 0 Jul/09/16 Sep/15/16
Description: LAM 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1
capitolareatech NEW - $135.00 0 Jul/09/16 Sep/15/16
Description: LAM 853-017930-003 ASSY, HARNESS, SOLENOID #1
capitolareatech NEW - $35.00 0 Jul/09/16 Sep/15/16
Description: LAM 955-009539-007 INSULATION,TUBING,1 1/8ID x.43 x 100FT
capitolareatech Used - $225.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3030-02330 STEC SEC-4500MO-UC Mass Flow Controller; Range: 10 SLM Gas: O2 1
capitolareatech Refurbished - $21.51 0 Jul/09/16 Sep/15/16
Description: AMAT 0660-00027 CPCI UPS, 1 MIN, 50W, CARD
capitolareatech NEW - $15.00 0 Jul/09/16 Sep/15/16
Description: LAM 713-013486-001 Plate, 2 3/4" X 1 1/8"
capitolareatech Used - $125.00 0 Jul/10/16 Sep/15/16
Description: AMAT 0190-35093 INELCO TRANSFORMER PRI 200/208/480V 120VAC SEC. 1.
capitolareatech Used - $25.00 0 Jul/10/16 Sep/15/16
Description: AMAT 0040-01114 BRACKET CHAMBER ROT PCB MOD 1 TOXIC MOD
farmoninc Used - $1,950.00 0 Jul/18/16 Dec/19/17
Description: AMAT 0870-01032 Driver Heater SGL Phase, 208VAC, 30A, 1 Phase, P1164, 400856
farmoninc Used - $1,750.00 0 Jul/18/16 Dec/06/16
Description: AMAT 0190-09560 Phasetronics Lamp Driver, P1140, 180-230VAC, 1 Phase, 395553
ecomicron Used - $2,500.00 0 Jul/21/16 Jul/10/18
Description: 0150-35202, AMAT, CABLE ASSY GAS PANEL UMBILICAL #1
bornalliancecom Used - $9,995.00 1 Jul/25/16 Jul/26/23
Description: AMAT PVD 0010-20287 & 0010-20288 Handler, Assy. LT & RT ( 1 pair)
smartelektronikgmbh NEW - $8.00 0 Jul/27/16 Sep/05/17
Description: AMAT 3060-01502 BRG BALL BSHG 500ID X 8750D X 1,25L
sammy_etek NEW - $400.00 1 Jul/29/16 Feb/07/17
Description: 0100-77037, APPLIED MATERIALS, ASSY, INTERLOCK PCB #1
svcstore NEW - $82.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $92.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
autoquip7 NEW - $700.00 0 Jul/31/16 Jul/25/22
Description: 0050-18785, APPLIED MATERIALS, WLDMT 1 FINAL VALVE LEFT FUJIKIN ULTIMA
benta09 NEW - $75.00 0 Aug/03/16 Sep/02/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $35.00 0 Aug/03/16 Sep/02/16
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
svcstore Used - $115.99 0 Aug/05/16 Sep/04/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $349.99 0 Aug/05/16 Sep/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
autoquip7 NEW - $1,000.00 0 Aug/10/16 Jul/25/22
Description: 0200-10240, APPLIED MATERIALS, RING,CLAMP,CER,150/142MM, 57.5MM, 1 FL,
dvkelectronics Used - $375.00 1 Aug/10/16 Oct/11/16
Description: 2 LAM 716-330915-001 REV. A / 716-030135-001 REV. 1 SEMICONDUCTOR CERAMIC RING
autoquip7 NEW - $2,500.00 0 Aug/11/16 Jul/25/22
Description: 0190-09291, APPLIED MATERIALS, RING, WAFER LIFT, REV 1 CERAMIC, 200 MM
actionsystems Used - $425.00 0 Aug/12/16 Jun/01/17
Description: Novellus SIOC Digital Dynamics IXT PVD SIOC 1 Part # 02-258471-00 Rev. 1
sparesllc09 Used - $20,000.00 0 Aug/18/16 Jun/25/19
Description: 0242-88819 ,0010-21676/ VECTRA IMP 1 SOURCE ASSY PVD/ AMAT
partskorea1 Used - $369.00 1 Aug/27/16 Apr/17/21
Description: AMAT 0190-02362 / 0130-02362 003 Mainframe Interlock 1 Relays
svcstore NEW - $73.99 0 Aug/29/16 Sep/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $82.99 0 Aug/29/16 Sep/28/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
benta09 NEW - $75.00 0 Sep/03/16 Oct/03/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $35.00 0 Sep/03/16 Oct/03/16
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
svcstore Used - $349.99 0 Sep/04/16 Oct/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
autoquip7 NEW - $6,000.00 0 Sep/06/16 Jul/25/22
Description: 0100-20327, Applied Materials, PCB ASSY. WAFER DETECT 1
kakkisung-6 NEW - $899.00 1 Sep/07/16 Jan/15/18
Description: AMAT 0021-24183 LINER BOTTOM SIDE 1 300MM SE "NEW"
svcstore Used - $103.99 0 Sep/07/16 Oct/07/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
autoquip7 NEW - $2,500.00 1 Sep/12/16 Jul/13/22
Description: 0190-09292, APPLIED MATERIALS, RING,WLIFT,REV 1 CERAMIC 150 MM UNIV CHA
capitolareatech NEW - $66.82 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-05141 CABLE, ETHERNET 18" HUB 2 PORT 1 TO J10
capitolareatech NEW - $45.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-05135 CABLE, ETHERNET 12" HUB 1 PORT 7 TO J7 M
capitolareatech NEW - $62.39 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-05133 CABLE, ETHERNET 12" HUB 1, PORT 5 TO J3
capitolareatech NEW - $39.51 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04394 CABLE, SHELF #1
capitolareatech NEW - $125.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-02782 Cable Assembly, Gas Panel EV Control 1, CVD
capitolareatech NEW - $130.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-02576 CABLE ASSY, LASER COVER 1, IN
capitolareatech NEW - $98.88 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-01360 Cable Assembly Parallel 75FT DB25-M/F IEEE 1
capitolareatech NEW - $751.15 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-00928 NF SENSOR ASSEMBLY CLASS 1 (3M); 315-68030-00
capitolareatech NEW - $51.12 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-00870 Cable Assembly 15A, 208V, L6-15P / RING-TERM 1
capitolareatech NEW - $100.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-00804 DRY NOVA CONTROL BOX POWER CABLE ASSY 1.
capitolareatech NEW - $17.72 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-00429 Power Cable AC 15A 250V 6-15P/IEC320-C13 1, 4 1/2 feet long
capitolareatech NEW - $45.53 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-00176 Cable Assembly 1 METER with Connection for PM Series S
capitolareatech NEW - $35.41 0 Sep/19/16 Nov/18/16
Description: AMAT 0500-00101 Backpanel, 1 Channel, Analog I/O Module
capitolareatech NEW - $38.41 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-01566 SW THERMO SPST OPEN 96.1 C CLOSE 87.8C 1
capitolareatech NEW - $1.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1260-01037 STRIP TERM .025 SQ 1 PIN .10CTR GLD SGL
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01444 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01427 WATLOW, Heater Jacket, 30 MIL B Layer Upper Zone 1 Chamber, 28V,
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01421 Heater Jacket, 30 MIL B Layer Upper Zone 1 Chamber
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01418 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 25V, 27W
capitolareatech NEW - $50.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01414 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $500.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01391 Heater Jacket, 30 MIL B Layer Upper Zone 1 CHAM, 45V, 41W
capitolareatech NEW - $120.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01388 WATLOW Heater Jacket 30 MIL B LAYER UPPER ZONE 1 CHAM, 18V, 16W
capitolareatech NEW - $120.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01386 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 1 CHAM, 16V, 14W
capitolareatech NEW - $220.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01385 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $150.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01384 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $250.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01383 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $29.60 0 Sep/20/16 Nov/19/16
Description: AMAT 3310-01079 Pressure Gauge, Range: 30 PSI 1-1/2 Connection: 1/8 ANPT CBM 1 1
capitolareatech NEW - $247.86 0 Sep/20/16 Nov/19/16
Description: AMAT 3300-91655 CONNECTOR (2) IS0-100, (1) KF-40, (1) KF-16
capitolareatech NEW - $45.00 0 Sep/20/16 Nov/19/16
Description: AMAT 3870-03250 Needle Valve, 1/4FNPT X 1, 2000PSI, 138 Bar
capitolareatech NEW - $75.30 0 Sep/20/16 Nov/19/16
Description: LAM 515-032639-001 REV 1 TOOL, CYLINDER HEIGHT ADJUST
capitolareatech NEW - $35.00 0 Sep/20/16 Nov/19/16
Description: LAM 955-009539-007 INSULATION,TUBING,1 1/8ID x.43 x 100FT
capitolareatech NEW - $297.50 0 Sep/20/16 Nov/19/16
Description: LAM 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1
capitolareatech NEW - $135.00 0 Sep/20/16 Nov/19/16
Description: LAM 853-017930-003 ASSY, HARNESS, SOLENOID #1
capitolareatech Refurbished - $21.51 0 Sep/20/16 Nov/19/16
Description: AMAT 0660-00027 CPCI UPS, 1 MIN, 50W, CARD
capitolareatech Used - $225.00 0 Sep/20/16 Nov/19/16
Description: AMAT 3030-02330 STEC SEC-4500MO-UC Mass Flow Controller; Range: 10 SLM Gas: O2 1
capitolareatech NEW - $15.00 0 Sep/20/16 Nov/19/16
Description: LAM 713-013486-001 Plate, 2 3/4" X 1 1/8"
capitolareatech NEW - $225.00 0 Sep/20/16 Nov/19/16
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
svcstore Used - $499.99 0 Sep/27/16 Oct/27/16
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
svcstore NEW - $82.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $73.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
farmoninc NEW - $350.00 1 Oct/03/16 Apr/27/17
Description: AMAT 3870-03651 Valve Check Return Adapter HE Flow 1 Way, CTI 8039145G002 416576
svcstore Used - $249.99 0 Oct/05/16 Nov/04/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
benta09 NEW - $35.00 0 Oct/06/16 Nov/05/16
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
benta09 NEW - $75.00 0 Oct/06/16 Nov/05/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
svcstore Used - $92.99 0 Oct/10/16 Nov/09/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
gti-semi Used - $8,150.00 0 Oct/13/16 Nov/26/19
Description: Novellus, ASSY, C4D SIOC 1, V4.72, VECTOR, p/n 02-136534-00, 02-267698-00
farmoninc Used - $1,350.00 0 Oct/14/16 May/07/18
Description: Novellus 02-273739-00 Rev.1, HDSIOC 1 PDL OXIDE Firmware 4.72. 417235
prism_electronics11 NEW - $77.99 0 Oct/14/16 Jan/20/22
Description: Lam Research 645-097133-001 CONTACT BLOCK 1 N.O 5-Count
farmoninc NEW - $175.00 0 Oct/17/16 Mar/08/22
Description: AMAT 3020-01190 CYL, AIR, 1 1/16BORE x 6" Stroke, SS, Ontrak 31-0008-028, 417334
farmoninc Used - $450.00 0 Oct/18/16 Dec/22/22
Description: AMAT 0200-09313, Ring Inner, 6", 140mm, 1 FLAT, Shadow Ring, BWCVD. 417378
usedparts-pk Used - $2,199.99 0 Oct/26/16 Oct/27/16
Description: IN USA APPLIED MATERIALS GFFOZ 3030-06231 FULL FLOW OZONE SENSOR (#1)
svcstore NEW - $82.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $73.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
farmoninc NEW - $3,500.00 1 Oct/31/16 Aug/01/19
Description: AMAT 0010-09983 Body Assy, Pedestal, Polymide 1, 150mm, ESC, Chuck, Etch, 417821
svcstore Used - $499.99 0 Nov/01/16 Nov/30/16
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
farmoninc NEW - $2,500.00 1 Nov/04/16 Aug/27/21
Description: AMAT 0100-90472 PWB Config Loop Controller BD 1., 418165
farmoninc NEW - $2,500.00 0 Nov/04/16 Jun/22/17
Description: AMAT 0100-90472 PWB Config Loop Controller BD 1., 418164
farmoninc NEW - $2,500.00 0 Nov/04/16 Jun/22/17
Description: AMAT 0100-90472 PWB Config Loop Controller BD 1., 418163
usedparts-pk Used - $2,199.99 1 Nov/06/16 Jul/27/17
Description: IN USA APPLIED MATERIALS GFFOZ 3030-06231 FULL FLOW OZONE SENSOR (#1)
benta09 NEW - $75.00 0 Nov/09/16 Dec/09/16
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $35.00 0 Nov/09/16 Dec/09/16
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
svcstore Used - $223.99 0 Nov/10/16 Dec/10/16
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $82.99 0 Nov/10/16 Dec/10/16
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
farmoninc Used - $1,750.00 0 Nov/14/16 Dec/06/16
Description: AMAT 0190-09560 Phasetronics Lamp Driver, P1140, 180-230VAC, 1 Phase. 418462
j316gallery Used - $153.07 0 Nov/15/16 Jun/20/21
Description: 7234 APPLIED MATERIALS SIDE SHIELD 1 0040-00556
farmoninc NEW - $895.50 0 Nov/21/16 Jun/28/18
Description: AMAT 0020-75352, FTG RDCR TEE 1-1/4 MNPT x 1 x 1 FNPT, SS. 419040
ace449parts2010 Used - $750.00 1 Nov/28/16 Apr/03/17
Description: AMAT 0200-36649 PLATE, FINGER LIFT RING, 200MM PRODUCER price for 1, we have 2
svcstore NEW - $73.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $65.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $499.99 0 Dec/02/16 Jan/01/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
farmoninc NEW - $175.00 0 Dec/06/16 Nov/28/18
Description: AMAT 0150-09616, Span IPS-122, Type 1 Cable Pressure Switch, 8-30VDC. 419700
pohyh NEW - $2,500.00 1 Dec/07/16 Dec/06/17
Description: 7577 APPLIED MATERIAL RING, WAFER LIFT, REV 1 CERAMIC, 200MM (NEW) 0190-09291
svcstore Used - $200.99 0 Dec/13/16 Jan/12/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $73.99 2 Dec/13/16 Jan/12/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
capitolareatech NEW - $10.57 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-70132 STR RLF DELRIN 1 TOP .06 3R, BAR
capitolareatech NEW - $10.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-66634 Heater, Cap, 1 Wire
capitolareatech NEW - $225.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-26847 SCREEN HOLDER UPPER-***HOLD QTY 1 FOR GERALD
capitolareatech NEW - $879.76 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-48460 WELDMENT 5RA CH B, TEOS, MIDDLE 1, PROD
capitolareatech NEW - $509.87 0 Dec/19/16 Oct/16/17
Description: AMAT 0220-10950 AMAT 1 HEAT EX TEMP AT MAINFRAME
capitolareatech NEW - $150.00 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-02601 Cable Assembly, DNET Trunk, .1 Meter, 300V
capitolareatech NEW - $98.88 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-01360 Cable Assembly Parallel 75FT DB25-M/F IEEE 1
capitolareatech NEW - $751.15 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-00928 NF SENSOR ASSEMBLY CLASS 1 (3M); 315-68030-00
capitolareatech NEW - $51.12 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-00870 Cable Assembly 15A, 208V, L6-15P / RING-TERM 1
capitolareatech NEW - $100.00 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-00804 DRY NOVA CONTROL BOX POWER CABLE ASSY 1.
capitolareatech NEW - $17.72 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-00429 Power Cable AC 15A 250V 6-15P/IEC320-C13 1, 4 1/2 feet long
capitolareatech NEW - $27.97 0 Dec/19/16 Jun/19/20
Description: AMAT 0900-90006 RFI Power Line Filter10A Two-stage General Purpose; FILTER EMI 1
capitolareatech NEW - $38.41 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-01566 SW THERMO SPST OPEN 96.1 C CLOSE 87.8C 1
capitolareatech NEW - $50.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-01444 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber
capitolareatech NEW - $50.00 0 Dec/19/16 Jan/05/17
Description: AMAT 1410-01427 WATLOW, Heater Jacket, 30 MIL B Layer Upper Zone 1 Chamber, 28V,
capitolareatech NEW - $50.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
capitolareatech NEW - $50.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01421 Heater Jacket, 30 MIL B Layer Upper Zone 1 Chamber
capitolareatech NEW - $50.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01418 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 25V, 27W
capitolareatech NEW - $50.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01414 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $500.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01391 Heater Jacket, 30 MIL B Layer Upper Zone 1 CHAM, 45V, 41W
capitolareatech NEW - $120.00 0 Dec/19/16 Jan/25/17
Description: AMAT 1410-01388 WATLOW Heater Jacket 30 MIL B LAYER UPPER ZONE 1 CHAM, 18V, 16W
capitolareatech NEW - $120.00 0 Dec/19/16 Aug/03/18
Description: AMAT 1410-01386 WATLOW Heater Jacket 30 MIL B Layer Upper Zone 1 CHAM, 16V, 14W
capitolareatech NEW - $220.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01385 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $150.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01384 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $250.00 0 Dec/19/16 Nov/10/18
Description: AMAT 1410-01383 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $225.00 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $30.98 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 15-112447-02 LOCATOR,PIN,STD,TYPE 1
capitolareatech NEW - $46.85 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 15-112447-01 LOCATOR,PIN,MOER,TYPE 1
capitolareatech NEW - $229.11 0 Dec/20/16 Jun/20/20
Description: AMAT 3020-01177 Air Cylinder 80MM BORE 110MM STRK DBL-ACT W, Maximum Pressure: 1
capitolareatech NEW - $75.30 0 Dec/20/16 Jun/20/20
Description: LAM 515-032639-001 REV 1 TOOL, CYLINDER HEIGHT ADJUST
capitolareatech NEW - $23.80 0 Dec/20/16 Jun/20/20
Description: AMAT 670-091765-050 CIRCUIT BREAKER ELL ARM 5A. 250 VAC, 1 POLE, 5 AMP, NO AUXIL
capitolareatech NEW - $106.25 0 Dec/20/16 Jun/20/20
Description: AMAT 670-091765-040 CIRCUIT BREAKER, 250 VAC, DC65V, 2210-T210-K0MI-H121 4A, 1 P
capitolareatech NEW - $297.50 0 Dec/20/16 Jun/20/20
Description: LAM 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1
capitolareatech NEW - $135.00 0 Dec/20/16 Sep/19/19
Description: LAM 853-017930-003 ASSY, HARNESS, SOLENOID #1
capitolareatech NEW - $35.00 0 Dec/20/16 Sep/19/19
Description: LAM 955-009539-007 INSULATION,TUBING,1 1/8ID x.43 x 100FT
capitolareatech Used - $225.00 0 Dec/20/16 Jun/06/17
Description: AMAT 3030-02330 STEC SEC-4500MO-UC Mass Flow Controller; Range: 10 SLM Gas: O2 1
capitolareatech Refurbished - $21.51 0 Dec/20/16 May/09/18
Description: AMAT 0660-00027 CPCI UPS, 1 MIN, 50W, CARD
capitolareatech NEW - $15.00 0 Dec/20/16 Jun/20/20
Description: LAM 713-013486-001 Plate, 2 3/4" X 1 1/8"
capitolareatech Used - $125.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0190-35093 INELCO TRANSFORMER PRI 200/208/480V 120VAC SEC. 1.
capitolareatech Used - $25.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0040-01114 BRACKET CHAMBER ROT PCB MOD 1 TOXIC MOD
benta09 NEW - $35.00 0 Dec/28/16 Jan/27/17
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
benta09 NEW - $75.00 0 Dec/28/16 Jan/27/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
svcstore NEW - $65.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $58.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $499.99 0 Jan/03/17 Feb/02/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
alvin1462 NEW - $888.00 0 Jan/04/17 Jul/02/20
Description: APPLIED MATERIALS AMAT 0200-02407 Pumping Ring Ceramic C-Channel Side 1 NEW
alvin1462 NEW - $688.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24183 LINER BOTTOM SIDE 1 300MM SE NEW
alvin1462 NEW - $488.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24181 SPACER, CHAMBER 1, , PRODUCER SE NEW
spsglobal Used - $300.00 2 Jan/07/17 Jun/20/17
Description: AMAT APPLIED MATERIALS 1140-01155 PWRSP DC +/- 5V @ 6A, +/- 12V@ 3A, +/- 1 USED
gigabitpartsolutions NEW - $165.00 0 Jan/11/17 Jul/23/20
Description: OEM Part SIEMENS CLM Applied Materials (AMAT) 1200-01137 heater contactor AMAT 1
svcstore Used - $179.99 0 Jan/13/17 Feb/12/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $65.99 0 Jan/13/17 Feb/12/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
grandbirdnet Used - $250.00 0 Jan/17/17 Jun/15/23
Description: AMAT 0021-79491 BRACKET 1 DI FLOW VALVE DDF3, NEW
gesemiconductor NEW - $188.00 1 Jan/18/17 May/25/17
Description: APPLIED MATERIALS 0200-01668 Filter, 670NM, 1.2 DIA, .1 Thick
ssplasma NEW - $150.00 3 Jan/21/17 Jan/22/17
Description: AMAT 0050-36064 Line 1 Nupro One Chamber
spsglobal Used - $15.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0150-20182 CABLE ASSY, CHASSIS GND, MDX, 1 FT USED
spsglobal Used - $250.00 0 Jan/30/17 Jun/15/23
Description: 143-0301// AMAT APPLIED 0140-09434 HARNESS ASSY MINICNTLER SLOT 1, H2O VDSI USED
visionsemi NEW - $19.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
svcstore NEW - $52.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $58.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore Used - $499.99 0 Feb/04/17 Mar/06/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
consulteam NEW - $94.95 1 Feb/07/17 Feb/12/17
Description: OMEGA OS36-K-280F, INFRARED THERMOCOUPLE; AMAT P/N 0150-18060, ULTIMA TC,1 EACH
benta09 NEW - $35.00 0 Feb/08/17 Mar/10/17
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
benta09 NEW - $75.00 0 Feb/08/17 Mar/10/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
spsglobal NEW - $50.00 0 Feb/12/17 Dec/25/18
Description: AMAT APPLIED MATERIALS 0015-20124 SCR 1/4 - 20 X 1 TITANIUM 2ND SOURCE NEW
sammy_etek NEW - $400.00 1 Feb/12/17 Apr/23/18
Description: 0100-77037, APPLIED MATERIALS, ASSY, INTERLOCK PCB #1
svcstore Used - $58.99 0 Feb/14/17 Mar/16/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $160.99 0 Feb/14/17 Mar/16/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
cubit001 Used - $799.00 0 Feb/17/17 Mar/19/17
Description: 0050-18785, APPLIED MATERIALS, WLDMT 1 FINAL VALVE LEFT FUJIKIN ULTIMA
cubit001 Used - $398.00 0 Feb/17/17 Mar/19/17
Description: AMAT 0040-35882 Manifold TTF Supply Ssh4-62 Swagelok 1 2" Quick C
dr.dantom NEW - $20.00 1 Feb/20/17 May/07/18
Description: Applied Materials AMAT 5090-01020 AFRZ GLUTARALDEHYDE 15% 1 OZ BOTTLE
pohyh Used - $3,300.00 1 Feb/20/17 May/14/17
Description: 7783 NOVELLUS CONTROLLER HDSIOC 1 VECTOR FIRMWARE VERSION 5.0 02-321647-00
texassemicontech NEW - $2,142.80 0 Feb/16/17 Aug/15/17
Description: Lam Research OnTrak 13-8800-321 - Bridge Brush #1 Brush #2 ENTR - New
pohyh Used - $200.00 2 Mar/06/17 May/14/17
Description: 7661 APPLIED MATERIAL VALVE CHECK RETURN ADAPTER HE FLOW 1 WAY, CTI 8 3870-03651
svcstore NEW - $52.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore NEW - $46.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $499.99 0 Mar/06/17 Apr/06/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
j316gallery Used - $357.50 0 Mar/07/17 Dec/08/21
Description: 8262 APPLIED MATERIALS MANIFOLD, OUTPUT, 1 HOLE, LEFT, 200MM PR 0040-47724
benta09 NEW - $35.00 0 Mar/11/17 Apr/10/17
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
benta09 NEW - $75.00 0 Mar/11/17 Apr/10/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
svcstore Used - $58.99 0 Mar/17/17 Apr/16/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $160.99 0 Mar/17/17 Apr/16/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
cubit001 Used - $368.00 0 Mar/19/17 Apr/18/17
Description: AMAT 0040-35882 Manifold TTF Supply Ssh4-62 Swagelok 1 2" Quick C
cubit001 Used - $4,999.00 0 Mar/20/17 Apr/19/17
Description: AMAT 1 0226-99472 Rev A , HEAT EXCHANGER
gesemiconductor NEW - $54.00 0 Mar/22/17 Dec/19/17
Description: LAM RESEARCH 790-008882-195 Belt, POS. DRV. .080" Pitch, 1
j316gallery Used - $218.45 0 Mar/27/17 Nov/27/21
Description: 7877 NOVELLUS PCB AUTOCAL INTERFACE CONCEPT 1 & 2 BD 03-145147-00
biggbsurplus NEW - $499.50 0 Apr/02/17 May/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
svcstore NEW - $41.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $46.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore Used - $499.99 0 Apr/06/17 May/06/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
visionsemi Scrap, for parts - $499.00 0 Apr/14/17 Sep/21/18
Description: APPLIED MATERIALS AMAT HIGH FREQUENCY 60MHZ PRECLEAN 1 RF MATCH 0100-20132
svcstore Used - $143.99 0 Apr/17/17 May/17/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $52.99 0 Apr/17/17 May/17/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
usedeqsales Used - $1,204.17 0 Apr/18/17 Jun/15/23
Description: Novellus Systems 16-126664-01 PVD 1 Stage Shield Novellus New Surplus
benta09 NEW - $75.00 0 Apr/29/17 May/29/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $35.00 0 Apr/29/17 May/29/17
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
quality_automation_equipment NEW - $250.00 0 May/03/17 Jun/02/17
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
biggbsurplus NEW - $499.50 0 May/03/17 Jun/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
svcstore Used - $499.99 0 May/08/17 Jun/07/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
cubit001 Used - $199.00 0 May/12/17 Jun/11/17
Description: 3310-01074 AMAT Centura P-5000 Gauge SNSR Press TC 1 4" VCR FE
svcstore Used - $52.99 0 May/19/17 Jun/18/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $143.99 0 May/19/17 Jun/18/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
j316gallery Used - $444.76 0 May/22/17 May/26/22
Description: 8605 APPLIED MATERIALS LINER, BOTTOM SIDE 1, 300MM SE 0021-24183
biggbsurplus NEW - $499.50 0 Jun/02/17 Jul/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
quality_automation_equipment NEW - $250.00 0 Jun/04/17 Jun/11/17
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
alvin1462 NEW - $1,888.00 1 Jun/05/17 Aug/16/23
Description: AMAT Applied Materials 0200-03406 C-CHANNEL SIDE 1 PRODUCER SE APF
j316gallery Used - $900.00 0 Jun/08/17 Sep/27/17
Description: 8842 NOVELLUS ANODE HCM SI 300MM VACUUM FLANGE RING, 1 PCE.012 TWAS 16-262201-00
benta09 NEW - $75.00 0 Jun/08/17 Jul/08/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $35.00 0 Jun/08/17 Jul/08/17
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
spsglobal Used - $1,000.00 1 Jun/09/17 Feb/27/23
Description: 136-0501// AMAT APPLIED 0010-10420 (#1) 5000 PLATFORM VERSION IV AMPULE ASIS
spsglobal Used - $2,500.00 1 Jun/09/17 Feb/27/23
Description: 136-0501// AMAT APPLIED 0010-09331 (#1) (WITH COVER) AMPULE/CHAMBER TEOS USED
svcstore Used - $399.99 0 Jun/09/17 Jul/09/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
testvue111 Used - $7,000.00 0 Jun/10/17 Jun/16/17
Description: AMAT Synergy V452 VME SBC 0090-76133, 1 Year warranty!!!
athomemarket Used - $91.99 0 Jun/10/17 Oct/02/18
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
used1eqsales Used - $2,004.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0010-25341 CPI-VMO Chamber Source 1 Rev004 AMAT Endura 300mm used sold a is
used1eqsales Used - $3,003.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-25341 CPI-VMO Chamber Source 1 Rev 003 used sold as is
used1eqsales Used - $804.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-25341 CPI-VMO Chamber 1 used for parts sold as is
j316gallery Used - $800.00 0 Jun/14/17 Dec/07/17
Description: 8792 APPLIED MATERIAL CABLE ASSY REM 1 INTCON 75FT -CEM 96 (22.86M) 0150-21420
j316gallery Used - $800.00 0 Jun/13/17 Dec/07/17
Description: 8785 APPLIED MATERIAL CABLE ASSY CONVECTRON 1 INTCNT 50FT (15.24M) 0150-21240
j316gallery Used - $500.00 0 Jun/13/17 Dec/07/17
Description: 8784 APPLIED MATERIAL CABLE ASSY CHAMBER 1 INTCNT 50FT (15.24M) 0150-21234
orapma12012 Used - $799.00 0 Jun/16/17 Jun/23/17
Description: 0190-35789, Applied Materials,MULTIPLEXED I/O CONTROL BD, AUX 1
athomemarket Used - $71.99 0 Jun/16/17 Oct/08/18
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
spsglobal NEW - $3,000.00 0 Jun/19/17 Dec/19/18
Description: 108-0701// AMAT APPLIED 0010-20768 (#1) APPLIED MATRIALS COMPONENTS ASIS
spsglobal Used - $3,000.00 0 Jun/19/17 Sep/12/23
Description: 108-0401 AMAT APPLIED 0010-21403 (#1) APPLIED MATRIALS COMPONENTS [USED]
svcstore Used - $128.99 0 Jun/21/17 Jul/21/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $46.99 0 Jun/21/17 Jul/21/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
orapma12012 Used - $799.00 0 Jun/23/17 Jun/30/17
Description: 0190-35789, Applied Materials,MULTIPLEXED I/O CONTROL BD, AUX 1
techequipsales NEW - $300.00 0 Jun/24/17 Mar/01/19
Description: AMAT Applied Materials 3300-06058 Pipe Union 1” Polypropylene Sched80 /Lot of 25
athomemarket Used - $1,499.99 0 Jun/28/17 Oct/20/18
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
cubit001 Used - $2,800.00 0 Jun/29/17 Jul/29/17
Description: AMAT 1 0226-99472 Rev A , HEAT EXCHANGER
orapma12012 Used - $799.00 0 Jun/30/17 Jul/07/17
Description: 0190-35789, Applied Materials,MULTIPLEXED I/O CONTROL BD, AUX 1
athomemarket NEW - $88.99 0 Jun/30/17 Oct/22/18
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
biggbsurplus NEW - $499.50 0 Jul/03/17 Aug/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
orapma12012 Used - $499.00 1 Jul/10/17 Jul/17/17
Description: 0190-35789, Applied Materials,MULTIPLEXED I/O CONTROL BD, AUX 1
mlbwannabe NEW - $99.99 0 Jul/08/17 Jul/15/17
Description: LAM RESEARCH 15-315135-00 Rev C Pin, MCA SAPH 3/16 Dia x 13/16 LG 1 End Flat NEW
svcstore Used - $399.99 0 Jul/10/17 Aug/09/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
quality_automation_equipment NEW - $250.00 0 Jul/14/17 Aug/13/17
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
mlbwannabe NEW - $96.99 0 Jul/17/17 Aug/16/17
Description: LAM RESEARCH 15-315135-00 Rev C Pin, MCA SAPH 3/16 Dia x 13/16 LG 1 End Flat NEW
svcstore Used - $115.99 0 Jul/24/17 Aug/23/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $41.99 0 Jul/24/17 Aug/23/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
benta09 NEW - $35.00 0 Jul/26/17 Aug/25/17
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
benta09 NEW - $75.00 0 Jul/26/17 Aug/25/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
spsglobal Used - $250.00 1 Jul/28/17 May/23/22
Description: 130-0303// AMAT APPLIED 0100-09008 (#1) wPCB ASSY, PNEUMATIC MANIFOLD USED
spsglobal Used - $900.00 2 Jul/28/17 Mar/02/21
Description: 111-0101// AMAT APPLIED 0090-09244 (#1) (ONE CABLE) COIL, MAGNET USED
spsglobal Used - $500.00 0 Jul/28/17 Mar/05/24
Description: 115-0401// AMAT APPLIED 0050-13029 (#1) COMPONENTS USED
biggbsurplus NEW - $499.50 0 Aug/02/17 Sep/01/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
svcstore NEW - $25.99 0 Aug/11/17 Sep/10/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore NEW - $28.99 1 Aug/11/17 Aug/29/17
Description: NEW AMAT Applied Materials Quartz Barrier Top Ring Unit Module 0200-08287 #1
svcstore Used - $399.99 0 Aug/11/17 Sep/10/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
mlbwannabe NEW - $96.99 0 Aug/16/17 Sep/15/17
Description: LAM RESEARCH 15-315135-00 Rev C Pin, MCA SAPH 3/16 Dia x 13/16 LG 1 End Flat NEW
spsglobal Used - $500.00 1 Aug/16/17 Apr/22/19
Description: 129-0201// AMAT APPLIED 0100-09156 (#1) OBS PCB ASSY, RF MATCH CONTROL USED
spsglobal Used - $600.00 1 Aug/16/17 Dec/11/22
Description: 130-0402// AMAT APPLIED 0100-09006 (#1) 0100-09024 PCB ASSY USED
ssssayag NEW - $39.00 0 Aug/21/17 Sep/20/17
Description: AMAT 3700-02945 O-Ring ID: 1.424 CSD .103 Chemraz SS513 80 Duro WHT, 1 lot of 3
quality_automation_equipment NEW - $250.00 0 Aug/21/17 Sep/20/17
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
svcstore Used - $115.99 0 Aug/24/17 Sep/23/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
svcstore Used - $41.99 0 Aug/24/17 Sep/23/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
comwaysind NEW - $9.99 0 Sep/01/17 May/01/21
Description: Parker parflex pe 1/2 od x .062 wall type 1 grade e5 AMAT 3860-01527
biggbsurplus NEW - $499.50 0 Sep/01/17 Oct/01/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
j316gallery Used - $122.16 0 Sep/04/17 Jan/04/23
Description: 9186 APPLIED MATERIALS HOSE ASSY, HTR LIFT 1 SEND, PRODUCER SE 0050-80941
benta09 NEW - $75.00 0 Sep/08/17 Oct/08/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $35.00 0 Sep/08/17 Oct/08/17
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
svcstore NEW - $25.99 0 Sep/11/17 Oct/11/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $399.99 0 Sep/12/17 Oct/12/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
mlbwannabe NEW - $88.99 0 Sep/17/17 Oct/17/17
Description: LAM RESEARCH 15-315135-00 Rev C Pin, MCA SAPH 3/16 Dia x 13/16 LG 1 End Flat NEW
dnd_surplus Refurbished - $900.00 0 Sep/19/17 Mar/27/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
lwltech NEW - $425.00 1 Sep/23/17 Sep/24/17
Description: Applied Materials AMAT CENTURA DPS SOURCE RF CABLE, 0150-76317 REV 1
svcstore Used - $41.99 0 Sep/24/17 Oct/24/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $115.99 0 Sep/24/17 Oct/24/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
ssssayag NEW - $39.00 0 Sep/30/17 Oct/30/17
Description: AMAT 3700-02945 O-Ring ID: 1.424 CSD .103 Chemraz SS513 80 Duro WHT, 1 lot of 3
biggbsurplus NEW - $499.50 0 Oct/01/17 Oct/31/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
bobsgoodies2 Used - $45.00 0 Oct/04/17 Mar/31/22
Description: T&B COMPRESSION LUG 1 AWG 1-HOLE 1/4" AMAT 1290-01346 Tang 0.552", NARROW TNG
svcstore NEW - $25.99 0 Oct/13/17 Nov/12/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
svcstore Used - $399.99 0 Oct/13/17 Nov/12/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
mlbwannabe NEW - $85.99 0 Oct/19/17 Nov/18/17
Description: LAM RESEARCH 15-315135-00 Rev C Pin, MCA SAPH 3/16 Dia x 13/16 LG 1 End Flat NEW
svcstore Used - $41.99 0 Oct/24/17 Nov/23/17
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $115.99 1 Oct/24/17 Nov/22/17
Description: Applied Materials 0100-00011 AMAT PWB Chopper Drive PCB Board Assembly Unit #1
yericomfg NEW - $50.00 0 Oct/25/17 Aug/08/18
Description: AMAT; 0140-06487, HARNESS ASSY , WATER LEAK DETECTOR. SEG 1, 300MM HD,
semipartsdeal NEW - $850.00 0 Oct/25/17 Jul/25/19
Description: 0190-23509 rev. 1 quad serial pcb set of 2 applied materials
spsglobal Used - $200.00 4 Oct/25/17 Feb/16/22
Description: 320-0101// AMAT APPLIED 1350-01026 (#1) wXDCR PRESS 1 TORR CA 127AA-00001B ASIS
spsglobal Used - $200.00 0 Oct/25/17 Dec/10/23
Description: 320-0101// AMAT APPLIED 1350-01026 (#2) wXDCR PRESS 1 TORR CA 127AA-00001B ASIS
spsglobal Used - $20.00 0 Oct/31/17 Mar/03/22
Description: 342-0202// AMAT APPLIED 3300-03890 FTG BACK FERRULE 1 OD SST NEW
spsglobal Used - $20.00 0 Oct/31/17 Mar/03/22
Description: 342-0202// AMAT APPLIED 3300-03889 FTG FRONT FERRULE 1 OD SST NEW
allforsale555 Scrap, for parts - $499.00 1 Nov/01/17 May/08/18
Description: APPLIED MATERIAL ANALOG I/O BOARD ASSY 0100-00825 (1) 0100-20100 (3)( lot of 4 )
biggbsurplus NEW - $499.50 1 Nov/03/17 Nov/17/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
powersell007 Used - $399.00 0 Nov/06/17 Dec/06/23
Description: *NEW* AMAT/APPLIED MATERIALS 0041-53712 SIDE 1 BOTTOM LINER NANOCURE PRODUCER SE
benta09 NEW - $75.00 0 Nov/06/17 Dec/06/17
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
benta09 NEW - $35.00 0 Nov/06/17 Dec/06/17
Description: AMAT 1410-01423 Heater Jacket, 30 Mil B Layer Upper Zone 1 Chamber, 32V, 34W
semipart NEW - $20.00 0 Nov/07/17 Sep/18/19
Description: 1 Pack 6 AMAT 3690-02257 SCR MACH SHLDR 4-40X.1245ODX3/16L HEX
semipart NEW - $15.00 0 Nov/07/17 Sep/18/19
Description: 1 Pack 3 AMAT 3690-02203 SCR MACH SHLDR 4-40X.1245ODX3/16L
vizko2017 NEW - $260.00 0 Nov/11/17 Nov/03/19
Description: Novellus Systems 03-381364-00 REV 1 CABLE ASSY A5J1
athomemarket Used - $199.99 0 Nov/12/17 Feb/04/19
Description: LAM Research 810-802901-307 Rev. C Node 1 PM Common PCB Board/Card
svcstore NEW - $25.99 0 Nov/15/17 Dec/06/17
Description: NEW AMAT Applied Materials Quartz Barrier Bottom Ring Unit Module 0200-08285 #1
quality_automation_equipment NEW - $250.00 0 Nov/14/17 Dec/14/17
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
svcstore Used - $358.99 0 Nov/15/17 Dec/15/17
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
powersell007 Used - $3,299.00 1 Nov/16/17 Nov/28/17
Description: AMAT 0090-06520 CONTROLLER RTC CPCI ENDURA EXTENDED BLOCK 1 (SST DNP-CPCI-3U-4)
mlbwannabe NEW - $82.99 0 Nov/20/17 Dec/20/17
Description: LAM RESEARCH 15-315135-00 Rev C Pin, MCA SAPH 3/16 Dia x 13/16 LG 1 End Flat NEW
powersell007 Used - $859.00 0 Nov/23/17 Mar/03/22
Description: APPLIED MATERIALS 0041-04514 ZONE 1 CLAMP, 300MM TITAN CALYPSO AMAT *NEW IN BOX*
spsglobal Used - $3,000.00 0 Dec/06/17 Oct/24/21
Description: 105-0201// AMAT APPLIED 0010-00510 (#1) ASSEMBLY BEARING USED
usedeqsales NEW - $54.00 0 Dec/20/17 Jan/02/18
Description: LAM RESEARCH 790-008882-195 Belt, POS. DRV. .080" Pitch, 1
quality_automation_equipment NEW - $250.00 0 Dec/22/17 Jan/21/18
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
spsglobal Used - $2,000.00 0 Dec/27/17 Mar/03/22
Description: 351-0501// AMAT APPLIED 0010-22162 (#1) ASSY, WATER BOX, BESC USED
spsglobal Used - $5,000.00 0 Dec/27/17 Mar/03/22
Description: 349-0301// AMAT APPLIED MATERIALS 0010-22156 (#1) ASSY, BESC MOTORIZED LIFT USED
mlbwannabe NEW - $79.99 0 Dec/27/17 Jan/26/18
Description: LAM RESEARCH 15-315135-00 Rev C Pin, MCA SAPH 3/16 Dia x 13/16 LG 1 End Flat NEW
svcheck Used - $26.84 2 Dec/28/17 Mar/16/20
Description: SQUARE D QOB1105237 1 Pole 10A QO Mini Circuit Breaker AMAT 0680-01258
svcheck Used - $28.84 2 Dec/29/17 Jun/13/18
Description: SQUARE D QOB1155237 1 Pole 15A QOB Mini Circuit Breaker AMAT 0680-01207
svcstore Used - $37.99 0 Dec/30/17 Jan/29/18
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $358.99 0 Dec/30/17 Jan/29/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
spsglobal Used - $2,000.00 0 Jan/08/18 Jan/27/19
Description: 306-0401// AMAT APPLIED 0010-21631 (#1) ASSY, CH A OR B LID with VIEWPORTS USED
atxdeals4u Used - $750.00 0 Jan/10/18 Sep/21/18
Description: Novellus Systems FE-HD EIOC 0 TOP PLT Rev. 1 P/N 02-341028-00
atxdeals4u Scrap, for parts - $1,200.00 0 Jan/13/18 Sep/20/18
Description: AMAT Endura II CBM ASSY, H2O-Cooled 0010-42057 Revision 1
allforsale555 Used - $300.00 1 Jan/24/18 Apr/07/18
Description: 2x AMAT 0190-04736 (1) 0190-14284 (1) EPI 300MM SYSTEM ANALOG CARD CDN496, CON
capitolareatech NEW - $1,495.00 0 Jan/24/18 Sep/24/19
Description: Applied Materials (AMAT) 0020-34118 CLAMP,VESPEL OX/MLR/NIT,SCORED FINGERS,1
quality_automation_equipment NEW - $250.00 0 Jan/25/18 Feb/24/18
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
consulteam NEW - $39.95 1 Jan/25/18 Jun/21/19
Description: OSK5502, OMEGA ENGINEERING, THERMISTOR PROBE, AMAT 1150-01001, 1 EACH
svcstore Used - $37.99 0 Jan/31/18 Mar/02/18
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $358.99 0 Jan/31/18 Mar/02/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
mlbwannabe NEW - $76.99 0 Feb/02/18 Mar/04/18
Description: LAM RESEARCH 15-315135-00 Rev C Pin, MCA SAPH 3/16 Dia x 13/16 LG 1 End Flat NEW
yericomfg NEW - $655.00 0 Feb/08/18 Aug/08/18
Description: Applied Materials; 0021-27138, Filler Chamber 1 Producer SE
tfstech Used - $395.00 1 Feb/11/18 Feb/12/18
Description: Lam Research 853-012123-001 Rev 1 Harmonic Arm Drive Motor Assembly Used
spsglobal Used - $80.00 0 Feb/12/18 Mar/03/22
Description: 321-0301// AMAT 0020-29640 (#1) REST BUTTON,ADV. 101,3.4 MM, 2ND SOURCE NEW
vizko2017 Used - $110.00 0 Feb/15/18 Mar/03/22
Description: APPLIED MATERIALS 0150-25840 CABLE ASSY DLINK E-SW PORT 1 TO MF CPU
capitolareatech NEW - $895.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30279 RING CLAMPING 1 FLAT QUARTZ WINDOW PRSP
atxdeals4u Used - $750.00 0 Feb/19/18 Sep/21/18
Description: Novellus Vector Extreme EIOC 1 P/N 61-370855-00 FE-HD EIOC 1 BLW CMBR VXT
bobsgoodies NEW - $540.00 2 Feb/21/18 Sep/04/18
Description: AMAT APPLIED MATERIALS 3030-05392 CNTRL FLOW MON SIGNAL PROCESSOR 1 CHAN
spsglobal Used - $450.00 0 Feb/26/18 Mar/27/20
Description: 322-0201// AMAT APPLIED 0090-20314 (#1) 9100SS24P14 SW WATER FLOW B101 USED
quality_automation_equipment NEW - $250.00 0 Mar/01/18 Mar/31/18
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
spsglobal Used - $2,500.00 0 Mar/01/18 Mar/22/18
Description: 129-0101// AMAT APPLIED 0190-01485 (#1) TESTED DRIVER,PVD LAMP/DUAL MODE USED
spsglobal Used - $2,000.00 0 Mar/01/18 Jun/26/18
Description: 124-0201// AMAT APPLIED 0010-20079 (#1) TESTED ASSY, PVD CHAMBER DRIVER USED
spsglobal Used - $50.00 0 Mar/01/18 Jun/18/23
Description: 351-0403// AMAT APPLIED 0720-01134 CONN, 1 SKT COAX UG58A [2ND NEW SOURCE]
svcstore Used - $37.99 0 Mar/04/18 Apr/03/18
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $358.99 0 Mar/04/18 Apr/03/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
mlbwannabe NEW - $71.99 0 Mar/05/18 Apr/04/18
Description: LAM RESEARCH 15-315135-00 Rev C Pin, MCA SAPH 3/16 Dia x 13/16 LG 1 End Flat NEW
bobsgoodies2 Used - $35.00 0 Mar/05/18 Mar/31/22
Description: AMAT 0620-02601 Cable Assembly, DNET Trunk, .1 Meter, 300V U2528-88
spsglobal Refurbished - $8,000.00 0 Mar/05/18 Feb/03/21
Description: 335-0301// AMAT APPLIED 0010-13627 (#1) HIGH EFFICIENCY MATCH TESTED REFURBISHED
j316gallery NEW - $1,000.00 0 Mar/06/18 Sep/24/18
Description: 10227 APPLIED MATERIAL WATER VALVE ASSY,SST FLARE FTGS-CH 1,3&C (NEW) 0010-75362
spsglobal Used - $300.00 0 Mar/11/18 Nov/23/18
Description: 202-0303// AMAT APPLIED 0040-21368 (#1) BRACKET, LEFT, ADAPTER, WATER COVER USED
spsglobal Used - $7,000.00 0 Mar/12/18 Sep/17/18
Description: 327-0101// AMAT APPLIED 0010-20705 (#1) RF RESONATOR ASSY WITH INTERLOCKS USED
spsglobal Used - $4,500.00 0 Mar/12/18 Apr/03/19
Description: 309-0401// AMAT APPLIED 0010-13437 (#1) AC-BOX, HTESC USED
spsglobal Used - $6,000.00 0 Mar/16/18 Oct/31/19
Description: 313-0301// AMAT APPLIED 3620-01124 (#1) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
spsglobal Used - $80.00 1 Mar/19/18 May/22/18
Description: 110-0202// AMAT APPLIED 0050-20099 (#1) MANIFOLD H20 SOURCE11.3 USED
spsglobal Used - $400.00 0 Mar/20/18 Nov/24/21
Description: 318-0101// AMAT APPLIED 0190-35463 (#1) MOTOR, 5 PHASE STEPPER W/ USED
usedeqsales Used - $804.15 1 Mar/20/18 Aug/01/18
Description: AMAT Applied Materials 0010-25341 CPI-VMO Chamber 1 Used for Parts Used As-Is
usedeqsales Used - $3,504.15 0 Mar/20/18 Nov/08/21
Description: AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Rev. 004 As-Is
usedeqsales Used - $3,503.15 0 Mar/20/18 Apr/29/21
Description: AMAT Applied Materials 0010-25341 CPI-VMO Chamber Source 1 Untested As-Is
capitolareatech NEW - $395.00 0 Mar/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0190-00653 FLOW SWITCH, GEMS FS 380, PHASE 1 MF
capitolareatech NEW - $19.95 0 Mar/21/18 May/18/18
Description: Applied Materials (AMAT) 3700-01723 GREENE TWEED 9120-SC513 ORING ID .987 CSD .1
capitolareatech NEW - $495.00 0 Mar/24/18 Mar/24/20
Description: Applied Materials (AMAT) 0200-09313 RING INNER 150MM 140MM 1 FLT SHADOW RING
capitolareatech NEW - $495.00 0 Mar/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0040-93545 FLEXIBLE MANIFOLD,BTL 1 DIN 8
capitolareatech NEW - $95.95 0 Mar/24/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-43436 DUMP LINE #1 POS A BASIC PHASE II MF, WX
intek22 Used - $299.99 0 Mar/27/18 Nov/16/18
Description: Novellus 21-135749-01 Rev D Pneumatic Cylinder Housing Assembly #1
bobsgoodies2 Used - $97.00 0 Mar/27/18 Mar/31/22
Description: AMAT 0150-90365 Deutsch limited 454501-03 8A.Rx/8B.Tx LG TYPE 1 Fiber optic
dnd_surplus Refurbished - $900.00 0 Mar/27/18 Apr/26/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
spsglobal Used - $3,000.00 0 Mar/27/18 Jun/03/18
Description: 323-0201// AMAT APPLIED 0010-00957 (#1) BRACKET MOUNT LASER SEE USED
spsglobal Used - $50.00 0 Mar/28/18 Dec/07/20
Description: 307-0402// AMAT APPLIED 0021-09267 (#1) BRACKET, INTERLOCK SWITCH, RF USED
capitolareatech NEW - $84.95 0 Mar/29/18 Aug/24/18
Description: Applied Materials (AMAT) 0200-09450 Tube Quartz 6 x 1 x 151,4mm
capitolareatech NEW - $450.00 0 Mar/29/18 Sep/19/19
Description: Applied Materials (AMAT) 3030-09099 MFM 9660 6SLM HE 1/4VCR MTL HOV 15PD 1
capitolareatech NEW - $450.00 0 Mar/29/18 Mar/29/18
Description: Applied Materials (AMAT) 3030-09099 MFM 9660 6SLM HE 1/4VCR MTL HOV 15PD 1
svcstore Used - $37.99 1 Apr/04/18 Apr/23/18
Description: Applied Materials 0100-00008 AMAT PWB TC Gauge Board Assembly Unit #1
svcstore Used - $358.99 0 Apr/04/18 May/04/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
capitolareatech NEW - $399.95 1 Apr/05/18 Apr/05/18
Description: Applied Materials (AMAT) 1140-01115 ASTEC LPQ352 POWER SUPPPLY DI 5V50A 12V15A 1
capitolareatech NEW - $49.95 4 Apr/05/18 Jun/04/19
Description: Applied Materials (AMAT) 3310-01022 GEMS SENSORS, 146685, GAUGE FLOW SIGHT IND 1
capitolareatech NEW - $145.00 0 Apr/11/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-33125 GAS LINE #1,O2 SENSOR, N2 CH A RTP
capitolareatech Used - $59.95 0 Apr/11/18 Mar/10/19
Description: Applied Materials (AMAT) 0190-35674 HOSE,HX RETURN CHMB A SP2 CENTURA CMF 1 Male
quality_automation_equipment NEW - $250.00 0 Apr/11/18 May/11/18
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
keykorea Used - $100.00 0 Apr/15/18 Jun/16/20
Description: AMAT 0140-78039 CABLE, SENSOR-CONTROL, BLKHD 1, SRD, USED
spsglobal Used - $450.00 0 Apr/19/18 Jun/28/22
Description: 147-0501// AMAT APPLIED 0150-76318 (#1) CABLE COAXIAL 2ND SOURCE NEW
ok24surplus NEW - $120.00 0 Apr/26/18 May/06/18
Description: Applied Materials 0020-18513 AMAT Diffuser Clean Port,HDPCVD, Ultima 1 LOT OF 6
bobsgoodies Used - $175.00 1 Apr/26/18 May/16/18
Description: AMAT 0150-09616, Span IPS-122, Type 1 Cable Pressure Switch, 8-30VDC.
dnd_surplus Refurbished - $900.00 0 Apr/26/18 May/26/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
storemanager-2009 NEW - $375.00 0 Mar/07/18 Jun/18/18
Description: Cable, Chamber 1 Interconnect
storemanager-2009 NEW - $300.00 0 Mar/07/18 Jun/18/18
Description: CONTROL CRYO 1 ( 50 FT )
svcstore Used - $358.99 0 May/06/18 Jun/05/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
ok24surplus NEW - $96.00 0 May/09/18 May/19/18
Description: Applied Materials 0020-18513 AMAT Diffuser Clean Port,HDPCVD, Ultima 1 LOT OF 6
capitolareatech NEW - $145.00 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-00556 SIDE SHIELD 1
capitolareatech NEW - $9.95 0 May/12/18 Aug/24/18
Description: Applied Materials (AMAT) 1270-01170 SW CONTACT BLOCK .1 NC STANDARD YW SE
quality_automation_equipment NEW - $225.00 0 May/12/18 Jun/11/18
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
farmoninc Used - $1,000.00 0 May/15/18 Mar/09/23
Description: Novellus 02-273739-00 Rev.1, HDSIOC 1 PDL OXIDE Firmware 4.72, 417235
capitolareatech NEW - $695.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-37382 WELDMENT,2 VERIFLO VALVES,1 LINE W/OFFST
capitolareatech NEW - $1.25 0 May/20/18 Aug/24/18
Description: Applied Materials (AMAT) 3630-01104 Retainer Ring Ext 1 5/6 SFT SST Inverted
capitolareatech Used - $325.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0021-78097 MANIFOLD, 1 VALVE, UPA MANIFOLD ASSY
capitolareatech NEW - $29.95 1 May/20/18 Jun/13/18
Description: Applied Materials (AMAT) 0680-01207 SQUARE D QOB1155237 1 Pole 15A QOB Mini Circ
capitolareatech NEW - $1.95 0 May/20/18 Aug/24/18
Description: Applied Materials (AMAT) 3690-03705 SCR CAP SKT HD 5/16 - 18 x 1 -1/8 L HEX SK
sparesllc09 NEW - $810.00 0 May/21/18 Sep/27/19
Description: 0021-24183 / LINER, BOTTOM SIDE 1, 300MM SE / AMAT
katiil3 Used - $149.00 1 May/25/18 Aug/23/18
Description: Horiba Stec SEC-4400M Gas N2 1 SLM Mass Flow Controller AMAT 3030-04789
svcstore Used - $358.99 0 Jun/06/18 Jul/06/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
spsglobal Used - $150.00 0 Jun/21/18 Jun/30/22
Description: 341-0403// AMAT APPLIED 0021-00549 GASKET 1,CERAMIC ESC 2HE ZONE,200MM POLY NEW
storemanager-2009 Used - $2,000.00 0 Jun/22/18 Jun/29/18
Description: AMAT 0010-70067 Used Heat Exchanger AMAT 1
spsglobal NEW - $250.00 0 Jun/26/18 Apr/13/21
Description: 323-0401// AMAT APPLIED 0090-16018 (#1) SENSOR ASSY., LOADLOCK CASSETTE NEW
dnd_surplus Refurbished - $810.00 0 Jun/27/18 Jul/27/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
svcstore Used - $358.99 0 Jul/07/18 Aug/06/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
dnd_surplus Refurbished - $810.00 0 Jul/28/18 Aug/27/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
tanya.kub Used - $1,300.00 1 Jul/30/18 Nov/23/19
Description: Novellus Systems 61-354958-00 rev. 1, 75-346725-00 rev. 1 oem-a3342-00
quality_automation_equipment NEW - $225.00 0 Jul/30/18 Aug/29/18
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
ok24surplus NEW - $36.00 0 Aug/05/18 Aug/15/18
Description: Applied Materials 0020-18513 AMAT Diffuser Clean Port,HDPCVD, Ultima 1 LOT OF 6
svcstore Used - $358.99 0 Aug/07/18 Sep/06/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
maxisemi1349 NEW - $850.00 0 Aug/08/18 Sep/07/18
Description: 0200-09557 RING, FOCUSING, QZ, 15MM POLY, EXTCATH 1
storemanager-2009 Used - $567.00 0 Aug/09/18 Aug/09/18
Description: 0100-00011 PWB ASSY, CHOPPER DRIVER 1,
storemanager-2009 NEW - $305.00 0 Aug/09/18 Aug/09/18
Description: 3700-02103 O-rings, Chemraz, 5.109ID X .1
yericomfg NEW - $50.00 0 Aug/08/18 Aug/27/19
Description: AMAT; 0140-06487, HARNESS ASSY , WATER LEAK DETECTOR. SEG 1, 300MM HD,
yericomfg NEW - $6,700.00 0 Aug/08/18 Aug/27/19
Description: Novellus Digital Dynamics Controller HDSIOC 1 BATH SBR-XT; 02-351781-00, New
usedeqsales Used - $508.18 0 Aug/10/18 Jun/30/22
Description: AMAT Applied Materials 0150-21024 Mainframe Cable CH 1 Heater New
wyse_avenue NEW - $320.00 0 Aug/13/18 Sep/12/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
yericomfg NEW - $160.00 0 Aug/13/18 Aug/27/19
Description: Applied Materials 0140-12030 Cable Platen 1 P1-P2 IPC Flow SW for LK CMP Polishe
nissiglobal NEW - $16.99 1 Aug/15/18 Feb/19/19
Description: 5PCS AMAT 3690-01862 USE 3690-01914 SCR CAP SKT HD 8-32 X 1
wyse_avenue NEW - $50.00 0 Aug/18/18 Sep/17/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
usedeqsales Used - $804.15 0 Aug/20/18 Nov/08/21
Description: AMAT Applied Materials 0010-25341 CPI-VMO Chamber 1 Used for Parts Used As-Is
ok24odef NEW - $122.00 0 Aug/22/18 Sep/01/18
Description: AMAT 0050-40157 Weldment Elbow 3/4 CPV Face Seal 1 lot of 10
capitolareatech NEW - $22.95 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 715-011522-022 BRACKET REV 1
falcor88 NEW - $9.99 0 Aug/24/18 Sep/23/18
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
falcor88 NEW - $24.99 0 Aug/25/18 Sep/24/18
Description: Turck U2530-9020 WSC WKC 572 1 M/C1126 Cable Applied Materials 0620-02366
bobsgoodies Used - $99.00 3 Aug/27/18 Jun/12/19
Description: AMAT 0150-09616 SPAN INSTRUMENTS IPS 122 TYPE 1 1/4" VCR 30" VAC TO + 60 PSI
dnd_surplus Refurbished - $810.00 0 Aug/27/18 Sep/26/18
Description: AMAT Applied Materials 0190-09291 Ring, Wafer Lift, REV 1 CERAMIC, 200 MM
systasemi Used - $1,200.00 0 Aug/28/18 Jan/04/22
Description: Novellus 16-032609-00, Plate, Mtg, Ped, Style D, Sta 1
prism_electronics7 Used - $6,056.25 0 Aug/29/18 Aug/10/20
Description: AMAT APPLIED MATERIALS 0010-21465 MAGNET ASSY TI DWA SOURCE 1 DURASOURCE
capitolareatech NEW - $63.74 0 Aug/31/18 Aug/13/20
Description: AMAT 0020-31515 LEVELING PIN, REV 1 CERAMIC HOOP
capitolareatech NEW - $795.95 0 Aug/31/18 Sep/19/19
Description: LAM RESEARCH (LAM) 810-800459-005 NODE 1 MB, STRIP, VIOP
ssssayag NEW - $30.00 0 Sep/01/18 Sep/11/18
Description: Applied Materials 0020-18513 AMAT Diffuser Clean Port,HDPCVD, Ultima 1 LOT OF 6
capitolareatech NEW - $349.95 0 Sep/01/18 Aug/13/20
Description: LAM RESEARCH (LAM) 810-802901-300 PCB, MB, NODE 1, PM, COMMON
quality_automation_equipment NEW - $225.00 0 Sep/03/18 Oct/03/18
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
ok24odef NEW - $129.00 0 Sep/07/18 Sep/17/18
Description: AMAT 0050-40157 Weldment Elbow 3/4 CPV Face Seal 1 lot of 10
svcstore Used - $358.99 0 Sep/07/18 Oct/04/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
maxisemi1349 NEW - $800.00 0 Sep/08/18 Oct/08/18
Description: 0200-09557 RING, FOCUSING, QZ, 15MM POLY, EXTCATH 1
wwschool Used - $49.99 0 Sep/10/18 Nov/15/23
Description: AMAT 0270-00249 FIXTURE - LIFTING PROTECTION, ELECTROSTATIC CHUCK COVER (1 Rod)
spsglobal Used - $30.00 0 Sep/10/18 Jun/02/22
Description: 343-0202// AMAT APPLIED 0020-20523 (#1) CAP, LAMP FEEDTHRU USED
spsglobal Used - $1,000.00 0 Sep/19/18 Oct/28/19
Description: 101-0101// AMAT APPLIED 0090-09026 (#1) (BROKEN) OEM-12A-21041-51 ASIS
wyse_avenue NEW - $50.00 0 Sep/20/18 Oct/20/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Sep/20/18 Oct/20/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
falcor88 NEW - $9.99 0 Sep/23/18 Oct/23/18
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
gigabitpartsolutions Refurbished - $1,650.00 0 Sep/26/18 Sep/26/18
Description: Pump EBARA ET300W Turbo-Molecular Vacuum Type 1 AMAT 3620-01535
prism_electronics5 Used - $510.00 0 Sep/28/18 Aug/30/22
Description: APPLIED MATERIALS AMAT 0150-20068 CONTROL CRYO 1 50FT
falcor88 NEW - $24.99 0 Sep/30/18 Oct/30/18
Description: Turck U2530-9020 WSC WKC 572 1 M/C1126 Cable Applied Materials 0620-02366
systasemi Used - $2,000.00 0 Oct/01/18 Feb/25/22
Description: AMAT 0150-35202, CABLE ASSY GAS PANEL UMBILICAL #1
ssssayag NEW - $75.00 0 Oct/02/18 Nov/01/18
Description: Applied Materials 0020-18513 AMAT Diffuser Clean Port,HDPCVD, Ultima 1 LOT OF 6
prism_electronics5 Used - $85.00 5 Oct/02/18 Jul/25/22
Description: APPLIED MATERIALS AMAT 1270-03056 SW THERMO SPST 68C MNL-RESET 1
prism_electronics5 Used - $216.75 2 Oct/02/18 Dec/10/21
Description: APPLIED MATERIALS AMAT 0140-20540 HARNESS ASSY CH 1 & 2 I/C
athomemarket Used - $92.49 0 Oct/02/18 Dec/31/19
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
prism_electronics7 Used - $484.50 0 Oct/03/18 Aug/10/20
Description: APPLIED MATERIALS 0150-20025 AMAT CABLE ASSEMBLY REMOTE 1 INTERCON
prism_electronics7 Used - $240.00 0 Oct/03/18 Aug/26/22
Description: APPLIED MATERIALS 0150-20112 CABLE ASSEMBLY EMO GENERATOR 1
svcstore Used - $251.99 0 Oct/04/18 Nov/03/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
northwest_equipment_sales NEW - $225.00 0 Oct/06/18 Nov/05/18
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
maxisemi1349 NEW - $800.00 0 Oct/08/18 Nov/07/18
Description: 0200-09557 RING, FOCUSING, QZ, 15MM POLY, EXTCATH 1
athomemarket Used - $74.99 0 Oct/08/18 Jan/06/20
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
sparesllc09 Used - $2,100.00 0 Oct/11/18 Jul/30/20
Description: 02-264189-00 / HPD SIOC HDP 1 REV-A FIRMWARE VERSION 4.72 / NOVELLUS SYSTEMS
spsglobal NEW - $300.00 0 Oct/15/18 Nov/06/18
Description: 147-0601// AMAT APPLIED 0140-77119 HARNESS, PAD COND 1, ENC NEW
athomemarket NEW - $40.59 0 Oct/16/18 Jan/14/20
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
prism_electronics5 Used - $212.50 0 Oct/17/18 Aug/03/22
Description: APPLIED MATERIALS AMAT 0900-01099 FLTR RFI POWER LINE 150WVDC 1
wyse_avenue NEW - $50.00 0 Oct/20/18 Nov/19/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Oct/20/18 Nov/19/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
athomemarket Used - $349.98 0 Oct/20/18 Jan/18/20
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
athomemarket NEW - $63.89 0 Oct/22/18 Jan/20/20
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
spsglobal Used - $200.00 0 Oct/23/18 Mar/16/20
Description: 142-0102// AMAT APPLIED 0150-76225 EMC COMP., CABLE, ANALOG #1 GA USED
spsglobal NEW - $50.00 0 Oct/23/18 May/21/20
Description: 142-0301// AMAT APPLIED 0010-76096 WATER RETURN HOSE W/BRS FLARE FTGS CH 1, NEW
falcor88 NEW - $9.99 0 Oct/24/18 Nov/23/18
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
svcstore Used - $214.99 0 Nov/04/18 Dec/04/18
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
maxisemi1349 NEW - $800.00 0 Nov/07/18 Dec/07/18
Description: 0200-09557 RING, FOCUSING, QZ, 15MM POLY, EXTCATH 1
vizvik16 Used - $500.00 0 Nov/09/18 Nov/01/19
Description: APPLIED MATERIALS CENTURA TOTE 1 CHAMBER 0041-33942, 0041-33943, 3690-04674
northwest_equipment_sales NEW - $225.00 0 Nov/10/18 Dec/10/18
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
spsglobal Used - $1,300.00 0 Nov/15/18 Nov/04/21
Description: 130-0701// AMAT APPLIED 0010-70058 (#1) STOR ELEV ASSY, 29 POSN USED
benad24 Used - $466.00 0 Nov/15/18 Apr/29/19
Description: Amat Applied Materials - Act 0100-71251 - Pcb Assy Mf Vme Interlocks #1
grandbirdnet Used - $4,500.00 0 Nov/15/18 Sep/15/19
Description: AMAT 0190-10926 LWR ELECTRONICS, P-RIZED BRUSH 1 OR 2 W/, USED
wyse_avenue NEW - $50.00 0 Nov/19/18 Dec/19/18
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Nov/19/18 Dec/19/18
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
bms-semicon Used - $1,999.90 1 Nov/21/18 Jan/05/23
Description: AKT CVD POWER P/N 0190-71517 REV 1 AMAT Applied Materials
falcor88 NEW - $9.99 0 Nov/26/18 Dec/26/18
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
spsglobal Used - $40.00 5 Nov/28/18 Jun/06/22
Description: 343-0402// AMAT APPLIED 0015-20124 SCR 1/4 - 20 X 1 TITANIUM VENTED FLAT HE NEW
consulteam Used - $599.95 1 Nov/28/18 Dec/09/21
Description: 0090-04736 REV. 001 AMAT P/N, RF FILTER BOX ASSY., PRODUCER SE, 1 EACH
consulteam NEW - $799.95 1 Nov/28/18 Jul/10/19
Description: 0010-09340 AMAT P/N, SUSCEPTOR LIFT, PRECISION 5000, UNUSED, 1 EACH
consulteam Used - $4,700.00 1 Nov/28/18 Feb/27/19
Description: 0010-13622 REV. B Vectric IMP Source, AMAT P/N, VECTRA IMP .010 CAP PVD, 1 EACH
falcor88 NEW - $24.99 0 Dec/04/18 Jan/03/19
Description: Turck U2530-9020 WSC WKC 572 1 M/C1126 Cable Applied Materials 0620-02366
svcstore Used - $192.99 0 Dec/05/18 Jan/04/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
dieseltdiguy NEW - $11.89 0 Dec/06/18 Jan/05/19
Description: AMAT Chamber Panel MTG 10-32 x 1 PHH 3690-02032
spsglobal NEW - $1,200.00 0 Dec/07/18 Mar/21/19
Description: 125-0101// AMAT APPLIED 0020-20112 (#1) CLAMP RING, 8" SNNF, SST 3.378 NEW
maxisemi1349 NEW - $800.00 0 Dec/07/18 Jan/06/19
Description: 0200-09557 RING, FOCUSING, QZ, 15MM POLY, EXTCATH 1
northwest_equipment_sales NEW - $225.00 0 Dec/10/18 Jan/09/19
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
spsglobal Used - $5,000.00 1 Dec/13/18 Jul/11/23
Description: 108-0701// AMAT APPLIED 0010-20768 (#1) MAGNET ASSY G-12 8 *** [USED]
falcor88 NEW - $9.99 0 Dec/30/18 Jan/29/19
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
maxisemi1349 NEW - $800.00 0 Jan/06/19 Feb/05/19
Description: 0200-09557 RING, FOCUSING, QZ, 15MM POLY, EXTCATH 1
falcor88 NEW - $24.99 0 Jan/07/19 Feb/06/19
Description: Turck U2530-9020 WSC WKC 572 1 M/C1126 Cable Applied Materials 0620-02366
svcstore Used - $164.99 0 Jan/08/19 Feb/07/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
svtsurplus Used - $220.00 1 Jan/09/19 Jan/02/20
Description: MKS / Applied Materials 1350-00133 Capacitance Manometer 1 Torr
northwest_equipment_sales NEW - $225.00 0 Jan/10/19 Feb/09/19
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
zindchau15 Used - $920.00 0 Jan/13/19 Oct/23/21
Description: APPLIED MATERIALS 0041-81422 LK PLATEN UPPER WELDMENT NGFV 1 ms PTC
comwaysind Used - $378.00 1 Jan/14/19 Feb/22/22
Description: BRAD SST-EDN-1 Remote DeviceNet Scanner Applied Materials 0190-60305 Rev. 1
metkorea Used - $200.00 0 Jan/18/19 Oct/26/21
Description: Novellus Ststems Sioc Ixt Pvd Sioc 1 03-279240-00 26-279237-00 0327924000 262792
wyse_avenue NEW - $50.00 0 Jan/18/19 Feb/17/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Jan/18/19 Feb/17/19
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
wecansellthat NEW - $44.99 0 Jan/18/19 Sep/01/22
Description: (1) New Applied Materials AMAT 3700-01923 ORING ID 17.955 CSD .210 Viton 75DURO
baxtedf NEW - $399.99 0 Jan/23/19 Sep/01/22
Description: 1 AMAT / Applied Materials 0020-63909 Plate Isolator Holddown 6 Hole, FEOL, NEW
comwaysind Used - $275.00 1 Jan/26/19 Sep/19/19
Description: Brad MSIP-REM-mol-EDN1V2 Remote DeviceNet Scanner AMAT 0190-60305 Rev 1
athomemarket Used - $183.99 0 Feb/04/19 May/02/20
Description: LAM Research 810-802901-307 Rev. C Node 1 PM Common PCB Board/Card
smartelektronikgmbh Used - $2,500.00 1 Feb/05/19 Jun/11/19
Description: 0190-35875 AMAT / Heater Driver / 208 VAC, 1 Phase, 50/60 HZ, 30 Amp. max.
svcstore Used - $140.99 0 Feb/08/19 Mar/10/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
northwest_equipment_sales NEW - $225.00 0 Feb/11/19 Mar/13/19
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
lrgonme23 NEW - $100.00 0 Feb/12/19 Feb/22/19
Description: MKS 722B01TCE2FA Pressure Transducer 1 Torr AMAT 1350-00126
wyse_avenue NEW - $50.00 0 Feb/17/19 Mar/19/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
wyse_avenue NEW - $320.00 0 Feb/17/19 Mar/19/19
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
spsglobal Used - $40.00 0 Feb/17/19 Jun/18/23
Description: 343-0402// AMAT APPLIED 0015-20124 SCR 1/4 - 20 X 1 TITANIUM VENT 2ND SOURCE NEW
svcstore Used - $119.99 0 Mar/11/19 Apr/10/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
northwest_equipment_sales NEW - $225.00 0 Mar/14/19 Apr/13/19
Description: AMAT 4020-01154 MOTT POU-015-SV1 GasShield POU all-metal gas filter 1/4VCR-M 1
wyse_avenue NEW - $320.00 0 Mar/19/19 May/03/19
Description: AMAT 0650-00028 CMPTR SWITCH BOX 2 1 LOCKING SWITCH , NEW
wyse_avenue NEW - $50.00 0 Mar/19/19 May/03/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
grandsemicon*** Used - $400.00 1 Mar/20/19 Feb/19/20
Description: LAM PN: 799-012856-001 LAM ATR 8 V2 Amplifier/ Brooks' PN: 002-9479-04 REV : 1
prism_electronics12 Used - $45.00 0 Mar/26/19 Aug/09/22
Description: LAM RESEARCH 853-073557-011 CABLE CA, NODE/PRESS CONT ZONE 1
katiil3 Used - $1,999.00 0 Mar/28/19 May/18/19
Description: AMAT 0190-35875 Heater Driver 208 VAC, 1 Phase, 50/60 HZ, 30 Amp. max.
getspares.com_sparesllc09 NEW - $2,757.99 0 Apr/19/19 Oct/05/21
Description: 0190-08120 / COMPUTER MODULE CELERON 433MHZ 256MB-DRAM 1 /APPLIED MATERIALS AMAT
svcstore Used - $101.99 0 Apr/11/19 Sep/16/19
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
falcor88 NEW - $9.99 2 Apr/11/19 Jan/09/20
Description: (2) Applied Materials 3300-02279 FTG TBG Elbow 1/8T X 1/8 MNPT 1 Touch QK Discon
austintxresale NEW - $24.99 1 Apr/11/19 Mar/24/23
Description: Turck U2530-9020 WSC WKC 572 1 M/C1126 Cable Applied Materials 0620-02366
spsglobal Used - $2,700.00 0 Apr/24/19 Jul/01/19
Description: 310-0401// AMAT APPLIED 1290-01715 (#1) 8113040G003 TERM CNTRLR NTWK USED
spsglobal NEW - $100.00 1 Apr/25/19 Apr/28/21
Description: 323-0502// AMAT APPLIED 0190-36566 (#1) CABLE ASSY, HYDRIDE LEAK DETEC NEW
ntsurplus302 Used - $2,200.00 0 May/02/19 Jun/29/23
Description: 4670 Novellus 02-262491-00 SIOC Speed 1 Field Connector Module
usedeqsales Used - $2,005.19 3 May/01/19 Feb/28/20
Description: Lam Research 810-017388-003 Ethernet Address Board Rev. 1 VME PCB Card Continuum
chealabella6z2c NEW - $13.00 0 Apr/27/19 Jun/25/20
Description: (1) Applied Materials Lamp Bulbs 1010-01088 1000W Clear JCV120V-1000WBM/XX
spsglobal Used - $1,000.00 1 Apr/26/19 Sep/17/19
Description: 303-0301// AMAT APPLIED 0190-70060 (#1) wMAGNET DRIVE ASSY ASIS
usgera_gvbbcfgaa Used - $325.00 0 May/09/19 Aug/10/22
Description: Amat Lot Of (2) DIP CDN-491 PCB Cards 0190-04457 (1) DIP-379-250 (1) DIP-439-250
spsglobal Used - $50.00 0 May/09/19 Jun/18/23
Description: 351-0403// AMAT APPLIED 0160-20000 CONN, 1 SKT COAX UG58A 2ND SOURCE NEW
bt_store1 Used - $1,135.00 0 May/17/19 Jul/15/21
Description: AMAT 0040-22746 BLOCK MOUNTING 1 18 DIA SHAFT MOTOR LIFT B101 HTR
bt_store22 Used - $1,950.00 0 May/20/19 Jul/15/21
Description: AMAT 0040-47724 MANIFOLD OUTPUT 1 HOLE LEFT 200MM PR
bt_store22 Used - $1,600.00 0 May/29/19 Aug/29/20
Description: AMAT 3870-02153 VALVE PNUM DIAPH NO 1 4VCR F F 145PSI
bt_store1 Used - $100.00 0 May/30/19 Jul/15/21
Description: AMAT 3860-01163 TBG PLSTC 1 8 OD 1 16 ID URETHANE WHT OPAQUE 6
benad24 Used - $373.03 0 May/30/19 Jan/22/20
Description: Amat Applied Materials Nude 0100-71251 PCB Assembly Mf Vme Interlocks #1
novusferro Scrap, for parts - $349.00 1 May/31/19 Jun/30/20
Description: Applied Materials AMAT 0040-13337 Link 1, Lower 7.2 Degree Offset
bt_store1 Used - $120.00 0 Jun/05/19 Jul/15/21
Description: AMAT 0150-16037 CABLE ASSY SIGNAL TOWER POWER 1
zindchau15 Used - $2,000.00 1 Jun/06/19 Jul/14/19
Description: Digital Dynamics Controller Novellus 02-321647-00 HDSIOC 1 VECTOR
wyse_avenue NEW - $50.00 0 Jun/25/19 Jul/09/19
Description: NEW AMAT APPLIED MATERIALS 0242-34412 MOUNTING BRACKET MF ETCH PROCESS 1 & 2 KIT
sparesllc09 Used - $16,951.00 1 Jun/25/19 May/19/21
Description: 0242-88819 ,0010-21676/ VECTRA IMP 1 SOURCE ASSY PVD/ AMAT
sgcequipment NEW - $12,000.00 0 Jun/28/19 Nov/28/23
Description: Applied Materials (AMAT) 0010-22161 Assy, High EFF RF Match, HTESC PH 1 REV A
spsglobal Used - $2,500.00 2 Jul/03/19 Sep/26/19
Description: 303-0301// AMAT APPLIED 0190-70060 (#1) 1100-0051-00 wMAGNET DRIVE ASSY USED
dgold32 Used - $99.99 1 Jul/08/19 Sep/29/19
Description: LAM 853-032908-001 ASSY ISO ANGLE VALVE 1 1/2 HT
bobsgoodies2 Used - $155.00 2 Jul/11/19 Jul/12/19
Description: (1 Motor) AMAT 0090-39195 Applied Materials 5-Phase Stepping Motor PK569-AUHA
smartelektronikgmbh NEW - $80.00 0 Jul/11/19 Nov/20/19
Description: 326-0302// AMAT APPLIED 0150-20182 CABLE ASSY, CHASSIS GND, MDX, 1 FT NEW
consulteam NEW - $39.95 1 Jul/15/19 Oct/14/19
Description: OSK5502, OMEGA ENGINEERING, THERMISTOR PROBE, AMAT 1150-01001, 1 EACH
consulteam Used - $799.95 1 Jul/15/19 Mar/10/22
Description: 0010-09340 AMAT P/N, SUSCEPTOR LIFT, PRECISION 5000, UNUSED, 1 EACH
bt_store1 Used - $10.00 0 Jul/17/19 Aug/22/19
Description: AMAT 3080-01044 BELT TMG 3 8 PICH 1 2 W NEO GLASS
bt_store22 Used - $235.00 0 Jul/18/19 Jul/15/21
Description: AMAT 0150-20014 CABLE ASSY CHAMBER 1 INTERCONNECT 25`
bt_store1 Used - $20.00 0 Jul/18/19 Aug/22/19
Description: AMAT 3610-01043 Pul Time Belt 3 8 Pitch Alum 1 2 Width 1 2 Bore
bt_store1 Used - $10.00 0 Jul/18/19 Jun/17/21
Description: AMAT 3300-01216 FTG HOSE CONN 1 8H 10 32M BRS 21 32 BARB
bt_store1 Used - $80.00 0 Jul/18/19 Jul/15/21
Description: AMAT 0150-20112 CABLE ASSY EMO GENERATOR 1 2 INT
mercury_man NEW - $85.00 1 Jul/20/19 Jul/25/19
Description: Motor PITTMAN GM9413-3 LAM 676-000504-003 12 VDC 65.5 : 1 Ratio
spsglobal Used - $200.00 0 Jul/30/19 Jun/29/23
Description: 345-0103// AMAT APPLIED 0190-35198 (#1) ASSY,OPT SNSR/CABLE,SPECIFICAT NEW
spsglobal Used - $120.00 0 Jul/30/19 Feb/19/20
Description: 345-0102// AMAT APPLIED 0190-09132 (#1) SW, 15 HG, VCR-4 OPEN DECREAS USED
zindchau15 NEW - $90.00 0 Jul/30/19 Oct/23/21
Description: Applied Materials 3300-09189 ftg elbow 45deg 1 7/16 - 12thd x 1 5/16
j316gallery Used - $887.12 1 Aug/01/19 Jun/28/21
Description: 14522 APPLIED MATERIALS CPRO3/128 30000 REV C2 CPU, 2.0 BIOS W 1, PCB 0190-12218
spsglobal Used - $40.00 0 Aug/05/19 Jun/29/23
Description: 141-0501// AMAT APPLIED 0150-20039 CABLE ASSY, DI/O CONTROLLER 1 INTERCONNE NEW
dom0808 NEW - $374.00 0 Aug/03/19 Feb/11/22
Description: Lam Research ASSY,HOSE,COOL RING TO PUMP 1 853-222376-003
bt_store1 Used - $85.00 0 Aug/06/19 Jun/17/21
Description: AMAT 0190-18397 ASSY CH A HOSE MICROWAVE FS TO AMAT 1 SMC RETURN
bt_store1 Used - $170.00 0 Aug/06/19 Jul/15/21
Description: AMAT 0190-18396 ASSY CH A HOSE AMAT 1 SMC SUPPLY TO MALE QD MAG
dom0808 Used - $8,999.00 0 Aug/09/19 Nov/04/19
Description: Lam Research JETSTREAM GAS BOX 571-065780-705 All in 1 Gas Box 571-065780-705
dom0808 Used - $22,100.00 0 Aug/14/19 Nov/04/19
Description: Lam Research Jetstream Gas Box 571-065780-703 All in 1 Gas Box 571-065780-703
roundtable1 NEW - $199.00 1 Aug/14/19 Aug/15/19
Description: Applied Materials 0010-11537 FCW VALVE ASSEMBLY CENTURA 1 0 GPM PARKER VALVE
roundtable1 NEW - $69.99 3 Aug/16/19 Aug/16/21
Description: APPLIED MATERIALS (AMAT) 0190-35677 HOSE HX SUPPLY CHMB B SP 1 CENTURA CMF
getspares.com_sparesllc09 Used - $5,000.00 0 Aug/19/19 Jun/22/20
Description: 0090-04470 / CONTROLLER RTC CPCI ENDURA SHORT BLOCK 1 / AMAT
auctionrus Used - $550.00 0 Aug/23/19 Jun/29/23
Description: Aera FC-PA7800C-BA Mass Flow Controller MFC, CH4, 1 SLM, AMAT 3030-16240, 451786
capitolareatech Used - $5.02 0 Aug/23/19 Nov/25/19
Description: APPLIED MATERIALS (AMAT) 0015-20124 SCR 1/4 - 20 X 1 TITANIUM VENTED FLAT HE
auctionrus Used - $650.00 0 Aug/22/19 Jun/29/23
Description: Aera FC-PA7810C-BA Mass Flow Controller, MFC, N2O 1 SLM, AMAT 3030-16654, 451781
spsglobal Used - $90.00 0 Aug/25/19 Jun/22/22
Description: 141-0502// AMAT APPLIED 0140-20910 (#1) HARNESS ASSY SOLENOID RGA VALV USED
spsglobal Used - $50.00 0 Aug/25/19 Jun/29/23
Description: 141-0502// AMAT APPLIED 0140-09392 HARNESS #1, TEMP. CONTROL NEW
dom0808 Used - $423.50 0 Aug/28/19 Feb/11/22
Description: Fujikin FBDCL-RS022-6 Lam 796-029684-327 VLV,NC,1 MN,2 BYP,5RA,316L,STONEHENGE
dom0808 NEW - $768.90 0 Aug/30/19 Feb/11/22
Description: Lam Research KIT,UPGRD,ULAF,NO MEMBRANE,DET,XT,1 DUET 856-267214-002
j316gallery Used - $2,110.00 0 Aug/30/19 Sep/05/19
Description: 8785 APPLIED MATERIALS CABLE ASSY CONVECTRON 1 INTCNT 50FT (15.24M) 0150-21240
ahmasale_57 Used - $99.99 0 Aug/31/19 Feb/29/20
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-360-002
bt_store1 Used - $20.00 0 Sep/04/19 Jun/17/21
Description: AMAT 3610-01043 Pul Time Belt 3 8 Pitch Alum 1 2 Width 1 2 Bore
bt_store1 Used - $10.00 0 Sep/04/19 Jun/17/21
Description: AMAT 3080-01044 BELT TMG 3 8 PICH 1 2 W NEO GLASS
j316gallery Used - $1,800.00 0 Sep/05/19 Jun/15/21
Description: 8785 APPLIED MATERIALS CABLE ASSY CONVECTRON 1 INTCNT 50FT (15.24M) 0150-21240
capitolareatech Used - $149.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0050-26679 LINE N2 #1 CHAMBER SEMICONDUCTOR PART 
maxisemi1349 Used - $500.00 0 Sep/05/19 Dec/05/21
Description: 10-155414-00 ASSY TUBE V46A V48A STA 1
capitolareatech Used - $150.00 0 Sep/05/19 Sep/26/19
Description: Applied Materials AMAT 0140-78284 CABLE CLEANER EMO 1 200MM
vbindustrialsupply Used - $647.99 0 Sep/07/19 Oct/08/20
Description: Lam Research 810-17031-3 ADIO A0 PCB Card 810-017031-004 Rev. 1 Module Board
maxisemi1349 Used - $450.00 1 Sep/09/19 Sep/03/23
Description: 10-156226-00 TUBE ASSY, SHWRHD CONN, STA 1
spsglobal Used - $40.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-09210 (#1) CHAMBER B MFC CABLE ASSY USED
spsglobal Used - $20.00 0 Sep/16/19 May/02/23
Description: 141-0703// AMAT APPLIED 0140-09056 (#1) HARNESS AIRFLOW INTERLK USED
svcstore Used - $73.99 1 Sep/16/19 Feb/18/21
Description: NOVELLUS Digital Dynamics SIOC IXT SESIOC 1 PVD 300 Control Module 02-167050-00
spsglobal Used - $2,500.00 0 Sep/26/19 Sep/30/19
Description: 303-0301// AMAT APPLIED 0190-70060 (#1) 1100-0051-00 wMAGNET DRIVE ASSY USED
getspares.com_sparesllc09 NEW - $778.95 1 Sep/27/19 Dec/13/20
Description: 0021-24183 / LINER, BOTTOM SIDE 1, 300MM SE / AMAT
getspares.com_sparesllc09 Used - $1,150.00 0 Oct/18/19 Sep/08/22
Description: 0100-11018 / SLOT 1 MVME 1101-1 TO DTE PCB 8300 OR 8330 / AMAT
pdcsystems Used - $15.00 0 Oct/22/19 Jun/07/20
Description: APPLIED MATERIALS 0050-62006 KF-40 SPOOL CONNECTOR 1 3/4 INCH
spsglobal Used - $20.00 0 Oct/24/19 Sep/06/20
Description: 142-0702// AMAT APPLIED 0150-20583 CABLE ASSY, MTR LIFT CH 1 & 2 USED
dom0808 Used - $405.90 0 Oct/25/19 Feb/11/22
Description: Lam Research Motherboard NODE 1 PM COMMON 810-802901-300
technology_and_more NEW - $79.99 0 Oct/29/19 Jun/09/20
Description: 1 Pc APPLIED MATERIALS 0140-18061 Cover and Lid Harness Assembly
rethinkresale Refurbished - $3,800.00 0 Oct/30/19 Jan/15/20
Description: Lam Novellus PEDESTAL 8" STYLE D (PED 1) ALTOS, p/n 02-033134-01, Rev A
usedeqsales Used - $5,010.19 0 Oct/31/19 Nov/08/21
Description: AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Copper Cu Working
consulteam NEW - $39.95 1 Oct/31/19 May/05/21
Description: OSK5502, OMEGA ENGINEERING, THERMISTOR PROBE, AMAT 1150-01001, 1 EACH
gordonca Used - $498.00 2 Nov/04/19 Feb/08/21
Description: Applied Materials 0190-02362 Rev. 003 MAIN FRAME INTERLOCK 1 RELAYS MODULE
powersell007 Used - $199.00 1 Nov/04/19 Sep/04/22
Description: APPLIED MATERIALS 0021-23965 CLAMP, ZONE 1 MEMBRANE, 5 ZONE PROFILER AMAT
dom0808 Used - $28,270.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-705
dom0808 Used - $42,130.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-704
dom0808 Used - $29,590.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-704 MFC not fitted.
dom0808 Used - $42,240.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-703
dom0808 Used - $29,645.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box 571-065780-702 All In 1 Gas Box
dom0808 Used - $29,634.00 0 Nov/05/19 Feb/11/22
Description: Lam Research Jetstream Gas Box All In 1 Gas Box 571-065780-702
coastalinstruments NEW - $295.00 0 Nov/18/19 Feb/03/21
Description: Lintec LM-1100M-5, 1 g/m TEOS AMAT 3030-01620
coastalinstruments NEW - $295.00 0 Nov/18/19 Feb/03/21
Description: Lintec LM-1100M-8, .1 g/m TEOS AMAT 3030-04915
cosplity Used - $3,900.00 0 Nov/19/19 Jun/16/20
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM
arg-tech Scrap, for parts - $159.99 2 Nov/20/19 Dec/25/19
Description: Lam Research 61-415639-00 ASM, HDSIOC 1 VECTOR
arg-tech Scrap, for parts - $159.99 1 Nov/20/19 Dec/20/19
Description: Lam Research 61-437477-00 ASM, FE-EIOC 1 Below CHMBR
arg-tech Scrap, for parts - $49.99 2 Nov/20/19 Nov/20/19
Description: Lam Research 02-321647-00 HDSIOC 1 VECTOR Module
j316gallery Used - $577.22 2 Nov/21/19 Aug/04/23
Description: 15535 LAM RESEARCH PCB, ASSY, I/O MOTHER BOARD, SLOT 1 810-017096-001
spsglobal Used - $380.00 1 Nov/28/19 Feb/13/23
Description: 124-0502// AMAT APPLIED 0020-31627 (#1) FLANGE,BASE, ESC USED
best4industrie Used - $111.33 0 Nov/30/19 Dec/05/19
Description: Akt 0100-71331 Rev 1 Amat Applied Material
usedeqsales Used - $5,012.19 0 Dec/03/19 Nov/08/21
Description: AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Rev. 001 Working
capitolareatech Used - $20.66 0 Dec/06/19 Aug/13/20
Description: Novellus 15-315136-00 PIN MCA SAPPPIRE 316DIAX 1316 LG 1 FLAT E
capitolareatech Used - $29.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0150-00078 AFC 1 RIBBON CABLE
capitolareatech Used - $195.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 3030-09099 MFM 9660 6SLM HE 14VCR MTL HOV 15PD 1
capitolareatech Used - $135.00 0 Dec/06/19 Aug/13/20
Description: Lam Research LAM 853-017930-003 ASSY HARNESS SOLENOID 1
capitolareatech Used - $399.95 0 Dec/07/19 Aug/13/20
Description: Applied Materials (AMAT) 0150-09722 CABLE, ASSY 25' SIGITAL #1 GAS PANEL
powersell007 Used - $1,199.00 0 Dec/19/19 Mar/09/22
Description: APPLIED MATERIALS 0021-27138 FILLER CHAMBER 1 PRODUCER SE AMAT *UNUSED, SEALED*
capitolareatech Used - $119.95 0 Dec/22/19 Jun/22/20
Description: Applied Materials (AMAT) 0140-75153 Harness, Assy. PLC LLA Interconnect Phase 1
j316gallery NEW - $2,673.07 0 Dec/29/19 May/28/23
Description: 15625 APPLIED MATERIALS TARGET MONOBLOCK, ALCU 1/SI 1, 089697 (NEW) 0190-21434
athomemarket Used - $92.49 0 Dec/31/19 Mar/29/21
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
capitolareatech NEW - $1,395.00 0 Jan/04/20 Aug/13/20
Description: Applied Materials AMAT 0200-09576 HOOP REV 1 CERAMIC 150MM UNIV CHAMBER
athomemarket Used - $74.99 2 Jan/06/20 Oct/31/21
Description: Lam Research 810-802901-305 MB, Node 1 PM, Common Circuit Board PCBA
athomemarket Used - $349.98 0 Jan/18/20 Mar/16/21
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
athomemarket NEW - $63.89 0 Jan/20/20 Mar/18/21
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
katiil3 Used - $99.00 0 Jan/21/20 Oct/23/21
Description: Applied Materials /AMAT 1290-01559 Fuse BLK 100A 1/4-20 1 Pole 6x QTY
benad24 Used - $362.14 0 Jan/23/20 Mar/25/20
Description: Amat Applied Materials - Act 0100-71251 - PCB Assy Mf Vme Interlocks #1
capitolareatech NEW - $39.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0150-09573 CABLE, ASSY ANALOG #1 GAS I/F ELECTRICAL BO
capitolareatech NEW - $749.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-09331 SHIELD 100MM 94MM 1 FLT HEWEB
capitolareatech NEW - $59.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 3310-01123 GAUGE PRESS 30HG/30PSI 1 %AC 2"D 1/4MNPT
capitolareatech NEW - $22.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-01220 SW PB MOM 1 NC NEMA TY13 WITHOUT HD
spsglobal Used - $15,000.00 0 Jan/31/20 Oct/07/20
Description: 000-0115// AMAT APPLIED 0040-20010 (#1) CHAMBER STD PROCESS PVD ASIS
spsglobal Used - $6,000.00 0 Feb/03/20 Jul/11/21
Description: 353-0401// AMAT APPLIED 3620-01124 (#1) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
visionsemi Used - $300.00 0 Feb/18/20 Sep/18/20
Description: NOVELLUS DIGITAL DYNAMICS INC HDSI0C 1 PDL OXIDE 02-290253-00
spsglobal Used - $3,500.00 0 Feb/19/20 Jun/21/21
Description: 164-0101// AMAT APPLIED 0010-70000 (#1) wASY MINICONTROL.TEOS OP ASIS
capitolareatech NEW - $29.95 0 Feb/21/20 Jun/21/20
Description: LAM RESEARCH (LAM) 15-391861-28 PIN, MCA, SAPH, 3/16 DIA, 0.8139IN, 1 FLA
capitolareatech NEW - $29.95 0 Feb/21/20 Jun/21/20
Description: NOVELLUS 15-391861-32 PIN, MCA. SAPH, 3/16DIA, 0.8147in, 1 FLAT END 
capitolareatech NEW - $29.95 0 Feb/21/20 Jun/21/20
Description: NOVELLUS 15-391861-12 PIN, MCA, SAPH, 3/16 DIA, 0.8107IN, 1 FLAT END
capitolareatech NEW - $29.95 0 Feb/21/20 Jun/21/20
Description: NOVELLUS 15-391861-27 PIN, MCA. SAPH, 3/16 DIAMETER, 0.8137IN, 1 FLAT
capitolareatech NEW - $29.95 0 Feb/21/20 Jun/21/20
Description: NOVELLUS 15-391861-30 PIN, MCA, SAPH, 3/16 DIA, 0.8143in, 1 FLAT END
capitolareatech Used - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials (AMAT) 0015-09021 Pin, Dowell Pull 112 X 1 3/4 L
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Novellus 15-391861-24 PIN MCA SAPH 316DIA 08131IN 1 FLAT END
dy-global NEW - $1,499.90 0 Feb/22/20 Nov/11/20
Description: LAM RESEARCH NNB 61-358683-00 REV.B FE-HD 1 MACH 4 HDLR G6 SEM-I-378=8F21
dy-global Used - $799.90 1 Feb/22/20 Oct/15/20
Description: NOVELLUS USED 02-264834-00 REV.A SESIOC WTS 1 79-10098-00 REV.C SEM-I-373=8F21
spsglobal Used - $2,700.00 0 Feb/24/20 Mar/16/20
Description: 350-0301// AMAT APPLIED 1290-01715 (#1) 8113040G003 TERM CNTRLR NTWK USED
dom0808 Used - $170.50 0 Feb/27/20 Feb/11/22
Description: Lam Research MB,NODE 1 PM, COMMON 810-802901-307
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Novellus 15-391861-29 PIN MCA SAPH 316DIA 08141IN 1 FLAT END
sfwish NEW - $4,790.00 0 Feb/29/20 Feb/17/22
Description: NEW Applied Materials/AMAT 0022-23653 LINER 1, PUMPING, INV, PRECISION XPS
spsglobal Used - $10.00 0 Mar/04/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0020-76016 1 1/4" SPACER 125MM WFR CASSETTE HNDLR NEW
spsglobal Used - $30.00 0 Mar/04/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0020-41414 SCREW,5/16-18 1 1/4,SLIT VALVE NEW
hc-emc Used - $3,000.00 0 Mar/09/20 Mar/30/21
Description: NOVELLUS HDSIOC 1 VETOR 02-252396-00
j316gallery NEW - $290.80 0 Mar/10/20 Feb/17/22
Description: 16399 APPLIED MATERIALS WELDMENT 1, AR PURGE, XHDR TEOS (NEW) 0051-27267
j316gallery Used - $581.61 0 Mar/10/20 Feb/17/22
Description: 2496 APPLIED MATERIALS NOZZLE 4-HOLES 60 DEG, BAFFLE, ULTIMA 1 0200-01009
j316gallery NEW - $104.70 0 Mar/12/20 Oct/04/21
Description: 16664 APPLIED MATERIALS PRESSURE SWITCH CABLE 1 WXZ (NEW) 0150-07482
j316gallery Used - $2,800.00 1 Mar/12/20 Jul/07/20
Description: 16353 NOVELLUS SIOC C4D 1 MODULE 02-136534-00 02-252725-00
j316gallery Used - $3,257.01 0 Mar/12/20 Feb/17/22
Description: 16351 NOVELLUS SIOC C4D SIOC 1 MODULE 03-136534-00 02-136537-00
bornalliancecom Used - $1,595.00 1 Mar/14/20 Feb/17/22
Description: Applied Materials 0100-09134 PCB, DIO Fuse Board AMAT (1 lot of 5)
spsglobal Used - $80.00 0 Mar/17/20 Feb/17/22
Description: 320-0403// AMAT APPLIED 0100-20265 (#1) PCB ASSY, FILTER BOARD USED
getspares.com_sparesllc09 Used - $845.00 0 Mar/19/20 Feb/17/22
Description: 0190-15030 / ROBOT 1 SIGNAL CONTROL CABLE FOR KAWASAKI A3 ROBOT 300MM / AMAT
comwaysind Used - $225.00 0 Mar/24/20 Feb/17/22
Description: Applied Material 0041-60795 Rev 08 300535-2717-0001 Hinge Bracket, Side 1, Chbod
benad24 Used - $404.11 0 Mar/25/20 Mar/02/21
Description: Amat Applied Materials - Act 0100-71251 - PCB Assy Mf Vme Interlocks #1
spsglobal Used - $4,500.00 1 Apr/01/20 Aug/02/21
Description: 318-0103// AMAT APPLIED 0010-21393 (#1) ASSY, SHUTTER ROTATION, LINKAGE [ASIS]
grandbirdnet Used - $2,500.00 2 Apr/15/20 Apr/29/22
Description: LAM RESEARCH 02-321647-00 VECTOR HDSIOC 1, USED
jtechsemi Used - $1,500.00 0 Apr/17/20 Apr/03/23
Description: AMAT 0150-38494 C/A RF GENERATOR ANALOG I/O, 5200 IPS, 1
metrology123 Used - $649.00 1 May/01/20 Apr/12/21
Description: Lam research assy 810-17031-2 rev 1 810-017031-004 rev C ADIO A0
usedeqsales NEW - $1,005.20 1 May/01/20 Sep/03/20
Description: AMAT Applied Materials 0200-02407 Pumping Ring Ceramic C-Channel Side 1 300mm P
athomemarket Used - $183.99 0 May/02/20 Jun/30/21
Description: LAM Research 810-802901-307 Rev. C Node 1 PM Common PCB Board/Card
j316gallery Used - $1,361.10 0 May/10/20 Jan/19/21
Description: 18625 APPLIED MATERIALS EMC COMP. CABLE ASSY GAS PANEL #1 UMBI 12.2M 0150-76204
j316gallery Used - $230.34 1 May/10/20 Apr/08/21
Description: 7661 APPLIED MATERIALS VLV CHECK RETURN ADAPTER HE FLOW 1 WAY 3870-03651
j316gallery Scrap, for parts - $400.00 0 May/14/20 Sep/09/20
Description: 18579 APPLIED MATERIALS SPACER, CHAMBER 1, PRODUCER SE (PARTS) 0021-24181
powersell007 NEW - $1,599.00 0 May/19/20 Jul/01/20
Description: APPLIED MATERIALS 0200-03406 C-CHANNEL SIDE 1 PRODUCER SE APF AMAT *UNUSED*
spsglobal Used - $300.00 0 May/20/20 Aug/30/23
Description: 325-0102// AMAT APPLIED 3030-12950 MFC 8165 1 SLM 5 % B2H6/AR 1/4 VCR MTL [ASIS]
spsglobal Used - $300.00 0 May/20/20 Aug/30/23
Description: 325-0203// AMAT APPLIED 3030-01654 (#1) wAFC MFC UNIT N2 2SLM PIN D-CON [ASIS]
atxdeals4u Used - $1,000.00 0 Jun/09/20 Jun/09/20
Description: LAM Research 61-437477-00 Rev 1 785-179350-002 Rev B EIOC 1 Below Chamber
atxdeals4u Used - $1,000.00 1 Jun/10/20 Jul/21/20
Description: LAM Research 61-437477-00 Rev 1 785-179350-002 Rev B EIOC 1 Below Chamber
gophersales Used - $525.00 1 Jun/16/20 Aug/11/21
Description: AMAT Applied Materials 3870-01352 Valve 1 1/2" Conflat 2 3/4" Flange
pdcsystems NEW - $35.00 0 Jun/27/20 Mar/18/21
Description: Applied Material 3300-07823 FTQ SEAL-LOK PLUG 1' ORF8 STEEL (PKG 4)
j316gallery NEW - $1,245.93 1 Jul/05/20 Feb/08/21
Description: 874 APPLIED MATERIALS NOZZLE 4-HOLES 60 DEG, BAFFLE, ULTIMA 1. (NEW) 0200-01009
spsglobal Used - $1,300.00 0 Jul/06/20 Oct/30/20
Description: 333-0401// AMAT APPLIED 0020-20295 (#1) wFRAME SOURCE 11.30" [USED]
spsglobal Used - $5,000.00 0 Jul/09/20 Nov/29/21
Description: 328-0301// AMAT APPLIED 0010-22161 ASSY, HIGH EFF RF MATCH,HTESC PH 1 [ASIS]
xsysengineering NEW - $1,200.00 0 Jul/11/20 Jun/12/21
Description: Applied Materials (AMAT) 0040-99957 ESC (1 of 2 available) Used. Electro-Static
pdcsystems Used - $15.00 0 Jul/17/20 Mar/18/21
Description: APPLIED MATERIALS 0050-62006 KF-40 SPOOL CONNECTOR 1 3/4 INCH
lagpat NEW - $560.00 0 Jul/19/20 Apr/19/24
Description: 1 LOT OF 25 PIECES AMAT SCREW BOTTOM HEATER MOUNTING PRODUCER G-GT 0015-06024
noam-tech Used - $520.00 1 Jul/23/20 Jan/23/22
Description: 0040-04680 Arm Bracket 1 Set
riverstar777 Used - $310.00 0 Jul/23/20 Sep/15/22
Description: LAM 810-802901-307 MB Node 1 PM Common PCB For Sale
getspares.com_sparesllc09 Used - $1,594.62 1 Jul/30/20 Jan/19/22
Description: 02-264189-00 / HPD SIOC HDP 1 REV-A FIRMWARE VERSION 4.72 / NOVELLUS SYSTEMS
lagpat Used - $5,100.00 1 Aug/02/20 Aug/28/23
Description: 1 x NEW APPLIED MATERIALS 0090-07393 REV .01 FILTER
gesemiconductor Used - $250.00 4 Aug/04/20 Jan/20/21
Description: Applied Materials 0100-00003 PCB VME Stepper Controller 1
j316gallery NEW - $17.45 0 Aug/05/20 Jan/04/23
Description: 20068 APPLIED MATERIALS CB KEEPER NUT FOR F-FRAME BREAKERS 1 (NEW) 0680-01158
capitolareatech NEW - $67.22 0 Aug/08/20 Aug/13/20
Description: Applied Materials (AMAT) 0720-01151 CONN PLUG CA MTG 1 POS COAX UG-204C
capitolareatech NEW - $38.41 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-01566 SW THERMO SPST OPEN 96.1 C CLOSE 87.8C 1
capitolareatech NEW - $20.53 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-00331 Schneider Electric ZBE102 SW CONTACT BLOCK 1
prism_electronics12 Used - $3,562.50 4 Aug/10/20 Jan/05/22
Description: AMAT APPLIED MATERIALS 0010-21465 MAGNET ASSY TI DWA SOURCE 1 DURASOURCE
prism_electronics12 Used - $285.00 0 Aug/10/20 Jul/23/22
Description: APPLIED MATERIALS 0150-20025 AMAT CABLE ASSEMBLY REMOTE 1 INTERCON
spsglobal Used - $500.00 1 Aug/12/20 Dec/17/22
Description: 123-0301// AMAT APPLIED 0010-70162 (#1) ASSY, ACTUATOR SLIT VALVE [ASIS]
spsglobal Used - $130.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-70091 GAS LINE #1 CH C, PCII [2ND NEW]
spsglobal Used - $210.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-20199 2 STAGE VENT, RIGHT SIDE #1 [2ND NEW]
spsglobal Used - $140.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-20130 GASLINE COOLDOWN #1 [2ND NEW]
capitolareatech NEW - $2,795.95 2 Aug/14/20 Jan/14/22
Description: Applied Materials (AMAT) 0010-36760 ASSY,PED ESC,150MM, 1 FLT WEB
capitolareatech NEW - $225.00 2 Aug/15/20 May/15/22
Description: NOVELLUS 16-155675-00 PLATE, MTG, PED, STYLE-D, STA 1, 2
capitolareatech NEW - $46.85 3 Aug/15/20 Apr/15/21
Description: NOVELLUS 15-112447-01 LOCATOR,PIN,MOER,TYPE 1
capitolareatech NEW - $505.95 0 Aug/17/20 Mar/28/24
Description: Lam Research (LAM) 853-021640-001 Weldmt Prim Shutoff Gas 6 7 1
spsglobal Used - $520.00 0 Aug/18/20 Nov/03/22
Description: 176-0504// AMAT APPLIED 0040-93545 FLEXIBLE MANIFOLD,BTL 1 DIN 8 [USED]
capitolareatech NEW - $24.95 0 Aug/19/20 May/10/23
Description: Applied Materials (AMAT) 3300-03889 FTG FRONT FERRULE 1 OD SST
spsglobal Used - $150.00 0 Aug/20/20 Sep/22/21
Description: 137-0402// AMAT APPLIED 3870-01256 (#1) VALVE BLWS PNEU 1-1/2IN NW-40B [NEW]
getspares.com_sparesllc09 Used - $3,500.99 0 Aug/20/20 Aug/31/23
Description: 0040-75150 / FILLER CHAMBER 1 APF PRODUCER SE / APPLIED MATERIALS AMAT
storefarm Used - $998.00 0 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-279241-00 / IXT PVD SIOC 1, Rev.A, 1pcs
storefarm Used - $998.00 4 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-279241-00 / IXT PVD SIOC 1, Rev.A, 1pcs
spsglobal Used - $130.00 0 Aug/25/20 Jan/25/21
Description: 322-0403// AMAT APPLIED 0015-09042 (#1) RESISTOR CERAMIC MOD [USED]
spsglobal Used - $240.00 0 Aug/25/20 Aug/25/20
Description: 322-0403// AMAT APPLIED 0090-09084 (#1) SUSCEPTOR ASSY, 150MM [USED]
spsglobal Used - $620.00 0 Aug/26/20 Nov/10/20
Description: 322-0402// AMAT APPLIED 0010-00685 (#1) ACTUATOR ASSY STD CATHODE [ASIS]
capitolareatech Used - $219.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-18974 WLDMT TOP RIGHT PRG 1,3,8 FUJIKIN ULTIMA
spsglobal Used - $2,500.00 2 Sep/03/20 Dec/23/21
Description: 010-0201// ADVANCED 0190-19021 (#1) 3156113-006 A APEX 3013 [Warranty 1 month]
spsglobal Used - $2,500.00 0 Sep/03/20 Dec/23/21
Description: 010-0201// ADVANCED 0190-19021 (#3) 3156113-006 D APEX 3013 [Warranty 1 month]
spsglobal Used - $3,500.00 0 Sep/03/20 Aug/16/23
Description: 017-0101// ADVANCED 0190-19022 (#2) 3156110-005 B APEX 1513 [Warranty 1 month]
spsglobal Used - $200.00 0 Sep/10/20 Dec/06/20
Description: 322-0103// AMAT APPLIED 0090-20120 (#1) ELECT ASSY LIFT MOTOR [ASIS]
capitolareatech Used - $580.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09599 CABLE, ASSY DIGITAL #1 GAS PANEL INTER
capitolareatech Used - $580.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09599 CABLE, ASSEMBLY DIGITAL #1 GAS PANEL INTER
capitolareatech Used - $607.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials 0150-09727 CABLE, ASSEMBLY 25" ANALOG #1 GAS PANEL INTER
louis19692009 Used - $1,800.00 0 Sep/19/20 Apr/27/23
Description: Applied Materials, C-Channel Side 1, PN 0200-03406
spsglobal Used - $500.00 0 Sep/22/20 Oct/06/20
Description: 323-0503// AMAT APPLIED 0190-21303 (#1) CABLE ASSEMBLY, PRECLEAN [USED]
talon664-6 NEW - $350.55 0 Sep/23/20 Dec/23/20
Description: Novellus 16-155675-00 Plate, MTG, Ped, Style-D, Sta 1 2
j316gallery NEW - $189.83 0 Sep/24/20 Feb/02/23
Description: 20983 APPLIED MATERIALS CABLE ASSY J63 - XFR 1 SV PNEU PCLL PR (NEW) 0150-34058
sigmasurplus Used - $1,898.75 0 Sep/28/20 Jan/20/23
Description: Lam Research 61-428059-00 Vector Extreme EIOC 1 Rev A FW V.1.514 785-179350-002
bestoneshop-korea Used - $399.99 1 Oct/05/20 Jan/01/21
Description: AMAT 0100-76124 REV.B CVD DIGITAL I/O BOARD #1
bobsgoodies2 NEW - $220.00 0 Oct/09/20 Mar/31/22
Description: AMAT 0190-75089 ASSY, XFMR 200/208/480V PRI W/FERRULE Model 76411 103 VA 1 Phase
giga-hertz NEW - $3,900.00 1 Oct/19/20 Sep/10/21
Description: NEW Applied Materials 0240-52896 Rev 1 Kit, L-Door DRPII, Endura 2 SWLL
consulteam Used - $224.95 1 Oct/20/20 Dec/10/20
Description: WOODHEAD SST DNP-PCI-4 V1.1.2, AMAT 0190-15756, PCI 4 PORT INTERFACE BOARD, 1 EA
visionsemi Used - $350.00 0 Oct/29/20 May/26/22
Description: AMAT APPLIED MATERIALS DSP SOURCE CONTROLLER PCB- SIDE 1 0110-02238 REV. C
spsglobal Used - $1,500.00 1 Nov/02/20 Oct/24/22
Description: 333-0401// AMAT APPLIED 0020-20295 (#1) wFRAME SOURCE 11.30" [ASIS]
spsglobal Used - $1,200.00 0 Nov/01/20 Nov/18/20
Description: 333-0401// AMAT APPLIED 0020-20164 (#1) COVER, INSULATOR SOURCE 11.30 [ASIS]
getspares.com_sparesllc09 Used - $3,446.58 1 Nov/06/20 Jan/25/24
Description: 0100-35172 / ASSY, PCB, EXHAUST SWITCH INTFC,VER 1, P / APPLIED MATERIALS AMAT
lagpat Used - $400.00 1 Nov/17/20 May/26/22
Description: 1 X 800-1537 Copley Controls AMAT PN 0190-24677 REV 04
lagpat NEW - $280.00 0 Nov/19/20 Apr/19/24
Description: 1 x AMAT 0020-26721 MOUNT, BALL TRANSFER, FI OUTRIGGR
getspares.com_sparesllc09 Used - $928.68 0 Nov/23/20 Feb/17/22
Description: 0150-20559 / CABLE ASSY,REMOTE 1 INT CNT-75FT / APPLIED MATERIALS AMAT
instockindustrial NEW - $457.56 0 Nov/24/20 Dec/24/20
Description: Novellus 16-155675-00 Plate, MTG, Ped, Style-D, Sta 1 2
spsglobal Used - $150.00 0 Nov/29/20 Jan/26/21
Description: 343-0201// AMAT APPLIED 3870-01325 (#1) FTG RLF VALVE VENT APTR CRYOPUMP [USED]
svcstore Used - $49.99 0 Dec/03/20 May/03/21
Description: Novellus Systems 34-170141-00 1 N Type RF Coaxial Sensor Module AMV-GPT3-SNSR
xl-t_com NEW - $750.00 0 Dec/15/20 Oct/19/21
Description: APPLIED MATERIALS - 0190-09291 - RING, WAFER LIFT, REV 1 CERAMIC, 200 MM
lagpat NEW - $70.00 0 Dec/16/20 Apr/16/24
Description: 1 X APPLIED MATERIALS HARNESS ASSY WATLOW CTLR TO T 0140-81976
getspares.com_sparesllc09 Used - $778.05 1 Dec/21/20 Dec/24/21
Description: 0021-24183 / LINER, BOTTOM SIDE 1, 300MM SE / APPLIED MATERIALS AMAT
instockindustrial NEW - $245.97 1 Dec/26/20 Nov/12/21
Description: Novellus 16-155675-00 Plate, MTG, Ped, Style-D, Sta 1 2
banyanteam NEW - $1,975.00 2 Dec/28/20 Jul/14/22
Description: Applied Materials 0041-34688 ESC Shell Assembly 200mm Notch 1 Mil
grandbirdnet NEW - $4,800.00 0 Jan/07/21 Mar/23/22
Description: 0242-40653 KIT, LID, IMPROVED TEOS UNIFORMITY, PRODUCER SE BAG OF 1, NEW
banyanteam Used - $69.50 1 Jan/11/21 Nov/25/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
timweb64 Used - $297.00 0 Jan/14/21 Mar/15/21
Description: 1 APPLIED MATERIALS MODULE 0020-10482 C MAC & 1 FLUID OVERFILL BD ASSY 010009131
partskorea1 Used - $1,499.00 1 Jan/19/21 Feb/02/21
Description: LAM 810-072687-414 NODE 1 INTERLOCK CONTROL PCB
j316gallery Used - $300.75 0 Jan/27/21 Jun/27/23
Description: 22867 NOVELLUS PCB ASSY, FILTERED DUEL 16 TO 1 MUX,00-699582 00-699580-02
jollyg00d NEW - $11.00 2 Feb/07/21 Mar/07/23
Description: (1) O-Ring LAM Research 8.225" ID x 0.210" P/N 734-092561-370
getspares.com_sparesllc09 Used - $320.56 1 Feb/10/21 Oct/21/21
Description: 0090-00547 / ASSY, ELEC WB CH ENDURA 1, 2, 4 D / APPLIED MATERIALS AMAT
spsglobal Used - $1,000.00 2 Feb/24/21 Feb/24/21
Description: 111-0102// AMAT APPLIED 0090-09244 (#1) COIL, MAGNET [USED]
benad24 Used - $398.82 2 Mar/02/21 Sep/16/22
Description: AMAT Applied Materials AKT 0100-71251 PCB ASSY MF VME INTERLOCKS #1
benad24 Used - $418.53 0 Mar/02/21 Sep/16/22
Description: AMAT Applied Materials Act 0100-71251 PCB Assy Mf Vme Interlocks #1
visionsemi Used - $1,400.00 2 Mar/04/21 Dec/04/22
Description: NOVELLUS ASM FE-EIOC 1 BELOW CHAMBER 61-437477-00 REV 1
spsglobal Used - $10,000.00 0 Mar/08/21 Jun/18/23
Description: 164-0201// AMAT APPLIED 0010-76322 (#1) ASSY, COOL DOWN CHAMBER [2ND SOURCE]
j316gallery NEW - $99.00 1 Mar/10/21 Sep/01/21
Description: 23704 APPLIED MATERIALS DIAL INDICATOR WITH MAGNETIC BASE 1 TR (NEW) 0940-01011
atxdeals4u Used - $100.00 0 Mar/11/21 Oct/26/21
Description: Lam Research 810-802901-307 Rev. C Node 1 PM Common Connector PCB Board
visionsemi Used - $1,500.00 1 Mar/15/21 May/05/21
Description: LAM REASEARCH VECTOR EXTREME EIOC 1 61-428059-00
visionsemi Used - $1,500.00 0 Mar/15/21 Mar/26/21
Description: LAM REASEARCH VECTOR EXTREME EIOC 1 61-384815-00
atxdeals4u Used - $800.00 1 Mar/15/21 Jun/08/21
Description: Digital Dynamics Novellus 61-358683-00 MACH IV EIOC FE-HD 1 MACH 4 HDLR G6 Rev A
athomemarket Used - $349.98 0 Mar/16/21 May/14/22
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
visionsemi NEW - $150.00 0 Mar/17/21 May/06/22
Description: APPLIED MATERIALS AMAT ADAPTOR 1" COMPRESSION TO SAE 1 1/16-12 0040-84017
athomemarket Used - $63.89 0 Mar/18/21 May/16/22
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
sgcequipment Used - $550.00 1 Mar/22/21 Oct/15/21
Description: Applied Materials (AMAT) 0090-05831 RF Filter Box Producer SE Assembly REV 1
spsglobal Used - $600.00 0 Mar/25/21 Jan/18/22
Description: 323-0403// AMAT APPLIED 0010-40155 (#1) ASSY, WAFER SENSOR LOW [2ND NEW]
metkorea Used - $3,247.00 0 Mar/25/21 Nov/15/21
Description: Novellus Systems / Sesioc 0 Pvd Ixt / 02-272805-00 Rev 1 (Dhl/Fedeex/Ems)
xl-t_com Used - $50.00 0 Mar/25/21 Oct/19/21
Description: APPLIED MATERIALS - 3300-03902 - FTG HOSE 1
j316gallery NEW - $103.65 16 Mar/26/21 May/11/22
Description: 24042 NOVELLUS TUBE, INJECTOR, 1 INCH, HIGH PURITY (NEW) 15-120150-04
athomemarket Used - $92.49 0 Mar/29/21 May/27/22
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
spsglobal Used - $5,000.00 0 Mar/30/21 Jul/08/21
Description: 329-0201// AMAT APPLIED 0010-22225 (#1) ASSY, HIGH EFFICIENCY RF MATCH [ASIS]
abcatlegacyparts Used - $149.99 27 Apr/11/21 Mar/11/24
Description: One (1) OEM Part (AMAT) 0100-00011 PWB, CHOPPER DRIVE, Used
farmoninc Used - $850.00 1 Apr/12/21 Sep/07/23
Description: STEC SEC-4400M Mass Flow Controller, MFC, N2, 1 SLM, AMAT 3030-04222, 322561
athomemarket NEW - $40.59 0 Apr/12/21 Jun/10/22
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
sunshine*road NEW - $299.99 0 Apr/20/21 May/18/23
Description: Applied Materials (AMAT) 0051-41470 GASLINE, 1HC2_1 CHAM 1 300MM HDPCVD
laserlink-office-solutions Used - $189.00 0 Apr/20/21 May/18/23
Description: LAM RESEARCH -P/N. 853-163669-001 REVA FIRMWARE VERSION 1,607 (15852/11)
gesemiconductor Used - $250.00 1 Apr/27/21 May/10/21
Description: Applied Materials 0100-00003 PCB VME Stepper Controller 1
usedeqsales Used - $5,005.21 0 May/03/21 Nov/08/21
Description: AMAT Applied Materials 0010-25341 PVD Chamber SOURCE 1 CPI-VMO Rev. 003 Working
svcstore Used - $49.99 0 May/04/21 Dec/28/22
Description: Novellus Systems 34-170141-00 1 N Type RF Coaxial Sensor Module AMV-GPT3-SNSR
sgcequipment NEW - $55.00 0 May/06/21 May/18/23
Description: Applied Materials (AMAT) 3300-03764 FTG TBG CAP 1' 0D SST (PKG 4)
allpart2021 Used - $2,550.00 0 May/09/21 May/18/23
Description: LAM 02-405890-00 HDSIOC 1 SBR-XT BATH DIGITAL DINAMICS
getspares.com_sparesllc09 Used - $16,951.00 0 May/20/21 May/20/21
Description: 0242-88819 ,0010-21676/ VECTRA IMP 1 SOURCE ASSY PVD/ AMAT
consulteam Used - $39.95 0 May/21/21 Mar/10/22
Description: OSK5502, OMEGA ENGINEERING, THERMISTOR PROBE, AMAT 1150-01001, 1 EACH
7gms_pp6gbph NEW - $1,000.00 0 May/27/21 Mar/27/22
Description: 1 PCS NEW IN BOX Lam controller 853-801876-014
7gms_pp6gbph Used - $500.00 0 May/27/21 Mar/27/22
Description: 1 PCS Lam controller 853-801876-014 in good condition
banyanteam Used - $210.00 1 May/28/21 Nov/15/21
Description: Unit Instruments UFC 8100 Mass Flow Controller 1 SLM N2 Novellus 22-153268-00
getspares.com_sparesllc09 Used - $16,951.00 0 May/28/21 Jun/07/21
Description: 0242-88819, 0010-21676 / VECTRA IMP 1 SOURCE ASSY PVD / APPLIED MATERIALS AMAT
gesemiconductor Used - $200.00 0 Jun/07/21 Feb/02/24
Description: Novellus 15-120698-00 (1) \ 15-117633-00 (2) Chamber Clamp
getspares.com_sparesllc09 Used - $16,951.00 0 Jun/10/21 Dec/03/21
Description: 0242-88819, 0010-21676 / VECTRA IMP 1 SOURCE ASSY PVD / APPLIED MATERIALS AMAT
athomemarket Used - $349.99 0 Jun/16/21 Jun/16/21
Description: CTI Conveyor Technologies XCC-1M-2-MB-ES-2SC-0160 1 meter 2-section Open Center
visionsemi Used - $1,000.00 0 Jun/16/21 Jun/24/21
Description: CTI CRYOGENICS ON BOARD IS CONTROLLER 18-26 VDC 1 AMP MAX 8186187G001
printerpartsandmore Used - $100.00 0 Jun/16/21 Oct/13/21
Description: (1) KEITHLEY CTI175 ( Used)
chicagosurgical2 NEW - $295.00 0 Jun/16/21 Oct/05/22
Description: Carter-Thomason II Port Closure System CTI-1015P Exp. 08/27/2025 (1 Unit Only)
chicagosurgical2 NEW - $495.00 1 Jun/16/21 Dec/17/23
Description: Carter-Thomason II Port Site Closure System CTI-1012p Exp. 08/27/2025 1 Box of 5
powersell007 Used - $2,999.00 0 Jun/16/21 Nov/13/22
Description: CTI-CRYOGENICS 8200 COMPRESSOR 8032549G002 AIR COOLED 1 PHASE CTI
ecrunch Used - $278.50 0 Jun/16/21 Jun/30/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
levmucciacciar0 NEW - $700.00 0 Jun/22/21 Jul/11/23
Description: AMAT, 0660-00222, PCB EMAX CT+DPSII DIO BOARD, CARD DIGITAL, I/O 48POINT 1 SLO
farmoninc NEW - $75.00 0 Jun/29/21 Dec/19/22
Description: AMAT 0020-02313 Bracket 1, ESC N2 Purge , 0.25" Gasline, 101412
ecrunch Used - $278.50 0 Jul/03/21 Jul/25/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
athomemarket Used - $183.99 0 Jul/03/21 Aug/28/22
Description: LAM Research 810-802901-307 Rev. C Node 1 PM Common PCB Board/Card
spsglobal Used - $800.00 0 Jul/03/21 Jul/20/21
Description: 321-0203// AMAT APPLIED 0870-01002 (#1) DRIVE 5-PHASE STEPPER MOTOR [USED]
spsglobal Used - $1,500.00 0 Jul/03/21 Oct/27/22
Description: 321-0303// AMAT APPLIED 0090-35731 (#1) STPR MTR DRIVER, ASP+ ENHANCED LIF ASIS
farmoninc NEW - $75.00 0 Jul/10/21 May/04/23
Description: 1 Lot of 200 AMAT 3790-01291 Standoffs Male/Female 1/4 Hex X 3/8L 6-3, 101669
farmoninc NEW - $50.00 0 Jul/10/21 May/04/23
Description: 1 Lot of 92 AMAT 0720-01214 Contskt 20-14AWG, 101707
farmoninc NEW - $70.00 0 Jul/10/21 May/04/23
Description: 1 Lot of 14 AMAT 3690-90571 Screw Hex HD Brass M6 X 25MM LG, 101728
farmoninc NEW - $90.00 0 Jul/10/21 May/04/23
Description: 1 Lot of 9 AMAT 3300-01401 FTGPIPEADAPT1/4T X 1/8PPOLYTITE BRS, 101731
farmoninc Used - $20.00 1 Jul/12/21 Mar/11/22
Description: 1 Lot of 17 AMAT 3080-01035 Belt, Timing, .082P, 1/8W, 90G, Alum, 101812
prism_electronics1 Used - $800.00 1 Jul/19/21 Sep/03/21
Description: LAM RESEARCH 810-017031-004, REV 1 MOTHER BOARD
prism_electronics1 Used - $800.00 1 Jul/19/21 Sep/03/21
Description: LAM RESEARCH 810-017031-004 REV 1 MOTHER BOARD
ecrunch Used - $278.50 0 Jul/28/21 Aug/02/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
ecrunch Used - $278.50 0 Aug/02/21 Aug/09/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
ecrunch Used - $278.50 0 Aug/09/21 Aug/15/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
ecrunch Used - $278.50 0 Aug/18/21 Aug/23/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
capitolareatech NEW - $114.95 0 Aug/18/21 Nov/17/22
Description: Applied Materials (AMAT) 0200-09450 Tube Quartz 6 x 1 x 151,4mm
ecrunch Used - $278.50 0 Aug/24/21 Sep/13/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
katiil3 Used - $1,899.00 0 Aug/26/21 Oct/23/21
Description: Digital Dynamics Novellus 02-281593-00 HDSIOC 1 C3 UV-CURE Rev a Firmware Ver. 4
spsglobal Used - $4,000.00 0 Aug/27/21 Sep/29/21
Description: 000-0001// CTI-CRYOGENICS 8135900G001 (#1) CTI-CRYOGENICS COMPRESSOR NOT WORKING
capitolareatech Used - $749.95 0 Sep/07/21 Jun/15/23
Description: Applied Materials (AMAT) 0020-30566 CLAMP RING 150MM 146MM 1 FLT HEWEB
katiil3 Used - $199.00 0 Sep/07/21 Oct/23/21
Description: Applied Materials AMAT FAB. 0110-09244 Rev. 1 Lot of 4
prism_electronics1 Used - $800.00 0 Sep/07/21 Sep/05/21
Description: LAM RESEARCH 810-017031-004, REV 1 MOTHER BOARD
prism_electronics1 Used - $800.00 0 Sep/07/21 Sep/05/21
Description: LAM RESEARCH 810-017031-004 REV 1 MOTHER BOARD
ecrunch Used - $278.50 0 Sep/14/21 Sep/26/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
j316gallery Used - $240.00 0 Sep/17/21 Oct/06/21
Description: 7661 APPLIED MATERIALS VLV CHECK RETURN ADAPTER HE FLOW 1 WAY 3870-03651
katiil3 Used - $1,599.00 0 Sep/18/21 Oct/23/21
Description: Lam Research 61-428059-00 Vector Extreme EIOC 1 Rev A FW V.1.514 785-179350-002
usedeqsales Used - $309.21 0 Sep/22/21 Apr/03/22
Description: Novellus Systems 03-134121-00 Interface PCB Altus MSSD Rev. 1 Working Surplus
ecrunch Used - $278.50 0 Sep/27/21 Sep/30/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
ecrunch Used - $278.50 0 Sep/30/21 Oct/03/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
ecrunch Used - $278.50 0 Oct/03/21 Nov/14/21
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
j316gallery Used - $229.80 0 Oct/07/21 May/18/23
Description: 26371 LAM RESEARCH PCB MB, NODE 1 PM COMMON (PARTS) 810-802901-307
j316gallery Used - $344.70 0 Oct/07/21 May/18/23
Description: 26370 LAM RESEARCH PCB MB, NODE 1 PM COMMON 810-802901-307
katiil3 NEW - $399.00 0 Oct/17/21 Oct/23/21
Description: Applied Materials AMAT 3480-01369 MOUNT VIB ABSORB MALE/FEM 1/4-20 1''DIA 1 12x
capitolareatech Used - $748.95 1 Oct/20/21 Sep/20/22
Description: Applied Materials (AMAT) 0150-20025 CABLE ASSY,REMOTE 1 INTERCONNECT, 50'
capitolareatech NEW - $529.95 0 Oct/20/21 Apr/20/23
Description: 1410-01014 APPLIED MATERIALS (AMAT) HTR IMRS TERM-ENCLSR 240VAC 6KW 3PHS 1
lagpat Used - $370.00 0 Oct/22/21 Mar/23/22
Description: Remote DeviceNet Scanner Applied Materials 0190-60305 Rev. 1
getspares.com_sparesllc09 NEW - $320.56 1 Oct/22/21 Mar/31/22
Description: 0090-00547 / ASSY, ELEC WB CH ENDURA 1, 2, 4 D / APPLIED MATERIALS AMAT
spsglobal Used - $300.00 0 Oct/25/21 Aug/30/22
Description: 323-0401// AMAT APPLIED 0090-76035 (#1) ASSY, SENSOR [2ND SOURCE NEW]
metkorea Used - $200.00 0 Oct/26/21 Nov/16/21
Description: Novellus Ststems Sioc Ixt Pvd Sioc 1 03-279240-00 26-279237-00 0327924000 262792
b-aras0 NEW - $797.75 0 Oct/28/21 Mar/05/22
Description: *NEW* 0041-49938 PLATE SMD PLATE COATED CHAMBER LID SIDE 1 FRONTIER PRODUCER GT
j316gallery Used - $1,100.00 0 Oct/28/21 Feb/07/22
Description: 26453 LAM RESEARCH PCB NODE 1 INTERLOCK CONTROL 810-072687-418
yogiandbooboobears Used - $3,862.30 0 Oct/31/21 Nov/10/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
modelkits4u Used - $3,862.30 0 Oct/31/21 Nov/10/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
dom0808 Used - $2,700.00 1 Nov/01/21 Jan/04/22
Description: Lam Research WTS EIOC 0 WTS EIOC 1 02-334968-00 785-179350-002 REV B
katiil3 Used - $1,899.00 0 Nov/09/21 Mar/09/22
Description: Digital Dynamics Novellus 02-281593-00 HDSIOC 1 C3 UV-CURE Rev a Firmware Ver. 4
katiil3 NEW - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 3480-01369 MOUNT VIB ABSORB MALE/FEM 1/4-20 1''DIA 1 12x
katiil3 Used - $1,599.00 0 Nov/09/21 Mar/09/22
Description: Lam Research 61-428059-00 Vector Extreme EIOC 1 Rev A FW V.1.514 785-179350-002
katiil3 Used - $199.00 0 Nov/10/21 Aug/15/23
Description: Applied Materials AMAT FAB. 0110-09244 Rev. 1 Lot of 4
tech-resale-com Used - $2,000.00 1 Nov/10/21 Aug/10/22
Description: LAM Research / PN 853-207429-202 - Same Day Shipping & 1 Year Warranty!
spalding_fasteners Used - $3,000.00 0 Nov/11/21 Nov/21/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
ecrunch Used - $278.50 0 Nov/14/21 Jan/02/22
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
lartonlivery Used - $1.00 0 Nov/15/21 Nov/25/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
metkorea Used - $3,247.00 0 Nov/16/21 Mar/03/22
Description: Novellus Systems / Sesioc 0 Pvd Ixt / 02-272805-00 Rev 1 (Dhl/Fedeex/Ems)
metkorea Used - $220.00 0 Nov/16/21 Sep/21/22
Description: Novellus Ststems Sioc Ixt Pvd Sioc 1 03-279240-00 26-279237-00 0327924000 262792
alvin1462 Used - $43,888.00 1 Nov/17/21 Nov/23/21
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
forestfundamentals Used - $1.00 0 Nov/18/21 Nov/28/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
iluvltd Used - $2,000.00 0 Nov/18/21 Nov/28/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
retrostarlondon Used - $3,000.00 0 Nov/19/21 Nov/29/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
whybee-adventure Used - $3,000.00 0 Nov/20/21 Nov/27/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
tiswas Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
all-of-cpu Used - $175.00 0 Nov/21/21 Dec/19/21
Description: LOT OF 7 Applied Materials 0050-08486 WLDMT Adapter 1 SEG 0 Ultima
fa-parts NEW - $1,900.00 0 Nov/23/21 Sep/01/22
Description: NEW AMAT AKT 0100-71057 ,REV:E4 P2 BACKPLANE 5500 BOARD FREE SHIP #1
rtxparts Used - $600.00 0 Nov/23/21 Feb/10/22
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
usedeqsales Used - $2,011.21 1 Nov/23/21 Dec/20/21
Description: AMAT Applied Materials 0100-11001 Analog Output PCB Card Rev. 1 Working Surplus
bookbinder2010 Used - $1.00 0 Nov/23/21 Dec/03/21
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
fa-parts Used - $900.00 0 Nov/24/21 Jul/28/22
Description: NIKON 4S017-536 I,EP-DRV BOARD FREE SHIP #1
getspares.com_sparesllc09 Used - $2,300.03 0 Nov/30/21 Jan/05/22
Description: 0010-70067 / HEAT EXCHANGER ASSY AMAT-1 AMAT 1 ALUMINUM ETCH 0010-09529 / AMAT
spsglobal Used - $12,000.00 0 Dec/03/21 Mar/16/23
Description: 330-0201// AMAT APPLIED 0090-02957 (#1) 0090-01433 AC BOX, 200MM ENDURA [ASIS]
spsglobal Used - $1,100.00 0 Dec/07/21 May/04/22
Description: 321-0203// AMAT APPLIED 0870-01002 (#1) DRIVE 5-PHASE STEPPER MOTOR [USED]
sgcequipment Used - $6,100.00 0 Dec/08/21 Jun/30/22
Description: Applied Materials (AMAT) 0040-47724 Manifold Output 1 Hole Left 200mm ASSEMBLY
bntyhunter07 Used - $1,787.21 0 Dec/12/21 Jan/16/22
Description: Veriflow diaphragm 2 + 2 + 1 valve manifold w/weldment AMAT 0050-08535 Rev 002
spsglobal Used - $3,500.00 0 Dec/12/21 Oct/31/22
Description: 008-0202 AMAT APPLIED 0290-09018 (#1) AMAT-0 HEAT EXCHANGER CONFIGURE [ASIS]
spsglobal Used - $1,500.00 0 Dec/12/21 Jun/17/22
Description: 119-0101// AMAT APPLIED 0190-28013 (#1) TARGET, DIFF BOND TI W/O C'BORE [ASIS]
spsglobal Used - $400.00 0 Dec/14/21 Jun/18/23
Description: 323-0401// AMAT APPLIED 0090-76034 (#1) ASSY, SENSOR, WAFER [2ND SOURCE NEW]
usedeqsales Used - $1,512.21 2 Dec/16/21 Aug/26/23
Description: Lam Research 810-017388-001 VME Ethernet PCB Card Rev. 1 Working Surplus
all-of-cpu Used - $45.00 0 Dec/19/21 Mar/12/23
Description: LOT OF 7 Applied Materials 0050-08486 WLDMT Adapter 1 SEG 0 Ultima
fa-parts Used - $1,600.00 1 Jan/01/22 Jan/03/22
Description: ADVANCED ENERGY PE-1000 AC PLASMA POWER SOURCE 3157501-000D TESTED WORKING #1
visionsemi NEW - $365.02 0 Jan/02/22 Feb/02/23
Description: APPLIED MATERIALS AMAT HEATER JACKET 1 ELBOW EXHAUST 300MM 0190-46595 NEW
ecrunch Used - $278.50 0 Jan/02/22 Jan/05/22
Description: ADVANCED ENERGY RFPP 3150180-003 MANUAL STEP UP TRANSFORMER 110 - 1400 OHM 1 KW
getspares.com_sparesllc09 Used - $2,300.03 0 Jan/08/22 Apr/01/22
Description: 0010-70067 / HEAT EXCHANGER ASSY AMAT-1 AMAT 1 ALUMINUM ETCH 0010-09529 / AMAT
allthingssurplus Used - $787.50 1 Jan/08/22 Nov/05/23
Description: LAM Research Striker Oxide 853-110000-005 Rev B Assy CORE LAMCAT Node 1 NEW 30-1
pnw-shop5 Used - $3,000.00 0 Jan/11/22 Jan/11/22
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
j316gallery Used - $450.00 0 Jan/12/22 Sep/27/22
Description: 27037 APPLIED MATERIALS VLV ASSY, CLUSTER DOPANT 1, CHMBR A 0050-09276
farmoninc Used - $2,950.00 1 Jan/12/22 Jul/27/22
Description: AMAT 0500-01139 SCR power controller 1 phase, 2028B-1007, 103118
egg.n.chips.clothing Used - $15.80 0 Jan/14/22 Jan/14/22
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
ledlightingsolution Used - $15.80 0 Jan/14/22 Jan/14/22
Description: AMAT 3870-01925 VAT 65044-PH52-AJD 1 Pendulum Valve A-405327 NEW
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
excessdealer Used - $399.00 1 Jan/26/22 Jan/27/22
Description: LAM Research 853-111462-015, NODE 1 INTERLOCK CONTROL
waste-not-recycling Used - $159.99 0 Jan/28/22 Aug/08/22
Description: AMAT Applied Materials D-Sub 15pin M/F Adapter 0041-47059 Rev 1, 3004519-1715
merakii-uk Used - $1.00 0 Jan/29/22 Jan/29/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
eabani1111 Used - $1.00 0 Jan/29/22 Jan/29/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
automotiveapple Used - $2,620.00 0 Feb/04/22 Apr/04/22
Description: As-Is NOVELLUS SESIOC 0 PVD IXT 02-272805-00 Rev 1
getspares.com_sparesllc09 Used - $778.05 0 Feb/04/22 Jun/04/23
Description: 0021-24183 / LINER, BOTTOM SIDE 1, 300MM SE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $36,780.00 0 Feb/04/22 Jun/04/23
Description: 0041-49938 / SMD PLATE, COATED, CHAMBER LID SIDE 1 F / APPLIED MATERIALS AMAT
tonys-tamiya-ltd Used - $1.00 0 Feb/05/22 Feb/05/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
makeanofferonit NEW - $28.01 0 Feb/06/22 May/26/22
Description: (1) APPLIED MATERIALS 3300-04587 FLANGE BLANK CAP 316L
bertrum4572 Used - $1.00 0 Feb/06/22 Feb/06/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
makeanofferonit NEW - $264.95 3 Feb/06/22 Aug/02/22
Description: (1) APPLIED MATERIALS 3400-01106 FLEX HOSE BLWS THIN WALL NW40 36"
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
rtxparts Used - $600.00 0 Feb/10/22 Aug/09/22
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
sootysmtg Used - $1.00 0 Feb/13/22 Feb/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
farmoninc Used - $950.00 0 Feb/16/22 May/04/23
Description: AMAT 0022-72970 Shaft Carrier Left, REV 1, 103588
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
love_my_cottage Used - $1.00 0 Feb/26/22 Feb/26/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bmc.london Used - $1.00 0 Feb/26/22 Feb/26/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
metkorea Used - $3,247.00 0 Mar/03/22 Sep/01/22
Description: Novellus Systems / Sesioc 0 Pvd Ixt / 02-272805-00 Rev 1 (Dhl/Fedeex/Ems)
der-werkzeugmann-de Used - $198.69 2 Mar/04/22 Jun/20/22
Description: PQ2714 Signal condition board Applied Materials 0100-01363 Rev 1
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
chapman.inc Used - $799.99 1 Mar/07/22 Apr/28/22
Description: CTI Cryogenics Cryo-Torr 8 High Vacuum Pump 8033168 #1
visionsemi Used - $300.00 0 Mar/08/22 Jun/08/23
Description: LAM RESEARCH MB NODE 1 PM COMMON PCB BOARD 810-802901-307
katiil3 Used - $1,599.00 1 Mar/10/22 Jan/01/24
Description: Lam Research 61-428059-00 Vector Extreme EIOC 1 Rev A FW V.1.514 785-179350-002
katiil3 Used - $1,899.00 0 Mar/10/22 May/02/23
Description: Digital Dynamics Novellus 02-281593-00 HDSIOC 1 C3 UV-CURE Rev a Firmware Ver. 4
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
athomemarket Used - $99.99 0 Mar/31/22 Apr/07/22
Description: AEG Advanced Energy Thyro-A 1 Amp 230V Thyristor Power Controllers w/Heatsink
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
dom0808 NEW - $374.00 0 Apr/04/22 Apr/10/22
Description: Lam Research ASSY,HOSE,COOL RING TO PUMP 1 853-222376-003
athomemarket Used - $34.97 0 Apr/11/22 Jun/08/23
Description: AEG Advanced Energy Thyro-A 1 Amp 230V Thyristor Power Controllers w/Heatsink
spsglobal Used - $80.00 0 Apr/20/22 Jun/15/23
Description: 352-0502// AMAT APPLIED 0090-20043 (#1) ASSY, 4 WAY-SINGLE VALVE [NEW]
spsglobal Used - $40.00 0 Apr/20/22 Oct/27/22
Description: 352-0502// AMAT APPLIED 0090-20042 (#1) ASSY,3 WAY VALVE [NEW]
cosplity Used - $4,500.00 1 Apr/28/22 Oct/05/22
Description: AMAT 0242-34145 BB TMT ASSY., LC, MOD 1
spsglobal Used - $300.00 0 May/03/22 Aug/11/22
Description: 320-0401// AMAT APPLIED 0100-09041 (#1) PCB ASSY, HEAT EXCHNGR INTERFACE [USED]
spsglobal Used - $250.00 0 May/03/22 Aug/30/22
Description: 320-0401// AMAT APPLIED 0100-09041 (#1) PCB ASSY, HEAT EXCHNGR INTERFACE [ASIS]
visionsemi Used - $1,800.00 0 May/03/22 Dec/16/22
Description: LAM RESEARCH 61-384815-00 NOVELLUS VECTOR EXTREME EIOC 1
visionsemi Used - $300.00 1 May/03/22 Jan/03/23
Description: NOVELLUS 02-321159-00 FE-HD 1 MACH HNDLR
getspares.com_sparesllc09 Used - $1,825.03 0 May/06/22 Jun/01/23
Description: 627B01TBC1B / BARATRON CAPACITANCE MANOMETER 1 TORR AMAT 1350-01325 SUPER-E /MKS
getspares.com_sparesllc09 Used - $2,300.03 0 May/12/22 May/17/22
Description: 0010-70067 / HEAT EXCHANGER ASSY AMAT-1 AMAT 1 ALUMINUM ETCH 0010-09529 / AMAT
excessdealer Used - $99.00 2 May/14/22 Jun/07/22
Description: Lam Research 810-802901-305 Rev C MB,Node 1,PM,Common Pcb Board,Used,Mal^7101
excessdealer Used - $99.00 0 May/14/22 Sep/08/22
Description: LAM Research 810-802901-307 Rev. C Node 1 PM Common PCB Board/Card
athomemarket Used - $149.97 0 May/14/22 Jul/12/23
Description: Novellus 16-421415-00 Rev. 1 Heater Pedestal 300mm/12" Assembly
athomemarket Used - $63.89 0 May/16/22 Jul/14/23
Description: NEW Applied Materials 0190-10204 Link Relays PCB Interlock Board Channels 1 & 2
excessdealer Used - $199.00 1 May/24/22 Nov/30/22
Description: LAM Research 810-072687-401 Rev. C Node 1 Chamber
liquiditech Used - $2,000.00 0 May/24/22 May/09/23
Description: APPLIED MATERIALS 0041-48723 REV 02 #1
athomemarket Used - $92.49 0 May/27/22 Jul/25/23
Description: LAM Research 810-802901-300 Rev. B Motherboard Node 1 Common Control Board PCB
spsglobal Used - $500.00 1 May/30/22 Aug/03/22
Description: 323-0403// AMAT APPLIED 0010-40155 (#1) ASSY, WAFER SENSOR [2ND SOURCE NEW]
atxdeals4u Used - $1,999.99 0 Jun/08/22 Oct/03/22
Description: Novellus 02-321647-00 Digital Dynamics Firmware 5.0 VECTOR HDSIOC 1 Controller
atxdeals4u Used - $1,000.00 2 Jun/09/22 Aug/06/22
Description: Lam Research 61-437477-00 785-179350-002 REV B EIOC 1 BELOW CHAMBER
athomemarket NEW - $40.59 0 Jun/10/22 Aug/08/23
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
ladydidog Used - $43.52 0 Jun/13/22 Jun/14/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
excessdealer Used - $99.00 1 Jun/16/22 Nov/30/22
Description: Lam Research 810-802901-305 Rev C MB,Node 1,PM,Common Pcb Board,Used,Mal^7101
michelleshellmark Used - $43.52 0 Jun/21/22 Jun/21/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
trendysavers-uk Used - $43.52 0 Jun/24/22 Jun/25/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
tamoldelectronic Used - $2,850.00 0 Jul/07/22 Sep/08/22
Description: LAM RESEARCH 02-321647-00 Rev A VECTOR HDSIOC 1 010261-1277 785-179350-002 B
spsglobal Used - $3,000.00 0 Jul/08/22 Aug/24/22
Description: 319-0401// AMAT APPLIED 0010-07061 (#1) SS-BNVCR4-C SS-DLV51-1 SS-BNVCR4 [USED]
sgcequipment Used - $50.00 0 Jul/21/22 Mar/06/24
Description: LAM RESEARCH 790-008882-195 BELT, POS. DRV. .080" PITCH, 1
visionsemi Used - $7,000.00 1 Jul/25/22 Mar/25/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
visionsemi Used - $7,165.43 0 Jul/25/22 Mar/25/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
visionsemi Used - $7,130.30 0 Jul/25/22 Mar/25/23
Description: Amat 0090-04470 Contrôleur Rtc Cpci Endura Court Bloc Rev 1 CP3450-S000609
time-4-dreamz Used - $43.52 0 Aug/02/22 Aug/03/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
southweststeam1 Used - $43.52 0 Aug/08/22 Aug/08/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
waste-not-recycling Used - $159.99 0 Aug/08/22 Jun/08/23
Description: AMAT Applied Materials D-Sub 15pin M/F Adapter 0041-47059 Rev 1, 3004519-1715
rtxparts Used - $600.00 0 Aug/09/22 Dec/01/22
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
visionsemi Used - $1,000.00 1 Aug/10/22 Jul/10/23
Description: AMAT 0100-71521 REV 1 APPLIED MATERIALS ANALOG I/O BOARD ASSY PCB BOARD
railhome Used - $43.52 0 Aug/12/22 Aug/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
railhome Used - $34.23 0 Aug/12/22 Aug/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
top_formalwear-accessories Used - $43.52 0 Aug/13/22 Aug/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
hawkg2 Used - $43.52 0 Aug/14/22 Aug/14/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
sch-561825 NEW - $45.00 0 Aug/19/22 Aug/29/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
eisale1535 Used - $1,989.00 0 Aug/24/22 Jul/14/23
Description: AMAT 0190-39096 Rev 02 MKS-CIT PN AS03840-12 Rev 1
farmoninc NEW - $300.00 0 Aug/26/22 Aug/31/23
Description: 1 Lot of 3 AMAT 0200-09886 FINGER, 6, MCVD, 109564
athomemarket Used - $183.99 0 Aug/28/22 Oct/26/23
Description: LAM Research 810-802901-307 Rev. C Node 1 PM Common PCB Board/Card
tolleyshops Used - $189.00 0 Aug/29/22 Sep/28/22
Description: MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, AMAT 1350-01005
sch-561825 NEW - $45.00 0 Aug/29/22 Sep/08/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
cosplity Used - $700.00 0 Aug/29/22 Sep/08/22
Description: NOVELLUS 02-052787-00 SIOC WTS 1 INTERLOCK DIGITAL DYNAMICS (CONNECTOR BROKEN)
0115haywood8 Used - $33.30 0 Aug/31/22 Aug/31/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
surplusssam NEW - $279.99 0 Aug/31/22 Sep/10/22
Description: Applied Materials AMAT 3140-00056 cover 1 inch shaft pack of 8 new
spsglobal Used - $300.00 2 Sep/01/22 Dec/08/22
Description: 129-0203// AMAT APPLIED 0100-00014 (#1) PWB OPTO DETECTOR ASIS
ordipackdepannage Used - $43.52 0 Sep/08/22 Sep/09/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
sch-561825 NEW - $45.00 0 Sep/08/22 Sep/18/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
ebon625 Used - $43.52 0 Sep/10/22 Sep/10/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
carolyns_cosmetics Used - $3,742.52 0 Sep/12/22 Sep/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
sgcequipment Used - $25.00 0 Sep/13/22 May/18/23
Description: Applied Materials/AMAT 0015-20124 SCREW 1/4 - 20 X 1 TITANIUM VENTED
zavattishop Used - $43.52 0 Sep/13/22 Sep/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
riccardinocatania Used - $43.52 0 Sep/13/22 Sep/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
sch-561825 NEW - $45.00 0 Sep/18/22 Sep/28/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
metkorea Used - $220.00 0 Sep/21/22 Jan/11/23
Description: Novellus Ststems Sioc Ixt Pvd Sioc 1 03-279240-00 26-279237-00 0327924000 262792
goldenagecycles Used - $43.52 0 Sep/25/22 Sep/25/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
cbnumismatique Used - $43.52 0 Sep/25/22 Sep/25/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
riccardinocatania Used - $43.52 0 Sep/27/22 Sep/27/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
sch-561825 NEW - $45.00 0 Sep/28/22 Oct/08/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
tolleyshops Used - $189.00 0 Sep/28/22 Oct/27/22
Description: MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, AMAT 1350-01005
cosplity Used - $3,800.00 0 Sep/30/22 Jan/30/23
Description: AMAT 0190-10017 CPRO3/128 30000 REV C2 CPU, 2.0 BIOS W 1
giftwareireland Used - $1.00 0 Oct/01/22 Oct/02/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
desahogostore Used - $43.52 0 Oct/04/22 Oct/04/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
horner_shearing Used - $43.52 0 Oct/04/22 Oct/04/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
j316gallery Used - $1,200.00 0 Oct/05/22 Jan/04/23
Description: 29959 APPLIED MATERIALS PCB, CLEANER INTLK #1, CDN500R-64 0090-05656
paccapequipment Used - $1,350.00 0 Oct/08/22 Nov/01/22
Description: Novellus Part # 15-305097-00 PLATE,BOTTOM,CERAMIC - Novellus Concept 1 (C1) -NEW
sch-561825 NEW - $45.00 0 Oct/08/22 Oct/18/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
goldenagecycles Used - $43.52 0 Oct/10/22 Oct/10/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
pyreneescollections Used - $15.85 0 Oct/11/22 Oct/11/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
spareparts4computers Used - $3,223.67 0 Oct/17/22 Jan/04/24
Description: NOVELLUS DIGITAL DYNAMICS INC VECTOR HDSIOC 1 VER 5.00 02-252396-00 D FW 4.72 CO
sch-561825 NEW - $45.00 0 Oct/18/22 Oct/28/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
filateliadesimoni Used - $15.85 0 Oct/22/22 Oct/22/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
recortitos Used - $15.85 0 Oct/24/22 Oct/24/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
recortitos Used - $8.87 0 Oct/24/22 Oct/24/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
usgera_gvbbcfgaa Used - $300.00 1 Oct/24/22 Jul/25/23
Description: Amat Lot Of (2) DIP CDN-491 PCB Cards 0190-04457 (1) DIP-379-250 (1) DIP-439-250
sch-561825 NEW - $45.00 0 Oct/28/22 Nov/07/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
napoleon155 Used - $15.85 0 Oct/29/22 Oct/29/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
napoleon155 Used - $8.87 0 Oct/29/22 Oct/29/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
sgayterboi20 NEW - $935.00 1 Nov/01/22 Jan/03/24
Description: LAM RESEARCH 61-428059-00 VECTOR EXTREME EIOC 1 REV A ASM FE-HD BLW CHMBER H20
sch-561825 NEW - $45.00 0 Nov/07/22 Nov/17/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
farmoninc NEW - $2,150.00 0 Nov/08/22 Jun/15/23
Description: AMAT 0200-09574 HOOP, REV 1 CERAMIC, 200MM, 06-15004-00, 111234
sgcequipment Used - $75.00 0 Nov/10/22 Dec/18/23
Description: Lam Research PN: 853-190146-001
sgcequipment Used - $100.00 0 Nov/10/22 Dec/18/23
Description: Lam Research PN: 853-190237-001
europlantsvivai Used - $3.85 0 Nov/19/22 Nov/19/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
alvin1462 NEW - $38,888.00 0 Nov/20/22 Nov/22/22
Description: KAWASAKI NTS10 Robot with controller 3NTS10A-A010 ,AMAT 1 0190-51510 ,0190-55965
j316gallery Used - $2,500.00 1 Nov/21/22 Feb/20/24
Description: 31310 LAM RESEARCH CTLR ASSY, FE-HD1 MACH 1, P/N: 785-179350-002 685-247270-001
j316gallery Used - $3,050.00 1 Nov/21/22 May/10/23
Description: 31308 NOVELLUS CONTROLLER ASSY, HDSIOC 1 VECTOR 02-252396-00
farmoninc Used - $1,750.00 0 Nov/22/22 Aug/31/23
Description: AMAT 0200-09574 200MM CERAMIC HOOP REV 1, 111675
sch-561825 NEW - $45.00 1 Nov/22/22 Dec/02/22
Description: Swagelok SS-8CP2-1 Nupro Relief Valve 1 PSI 1/2" MNPT SST AMAT 3870-01158
spsglobal Used - $5,000.00 0 Nov/23/22 Mar/16/23
Description: 165-0201 AMAT APPLIED 0010-70052 (#1) wASSY 20SLOT VME CONTROL [ASIS]
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
voltapaginanet Used - $3.35 0 Nov/29/22 Nov/30/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
voltapaginanet Used - $2.85 0 Nov/29/22 Nov/30/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
paccapequipment Used - $1,350.00 1 Nov/29/22 Mar/20/23
Description: Novellus Part # 15-305097-00 PLATE,BOTTOM,CERAMIC - Novellus Concept 1 (C1) -NEW
tolleyshops Used - $189.00 1 Nov/30/22 Dec/23/22
Description: MKS 127AA-00001E Baratron Pressure Transducer, 1 Torr, AMAT 1350-01005
rtxparts Used - $600.00 0 Dec/02/22 Mar/14/23
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
spsglobal Used - $130.00 0 Dec/05/22 Jan/31/23
Description: 322-0502 AMAT APPLIED 0015-09042 (#1) RESISTOR CERAMIC MOD [USED]
079gabriel2005 Used - $8.87 0 Dec/05/22 Dec/05/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
079gabriel2005 Used - $15.85 0 Dec/05/22 Dec/05/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
fma16000 Used - $15.85 0 Dec/08/22 Dec/08/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
tfstech Used - $275.00 0 Dec/13/22 Feb/10/23
Description: Applied Materials AMAT 0620-01133 CTI Cryogenics 8112463G050 On Board Cable 1 M
alvin1462 NEW - $38,888.00 1 Dec/13/22 Mar/09/23
Description: KAWASAKI NTS10 Robot with controller 3NTS10A-A010 ,AMAT 1 0190-51510 ,0190-55965
giftwearonline Used - $15.85 0 Dec/18/22 Dec/18/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
giftwearonline Used - $8.87 0 Dec/18/22 Dec/18/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
napo12 Used - $15.85 0 Dec/19/22 Dec/19/22
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
marpri-8769 Used - $700.00 0 Dec/28/22 Jan/02/23
Description: P/N 3690-10314, SCR MACH BTN HD 20X2L HEX SKT ASME 50*10*10MM /0,1 Kg
marpri-8769 Used - $700.00 0 Jan/02/23 Jan/09/23
Description: P/N 3690-10314, SCR MACH BTN HD 20X2L HEX SKT ASME 50*10*10MM /0,1 Kg
marpri-8769 Used - $700.00 0 Jan/09/23 Jan/16/23
Description: P/N 3690-10314, SCR MACH BTN HD 20X2L HEX SKT ASME 50*10*10MM /0,1 Kg
mppatrick Used - $15.85 0 Jan/14/23 Jan/14/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
mppatrick Used - $8.87 0 Jan/14/23 Jan/14/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
marpri-8769 Used - $700.00 0 Jan/16/23 Jan/23/23
Description: P/N 3690-10314, SCR MACH BTN HD 20X2L HEX SKT ASME 50*10*10MM /0,1 Kg
farmoninc NEW - $575.00 1 Jan/18/23 Apr/26/24
Description: 3 AMAT 0150-09616 SPAN IPS 122 Type 1, 8-30VDC, Cable Pressure Switch, 113635
farmoninc NEW - $765.00 1 Jan/19/23 Sep/07/23
Description: 4 AMAT 0150-09616 SPAN IPS 122 Type 1, 8-30VDC, 12W Cable Pressure Switch,113642
sigmasurplus Used - $1,898.75 0 Jan/20/23 Jun/06/23
Description: LAM RESEARCH 61-428059-00 VECTOR EXTREME EIOC 1 REV A FW V.1.514 785-179350-002
farmoninc Used - $450.00 0 Jan/23/23 May/04/23
Description: AMAT 0100-00420 PCB ASSY. WAFER DETECT 1, 113858
marpri-8769 Used - $700.00 0 Jan/23/23 Jan/30/23
Description: P/N 3690-10314, SCR MACH BTN HD 20X2L HEX SKT ASME 50*10*10MM /0,1 Kg
408vactech Used - $4,990.00 1 Feb/03/23 Feb/10/23
Description: BROOKS CTI CRYO PUMP 8116143G001 1 On-Board 8F Cryopump
sgcequipment Used - $15.00 0 Feb/09/23 Nov/28/23
Description: Applied Materials/AMAT 1 Foot Reinforced Hose PN: 3400-90004
global-audio-store Used - $15.85 0 Feb/09/23 Feb/09/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
biz2020a6-3 Used - $733.00 0 Feb/10/23 Jun/02/23
Description: 1 pcs Used Lam Research Power Module PN 853-015686-005 #A6-3
tfstech Used - $215.00 0 Feb/10/23 Mar/13/23
Description: CTI Cryogenics 8112463G050 On Board Cable 1 M Applied Materials AMAT 0620-01133
zartons Used - $15.85 0 Feb/10/23 Feb/10/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
eleganthairextensions Used - $15.85 0 Feb/26/23 Feb/27/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
eleganthairextensions Used - $8.87 0 Feb/26/23 Feb/26/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
earth_enterprises Used - $350.82 1 Mar/01/23 Mar/01/23
Description: Applied Materials AMAT 0100-03498 SEI Board for ENDURA 1
ledamoiseau Used - $8.87 0 Mar/05/23 Mar/06/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ledamoiseau Used - $15.85 0 Mar/05/23 Mar/06/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
all-of-cpu Used - $85.50 0 Mar/12/23 Jun/21/23
Description: Applied Materials AMAT 0050-08486 WLDMT Adapter 1 SEG 0 Ultima
papyrus10 Used - $8.87 0 Mar/12/23 Mar/13/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
papyrus10 Used - $15.85 0 Mar/12/23 Mar/13/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
man7183 Used - $15.85 0 Mar/13/23 Mar/13/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
j316gallery Used - $1,200.00 1 Mar/14/23 Aug/03/23
Description: 32352 APPLIED MATERIALS PCB ASSY, MAINFRAME INTLK 1 RELAYS 0190-02362
rtxparts Used - $600.00 0 Mar/15/23 Jun/22/23
Description: Amat Assy RH Pivot and bearing 300mm Rev 1 0010-02177 0010-02176
farmoninc Used - $7,500.00 0 Mar/17/23 Jul/23/23
Description: AMAT 0010-70000 P5000 Mini Controller with module, 1 Phase, 3Wire, 120VAC 104371
j316gallery Used - $2,500.00 1 Mar/20/23 May/16/23
Description: 32488 APPLIED MATERIALS CTLR RTC CPCI CENTURA AP W/ CCM BLOCK 1 0090-04471
punki64 Used - $8.87 0 Mar/20/23 Mar/20/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
punki64 Used - $15.85 0 Mar/20/23 Mar/20/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
earth_enterprises NEW - $150.00 0 Mar/20/23 Nov/11/23
Description: Lot of AMAT Fuses 1) 0910-00607 5) 0910-01115 2) 0910-01124 Applied Materials
ghis6969 Used - $15.85 0 Mar/25/23 Mar/25/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
ghis6969 Used - $8.87 0 Mar/25/23 Mar/26/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
sgcequipment Used - $175.00 0 Mar/27/23 Nov/29/23
Description: Applied Materials/AMAT Remote #1 Cable (EMC Compliant) PN: 0150-21359 REV: 001
vizvik16 NEW - $1,100.00 1 Mar/31/23 Dec/06/23
Description: AMAT APPLIED MATERIALS 0190-60284 REV. 02 ACP MF INTERLOCK 1 RELAY CARD
rodagon88 Used - $15.85 0 Apr/01/23 Apr/01/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
cosplity Used - $700.00 0 Apr/02/23 Jun/01/23
Description: NOVELLUS 02-052787-00 SIOC WTS 1 INTERLOCK DIGITAL DYNAMICS (CONNECTOR BROKEN)
bo19531 Used - $6.15 0 Apr/02/23 Apr/03/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bo19531 Used - $7.85 0 Apr/02/23 Apr/03/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
visionsemi Used - $2,500.00 3 Apr/03/23 Jan/09/24
Description: LAM RESEARCH EIOC 1 BELOW CHAMBER 61-437477-00 REV 1
gesemiconductor Used - $5,000.00 0 Apr/11/23 Feb/02/24
Description: Novellus 02-267698-00 C4D SIOC 1 Module Assembly
cbrx2sales Used - $233.50 1 Apr/11/23 Apr/16/23
Description: Advanced Energy MDX Magnetron Drive #1 (TI22-2229)
tech-resale-com Used - $1,299.00 1 Apr/14/23 Dec/15/23
Description: Lam Research 786-179350-002 Ver: 1 SABRE 3D PLATE MPN 853-201504-001 Rev: B ,
cosplity Used - $3,800.00 0 Apr/25/23 Aug/24/23
Description: AMAT 0190-10017 CPRO3/128 30000 REV C2 CPU, 2.0 BIOS W 1
usedeqsales Used - $854.23 0 Apr/25/23 Feb/29/24
Description: Aera FC-DN780C-BA Mass Flow Controller MFC 1 SLM H2 Lot of 7 AMAT 3030-14002
louis19692009 Used - $1,800.00 0 Apr/28/23 Jul/13/23
Description: Applied Materials, C-Channel Side 1,PN 0200-03406
yiannisshop NEW - $20.00 4 Apr/30/23 Aug/25/23
Description: 10 Fuses AMAT 0910-01188 littelfuse FNQR1 1 Amp $22 free shipping
earth_enterprises NEW - $495.00 0 May/11/23 Nov/11/23
Description: Pk of 10 AMAT Applied Materials 0690-01583 CF FLG 1 1/3 OD CF Blank Non-Rotable
visionsemi Used - $1,500.00 1 May/15/23 Jun/20/23
Description: LAM RESEARCH EIOC 1 BELOW CHAMBER 61-437477-00
maverickindustrialsales Used - $2,849.99 0 May/23/23 Aug/02/23
Description: CTI-Cryogenics 8032550G002 8200 Helium Compressor 220VAC 50/60 Hz 1 Phase
farmoninc Used - $95.00 0 May/26/23 Jun/15/23
Description: AMAT 0040-00556 Side Shield 1, 118319
yiannisshop NEW - $2,777.00 0 May/26/23 May/28/23
Description: AMAT 0090-77106 Assembly, RTD Electronic.1 NEW IN SEALED BAG 1 NEW OPEN BAG
ssarah712 Used - $8.87 0 May/28/23 May/28/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
aincoln0 Used - $15.85 0 May/28/23 May/28/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
generalbonaparte Used - $1.21 0 Jun/04/23 Jun/04/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
generalbonaparte Used - $8.87 0 Jun/04/23 Jun/04/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
getspares.com_sparesllc09 Used - $36,780.00 0 Jun/06/23 Feb/28/24
Description: 0041-49938 / SMD PLATE, COATED, CHAMBER LID SIDE 1 F / APPLIED MATERIALS AMAT
sigmasurplus Used - $1,898.75 0 Jun/06/23 Sep/03/23
Description: LAM RESEARCH 61-428059-00 VECTOR EXTREME EIOC 1 REV A FW V.1.514 785-179350-002
onsaleco NEW - $49.00 0 Jun/07/23 Dec/22/23
Description: Lam RESEARCH 641-254143-024 Copper Coil 2.5 L x 1 OD - 3/8 in WM 6323 30418S
athomemarket Used - $34.97 0 Jun/08/23 Jan/10/24
Description: AEG Advanced Energy Thyro-A 1 Amp 230V Thyristor Power Controllers w/Heatsink
hhulo Used - $8.87 0 Jun/11/23 Jun/11/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
hhulo Used - $15.85 0 Jun/11/23 Jun/11/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
spsglobal Used - $40.00 1 Jun/11/23 Jun/11/23
Description: 3080-01030 qty 1 3080-01044 qty 2 3080-01050 qty 1 [2ND SOURCE NEW]
waste-not-recycling Used - $127.99 0 Jun/12/23 Oct/01/23
Description: AMAT Applied Materials D-Sub 15pin M/F Adapter 0041-47059 Rev 1, 3004519-1715
getspares.com_sparesllc09 Used - $778.05 0 Jun/13/23 Apr/29/24
Description: 0021-24183 / LINER, BOTTOM SIDE 1, 300MM SE / APPLIED MATERIALS AMAT
all-of-cpu Used - $77.40 0 Jun/21/23 Sep/13/23
Description: Applied Materials AMAT 0050-08486 WLDMT Adapter 1 SEG 0 Ultima
farmoninc Used - $1,100.00 0 Jun/21/23 Aug/03/23
Description: HORIBA STEC SEC-4400 AMAT 3030-01520 MFC MASS FLOW CONTROLLER , N2, 1 SLM,120018
rtxparts Used - $600.00 0 Jun/22/23 Oct/09/23
Description: Applied Materials Assy RH Pivot and bearing 300mm0010-02177 AMAT 0010-02176
outletmp3 Used - $15.85 0 Jun/24/23 Jun/24/23
Description: AMAT 0090-04470 CONTROLLER RTC CPCI ENDURA SHORT BLOCK REV 1 CP3450-S000609
outletmp3 Used - $8.87 0 Jun/24/23 Jun/24/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
vizvik16 Used - $2,100.00 1 Jun/26/23 Dec/11/23
Description: APPLIED MATERIALS 0190-37669 REV 04,MKS AS01500-4-1 EPI 300mm INTERLOCK MODULE 1
abcatlegacyparts Used - $170.00 0 Jun/29/23 Apr/11/24
Description: One (1) used OEM Part (AMAT) 0100-00011 PWB, CHOPPER DRIVE
jtechsemi Used - $2,500.00 0 Jul/07/23 Oct/12/23
Description: Applied Materials 0190-14926 208 VAC, 30 MAX AMPS, 1 PHASE, 50/60 HERTZ
astonsmusic-terrascenic Used - $8.87 0 Jul/09/23 Jul/09/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW