[click to login]
WSEMI


TAGS > 300mm

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
usedeqsales
[view on eBay]
Used 9
in stock
$456.12
Description: AMAT Applied Materials 0240-30959 300mm Chamber Controller Cover Kit New
usedeqsales
[view on eBay]
Used 2
in stock
$540.68
Description: AMAT Applied Materials 0041-00186 300mm Gas Ring New Surplus
farmoninc
[view on eBay]
NEW 2
in stock
$950.00
Description: NEW AMAT 0020-07080 Seat, bellows, rotatry head, R6.0, ECP 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0021-36897 Ring, purge reflector, 300mm, RTP
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-04132 Harness Assy, Robot Controller Power, 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-07674 Harness Assy, Pneumatic Ch, Emax, 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: NEW AMAT 0150-76626 cable assembly, MFCA to interlock junction box, 300mm
hdsemispares
[view on eBay]
NEW 3
in stock
$800.00
Description: 300MM LIFT RING Applied Materials AMAT 0020-46322
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: NEW AMAT 0021-18327 Clamp, PVDF, Spindle DI, 300MM, IBC, IECP
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-49203 Bowl, 300MM, PPR, ICEP, 322923
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: New AMAT 0021-08526 BRCKT sensor WXZ 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$1,750.00
Description: 5 AMAT 0140-00733 harness assy., analog SNSRS, TPI MF, 300mm
farmoninc
[view on eBay]
Used 1
in stock
$170.00
Description: 2 New AMAT 0020-28341 Clamp plate, 300MM PC XT hinge assembly
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 5 new AMAT 0140-76488 Harness , EMO 300MM MF Cent
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: new AMAT 0010-38608 ASSY, SIDE DOOR COVER 300MM
farmoninc
[view on eBay]
NEW 2
in stock
$300.00
Description: 6 new AMAT 0021-07470 lower clamp ring, 300mm dual cell, ECP
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: 2 new AMAT 0150-01968 cable assy. AC fail 50 FT umbilical, 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$800.00
Description: 8 New AMAT 0021-14417 Cover Probes, 300MM Chamber, 323632
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 new AMAT 0140-01923 H/A, AC PWR, CV OUTLETS, 2W 300MM FI
farmoninc
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0150-76560 C/A Devicenet Trunk, System AC 300mm, 323573
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0040-08203 plate housing IR sensor 300mm DPS DTC
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0140-07607 harness assy. FI cool DN flow sw 300mm VA
usedeqsales
[view on eBay]
Used 1
in stock
$304.88
Description: AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working
farmoninc
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0021-76773 Robot Blade, 300mm Etch tool
alvin1462
[view on eBay]
NEW 4
in stock
$255.00
Description: AMAT Applied Materials 0140-16346 HARNESS ASSY, MOTOR POWER CHMBR 300MM NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$130.00
Description: AMAT 0140-06710 300mm Mainframe Cable Assembly, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03486 HY-11 300mm Magnet Assembly, USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$170.00
Description: AMAT 0021-27285 PUSHER TIP,VDPM DESICA 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$900.00
Description: AMAT 0010-13188 CRESCENT DRIVE ASSY, VDPM, 300MM DESICA, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$90.00
Description: AMAT 0140-78495 CABLE 300MM, SLIPRINGS/DECTECTOR ISRM, REFURBISHED
grandbirdnet
[view on eBay]
Used 3
in stock
$1,300.00
Description: AMAT 0090-00546 CABLE ASSEMBLY INPUT MODULE 300MM, DOOR, WORKING
grandbirdnet
[view on eBay]
Used 4
in stock
$400.00
Description: AMAT 0090-01022 HARNESS, INPUT MODULE ( 300MM HVM) , BUF, USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$7,200.00
Description: AMAT 0040-76579 BEARING SHIELD, FIXED ABBRASIVE, 300MM, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0020-47188 Membrane clamp internal, 300mm, 0020-47188-002
grandbirdnet
[view on eBay]
Used 5
in stock
$720.00
Description: AMAT 0010-10371 ASSY, SENSOR BOX, HIGH EXHAUST, 300MM ME, WORKING
grandbirdnet
[view on eBay]
Used 6
in stock
$20.00
Description: AMAT 0140-03875 CABLE INPUT MODULE, 300MM HVM,PICKUP,WP, WORKING
j316gallery
[view on eBay]
Used 6
in stock
$207.59
Description: 1063 APPLIED MATERIALS ASSY KIT WRES 300MM ENDURA 0242-51523
grandbirdnet
[view on eBay]
NEW 15
in stock
$229.50
Description: AMAT 0021-77190 PIN, ROLLER ASSY, SCRUBBER 300MM, LOT 10, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0242-76912 KIT, CB, PVD CH. SYSTEM AC 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: NEW AMAT 0040-07257 SERVICE PLATFORM, 300MM TXZ P
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-06750 Cable Assy Wafer Tray Sensor Nova 300MM
farmoninc
[view on eBay]
NEW 2
in stock
$450.00
Description: 3 AMAT 0021-24023 INSULATOR, RF ROD CENTER, 300MM, IA
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: NEW AMAT 0021-79216 Finger, Short Walking Beam, 300MM
grandbirdnet
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0090-77207 HARNESS, LEVEL SW, INPUT MODULE 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0140-03874 CABLE, INPUT MODULE, 300MM,HVM ,BUFFER,WP, USED
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0020-07079 Plate, Thrust, Rot Head R6.0, ECP 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0021-26577 Liner, Aluminum Bottom, 300MM SACVD PROD
farmoninc
[view on eBay]
NEW 1
in stock
$2,200.00
Description: AMAT 0200-02349 Ring, Screw Cover, 300MM, DPSII HTC
systasemi
[view on eBay]
NEW 12
in stock
$475.00
Description: AMAT 0020-07211 O-RING SEAL TV, 300MM
systasemi
[view on eBay]
NEW 11
in stock
$150.00
Description: AMAT 0190-23606 ORING, SPLICED, BRUSH MODULE, 300mm DESI
grandbirdnet
[view on eBay]
NEW 2
in stock
$8,900.00
Description: AMAT 0270-00735 CALIBRATION TOOL ROBOT, 300MM HDPCVD, UL, NEW
farmoninc
[view on eBay]
NEW 2
in stock
$750.00
Description: AMAT 0021-07218 Window Front 300MM Wafer Loader
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,640.00
Description: AMAT 0270-00739 INSTALL TOOL CATHODE ASSEMBLY, 300MM HDP, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0021-01043 MANIFOLD, OUTPUT, 300MM PRODUCER
farmoninc
[view on eBay]
NEW 2
in stock
$650.00
Description: AMAT 0021-76410 Door, 300MM ENP Chamber Slit Valve
farmoninc
[view on eBay]
NEW 2
in stock
$1,850.00
Description: AMAT 0040-03631 Blade, Left 300MM FI ECP
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 AMAT 0021-79130 Finger, SRT, 300MM W.B.
farmoninc
[view on eBay]
NEW 5
in stock
$650.00
Description: AMAT 0010-39206 Assy Air Cylinder, Wafer Lift, 300MM
farmoninc
[view on eBay]
NEW 6
in stock
$125.00
Description: AMAT 0021-14200 Block Devicenet Anti Torque 300MM RTP
farmoninc
[view on eBay]
NEW 1
in stock
$1,750.00
Description: AMAT 0040-33311 300MM Endura SWLLB Flush Lid
farmoninc
[view on eBay]
NEW 2
in stock
$750.00
Description: AMAT 0040-37555 Support, Lift Cylinder, 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: 3 AMAT 0021-18779 Flange, Bottom 300MM Producer
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0190-13235 Spec DWG, Interlock Juntion Box, 300MM
farmoninc
[view on eBay]
NEW 6
in stock
$1,850.00
Description: AMAT 0040-03641 Blade, Right 300MM FI ECP
grandbirdnet
[view on eBay]
NEW 1
in stock
$840.00
Description: AMAT 0020-20073 LOCK, LID INTERLOCK, HDPCVD 300MM ULTIMA, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$220.00
Description: AMAT 0270-03126 ROBOT ALIGNMENT TOOL, 1.88L, 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$700.00
Description: AMAT 0270-00772 GAGE, LIFT STOP LIFT ASSY, 300MM HDP-CVD, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,700.00
Description: AMAT 0270-00742 PUSHROD, 300MM HDP-CVD, ULTIMA, LOT 2, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 2 AMAT 0021-07147 Flag, Unclamp Detect, 300MM Swll Door
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0140-04128 H/A Secondary TC Input 300MM Ultima
farmoninc
[view on eBay]
NEW 1
in stock
$695.00
Description: AMAT 0140-06517 Harness Assembly, 300MM EMAX Chamber, I/O LE
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 NEW AMAT 0140-00974 Harness Driver Enclousure 300MM centura
farmoninc
[view on eBay]
NEW 1
in stock
$199.00
Description: NEW AMAT 0240-52481 NON-SEISMIC SECUREMENT KIT FOR 300MM 5.X FI, 3480-00317
grandbirdnet
[view on eBay]
Used 17
in stock
$6,500.00
Description: AMAT 0010-77307 ASSY, SPINDLE 300MM, USED
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0140-06751 Cable Assembly, Gripper Cylinder Nova 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0100-00528 PCB Assembly, CVD 300MM Gas Panel Interl
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: NEW AMAT 0021-07218 Window front 300mm wafer loader, 327473
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: NEW AMAT 0021-15196 Water line cover swll 300mm endura
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0200-02423 Ring, Quartz, Dep, Narrow OD 300MM ESC, REV 3.0 REV .E2
farmoninc
[view on eBay]
NEW 4
in stock
$650.00
Description: AMAT 0020-07079 Plate, Thrust, Rot Head R6.0, ECP 300MM
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0090-01013 Cable Heater, DPS 300MM CH. B G/P Line #4
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0190-06036 HOSE ASSY, CH A CHAMBER H2O RETURN, 300mm, 327817
farmoninc
[view on eBay]
NEW 1
in stock
$370.00
Description: 5 new AMAT 0010-04671 Blankoff, 4MM Probe, 300MM Radiance XE
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0040-45100 Handle bar Hinge, ECP Anneal 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0040-40810 Liner, LID, 300MM IBC, IECP
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: NEW AMAT 0021-18366 CLAMP CERAMIC HEATER 300MM PRODUCER SE, 327933
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0021-26274 Screen Flat Poly Etch 300mm DPS II
farmoninc
[view on eBay]
NEW 1
in stock
$35.00
Description: NEW AMAT 0021-39723 Cover, RF ROD, 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0140-01099 Harness robot 300mm centura
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0140-08229 ASSY, SWITCH, DIW FLOW, INPUT LDM, 300MM M-200-T41-017 , USED
grandbirdnet
[view on eBay]
Used 6
in stock
$5,500.00
Description: AMAT 0010-12525 FLYWHEEL ASSY, 45 DEGREE PLUNGER 300MM , USED
farmoninc
[view on eBay]
NEW 13
in stock
$950.00
Description: AMAT 0020-07080 Seat, Bellows, Rot Head R6.0, ECP 300mm
grandbirdnet
[view on eBay]
NEW 1
in stock
$18,000.00
Description: AMAT 0040-99095 LINER,UPPER,W/COOLING ANODIZE,300MM DPN , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0040-75919 Clamp, Cover Transfer Chamber 300MM
farmoninc
[view on eBay]
NEW 12
in stock
$300.00
Description: AMAT 0020-13697 Cover, Water Channel, ECP Anneal 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0021-08157 Pad, Gas Panel Door Latch, 300MM TPG
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0140-06751 Cable Assembly, Gripper Cylinder Nova 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0150-01790 Cable Assembly Cell Plating Power 300MM ECP
farmoninc
[view on eBay]
NEW 2
in stock
$100.00
Description: AMAT 0021-18826 Flap, Right Rear Toxic Skin, 300MM RADIA
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-08511 BUS BAR CAP Assembly DTCU DPS 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0200-02165 Edge Ring, Heater, 300MM TICL4
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0150-03151 Cable Assembly Customer I/O System AC 300MM
farmoninc
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT 0021-39717 Block, RF Rod, 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$900.00
Description: 2 AMAT 0040-08726 Connector Assembly ESC 300MM E-Max
usedeqsales
[view on eBay]
Used 1
in stock
$708.14
Description: Lam Research 810-800031-300 System Interlock 300mm PCB 710-800031-300 Used
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0190-02442 Hose Assembly, Lid Liner Jumper 300MM E
farmoninc
[view on eBay]
NEW 3
in stock
$350.00
Description: AMAT 0040-06011 SHAFT, SUPPORT BIAS MATCHBOX 300MM, HDPC.
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-01067 Harness SPCL To Controller Power 300MM Centura
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-03571 Harness Assembly, Conductor 300MM, P1 Remote
farmoninc
[view on eBay]
NEW 4
in stock
$250.00
Description: AMAT 0150-03457 Cable Assembly, WLD, 300MM RTP Chamber
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,999.00
Description: AMAT 0020-48303 SHIELD, LOWER CLEANCOAT 300MM , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-08452 Cover, Top, RF Match 300MM RF Match 3.1
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0246-01866 KIT, VITON 747 ORING, 300MM DPN/ + BMA , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$35.00
Description: AMAT 0020-46291 BRACKET, LEFT, MOUNTING, CHBR-MF, RPG CHBR, 300MM , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0040-03297 COVER,REAR HORIZONTAL 2-WIDE FI 300MM, 399423
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0040-03297 COVER,REAR HORIZONTAL 2-WIDE FI 300MM, 399422
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0040-03297 COVER,REAR HORIZONTAL 2-WIDE FI 300MM
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0021-26274 Screen Flat Poly Etch 300mm DPS II, AN AL
grandbirdnet
[view on eBay]
NEW 2
in stock
$100.00
Description: AMAT 0021-42816 BAR, HANDLE, 300MM PRODUCER SE , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0242-29469 KIT, GAS DELIVERY, INTEGRATION, 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 0270-03505 SHIM, CHAMBER LINER INSTALLATION & REMOVAL, EPI 300MM, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-03457 CABLE ASSY WLD 300MM RTP CHAMBER
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0140-03648 HARNESS ASSY DPSII 300MM, P5 CONN, SIGNAL
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0050-92389 GASLINE, AR FAC TO PURGE MODULE, 300MM ALD TAN (RPG), NEW
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0150-01517 CABLE ASSY, CH C INTERLOCK, 300MM CENTURA, 399474
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0150-01517 CABLE ASSY, CH C INTERLOCK, 300MM CENTURA
farmoninc
[view on eBay]
NEW 1
in stock
$130.00
Description: AMAT 0150-76408 CABLE ASSY 300MM WAFER ON BLADE, CHANNEL, 399469
farmoninc
[view on eBay]
NEW 1
in stock
$130.00
Description: AMAT 0150-76408 CABLE ASSY 300MM WAFER ON BLADE, CHANNEL, 399468
farmoninc
[view on eBay]
NEW 1
in stock
$130.00
Description: AMAT 0150-76408 CABLE ASSY 300MM WAFER ON BLADE, CHANNEL
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0021-20218 BUSS BAR MAIN GND MAIN AC 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0150-01517 CABLE ASSY, CH C INTERLOCK, 300MM CENTURA, 399476
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0150-03234 CABLE, EMO MOTOR STOP EXTENSION 300MM F
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0020-16483 300MM ESC Protective Cover 399568
farmoninc
[view on eBay]
NEW 2
in stock
$495.00
Description: AMAT 0140-04401 Cable Assy, Steelhead 0, 300MM XGen Chamber, Assembly
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,200.00
Description: AMAT 0021-16781 SHIELD, UPPER, 300MM, REV 2.0 SIP CU, 17 , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0150-02383 CABLE ASSY, WATER LEAK SENSOR 300MM, HDP
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-04401 Cable Assy, Steelhead 0, 300MM XGen Cham, Chamber, Assembly
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0150-03907 Harness Assy., 300MM Endpoint Adaptor, Assembly
farmoninc
[view on eBay]
NEW 1
in stock
$60.00
Description: 19 AMAT 0021-19118 Screw, Lid Isolator, 300MM, TICL4, Cleaned
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: 2 AMAT 0020-07976 BRACKET, PHOTO HELIX GAUGE, 300MM HDPCVD, 399763
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0021-15448 CLAMP, LID, 300MM, TICL4 TI
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 2 AMAT 0040-78051 CONDUIT UTILITIES 300MM WAFER EXCHANGER
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0040-07820 Tray 3, upper meg, HVM 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0040-78494 COVER, FRAME, WALKING BEAM, HVM 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 3200-00006 PANEL, LOWER, FIXLOAD, 300MM, BROOKS AUTOMATION 013089-120-18
farmoninc
[view on eBay]
NEW 3
in stock
$25.00
Description: AMAT 0020-15278 STOP, INDEXER, 300MM MARN CHASSIS
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0021-76741 COVER. TB. 8 POS. CONTROLLER MOD, 300MM FI
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0150-01517 CABLE ASSY, CH C INTERLOCK, 300MM CENTUR 399988
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-05530 Mount, Motor HTR Lift WXZ 300MM
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,500.00
Description: AMAT 0020-23549 SHIELD, UPPER, AL ARC-SPRAY, SST, 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,950.00
Description: AMAT 0020-08299 CLAMP, INNER SHIELD, 300MM SIP , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0140-01109 H/A, CDA/VAC GAUGES, 2W 300MM FI, 400404
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0140-00734 HARNESS ASSY, ANALOG SENSORS STD MF, 300MM C, 400441
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0240-10425, 300MM Peek Finger Retrofit Kit Walking Beam, 0040-42315
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 0190-22161 Feedthru, Flex Sleeve, 300mm, NI contact
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: 2 AMAT 0190-15035 Kit, Fuse, Kawasaki A3 Robot 300MM FI, 49094-1365
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0140-00734 Harness Assembly, Analog Sensors STD MF, 300MM C, 400571
grandbirdnet
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-22479 CLAMP, ROLLING SEAL, 300MM TITAN PROFILE , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,490.00
Description: AMAT 0040-83668 HOUSING TALL, 300MM TITAN PROFILER , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0020-28055 TOP MEMBRANE CLAMP 300MM TITAN PROFILER , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , USED
grandbirdnet
[view on eBay]
NEW 9
in stock
$7,500.00
Description: AMAT 0200-02935 Lid, Ceramic Assembly 300MM DPS2Etch, NEW
grandbirdnet
[view on eBay]
NEW 36
in stock
$1,600.00
Description: AMAT 0200-01326 INSULATOR, 300MM SOURCE ADAPTOR, CERAMIC, NEW
partskorea1
[view on eBay]
Used 1
in stock
$899.00
Description: AMAT P/N 0010-21740 REV.003 ASSY TC AMP 300MM
grandbirdnet
[view on eBay]
NEW 3
in stock
$2,400.00
Description: AMAT 0200-02181 Deposition Ring , 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0021-16287 SHIELD, LOWER, NARROW NECK, 300MM SIP CU , NEW
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0140-00734 HARNESS Assembly, Analog Sensors STD MF, 300MM 401258
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0021-76410 DOOR, SST, PC, 300MM ENP CHAMBER SLIT VALVE 401260
farmoninc
[view on eBay]
NEW 1
in stock
$1,850.00
Description: AMAT 0040-03641 BLADE, RIGHT 300MM FI ECP 401272
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$1,098.90
Description: AMAT 0242-33587 0021-15813 KIT LIFT ASSY DPS-2 CHAMBER 300MM SYSTEM
gigabitpartsolutions
[view on eBay]
Used 6
in stock
$438.90
Description: OEM Part (LAM) 715-801021-801 Liner 2300 upper chamber coated 300mm
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0021-08980 BRACE VME 300MM 0021-08980P1 401860
farmoninc
[view on eBay]
NEW 1
in stock
$190.00
Description: AMAT 0040-00108 TC AMP/HTR Power Enclosure, 300mm WXZ 401986
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-03331 Harness Assembly, Heater AC Box, 300mm ENDUR 402101
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0140-08069 Harness Assembly, 300mm Emax, I/Q MF, Pump 402104
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0140-07862 Harness Assembly, 300mm Emax, Alcatel Turbo 402103
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-04490 Cable Assembly, Pressure Sensor, 300mm Wafer 402117
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-04490 Cable Assembly, Pressure Sensor, 300mm Wafer 402116
farmoninc
[view on eBay]
NEW 1
in stock
$375.00
Description: AMAT 0150-04490 Cable Assembly, Pressure, 300mm Wafer 402142
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0150-04490 Cable Assembly, Pressure Sensor, 300mm Wafer 402170
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-14121 ARM F.W.I 300MM +/-15 402342
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0040-53817 CARRIER 300MM TITAN PROFILER APPLICATION REFLEXION 402383
usedeqsales
[view on eBay]
Used 1
in stock
$305.15
Description: AMAT Applied Materials 0040-07501 RH Lamp Wire Cover 300mm PVD New
auctionrus
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0021-36900 Cover, Probes, 300MM RTP, 402673
auctionrus
[view on eBay]
NEW 1
in stock
$105.00
Description: AMAT 0150-76409 CABLE ASSY 300MM WAFER ON BLADE, CHB 402666
auctionrus
[view on eBay]
Used 1
in stock
$31.50
Description: 3 AMAT 0021-19117 CLAMP LID ISOLATOR 300MM TIC 14 MEI-001-16202 402698
auctionrus
[view on eBay]
NEW 8
in stock
$175.00
Description: AMAT 0015-00853 Din Rail, Heater Control, 300mm HDPCVD, 405904
auctionrus
[view on eBay]
Used 1
in stock
$275.00
Description: 4 AMAT 0140-03974 HARNESS ASSEMBLY, 300MM CENTURA ETCH CH Farmon ID 405944
auctionrus
[view on eBay]
NEW 1
in stock
$4,000.00
Description: AMAT 0040-40815 Heater Mounting Plate, 300mm, 406020
auctionrus
[view on eBay]
NEW 1
in stock
$1,850.00
Description: AMAT 0040-03641 Blade, Right, 300mm, FI ECP, 406518
auctionrus
[view on eBay]
NEW 1
in stock
$1,850.00
Description: AMAT 0040-03641 Blade, Right 300mm FI ECP, 406809
usedeqsales
[view on eBay]
Used 1
in stock
$184.29
Description: AMAT Applied Materials 0150-00322 Endura 300mm CHM EMO Cable Assembly New
auctionrus
[view on eBay]
NEW 1
in stock
$665.00
Description: AMAT 0040-39581 Assembly, Lift Plate/Pad, 300mm, DCSXZ, 407125
auctionrus
[view on eBay]
NEW 1
in stock
$206.50
Description: AMAT 0040-05341 Carrier HTR, Lift WXZ, 300mm, 407135
auctionrus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0040-13282 DOOR, 300MM NON-ENP CHAMBER SL 407127
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0021-16216 Bracket, Back Angle, Drip Tray, 300mm, H, 407166
auctionrus
[view on eBay]
NEW 1
in stock
$525.00
Description: AMAT 0021-38781 Manifold, block, M/W Feed, Lid, 300mm, 407188
auctionrus
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0040-13282 DOOR, 300MM NON-ENP CHAMBER SL 407127. 407209
auctionrus
[view on eBay]
NEW 5
in stock
$245.00
Description: AMAT 0140-38566 Harness Assembly Pressure XDCR 300MM Sin. 407219
auctionrus
[view on eBay]
NEW 1
in stock
$225.00
Description: AMAT 0140-03569 Harness Assembly 300mm, I/O Chamber, DWR DC Power, 407264
grandbirdnet
[view on eBay]
Used 1
in stock
$3,600.00
Description: AMAT 0190-02825 ASSEMBLY, CIRCUIT BREAKER ENCL, 300MM HV , USED
auctionrus
[view on eBay]
Used 1
in stock
$840.00
Description: AMAT 0021-26273 Liner Cathode Screen, 300MM DPSII Tetra, 408318
auctionrus
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-26273 Liner Cathode Screen, 300MM DPSII Tetra, 408128
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0021-39608 Seal, Throttle Valve, 300mm, SACVD, 409149
auctionrus
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT 0021-39608 Seal, Throttle Valve, 300mm, SACVD, 409233
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0021-39608 Seal, Throttle Valve, 300mm, SACVD, 409232
auctionrus
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0021-39608 Seal, Throttle Valve, 300mm, SACVD, 409234
auctionrus
[view on eBay]
NEW 1
in stock
$122.50
Description: AMAT 0040-07740 bua bar swll 300mm, 409375
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-07331 Cable Assembly, Facility Gas Enable, 300MM, RTP, 409430
auctionrus
[view on eBay]
NEW 2
in stock
$105.00
Description: AMAT 0150-76407 Cable Assembly, 300MM, Wafer on Blade, 409494
auctionrus
[view on eBay]
NEW 1
in stock
$59.50
Description: AMAT 0040-42181 Cover, Upper WTR Box, ANNL Chamber, 300MM, 409493
auctionrus
[view on eBay]
NEW 1
in stock
$105.00
Description: AMAT 0150-76407 Cable Assembly, 300MM, Wafer On Blade, LLB, 409524
auctionrus
[view on eBay]
NEW 1
in stock
$59.50
Description: AMAT 0040-42181 Cover, Upper WTR Box, ANNL Chamber, 300MM, 409648
auctionrus
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0021-13445 Window, Lid, 300mm, IBC, IECP, 411029
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0021-08527 Clamp Yoke WXZ 300mm, 411026
auctionrus
[view on eBay]
NEW 1
in stock
$4,000.00
Description: AMAT 0020-12396 Top Plate Heater ECP Anneal 300mm, 411025
auctionrus
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-13445 Window, Lid, 300mm IBC, IECP, 411003
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-03193 HARNESS, ETCH 300MM PUMP, TURBO, LEAK DP.410919
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-03193 HARNESS, ETCH 300MM PUMP, TURBO, LEAK DP.410916
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-03193 HARNESS, ETCH 300MM PUMP, TURBO, LEAK DP.410918
auctionrus
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0021-00630 PLATE, BLANKOFF 300MM DPS. 411126.
auctionrus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0140-02341 HARNESS, BARATRON CHAMBER, 300MM TXZ CHA, RTRON. 411201,411202
auctionrus
[view on eBay]
NEW 2
in stock
$200.00
Description: AMAT 0150-76640 Cable Assy, Manometer Adapter, 300MM
auctionrus
[view on eBay]
NEW 2
in stock
$450.00
Description: AMAT 0040-40864 COOLER WELDMENT HEATER ECP ANNEAL 300MM. 411241, 411242
auctionrus
[view on eBay]
NEW 3
in stock
$450.00
Description: AMAT 0040-40684 PANEL, MOUNT, 4 CH. WTM, 300MM HDPCVD, WAFER TEMPERATURE MONITOR
auctionrus
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0040-06895 BRACKET, UPPER SHIELD, 300MM. 411269, 411270.
bms-semicon
[view on eBay]
NEW 2
in stock
$199.99
Description: AMAT 0020-46291 BRACKET, LEFT, MOUNTING, CHBR-MF, RPG CHBR, 300MM NEW
bms-semicon
[view on eBay]
NEW 1
in stock
$199.99
Description: AMAT 0020-46290 BRACKET, RIGHT, MOUNTING, CHBR-MF, RPG CHBR, 300MM NEW
grandbirdnet
[view on eBay]
NEW 10
in stock
$5,400.00
Description: AMAT 0010-30804 CRECENT ASSY VD, DESICA 300MM , NEW
auctionrus
[view on eBay]
NEW 3
in stock
$175.00
Description: AMAT 0020-16849 Plate, Lamp AC, 300MM Radiance 412988
auctionrus
[view on eBay]
NEW 1
in stock
$25.00
Description: AMAT 0020-75789 Nut, Bracking, Slitvalve, 300mm, Farmon ID 412121
auctionrus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0021-39718 Cylinder, Lifter, 300MM Etch Chamber 413322
auctionrus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-02407, Harness Assembly, Power Box Interface, 300MM R, K Tron. 413419
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-01067 Rev.P2, Harness SPCL To CNTRLR PWR 300MM CENTURAm RTron. 413420
auctionrus
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0150-01446 Cable Assy, DNET I/O Backplane DC, 300mm, Cable, 413456
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0150-06608 Cable Assembly, Interlocks, 300MM Ultima X 413444
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0021-03630 Yoke, Gas Spring, Pull, 300MM Producer 413446
auctionrus
[view on eBay]
NEW 1
in stock
$795.00
Description: AMAT 0140-06517 Harness Assembly, 300MM Emax Chamber, I/0 LE 413501
auctionrus
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0140-05187 Harness Assembly., 300MM Endura SL W - ALN INT 413497
auctionrus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0140-05187 Harness Assembly, 300mm, Endura, SL W-ALN Interlock, 413525
auctionrus
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0140-05215 Harness Assembly W-ALN EC Valve 300MM Endura 413502
auctionrus
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0150-03013 Cable Assembly, DPS Metal 300MM Remote Interface 413529
auctionrus
[view on eBay]
NEW 2
in stock
$175.00
Description: AMAT 0140-03225 Harness Assembly, Local AC, 300MM RTP Chamber 413549
auctionrus
[view on eBay]
NEW 3
in stock
$750.00
Description: AMAT 0021-17120 Spacer, Pumping Channel, 300MM Producer 413537
auctionrus
[view on eBay]
NEW 1
in stock
$25.00
Description: AMAT 0021-03090 Washer Lid Lift 300MM Producer 413722
auctionrus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-06750 Cable Assy Wafer Tray Sensor Nova, 300mm, Harness, 413763
auctionrus
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0140-01997 Cable Harness Assy., SWLL B Interlock. 300MM CE, 413571
usedeqsales
[view on eBay]
Used 1
in stock
$3,101.16
Description: Kensington 15-3600-0300-01 300mm Wafer Prealigner AMAT 0190-16360 Working Spare
auctionrus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT 0150-01737 Cable Assembly, PC Endpoint Interface 30 FT, 300MM, 413686
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0140-06751 Cable Assembly, Gripper Cylinder Nova 300MM 413797
auctionrus
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-00974 Harness Driver Enclosure 300MM Centura 413835
auctionrus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-06750 Cable Assy Wafer Tray Sensor Nova, 300MM, Harness, 413838
auctionrus
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0140-02545 Harness, Interlocks, Gen Rack 300MM ENDU, Cable, 413833
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0150-03457 Cable Assy, WLD, 300MM RTP Chamber, Harness, 413830
auctionrus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0040-60641 Bracket Support Ampoule Life 300MM Tan A 413900
auctionrus
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0020-13576, Cover, Panel, WTR CHNL, Lid, ANNEAL, ECP 300MM. 412825
auctionrus
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0140-07261 Harness Assy, ACDC Drawer, AC, 300MM RTP C, Cable, 412836
auctionrus
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0140-01980 Harness Assembly, Cell Plating Power, 300MM EC, Cable, 413921
auctionrus
[view on eBay]
NEW 1
in stock
$85.00
Description: AMAT 0140-03114, Cable, Harness Assembly, Skin Interlock, 300MM RTP. 414014
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-01871, Cable, Harness Assembly, 300MM MF AC Dist Box, Intel. 414093
auctionrus
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0140-01066, Cable, Harness SPCL to SWLL Driver, 300MM Centur. 414091
auctionrus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0140-01099 Harness Robot Driver 300MM Centura 414094
auctionrus
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0150-03143 Cable Assembly, UPS Control System AC, 300MM E, 414113
auctionrus
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-00974 Harness Driver Enclosure 300MM Centura, Cable, 414112
auctionrus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0150-02176 Cable Assembly, LTESC Lamp I/O, 300MM Endura, Harness, 414128
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-38934, Harness, Cable Assembly, Dome, Umbilical #1,300MM, DSP. 410739
auctionrus
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0150-76558 C/A MF Interlocks, System AC 300MM 414150
auctionrus
[view on eBay]
Used 2
in stock
$150.00
Description: AMAT 0140-01156 Harness Pump Breaker To Bulkhead 300MM C 414156
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-38934 Cable Assembly, Dome, Umbilical#1, 300MM DPS 414140
auctionrus
[view on eBay]
NEW 1
in stock
$70.00
Description: AMAT 0140-02963 Cable, Emo/Motor Stop, 300MM FI, Harness, 414197
auctionrus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0140-02963 Cable, Emo/Motor Stop 300MM FI, Harness, 414268
auctionrus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0140-01099 Harness Robot Driver 300MM Centura, Cable, 414267
auctionrus
[view on eBay]
NEW 2
in stock
$35.00
Description: AMAT 0140-37762, Cable, Harness Assembly, Pneumatic Jumper, 300MM DPS. 414232
auctionrus
[view on eBay]
NEW 1
in stock
$35.00
Description: AMAT 0140-01066, Cable, Harness SPCL to SWLL Driver, 300MM, Centur. 414279
auctionrus
[view on eBay]
Used 6
in stock
$195.00
Description: AMAT 0140-03565 Harness Assembly, Serial/Interlock, 300MM Con, Cable, 414358
auctionrus
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0150-76401, Harness, Cable 300MM Motion Control Interconnect. 414335
auctionrus
[view on eBay]
Used 2
in stock
$195.00
Description: AMAT 0150-02957, Cable Assembly, Souce Lid, AC Power Cord, 300MM DPS2. 414398
auctionrus
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-00974, Cable, Harness Driver, Enclosure 300MM, Centura. 414397
auctionrus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0021-07148 Bracket, Unclamp Sensor 300MM Swll Door 414469
auctionrus
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0150-76401, Harness, Cable, 300MM, Motion Control Interconnect. 414481
auctionrus
[view on eBay]
Used 2
in stock
$60.00
Description: AMAT 0140-02904 Lamp Harness 300MM Dtcu 414505
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0140-05129, Cable, Harness, Gate Valve, 300MM TX2, Chamber. 414500
auctionrus
[view on eBay]
Used 2
in stock
$60.00
Description: AMAT 0140-02904 Lamp Harness 300MM Dtcu 414503
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-01871, Cable, Harness Assembly, 300MM MF AC Dist Box, Inte. 414548
vizko2017
[view on eBay]
NEW 1
in stock
$45.00
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0140-01871 Harness Assembly, 300MM MF AC Dist Box, Interface 414659
auctionrus
[view on eBay]
NEW 2
in stock
$95.00
Description: AMAT 0140-01871 Harness Assembly, 300MM MF AC Dist Box, Interface 414657
auctionrus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT 0140-02350 Harness, Gate Valve, 300MM TXZ Chamber 414684
auctionrus
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0140-01080 Harness Driver Special To TB 300MM Centura 328694
auctionrus
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0140-01080, Cable, Harness Driver SPCL to TB 300MM Centura. 328825
ecomicron
[view on eBay]
NEW 3
in stock
$140.00
Description: 0200-03314, AMAT, LIFT PIN 170 FIXED FLOATING 300MM PRODUC
ecomicron
[view on eBay]
NEW 2
in stock
$350.00
Description: 0010-13753, Applied Materials, ASSEMBLY, 300MM MAINFRAME WAFER SENSOR
ecomicron
[view on eBay]
NEW 5
in stock
$100.00
Description: 0200-00775, Applied Materials, PIN WAFER 300MM WCXZ
ecomicron
[view on eBay]
NEW 3
in stock
$150.00
Description: 0200-01089, Applied Materials, PIN WAFER CWXZ 300MM
ecomicron
[view on eBay]
NEW 2
in stock
$100.00
Description: 0200-01613, AMAT, PIN, HEATER LIFT, 300MM TICL4
grandbirdnet
[view on eBay]
NEW 1
in stock
$15,000.00
Description: AMAT 0010-23069 TOP COVER ASSEMBLY MEG 300MM , NEW
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 130-0101// AMAT APPLIED 0190-24116 ASSY. PCB, CDN396R, A-I/O, 300MM ENDURA USED
spsglobal
[view on eBay]
Used 1
in stock
$3,200.00
Description: 130-0101// AMAT APPLIED 0090-03462 INTERLOCK ASSY, ELECT, GASBOX, 300MM HP+ USED
ecomicron
[view on eBay]
NEW 5
in stock
$900.00
Description: 0190-13287, AMAT, THERMOCOUPLE, 300MM DEGAS HEATER
ecomicron
[view on eBay]
NEW 1
in stock
$200.00
Description: 0190-06039, AMAT, HOSE ASSY, COOLDOWN H2O SUPPLY, 300MM VA
gigabitpartsolutions
[view on eBay]
NEW 25
in stock
$38.50
Description: OEM Part (AMAT) 0021-15538 CLAMP, PEEK, 300MM, WALKING BEAM, CLEANER
gigabitpartsolutions
[view on eBay]
NEW 7
in stock
$825.00
Description: Tool AMAT 0090-03720 300mm Assembly High Precision Sensors and Bracket
gigabitpartsolutions
[view on eBay]
NEW 2
in stock
$11,439.50
Description: OEM Part AMAT 0040-40533 300mm Producer Faceplate, Cooled Center Hole 23, SACVD,
gigabitpartsolutions
[view on eBay]
NEW 2
in stock
$3,852.00
Description: Robot (AMAT) 0040-43598 Arm, Right, 300mm Endura
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$467.50
Description: OEM Part LAM RESEARCH (LAM) 839-020965-320 Si, ASSY, ELECTRODE, OUTER, 300MM
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$328.90
Description: OEM Part Novellus 15-283510-00 SHOWERHEAD, 300MM R2+, CIRCU
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$247.50
Description: OEM Part Novellus 17-260361-00 300MM PEDESTAL SHIELD, GRIT BLAST PVD
ecomicron
[view on eBay]
Used 1
in stock
$550.00
Description: 0140-04658, AMAT, HARNESS DPS-2 PNEU. 300MM, CHAMBER SLIT
nissiglobal
[view on eBay]
Used 4
in stock
$40.49
Description: Applied Materials 0021-08324 ADAPTER, BLOCK WATER 3/8NPT SIP 300MM AMAT
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$93.50
Description: OEM Part (AMAT) 0270-00772 GAUGE, LIFT STOP LIFT ASSY, 300MM HDP-CVD
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$60.50
Description: AMAT 0150-12681 Harness ASSY, 300mm PVD Clear Lid Jumper
systasemi
[view on eBay]
NEW 4
in stock
$840.00
Description: Novellus Shower Head, 16-365359-00, 300MM, 13 Inch, CTR
gigabitpartsolutions
[view on eBay]
NEW 2
in stock
$82.50
Description: OEM Part (AMAT) 0021-17450 BLOCK, PRESSURE GAUGE, ANNL CHMBR 300MM
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$110.00
Description: OEM Part Applied Materails (AMAT) 0021-01040 HINGE, BODY, LEFT, 300MM PRODUCER
gigabitpartsolutions
[view on eBay]
NEW 40
in stock
$8.80
Description: OEM Part (AMAT) 0021-06325 ACTUATOR BKT, CLAMP RING SWITCH, 300MM E
gigabitpartsolutions
[view on eBay]
NEW 3
in stock
$328.90
Description: OEM Part Novellus 17-271438-00 ADAPTER SHIELD 300mm
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$27.50
Description: Kit (AMAT) 0242-43085 DC AND RF POWER FASTENERS, 300MM EQPT
ecomicron
[view on eBay]
Used 1
in stock
$150.00
Description: 0140-01639, AMAT, HARNESS ASY,SWLL/FI INTERLOCK,300MM CENT
ecomicron
[view on eBay]
Used 1
in stock
$280.00
Description: 0140-01240, AMAT, HARNESS ASSY, PNEUMATIC INTCNT 300MM TXZ
farmoninc
[view on eBay]
NEW 2
in stock
$650.00
Description: AMAT 0020-07079 Plate, Thrust, Rot Head R6.0, ECP, 300mm, 329838
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0020-07079 Plate, Thrust, Rot Head R6.0, ECP, 300mm, 329837
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0021-07985 Plate, Adapter, Frame, Lower, 300mm FI, 396884
ecomicron
[view on eBay]
Used 1
in stock
$1,300.00
Description: 0190-02638, AMAT, HOSE ASSY, 75FT SUP/RET, EMAX 300MM LID
ecomicron
[view on eBay]
Used 1
in stock
$400.00
Description: 0140-02329, AMAT, HARN ASSY, DC POWER INPUT, 300MM TXZ DLI
ecomicron
[view on eBay]
NEW 1
in stock
$2,300.00
Description: 0242-25977, AMAT, KIT, CHMBR SHIP ALONG, 300MM VANTAGE QS, 0040-43456,3691-01359
bornalliancecom
[view on eBay]
Used 2
in stock
$795.00
Description: Applied Materials 0021-26274 SCREEN FLAT POLY ETCH 300MM DPS II
bornalliancecom
[view on eBay]
Used 1
in stock
$995.00
Description: Applied Materials 0021-26273 LINER CATHODE SCREEN 300MM DPS II
j316gallery
[view on eBay]
Used 2
in stock
$431.20
Description: 4940 APPLIED MATERIALS WRIST BASE 300MM ROBOT TI LCF+ 0040-46343
farmoninc
[view on eBay]
NEW 6
in stock
$550.00
Description: AMAT 0040-23525 300mm Slit Valve Door, 330328
farmoninc
[view on eBay]
NEW 1
in stock
$3,900.00
Description: AMAT 0200-05638 Blade Ceramic Open 300mm, 330342
farmoninc
[view on eBay]
NEW 1
in stock
$3,900.00
Description: AMAT 0200-05638 Blade Ceramic Open 300mm, 330341
j316gallery
[view on eBay]
Used 18
in stock
$1,021.29
Description: 5012 APPLIED MATERIALS BLADE, SST, VHP ROBOT, 300MM, PRODUCER 0040-47126
j316gallery
[view on eBay]
NEW 11
in stock
$143.27
Description: 5014 APPLIED MATERIALS BRKT RIGHT MOUNTING CHBR-MF RPG CHBR 300MM NEW 0020-46290
j316gallery
[view on eBay]
NEW 1
in stock
$385.72
Description: 5036 APPLIED MATERIALS KIT GEN PLUMBING E-CLEAN/APEX 300MM NEW 0242-41880
j316gallery
[view on eBay]
Used 1
in stock
$1,759.56
Description: 5051 APPLIED MATERIALS LID BLANK POLYCARBONATE 300MM DPS2 0200-02412
j316gallery
[view on eBay]
Used 4
in stock
$8,297.18
Description: 5081 APPLIED MATERIALS .650" 300MM 3 CHANNEL HEATER LVL CALIBRATN REF 0190-11355
j316gallery
[view on eBay]
NEW 1
in stock
$646.70
Description: 5308 APPLIED MATERIALS CALIBRATION TOOL COOLDOWN/DEGAS 300MM (NEW) 0270-03559
j316gallery
[view on eBay]
NEW 1
in stock
$1,055.74
Description: 5445 APPLIED MATERIALS COVER TOP SHIP ASSY 300MM (NEW) 0270-03850
midwestsemi
[view on eBay]
NEW 1
in stock
$227.70
Description: AMAT 0050-50642 REV 001 HOSE ASSY 18.5IN HI TEMP 300MM PRODUCER 8288-02 - NEW
ecomicron
[view on eBay]
Used 2
in stock
$800.00
Description: 0021-12790, AMAT, TSI-A12790, BLOCKER PLATE, SILANE, 300mm, TWIN CHAMBER,
ecomicron
[view on eBay]
Used 1
in stock
$400.00
Description: 0050-05423, AMAT, WELDMENT, XDCR, TO FIN VLV, FJKN, 300MM
j316gallery
[view on eBay]
NEW 2
in stock
$2,902.98
Description: 5826 LAM RESEARCH B, FOCUS CVR, DFC 300MM (NEW) 716-803156-004
j316gallery
[view on eBay]
NEW 3
in stock
$1,935.52
Description: 5878 LAM RESEARCH 17" 300MM RING, WAP, TWIST TOP (NEW) 716-017112-002
farmoninc
[view on eBay]
NEW 6
in stock
$30.00
Description: AMAT 0150-21789, Cable Assembly, 26" 300MM, DC Source Ground. 415447
farmoninc
[view on eBay]
NEW 1
in stock
$135.00
Description: AMAT 0150-01463 Rev. 001, Cable Assembly, AC Power 5 Phase Driver, 300MM. 415860
farmoninc
[view on eBay]
NEW 1
in stock
$135.00
Description: AMAT 0150-01463, Cable Assy., AC Power, 5 Phase Driver, 300MM, 415878
farmoninc
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT 0140-01067, Harness SPCL to CNTRLR PWR 300MM Centura. 415873
farmoninc
[view on eBay]
NEW 5
in stock
$70.00
Description: AMAT 0140-03633 Harness Assy 300mm VDI EMO 415917
j316gallery
[view on eBay]
Used 14
in stock
$998.97
Description: 5945 APPLIED MATERIALS 300MM PRODUCER LEFT OUTPUT MANIFOLD 0040-03490
j316gallery
[view on eBay]
Used 18
in stock
$953.25
Description: 5944 APPLIED MATERIALS 300MM PRODUCER RIGHT OUTPUT MANIFOLD 0040-03489
farmoninc
[view on eBay]
NEW 2
in stock
$85.00
Description: AMAT 0150-06610, Cable Assembly, VAC Gauge Pressure SW, 300MM. 415943
j316gallery
[view on eBay]
Used 7
in stock
$831.65
Description: 7105 APPLIED MATERIALS FACE PLATE,TEOS,REMOTE CLEAN,300MM PRO 0040-95463
j316gallery
[view on eBay]
Used 18
in stock
$794.27
Description: 7115 APPLIED MATERIALS 300MM PLATE PUMPING 0040-61258
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0021-26274, G092AQ, Screen Flat Poly Etch 300mm DPS II. 416065
usedeqsales
[view on eBay]
Used 1
in stock
$409.16
Description: AMAT Applied Materials 0010-25624 300mm Slit Valve Assembly 3700-02144 Used
ecomicron
[view on eBay]
NEW 4
in stock
$400.00
Description: 0150-02394, AMAT, CABLE ASSY, SENSOR LIQ IN LINE, 300MM TX
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: 2 AMAT 0010-05284 Assembly Halogen Lamp, 300mm LT Esc. 416603
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0020-14847 Rev.001, Lower Shield 300mm, SIP, BOC Edwards. 417291
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0140-07807 Harness Assy, FI Cool DN Pw Ext., 300mm V, 415276
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0150-03907 Harness Assy., 300mm Endpoint Adaptor, 417457
farmoninc
[view on eBay]
Used 2
in stock
$295.00
Description: AMAT 0150-03907 Harness Assy, 300mm Endpoint Adaptor, 417462
equipplus
[view on eBay]
Used 1
in stock
$69.00
Description: Applied Materials 0020-44285 Mounting Bracket Input & MEG 300mm Desica,unus&4327
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0021-16215 Bracket, Angle, Drip Tray, 300MM, HVM, 417519
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-03447 Rev.004, Cable Assembly, Preheat/Degas, 300mm Swll BU. 417576
farmoninc
[view on eBay]
NEW 2
in stock
$675.00
Description: AMAT 0140-04457, Harness Assembly, Pressure XDRC Control, 300mm R. 417574
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0150-03447, Cable Assy, Preheat/Degas 300mm, SWLL BU. 417573
farmoninc
[view on eBay]
NEW 2
in stock
$675.00
Description: AMAT 0140-04457, Harness Assembly, Pressure XDRC Control 300mm R. 417582
farmoninc
[view on eBay]
NEW 1
in stock
$1,895.00
Description: AMAT 0100-00409 Rev.001, PCB Assembly, CVD 300mm Interlock Select. 417604
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0150-03447 Cable Assy, Preheat/Degas 300MM SWLL BU, 417550
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0021-79216 Finger, Short Walking Beam, 300MM, 417551
farmoninc
[view on eBay]
NEW 1
in stock
$1,850.00
Description: AMAT 0040-03631 Blade, Left 300MM FI ECP, 417552
farmoninc
[view on eBay]
NEW 1
in stock
$1,850.00
Description: AMAT 0040-03631 Blade, Left 300MM FI ECP, 417552
farmoninc
[view on eBay]
NEW 4
in stock
$1,850.00
Description: AMAT 0040-03631 Rev.002, S & S 041 016, Blade, Left 300mm FI ECP. 417692
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0140-02419 Rev.001, Harness Assembly, Pressure XDUCER, CVD, 300mm. 417691
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0021-70001 Bracket, 300mm MF/CH Emo Switch, 417710
farmoninc
[view on eBay]
NEW 1
in stock
$1,850.00
Description: AMAT 0040-03641, Blade, Right 300mm FI ECP. 417728
farmoninc
[view on eBay]
NEW 1
in stock
$1,850.00
Description: AMAT 0040-03631, Blade, Left 300mm FI ECP. 417727
farmoninc
[view on eBay]
Used 1
in stock
$2,250.00
Description: AMAT 0100-00408 Assembly, CVD, 300mm, Remote Backplane, PCB, 417762
farmoninc
[view on eBay]
Used 1
in stock
$295.00
Description: AMAT 0140-02418 Harness Assy, Gas Panel Interlock, CVD, 300mm, 417788
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-39529 Cable Assembly, Press Control Power 300MM RTP, 417807
farmoninc
[view on eBay]
Used 1
in stock
$595.00
Description: AMAT 0040-45546 Rev.001, Hood, Toxic Skin Upper Front, 300mm RADI. 417858
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0140-03114, Harness Assmebly, Skin, Interlock, 300mm RTP Chamber. 417861
farmoninc
[view on eBay]
NEW 2
in stock
$695.00
Description: AMAT 0140-76453 Harness, 300mm, Robot Driver Interconnect, 417876
powersell007
[view on eBay]
Used 1
in stock
$999.00
Description: APPLIED MATERIALS 0200-11111 TOP PLATE,300MM APC ENDURA PVD AMAT w CERT *UNUSED*
farmoninc
[view on eBay]
NEW 6
in stock
$495.00
Description: AMAT 0140-04642 Rev.001, Harness Assembly, ACDC Drawer, DC 300mm RTP C. 417929
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0021-17549 Rev.001, Liner Process, PVDF, 300mm IBC, IECP. 417926
semiconusa
[view on eBay]
Used 1
in stock
$13,500.00
Description: AMAT 0040-85475 SHAFT, ALUMINUM HA-12 CLAMP HEATER 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0190-06040 Rev.A, Hose Assembly, Cooldown H2O Return, 300mm, VA. 417954
tjtechseller
[view on eBay]
NEW 1
in stock
$450.00
Description: 0200-02967 AMAT NOZZLE INSERT DG 1.0 ID X 2.0L 300MM DPS
farmoninc
[view on eBay]
NEW 1
in stock
$795.00
Description: AMAT 0040-23379, Arm Hinge 300mm, Preclean. 417959
farmoninc
[view on eBay]
NEW 1
in stock
$2,300.00
Description: AMAT 0140-03199 Harness, Magnet, Power Cord, 300mm EMAX, 417974
farmoninc
[view on eBay]
NEW 2
in stock
$125.00
Description: AMAT 0020-01114 Clamp 300MM WXZ Heater Lft Assy, 417993
farmoninc
[view on eBay]
Used 3
in stock
$45.00
Description: AMAT 0150-38412 Cable Assy, Water Flow Switch, 300mm DPS, 417999
tjtechseller
[view on eBay]
NEW 2
in stock
$750.00
Description: 0040-96800 AMAT EPOXY BOLT, 300MM
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0021-17549, Liner Process, PVDF, 300mm IBC, IECP. 418065
farmoninc
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT 0021-08528, Clamp Carrier WXZ 300mm. 418090
powersell007
[view on eBay]
Used 1
in stock
$899.00
Description: APPLIED MATERIALS 0021-80963 EDGE SHIELD, XT PEDESTAL, CLEAN CHAMBER 300MM AMAT
farmoninc
[view on eBay]
NEW 2
in stock
$420.00
Description: AMAT 0140-78173 Cable, 300MM Controller/FI Serial Comm, 418119
farmoninc
[view on eBay]
Used 2
in stock
$195.00
Description: AMAT 0021-00948 Rod, Interlock Lower, 300MM, DTCU, DPS, 418218
vizko2017
[view on eBay]
Used 2
in stock
$790.00
Description: AMAT APPLIED MATERIALS 0200-01389 RING DEPOSITION NARROW OD 300MM ESC CERAMIC
farmoninc
[view on eBay]
NEW 1
in stock
$850.00
Description: AMAT 0040-40800, Cover Plenum, Lid, Anneal ECP 300mm. 418226
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0040-06126 Rev.005, Yoke Gimbal Mount Heater Lift TxZ 300mm. 418237
farmoninc
[view on eBay]
Used 1
in stock
$1,850.00
Description: AMAT 0040-03631 Rev.002, Blade, Left 300mm, FI ECP. 418246
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0021-39706 Rev.P2, Flap, Opposite, Throttle Valve, 300mm. 418268
farmoninc
[view on eBay]
NEW 2
in stock
$595.00
Description: AMAT 0040-22933 Rev.001, Base, 300mm, PVD Chamber, GASBOX. 418324
farmoninc
[view on eBay]
NEW 9
in stock
$150.00
Description: AMAT 0040-45100 Rev.002, Handle Bar Hinge, ECP Anneal 300mm. 418338
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-43805 Rev.0001, LBB Cover, Preheat DEGAS 300mm, Centura AP. 418360
farmoninc
[view on eBay]
Used 3
in stock
$625.00
Description: AMAT 0090-00980 Rev.001, Outer Element Heater ECP Anneal 300mm, 192. 418362
farmoninc
[view on eBay]
Used 1
in stock
$625.00
Description: AMAT 0090-00980 Rev.001, Outer Element Heater ECP Anneal 300mm, 134. 418361
farmoninc
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0150-38400 Rev.P4, Cable Assembly, Motion Control, 300mm DPS. 418372
farmoninc
[view on eBay]
NEW 2
in stock
$850.00
Description: AMAT 0040-40800 Rev.003, Saint, Cover Plenum, Lid, Anneal ECP 300mm. 418368
farmoninc
[view on eBay]
NEW 1
in stock
$850.00
Description: AMAT 0040-40800 Rev.003, Cover Plenum, Lid, Anneal ECP 300mm. 418367
farmoninc
[view on eBay]
Used 1
in stock
$1,395.00
Description: AMAT 0040-62652 Cooldown SWLL Pedestal 300mm SL, 418391
farmoninc
[view on eBay]
NEW 1
in stock
$625.00
Description: AMAT 0090-00980 Rev.001, 134, Outer Element Heater ECP ANNEAL 300mm. 418444
farmoninc
[view on eBay]
NEW 12
in stock
$495.00
Description: AMAT 0021-13247 No.3, Arm, Right Extended Reach 300mm. 418429
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0040-40840, Cover Lid, 300mm, TICL4 TI. 418428
j316gallery
[view on eBay]
NEW 1
in stock
$394.38
Description: 5013 APPLIED MATERIALS WXZ ALUMINIUM 300MM SHIELD (NEW) 0021-06316
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0200-00873 Rev.001, Kyocera, Clamp, Upper, Gas Inlet, 300mm. 418704
farmoninc
[view on eBay]
Used 1
in stock
$4,200.00
Description: AMAT 0040-60419, Adapter, Upper, HP SIP SPS Encore 300mm. 419154
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0040-07820 Tray 3, Upper Meg, HVM 300mm, 419128
farmoninc
[view on eBay]
NEW 2
in stock
$950.00
Description: AMAT 0040-37563 Rev.P1, MEI, 2138, Support, Bellows, 300mm. 419116
farmoninc
[view on eBay]
Used 6
in stock
$55.00
Description: AMAT 0021-37544, Insulator Screw 300mm DPS. 419084
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT Hook 0021-20244 Rev.001, JL, Interlock, Door Handle, Main AC 300mm. 419080
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT Hook 0021-20244 Rev.001, JL, Interlock, Door Handle, Main AC 300mm. 419079
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT Hook 0021-20244 Rev.001, JL, Interlock, Door Handle, Main AC 300mm. 419054
farmoninc
[view on eBay]
NEW 3
in stock
$250.00
Description: AMAT 0021-13147 Rev.004, Bracket, View Window, 300mm DPS. 419195
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0040-45100 Handle Bar Hinge, ECP Anneal 300mm, 419246
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0021-12858 Pin, Guide, Gas Panel, 300mm, 419336
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: AMAT 0015-35349 Screw, Reflector Hold Down, 300MM RTP, 419377
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-39529 Rev.001, Cable Assembly Pressure Control Power 300mm RTP. 419368
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 0021-39059 Rev.P1, 422 NOM Body, Clamp Power Cable 300mm Heater DCS. 419396
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-43966 Rev.001, 171, Bracket, Source, Connectors 300mm, PVD. 419421
grandbirdnet
[view on eBay]
Used 8
in stock
$17,000.00
Description: AMAT 0010-13667 HEAD ASSY, WITHOUT WEIGHT, 300MM PROFILER , USED
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0040-44376 Rev.001, Panel, Photohelic 300mm. 419726
farmoninc
[view on eBay]
NEW 3
in stock
$45.00
Description: AMAT 0020-28463, Insert, 300mm, Heaterlift Insulator. 419765
farmoninc
[view on eBay]
NEW 6
in stock
$45.00
Description: AMAT 0020-28463, Insert, 300mm, Heaterlift Insulator. 419764
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: AMAT 0020-28463, Insert, 300mm, Heaterlift Insulator. 419766
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0021-11628 Cover, EMO Panel 300mm SM4, 419938
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0021-11628 Cover, EMO Panel 300mm SM4, 419936
farmoninc
[view on eBay]
Used 1
in stock
$495.00
Description: AMAT 0035-00069, Base, RF Filter Box, 300mm DPS II, 0035-00068. 419944
partskorea1
[view on eBay]
Used 2
in stock
$4,999.00
Description: AMAT P/N 0040-03661/ 0040-03662 VHP Robot Arm Set 300MM
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0150-01792 Cable Assembly Cell Plating Power 300mm ECP, 420016
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0021-12904, Clamp, Isolatior, 300mm. 420090
farmoninc
[view on eBay]
NEW 1
in stock
$1,850.00
Description: AMAT 0040-03631 Rev.002, S&S-39 00, Blade, Left 300mm FI ECP. 420158
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0140-38205 Adapter Harness, 300MM Lid Sensor, 420375
farmoninc
[view on eBay]
Used 2
in stock
$95.00
Description: AMAT 0020-25362, 0020-42056 Stop Lift, Upper, 300mm XP Degas Chamber, 420440
visionsemi
[view on eBay]
NEW 1
in stock
$125.00
Description: APPLIED MATERIALS AMAT 0020-62786 0020-62784 SHIM FOR ENCORE 300MM
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: 2 AMAT 0200-00005 Insulator, TBG WXZ, 300mm, 420647
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-49203 Bowl, 300mm PPR, IEXC, 420650
farmoninc
[view on eBay]
Used 1
in stock
$2,200.00
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, 420712
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0150-03447 Cable Assembly, Preheat/Degas 300mm Swll BU, 420720
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0021-79658 Bar, Double, Bearing Mount, 300mm Wafer, 420741
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0140-02420 Harness Assembly, Chamber EMO, CVD 300mm, 420788
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0140-01474 Harness Assembly, ESC, HV MOD EMAX 300mm, 420778
farmoninc
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0021-13622 Adapter, Fitting, Exhaust 300mm Mirra, 420754
farmoninc
[view on eBay]
Used 15
in stock
$45.00
Description: AMAT 0015-35349 Screw, Reflector Hold Down, 300mm RTP, 421216
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: AMAT 0015-35349 Screw, Reflector Hold Down, 300mm RTP, 421557
alvin1462
[view on eBay]
NEW 8
in stock
$888.00
Description: AMAT Applied Materials 0021-95042 OUTER RING, 300MM TITAN, CALYPSO NEW
visionsemi
[view on eBay]
Used 1
in stock
$995.00
Description: APPLIED MATERIALS CENTURA AMAT 200MM 300MM WAFER HOLDER TRANFER 0021-24069
farmoninc
[view on eBay]
Used 4
in stock
$350.00
Description: AMAT 0150-01790 Cable Assembly Cell Plating Power, 300mm, ECP, 422899
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0240-17542 Kit, 300mm XTE SIP EN Core Tan Hoop LCM, 0200-19973, 422965
grandbirdnet
[view on eBay]
Used 1
in stock
$6,900.00
Description: AMAT 0010-35633 300MM HDPCVD DOME TEMP CONTROLLER , USED
j316gallery
[view on eBay]
Used 4
in stock
$138.10
Description: 8481 APPLIED MATERIALS PCB AC FILTER 300MM E2 (0090-05594) 0242-51523
j316gallery
[view on eBay]
NEW 16
in stock
$711.28
Description: 8438 APPLIED MATERIALS CENTURA DEPOSITION RING 300MM, (NEW) 0021-19471
j316gallery
[view on eBay]
Used 2
in stock
$675.39
Description: 8451 APPLIED MATERIALS SLIT VALVE, PLUG, BASE SST HEATER 300MM 0040-99581
spsglobal
[view on eBay]
Used 2
in stock
$4,000.00
Description: 109-0701// AMAT APPLIED 0040-48594 ESC, TESTED, 300MM DUAL HE, HD ASIS
techequipsales
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT Applied Materials 0021-43796 Cover Ring NI AL ARC-Sray 300mm PVD (New)
techequipsales
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT Applied Materials 0270-03775 Single Air Bearing Assy 300mm E2 (New Surplus)
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0041-36356 CARRIER, 300MM TITAN AMAT *NEW with CERT*
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$2,550.00
Description: OEM Part AMAT 0040-48648 ENCLOSURE, FACILITIES, MAIN FRAME, Producer 300MM
j316gallery
[view on eBay]
Used 2
in stock
$1,325.05
Description: 8992 APPLIED MATERIALS SIDE PLATE LEFT AND RIGHT CMP FA, 300MM 0040-54799
usedeqsales
[view on eBay]
Used 1
in stock
$2,455.02
Description: Newport 15-3701-1425-25 300mm Wafer Handling Robot AMAT 0190-19124 Fork Working
j316gallery
[view on eBay]
Used 14
in stock
$276.05
Description: 9203 NOVELLUS RING,EXCL,1.75 O/H,300MM 15-100415-00
sfwish
[view on eBay]
NEW 2
in stock
$399.97
Description: NEW APPLIED MATERIALS/AMAT 0020-87263 TRAY, NOZZLE CLEAN 300MM HDPCVD
testeqe
[view on eBay]
NEW 4
in stock
$749.99
Description: NEW AMAT/Applied Materials PN: 0200-01372 300mm Dual-Cell Ceramic Membrane ECP
testeqe
[view on eBay]
NEW 3
in stock
$96.99
Description: NEW AMAT Applied Materials PN: 0200-01919 Pad Wafer Lift Ring 300mm Ultimax, .AD
testeqe
[view on eBay]
NEW 3
in stock
$99.99
Description: NEW AMAT/Applied Materials PN: 0020-27091 Shield, Water, Corner, Mirra, 300mm
testeqe
[view on eBay]
NEW 4
in stock
$599.99
Description: NEW AMAT Applied Materials 0190-10257 300mm I-Cu Anode Gen 3D1 IECP Mykrolis
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 104-0201// AMAT APPLIED 0090-02847 COIL OUTER 300MM ENABLER NEW
j316gallery
[view on eBay]
Used 4
in stock
$2,317.99
Description: 9180 APPLIED MATERIALS SHIELD, INNER SINGLE 300MM, EB3, ALASP 0020-99268
alvin1462
[view on eBay]
Used 1
in stock
$1,388.00
Description: NOVELLUS CHAMBER RING , Dark Space, 300mm Arcs Type 1 , 15-136486-02
alvin1462
[view on eBay]
Used 6
in stock
$888.00
Description: APPLIED MATERIAL AMAT 0040-95463 FACE PLATE, TEOS, REMOTE CLEAN , 300MM
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 120-0101// AMAT APPLIED 0020-02344 SHIELD, LOWER, 300MM SIP NEW
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 119-0401// AMAT APPLIED 0020-02348 CLAMP, LOWER SHIELD, 300MM SIP NEW
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 119-0101// AMAT APPLIED 0020-23549 SHIELD, UPPER, AL ARC-SPRAY, SST, 300MM NEW
powersell007
[view on eBay]
Used 1
in stock
$999.00
Description: APPLIED MATERIALS 0200-11419 FOCUS RING AL HTR/HEATER APC 300MM ENDURA2 PVD AMAT
powersell007
[view on eBay]
Used 1
in stock
$2,599.00
Description: APPLIED MATERIALS 0020-63125 DEP RING,CLAMPED, CLEANCOAT, 300MM ESC AMAT CLEANED
partskorea1
[view on eBay]
NEW 6
in stock
$299.00
Description: AMAT 0021-13790 GUIDE, LIFT PIN, ESC, 300MM DPS2
catalystparts
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT Applied Materials 0242-15305 Kit, Loto Gas Panel 300mm Radiance 416248-R3
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 105-0501// AMAT APPLIED 0150-08773 CABLE, DIW SPRAY FLOW, B2 300MM REFLEXIO NEW
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 105-0501// AMAT APPLIED 0150-08772 CABLE, DIW BR FLOW, B1 300MM REFLEXION NEW
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 105-0501// AMAT APPLIED 0150-08770 CABLE, DIW FLOW MEG 300MM REFLEXION NEW
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 105-0501// AMAT APPLIED 0150-08649 CABLE, CHEM1 FLOW MEG 300MM REFLEXION NEW
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 105-0501// AMAT APPLIED 0040-75781 ADAPTER DRAIN BRUSH MODULE 300MM DESICA NEW
automotiveapple
[view on eBay]
NEW 3
in stock
$29,761.90
Description: AMAT DPS 300mm CHAMBER UPPER Y2O3 300MM DPSII 0040-79200
automotiveapple
[view on eBay]
NEW 3
in stock
$7,142.86
Description: AMAT DPS 300mm Common Mesa Source Retrofit Assembly SRCE RETRFT 0010-43816
automotiveapple
[view on eBay]
NEW 3
in stock
$41,666.70
Description: AMAT DPS 300mm MESA SOURCE 5/15 COILS W/RAISED MATCH 0010-52539
semiconusa
[view on eBay]
Used 4
in stock
$6,499.00
Description: NSK ELC 300mm XP ROBOT driver, AMAT 0190-11181, AMAT 0190-28822, ELC-JG014VF2-01
usedeqsales
[view on eBay]
Used 1
in stock
$803.13
Description: AMAT Applied Materials 0270-00877 300mm Endura Lifting Tool New
usedeqsales
[view on eBay]
Used 6
in stock
$2,006.14
Description: AMAT Applied Materials 0020-26374 Clamp Middle Shield 300MM Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,206.14
Description: AMAT Applied Materials 0021-11486 Disk Shutter SNNF BESC 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$990.15
Description: AMAT Applied Materials 0010-22876 Door Assy 300mm MD SWLL 0040-03795-002 Used
spsglobal
[view on eBay]
Used 4
in stock
$2,500.00
Description: 324-0401// AMAT APPLIED 0190-15840 4-PORT UPA, DNET ONLY, 300MM LK REFLEXIO USED
generalpublicsales
[view on eBay]
NEW 1
in stock
$149.00
Description: NEW AMAT APPLIED MATERIALS 300MM MOTOR BRACKET 0041-27641
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 316-0301// AMAT APPLIED 0040-48767 CATHODE BASE, E-CHUCK, 300MM ULTIMA X, H USED
j316gallery
[view on eBay]
Used 4
in stock
$1,611.50
Description: 8993 APPLIED MATERIALS MOUNT ASSY BOWL W/PASS-THRU, 300MM REFLEXION 0010-47367
partskorea1
[view on eBay]
Used 1
in stock
$19,999.00
Description: AMAT 0010-03487 ENDURA II 300mm Magnet Assy
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 143-0701// AMAT APPLIED 0150-03007 CABLE ASSY, ENDPOINT CONTROL 300MM CONDU USED
spsglobal
[view on eBay]
Used 1
in stock
$280.00
Description: 323-0402// AMAT APPLIED 0010-13753 ASSEMBLY, 300MM MAINFRAME WAFER SENSOR USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 104-0401// AMAT APPLIED 0090-01277 CABLE ASSY, PRESSURE SENSOR, FA 300MM NEW
spsglobal
[view on eBay]
Used 14
in stock
$1,500.00
Description: 341-0203// AMAT APPLIED 0040-03641 BLADE, RIGHT 300MM FI ECP NEW
spsglobal
[view on eBay]
Used 1
in stock
$1,800.00
Description: 130-0102// AMAT APPLIED 0010-76967 ASSY,OTF CENTER FINDER RECEIVER,300MM USED
j316gallery
[view on eBay]
Used 2
in stock
$9,548.64
Description: 10729 APPLIED MATERIALS ENDURA 300MM ADAPTOR 0040-81737
j316gallery
[view on eBay]
Used 2
in stock
$9,548.64
Description: 10728 APPLIED MATERIALS ENDURA 300MM ADAPTOR 0040-99334
gordonca
[view on eBay]
Used 1
in stock
$798.00
Description: Applied Materials 300MM HP+ AXZ Gas Panel Intlk Module 0100-20458 Rev:012
todd1455
[view on eBay]
NEW 1
in stock
$350.00
Description: Applied Materials 0021-45918 Rev.03 Carrier Ring Titan 7 300mm AMAT 00214591 New
automotiveapple
[view on eBay]
Used 64
in stock
$119.05
Description: As-Is Lam 810-802969-002 300mm HEATER FILTER
catalystparts
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT Applied Materials 0242-26542 Kit 300mm Centura Mainframe Ship Kit
j316gallery
[view on eBay]
Used 6
in stock
$544.27
Description: 10744 APPLIED MATERIALS RING CHOKE PUMPING PLATE 300MM 0020-43352
j316gallery
[view on eBay]
Used 40
in stock
$117.20
Description: 10743 APPLIED MATERIALS BLOCKER PLATE 300MM XGEN 0021-14589
catalystparts
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT Applied Materials 0020-41551 Lower Shield 300mm 2.85 LE
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 2 AMAT 0021-79130 FINGER, SHORT, WALKING BEAM, 300MM, 327428
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0040-47985 DI Arm, Back Flow, 300mm IBC, IECP, 405442
farmoninc
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0051-06604 Manifold PCW RPS TMP 300MM, 3005892, 326276
gordonca
[view on eBay]
Used 1
in stock
$255.00
Description: 0400-41465 Rev 02 Case for Applied Materials 300MM AxZ Heather Controller
j316gallery
[view on eBay]
NEW 1
in stock
$542.75
Description: 11016 APPLIED MATERIALS CE WAFER LIFT PIN, 300MM (NEW) 0200-13806
j316gallery
[view on eBay]
Used 1
in stock
$10,086.30
Description: 11041 APPLIED MATERIALS 300MM SUB ASSY, ADAPTOR, 0021-23278 0010-28028
j316gallery
[view on eBay]
Used 1
in stock
$11,322.80
Description: 11145 APPLIED MATERIALS LASED, PEDESTAL, 300MM DPS II (PARTS) 0040-33215
j316gallery
[view on eBay]
Used 3
in stock
$283.06
Description: 11213 APPLIED MATERIALS BASE PLATE UPA 300MM W/ BRACKET 0040-46895 0020-16859
j316gallery
[view on eBay]
Used 9
in stock
$2,123.02
Description: 11144 LAM RESEARCH ECHUCK328 SPUTTERING TARGET, 300MM (PARTS) 839-019090-328
j316gallery
[view on eBay]
Used 1
in stock
$16,984.20
Description: 11676 APPLIED MATERIALS 300MM MAGNET ASSY 0020-01995
j316gallery
[view on eBay]
NEW 2
in stock
$141.60
Description: 11391 APPLIED MATERIALS CLAMP, MARGIN, SHIELD, INNER, 300MM SIP (NEW) 0020-23848
systasemi
[view on eBay]
NEW 2
in stock
$600.00
Description: AMAT 0270-02299, WAFER, 300MM ROBOT PIN CALIB, 300MM 5.3FI
generalpublicsales
[view on eBay]
NEW 5
in stock
$695.00
Description: NEW GENUINE AMAT APPLIED MATERIALS 0041-78374 DUAL FT MANIFOLD METAL ALD 300MM
austintxresale
[view on eBay]
NEW 1
in stock
$24.99
Description: AMAT Applied Materials 3870-00317 Kit Pin & Bearing 300mm HVA Gate Valve
powersell007
[view on eBay]
Used 1
in stock
$1,199.00
Description: AMAT 0021-83837 300MM ALUMINIUM INNER SHIELD, INNER CLEAN, CHAMBER, ISANI XT
dgold32
[view on eBay]
Used 1
in stock
$399.99
Description: LAM Research Ring, Edge, Combo, Plug, 300MM P/N: 716-031257-791
j316gallery
[view on eBay]
Used 1
in stock
$3,148.51
Description: 11776 APPLIED MATERIALS PROD EXT NON CU Y2O3 SHOWERHEAD 300MM PARTS 0040-87958
j316gallery
[view on eBay]
Used 2
in stock
$2,830.69
Description: 11775 APPLIED MATERIALS PROD EXT NON CU Y2O3 SHOWERHEAD 300MM PARTS 0040-87957
usedeqsales
[view on eBay]
Used 1
in stock
$710.18
Description: AMAT Applied Materials 0021-85650 300mm SST Shutter Disk SNNF HTESC TiW-ESC New
j316gallery
[view on eBay]
Used 3
in stock
$298.36
Description: 11992 APPLIED MATERIALS STAINLESS STEEL, ROUGH LINE, 300MM 0040-80399
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 142-0302// AMAT APPLIED 0140-09176 HARNESS ASSY, E-CHAIN PNEUMATIC, 300MM E NEW
generalpublicsales
[view on eBay]
Used 1
in stock
$195.00
Description: NEW AMAT APPLIED MATERIALS 0020-08663 DIAMOND PLATE FRONT STEP for 300MM HDPCVD
j316gallery
[view on eBay]
Used 8
in stock
$4,002.01
Description: 12189 APPLIED MATERIALS 300MM ELECTROSTATIC CHUCK ESC, 0041-13111 0041-13114
vizko2017
[view on eBay]
Used 1
in stock
$200.00
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-360-002
vizko2017
[view on eBay]
Used 1
in stock
$110.00
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-520-002
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 344-0101// AMAT APPLIED 0021-36900 COVER,PROBES,300MM RTP NEW
spsglobal
[view on eBay]
Used 5
in stock
$80.00
Description: 343-0502// AMAT APPLIED 0020-79986 MEMBRANE, 300MM HEAD NEW
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 2 AMAT 0021-36900, Cover, Probes, 300mm RTP 417222
powersell007
[view on eBay]
Used 1
in stock
$1,499.00
Description: APPLIED MATERIALS 0020-47727 COVER RING PVD CLEAN TUNGSTEN (W) 300MM AMAT
dgold32
[view on eBay]
Used 1
in stock
$799.99
Description: Lam Research ELCDT POLISHED 300MM ESC 716-069688-337
vizko2017
[view on eBay]
Used 4
in stock
$1,100.00
Description: APPLIED MATERIALS 0200-07415 ISOLATOR FEOL SMALL RF 300MM CERAMIC AMAT
j316gallery
[view on eBay]
Used 1
in stock
$4,096.86
Description: 12806 APPLIED MATERIALS MOUNT ASSY-LH, 300MM REFLEXION 0040-83362
j316gallery
[view on eBay]
Used 1
in stock
$2,926.34
Description: 12842 APPLIED MATERIALS ENDURA 402902-1 300MM PVD SOURCE CHAMBER TOP 0010-14529
dr.dantom
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT APPLIED MATERIALS 0246-02759 KIT PROCESS UMM W OF E2 300MM
maxisemi1349
[view on eBay]
Used 1
in stock
$3,500.00
Description: 16-291365-00 MEMBRANE, SAC, 300MM, CATIONICS
j316gallery
[view on eBay]
Used 1
in stock
$2,633.70
Description: 12772 LAM RESEARCH COOLING BASE PLATE, 300MM 715-072829-004
j316gallery
[view on eBay]
Used 1
in stock
$864.47
Description: 12712 APPLIED MATERIALS PCB,PNEU I/O INTERCON BD DESICA 300MM CLEANER 0100-01357
j316gallery
[view on eBay]
Used 15
in stock
$1,431.75
Description: 12811 APPLIED MATERIALS NO POCKET 300MM ROBOT BLADE 0021-22627
vizvik16
[view on eBay]
NEW 1
in stock
$190.00
Description: Applied Materials 0242-21729 kit pvd chamber lifting aids 300mm endura
dieseltdiguy
[view on eBay]
NEW 1
in stock
$289.33
Description: Applied Materials 0200-01195 Sapphire Window IEP 300mm OPS2
csi.usa
[view on eBay]
NEW 1
in stock
$1,199.99
Description: AMAT APPLIED MATERIALS 0240-47624 RETROFIT KIT GAP WASH MANIFOLD 300mm
csi.usa
[view on eBay]
NEW 1
in stock
$199.99
Description: NEW AMAT APPLIED MATERIALS 0270-02202 FIXTURE ADAPTER ALIGNMENT 300MM
csi.usa
[view on eBay]
NEW 1
in stock
$999.99
Description: LAM RESEARCH NOVELLUS 16-262201-00 ANODE RING 300mm
j316gallery
[view on eBay]
Used 8
in stock
$766.71
Description: 12745 APPLIED MATERIALS SPLASH SHLD MOVABLE PLATEN SHIELD ASSY 300MM 0020-52999
dieseltdiguy
[view on eBay]
Used 1
in stock
$99.99
Description: Applied Materials 300MM Filter Besc Assy 0010-02477
dr.dantom
[view on eBay]
Used 2
in stock
$300.00
Description: Applied Materials 0020-26374 12939900-064 Bottom Shield Clamp 300MM
dr.dantom
[view on eBay]
Used 1
in stock
$500.00
Description: APPLIED MATERIALS 0021-21065 REV 003 AI SHIELD BB SCREWLESS 300MM
dr.dantom
[view on eBay]
Used 3
in stock
$150.00
Description: APPLIED MATERIALS 0021-21260 REV 001 SUPPORT LRG PCII ALUM 300MM
powersell007
[view on eBay]
Used 1
in stock
$2,499.00
Description: APPLIED MATERIALS 0010-41878 EDGE RING ASSY, SST HEATER 300MM TXZ AMAT *UNUSED*
usedeqsales
[view on eBay]
Used 1
in stock
$403.19
Description: AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,003.19
Description: AMAT Applied Materials 0040-86239 Housing 300mm Titan New Surplus
esoteric_specialties
[view on eBay]
Used 1
in stock
$375.00
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
industry-outlet
[view on eBay]
Used 1
in stock
$24.99
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
techshop7777
[view on eBay]
NEW 1
in stock
$649.99
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
atxglobaltrading
[view on eBay]
NEW 1
in stock
$59.99
Description: AMAT 0020-70367 300MM Strain Relief REMAG Bracket New Sealed
j316gallery
[view on eBay]
Used 28
in stock
$198.28
Description: 13048 APPLIED MATERIALS BELLOWS FINGER LIFT 300MM FOR CVD PRODUCER 0040-80830
spsglobal
[view on eBay]
Used 8
in stock
$20.00
Description: 344-0303// AMAT APPLIED 0021-76418 CAPTIVE SCREW, 300MM SLIT VALV NEW
austintxresale
[view on eBay]
NEW 8
in stock
$9.99
Description: AMAT Applied Materials 0015-03411 BRECOFLEX 300mm Small Puller Pulley BP12X00049
j316gallery
[view on eBay]
Used 1
in stock
$750.45
Description: 12983 APPLIED MATERIALS 300MM SPD SLIT VALVE DOOR CLAMP W/ 0021-25799 0041-07784
j316gallery
[view on eBay]
Used 1
in stock
$2,718.30
Description: 7923 APPLIED MATERIALS PLATE, GAS DISTRIBUTION, TXZ 300MM CVD 0040-08492
j316gallery
[view on eBay]
Used 1
in stock
$2,394.27
Description: 5280 APPLIED MATERIALS SHIELD, MIDDLE, 12.46 LG 300MM SIP CU, R 0021-16782
maxisemi1349
[view on eBay]
Used 1
in stock
$325.00
Description: 0010-17406 LH PIVOT 300MM
maxisemi1349
[view on eBay]
Used 1
in stock
$325.00
Description: 0010-17407 RH PIVOT 300MM
sacramento_liquidators
[view on eBay]
NEW 3
in stock
$124.99
Description: Applied Materials Axiom 300mm Source Supply Hose Assembly PN 0050-98597
sfwish
[view on eBay]
NEW 5
in stock
$2,490.00
Description: NEW Applied Materials/AMAT 0200-07810 BLADE, 300mm Producer, SE
sfwish
[view on eBay]
NEW 1
in stock
$7,450.00
Description: NEW Applied Materials/AMAT 0020-70275 Faceplate, 300mm
sfwish
[view on eBay]
NEW 1
in stock
$3,790.00
Description: NEW Applied Materials/AMAT 0200-16115 Isolator, Lid, Sequoia, 300mm
grandbirdnet
[view on eBay]
NEW 6
in stock
$330.00
Description: AMAT 0200-00318 GUIDE LIFT PIN SST HEATER 300MM TXZ, NEW
j316gallery
[view on eBay]
Used 1
in stock
$5,018.40
Description: 14246 LAM RESEARCH PLD GAS DIST 300MM W/G RV HTR 715-801916-003
adelrick123
[view on eBay]
NEW 1
in stock
$525.00
Description: AMAT 0021-20874 300mm Spacer Wafer Lift Preclean
j316gallery
[view on eBay]
Used 1
in stock
$800.00
Description: 7021 NOVELLUS HEATER PEDESTAL 300MM ASSY 02-298156-00
adelrick123
[view on eBay]
NEW 1
in stock
$225.00
Description: AMAT 0021-07501 Base KF25 Diffuser 300mm ENP
adelrick123
[view on eBay]
NEW 1
in stock
$240.00
Description: AMAT 0022-77582 I Block 300MM Titan 2
adelrick123
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0020-45928 300MM BUSHING
adelrick123
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0020-90195 Blank-off Gas 300mm
j316gallery
[view on eBay]
Used 3
in stock
$757.20
Description: 5639 APPLIED MATERIALS BASE, WRIST 300MM ROBOT NON-PLT 0040-03667
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$275.00
Description: OEM Part Applied Materials (AMAT) 0010-41214 Assy Sensor Connector 300mm Calibra
j316gallery
[view on eBay]
Used 6
in stock
$997.62
Description: 13533 APPLIED MATERIALS 300MM SPD SLIT VALVE DOOR CLAMP 0041-07784
j316gallery
[view on eBay]
NEW 1
in stock
$282.60
Description: 13480 APPLIED MATERIALS PURCHASE SPEC 300MM ENDURA, CABLE (NEW) 0190-17725
j316gallery
[view on eBay]
Used 1
in stock
$968.84
Description: 13499 APPLIED MATERIALS GASLINE INSERT, CHBR FEED THRU, 300MM 0050-90653
j316gallery
[view on eBay]
NEW 2
in stock
$239.67
Description: 13465 APPLIED MATERIALS H/A, 300MM PWR SUPPLY AC LINE CORD W/R (NEW) 0140-15468
surpluskorea
[view on eBay]
Used 2
in stock
$4,500.00
Description: AMAT 0040-50657 VHP 300MM Robot Pivot
surpluskorea
[view on eBay]
Used 2
in stock
$4,499.00
Description: AMAT 0040-03661 300mm VHP Robot Arm
usedeqsales
[view on eBay]
Used 1
in stock
$606.19
Description: AMAT Applied Materials 0021-15605 300mm Upper Exhaust Shield Copper Refurbished
j316gallery
[view on eBay]
Used 2
in stock
$988.14
Description: 13348 APPLIED MATERIALS 300MM SPD SLIT VALVE DOOR W/ 0021-25799 0041-07783
spsglobal
[view on eBay]
Used 11
in stock
$400.00
Description: 344-0502// AMAT APPLIED 0090-00326 ASSEMBLY,300MM CDA PRESSURE SW NEW
vizko2017
[view on eBay]
Used 1
in stock
$480.00
Description: AMAT Applied Materials 0021-85650 300mm SST Shutter Disk SNNF HTESC TiW-ESC
maxisemi1349
[view on eBay]
Used 2
in stock
$1,500.00
Description: 0040-84390 DOOR SPD SLIT VALVE VITON LLK 300MM, lot of 3
j316gallery
[view on eBay]
Used 1
in stock
$25,671.90
Description: 13939 APPLIED MATERIALS CONTROLLER, MAGLEV ROTATION SYSTEM, 300MM 0190-05990
roundtable1
[view on eBay]
NEW 3
in stock
$99.00
Description: Applied Materials 0010-23636 Cover Assy, RF Elbow, Scr, Source, 300MM, Enabler
comwaysind
[view on eBay]
Used 1
in stock
$8,802.10
Description: Applied Materials Metrology server 300mm CMP 0090-05823 Rev. 02 Etch, CVD, PVD,
j316gallery
[view on eBay]
Used 10
in stock
$2,793.31
Description: 13964 APPLIED MATERIALS FACEPLATE 26MIL CENTERHOLE RF CAP 300MM SACVD 0041-13895
j316gallery
[view on eBay]
Used 7
in stock
$5,576.00
Description: 13962 APPLIED MATERIALS DOME,TOP FEED,300MM HDPCVD ULTIMA PLUS 0200-01347
j316gallery
[view on eBay]
NEW 1
in stock
$532.06
Description: 13999 APPLIED MATERIALS NON-SEISMIC SECUREMENT KIT FOR 300MM (NEW) 0240-52481
j316gallery
[view on eBay]
Used 1
in stock
$455.10
Description: 13998 APPLIED MATERIALS INSULATOR HEATER, 300MM PVD 0200-01311
j316gallery
[view on eBay]
Used 2
in stock
$1,394.00
Description: 14260 APPLIED MATERIALS BEZEL THRU-THE-WALL MON 300MM 0040-49884
j316gallery
[view on eBay]
Used 5
in stock
$1,995.23
Description: 13965 APPLIED MATERIALS FACEPLATE 300MM,SLOPED 0021-42629
usedeqsales
[view on eBay]
Used 1
in stock
$807.19
Description: AMAT Applied Materials 0100-00439 300mm HDPCVC Chamber Distribution Board PCB
j316gallery
[view on eBay]
Used 1
in stock
$69.70
Description: 14437 APPLIED MATERIALS WELDMENT, 02 & N2 MLD INTEGRATION, 300MM 0050-81157
farmoninc
[view on eBay]
NEW 1
in stock
$135.00
Description: AMAT 0150-01463 Cable, Assy, AC Power, 5 Phase Driver, 300mm, 451514
roundtable1
[view on eBay]
NEW 1
in stock
$25.99
Description: NEW AMAT APPLIED MATERIALS 0021-23274 FIBER OPTIC HOLDER 65MM F IEP 300MM DPS2
roundtable1
[view on eBay]
NEW 1
in stock
$199.00
Description: AMAT 0021-17769 FLANGE RF MATCH,MFA PRODUCER 300MM, LOW, NEW OB
roundtable1
[view on eBay]
NEW 1
in stock
$300.00
Description: Applied Materials 0140-02329 HARN ASSY, DC POWER INPUT, 300MM TXZ DLI
roundtable1
[view on eBay]
NEW 3
in stock
$259.00
Description: Applied Materials 0040-34746 VIEWPORT, CAMERA, 300MM RADIA NEW
j316gallery
[view on eBay]
Used 2
in stock
$1,392.59
Description: 15052 APPLIED MATERIALS DOOR, SLIT VALVE, 300MM LLC, ST STEEL 0021-76409
j316gallery
[view on eBay]
Used 2
in stock
$696.99
Description: 15035 APPLIED MATERIALS DOOR SPD SLIT VALVE VITON PROCESS 300MM 0040-81425
roundtable1
[view on eBay]
Used 2
in stock
$399.00
Description: Applied Materials 0040-86710 Adpter Disc Optic Dual Gas Side Feed 300MM
roundtable1
[view on eBay]
Used 1
in stock
$99.00
Description: Amat 0090-02289 ,10 Port Block Interlock Gas Box 300mm
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$975.00
Description: Applied Materials (AMAT) 0021-53663 300mm CHAMBER SLIT-VALVE INSERT
usedeqsales
[view on eBay]
Used 3
in stock
$508.19
Description: AMAT Applied Materials 0190-22157 Robot Teach Box Rev. 002 Reflexion 300mm Spare
j316gallery
[view on eBay]
Used 1
in stock
$961.05
Description: 15298 APPLIED MATERIALS 300MM BLOCKER PLATE, HPD -0.2 BP STAND 0021-46364
roundtable1
[view on eBay]
NEW 1
in stock
$299.00
Description: Applied Materials 0200-03443 RING QUARTZ HART 300mm
roundtable1
[view on eBay]
NEW 1
in stock
$169.00
Description: AMAT 0021-26023 Cover, Gas, Channel, Plate-Heater,300MM
catalystparts
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT Applied Materials 0040-50965 Water Box Enclosure 300mm DE
catalystparts
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT Applied Materials 0200-04406 Gas Insert IEP Lid 300mm DPS2
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 142-0501// AMAT APPLIED 0150-76524 CABLE ASSY,300MM MAINFRAME WATER SENSOR NEW
gigabitpartsolutions
[view on eBay]
Used 3
in stock
$137.50
Description: OEM Part (AMAT) 0020-43962 SET LAMP HEAD SHIM, 0.012" THICK, 300MM RADIANCE
grandbirdnet
[view on eBay]
NEW 3
in stock
$700.00
Description: AMAT 0200-08068 INSERT RING, NMS, L-SHAPE, 300MM EMAX, NEW
spsglobal
[view on eBay]
Used 1
in stock
$240.00
Description: 142-0503// AMAT APPLIED 0150-08833 CABLE ASSY, 300MM RF GEN RS232 MODE, AD NEW
spsglobal
[view on eBay]
Used 1
in stock
$570.00
Description: 142-0503// AMAT APPLIED 0140-08503 HARNESS ASSY, 300MM EMAX AP, 4 CH. RS232 NEW
spsglobal
[view on eBay]
Used 1
in stock
$240.00
Description: 142-0503// AMAT APPLIED 0140-07062 HARNESS ASSY, AXIOM 300MM, BLKHD B1, INT NEW
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 142-0602// AMAT APPLIED 0140-08375 HARNESS, FLOW METER SRD 300MM REFLEXION NEW
spsglobal
[view on eBay]
Used 1
in stock
$210.00
Description: 142-0602// AMAT APPLIED 0150-08786 CABLE FLOW METER SRD LDM 300MM REFLEXION NEW
spsglobal
[view on eBay]
Used 1
in stock
$260.00
Description: 142-0602// AMAT APPLIED 0150-08784 CABLE BLEED DRAIN,MEG LDM 300MM REFLEXIO NEW
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 142-0602// AMAT APPLIED 0150-05913 CABLE ASSY,RTC#2,RS232 ,300MM VANTAGE NEW
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 142-0602// AMAT APPLIED 0150-05912 CABLE ASSY,RTC#1,RS232,300MM VANTAGE NEW
semisparestx
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT TOP PLATE 300mm APC OEM P/N 0200-03983
spsglobal
[view on eBay]
Used 1
in stock
$180.00
Description: 142-0602// AMAT APPLIED 0150-08804 CABLE, DIW FLOW , INPUT LDM 300MM REFLEX NEW
spsglobal
[view on eBay]
Used 1
in stock
$260.00
Description: 142-0603// AMAT APPLIED 0150-08714 CABLE, CHEM FLOW, B1 300MM REFLEXION NEW
roundtable1
[view on eBay]
Used 1
in stock
$799.00
Description: AMAT 0020-49168 INSERT,SST,CHAMBER EXHAUST EPI,300MM
catalystparts
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT Applied Materials 0020-02438 Lower Shield Clamp 300mm SIP
grandbirdnet
[view on eBay]
Used 6
in stock
$3,800.00
Description: AMAT 0190-11598 4-PORT ROTARY UNION, 300MM LK REFLEXION, USED
catalystparts
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT Applied Materials 0242-33930 E2 300mm Degas Chamber Kit
powersell007
[view on eBay]
Used 1
in stock
$10,499.00
Description: KAWASAKI 3NS001S-L003 PREALIGNER 300MM NF APPLIED MATERIALS 0190-14786 AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-40222 PLATE, X-ADJ, BACK, MEG HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$40.00
Description: AMAT 0021-12660 BRACKET, EMO, FRONT SKIN, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 8
in stock
$30.00
Description: AMAT 0021-12518 BRACKET HANDLE, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-07813 CAP, LONG, UPPER DRIP PAN, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-34942 PLATE, Z-ADJ, BACK, MEG HVM 300MM, USED
usedeqsales
[view on eBay]
Used 15
in stock
$2,011.19
Description: AMAT Applied Materials 0041-32575 300mm Dampened Carrier Critical Etched Coated
usedeqsales
[view on eBay]
Used 2
in stock
$2,011.19
Description: AMAT Applied Materials 0041-32575 300mm Dampened Carrier Critical Etched Copper
usedeqsales
[view on eBay]
Used 2
in stock
$1,511.19
Description: AMAT Applied Materials 0200-11573 300mm Ceramic Deposition Ring New
powersell007
[view on eBay]
Used 1
in stock
$899.00
Description: APPLIED MATERIALS 0020-87982 OUTER ROLLING SEAL CLAMP 300MM AMAT *UNUSED*
christyhtx
[view on eBay]
Used 2
in stock
$500.00
Description: Applied Materials 0020-60960 Silicon Cover Calibration Wafer 300mm
novusferro
[view on eBay]
Used 22
in stock
$99.00
Description: Applied Materials AMAT 0190-63740 Dual Zone, HTR TC, 300mm G-GT SDSM-3222
novusferro
[view on eBay]
Used 1
in stock
$1,999.00
Description: Applied Materials AMAT 0040-70629 Radiance Reflector Plate, Inject 300mm
christyhtx
[view on eBay]
Used 2
in stock
$1,500.00
Description: AMAT 0010-27419 300mm chamber slit valve actuator
usedeqsales
[view on eBay]
Used 2
in stock
$2,511.19
Description: Lam Research 15-419957-00 300mm Heater Pedestal PED Assembly Novellus Surplus
j316gallery
[view on eBay]
Used 1
in stock
$867.37
Description: 2054 APPLIED MATERIALS PCB 300MM MOTION DIST BD W/ 0100-76146
catalystparts
[view on eBay]
Used 2
in stock
$100.00
Description: AMAT Applied Materials 0020-19187 Raceway Gas Lines Cover 300mm TCG
catalystparts
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT Applied Materials 0040-01891 Panel Chamber C Position 300mm Centura
catalystparts
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT Applied Materials 0040-01937 Panel Chamber B Position 300mm Centura
usedeqsales
[view on eBay]
Used 3
in stock
$1,011.19
Description: AMAT Applied Materials 0040-88203 RTG Ring Flanged Contour CIP 300mm New Spare
usedeqsales
[view on eBay]
Used 1
in stock
$611.19
Description: AMAT Applied Materials 0041-46733 RTG Ring Flanged Contour CIP 300mm Working
dr.dantom
[view on eBay]
Used 1
in stock
$300.00
Description: LOT OF 4 Applied Materials 0190-25613 300MM BONDED SLIT VALVE REV.2
todd1455
[view on eBay]
NEW 2
in stock
$169.00
Description: Applied Materials 0021-87710 Guide Drive Roller 1.5mm THK MEG Tank 300mm AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$194.29
Description: 0270-01877 / TOOL FOR LID ASSEMBLY 300MM DPS II / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0040-48108 SHIELD COVER 300MM SRD CLEANER, USED
j316gallery
[view on eBay]
Used 1
in stock
$3,360.65
Description: 16653 APPLIED MATERIALS OUTER, LOWER LAMP REFLECTOR, 300MM 0020-89005
j316gallery
[view on eBay]
Used 1
in stock
$3,848.07
Description: 16269 APPLIED MATERIALS SATCON CTLR MAG ROT W/NTC 300MM RADI 0190-12925
j316gallery
[view on eBay]
Used 5
in stock
$1,850.00
Description: 16264 NOVELLUS 300MM PEDESTAL CYL W/ ANIMATICS SMART SM2315D-BRK 02-306362-00
nissiglobal
[view on eBay]
NEW 1
in stock
$283.49
Description: APPLIED MATERIALS 0200-05846 REV .002 5502355 SINGLE RING CERAMIC 300MM 0
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0200-19835 DEPOSITION RING, AL W/ ESC, 300MM PVD AMAT *UNUSED*
usedeqsales
[view on eBay]
Used 3
in stock
$612.19
Description: SST Woodhead AMAT-DNP-CPCI-2 DeviceNet AMAT 0190-05399 PCB Card Centura 300mm
dr.dantom
[view on eBay]
Used 2
in stock
$199.00
Description: AMAT Applied Materials 0040-51790 BRACKET LIFTING EYE 300MM ENDUR
dr.dantom
[view on eBay]
Used 1
in stock
$300.00
Description: Applied Materials 0150-00322 CABLE ASSY CHM EMO INTRC 300MM ENDURA 423960-P4
dr.dantom
[view on eBay]
Used 1
in stock
$150.00
Description: Applied Materials 0140-15468 H/A 300MM POWER SUPPLY AC LINE CORD W/RING LUGS
powersell007
[view on eBay]
Used 1
in stock
$1,299.00
Description: APPLIED MATERIALS 0010-41309 FI 300MM ALUMINUM MEE BLADE AMAT *UNUSED, SEALED*
j316gallery
[view on eBay]
Used 3
in stock
$6,413.46
Description: 15964 APPLIED MATERIALS PCBA, HDPCVD 300MM AP GAS PANEL DISTRIBUTION 0100-01652
dr.dantom
[view on eBay]
Used 3
in stock
$150.00
Description: Applied Materials / AMAT 0240-52481 NON SEISMIC SECUREMENT KIT FOR 300MM 5.X FI
dr.dantom
[view on eBay]
Used 5
in stock
$3,250.00
Description: Applied Materials AMAT 0190-22248 NEWPORT STANDART ROBOT SMP 300MM
dr.dantom
[view on eBay]
Used 1
in stock
$50.00
Description: Applied Materials / AMAT 0050-80924 GASLINE BACKSIDE GAS PIB 300MM ESC DT
dr.dantom
[view on eBay]
Used 1
in stock
$50.00
Description: Applied Materials 0090-04209 ELECTRICAL ASSEMBLY CURRENT MONITOR FILTER 300MM E2
dr.dantom
[view on eBay]
Used 2
in stock
$50.00
Description: Applied Materials 0050-92389 GASLINE AR FAC TO PURGE MODULE 300MM ALD TAN (RPG)
dr.dantom
[view on eBay]
Used 1
in stock
$50.00
Description: 2x Applied Materials 0050-47716 TUBING CRYOPUMP N2 RETURN 300MM CHAMBER
dr.dantom
[view on eBay]
Used 1
in stock
$50.00
Description: Applied Materials 0140-17624 HARNESS ASSEMBLY PVD CHMBR ESC P.S. SINGLE 300MM
dr.dantom
[view on eBay]
Used 3
in stock
$900.00
Description: Applied Materilas AMAT 0021-16782 Middle Shield 300MM
partskorea1
[view on eBay]
Used 1
in stock
$29,999.00
Description: AMAT 0010-03487 ENDURA II 300mm Magnet
j316gallery
[view on eBay]
Used 1
in stock
$348.82
Description: 16057 APPLIED MATERIALS PCB ASSY 300MM LID SNSRS MUX 0100-76130
j316gallery
[view on eBay]
Used 1
in stock
$1,566.73
Description: 16095 APPLIED MATERIALS COOLING PLATE,LOWER 300MM 0040-89018
j316gallery
[view on eBay]
Used 2
in stock
$1,744.12
Description: 15988 APPLIED MATERIALS DR BKNG 300MM SLIT VLV LLC SST W/0021-76767 0040-13910
j316gallery
[view on eBay]
Used 1
in stock
$554.39
Description: 15957 APPLIED MATERIALS PCB ASSY 300MM MOTION DIST 0100-76146
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$241.98
Description: 0140-01249 / HARNESS ASSY, FORELINE OVERTEMP 300MM TX / AMAT
dr.dantom
[view on eBay]
Used 2
in stock
$4,000.00
Description: Applied Materials / AMAT Endura Controller 0010-31159 PVD Chamber 300MM WORKING!
dr.dantom
[view on eBay]
Used 2
in stock
$4,000.00
Description: Applied Materials / AMAT Endura Controller 0010-22911 PVD Chamber 300MM WORKING!
roundtable1
[view on eBay]
Used 1
in stock
$149.00
Description: Amat 0140-01365 Harness Assy STD Vac Xfer Ch Adptr 300mm Centura
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 347-0302// AMAT APPLIED 0021-07759 SPACER, FAST LIFT, 300MM NEW
spsglobal
[view on eBay]
Used 5
in stock
$20.00
Description: 347-0302// AMAT APPLIED 0021-00631 FEET, CHAMBER, 300MM, DPS NEW
powersell007
[view on eBay]
Used 1
in stock
$2,799.00
Description: APPLIED MATERIALS 0040-42026 PLATE, TOP, BLANK OFF, BASE RING, 300MM AMAT
powersell007
[view on eBay]
Used 2
in stock
$999.00
Description: APPLIED MATERIALS 0021-83843 BLOCKER SACVD 300MM PRODUCER SE/G AMAT *UNUSED*
powersell007
[view on eBay]
Used 1
in stock
$2,799.00
Description: APPLIED MATERIALS 0200-22559 COVER SCREW CATHODE LINER 300MM DPS II AMAT *UNUSED
j316gallery
[view on eBay]
Used 1
in stock
$1,591.60
Description: 15792 APPLIED MATERIALS CBL ASSY, PRESSURE XDCR, FA 300MM 0090-01882
j316gallery
[view on eBay]
Used 13
in stock
$504.30
Description: 15771 LAM RESEARCH SYS INTLK 300MM PCB BD MOD ASSY 714-802323-004 810-800031-401
usedeqsales
[view on eBay]
Used 13
in stock
$1,501.20
Description: AMAT Applied Materials 0040-49965 300mm Titan Head Grooved Retaining Ring New
dr.dantom
[view on eBay]
Used 2
in stock
$350.00
Description: AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm
powersell007
[view on eBay]
Used 1
in stock
$2,299.00
Description: APPLIED MATERIALS 0040-86461 300MM TITAN CONTOUR AMAT *UNUSED, SEALED*
dr.dantom
[view on eBay]
Used 1
in stock
$800.00
Description: Applied Materials / AMAT 0040-39587 Lower Shield 300MM PC XT/XTE300mm
dr.dantom
[view on eBay]
Used 2
in stock
$550.00
Description: Applied Materials / AMAT 0020-41709 REV 004 XDK Dark Space Shield 300mm
dr.dantom
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT / Applied Materials 0020-92461 REV 001 Inner Shield 300mm PC XT/XTE
dr.dantom
[view on eBay]
Used 3
in stock
$1,400.00
Description: Applied Materials 0021-25150 REV 001 DISK SHUTTER ALPS AL & ESC AL 300MM
visionsemi
[view on eBay]
NEW 13
in stock
$2,200.00
Description: APPLIED MATERIALS AMAT 300MM CERAMIC ROBOT BLADE 0200-06512
j316gallery
[view on eBay]
Used 94
in stock
$352.39
Description: 3020 APPLIED MATERIALS POSTS, ADO ALIGNMENT, 300MM FI 0020-11478
visionsemi
[view on eBay]
Used 10
in stock
$4,200.00
Description: APPLIED MATERIALS DPS UPPER LINER ASSY CENTURA 300MM 0040-37642 0021-13741
vizvik16
[view on eBay]
Used 1
in stock
$470.00
Description: APPLIED MATERIALS 0041-03513 REV. 05, CLEANED CAP ALD LID 300mm
vizvik16
[view on eBay]
Used 1
in stock
$470.00
Description: APPLIED MATERIALS 0041-03526 REV. 03, Cap Mixer ALD TaN 300mm
vizvik16
[view on eBay]
Used 1
in stock
$650.00
Description: APPLIED MATERIALS 0020-48305 COVER RING TI WITH CLEANCOAT 300MM ESIP TA(N)
dr.dantom
[view on eBay]
Used 2
in stock
$920.00
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,000.00
Description: 02-288189-00 / 2ND GEN 300MM LIFT PIN ACUATOR / NOVELLUS SYSTEMS INC
powersell007
[view on eBay]
Used 1
in stock
$1,299.00
Description: APPLIED MATERIALS 0200-08627 QUARTZ RING, CLEAN CHAMBER 300MM AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$7,700.00
Description: 02-259457-00 / SPINDLE ASSY,300MM,C3VCTR, 50RGS4H14-270 CAMCO / NOVELLUS
spsglobal
[view on eBay]
Used 5
in stock
$200.00
Description: 323-0402// AMAT APPLIED 0090-76049 ASSEMBLY,300MM OTF EMITTER, J5 USED
spsglobal
[view on eBay]
Used 5
in stock
$200.00
Description: 323-0402// AMAT APPLIED 0090-76048 ASSEMBLY,300MM OTF EMITTER, J4 USED
spsglobal
[view on eBay]
Used 5
in stock
$200.00
Description: 323-0402// AMAT APPLIED 0090-76042 ASSEMBLY,300MM OTF EMITTER, J3 USED
dr.dantom
[view on eBay]
NEW 42
in stock
$130.00
Description: AMAT Applied Materials 0021-34385 CUP OUTER REV 03 125 THK EB COIL 300MM SIP
dr.dantom
[view on eBay]
NEW 2
in stock
$50.00
Description: AMAT Applied Materials 0242-46442 KIT STRAIN RELIEF DC POWER SUPPLY 300MM ENDURA
dr.dantom
[view on eBay]
Used 1
in stock
$120.00
Description: AMAT Applied Materials 0190-17725 PURCHASE SPECIFICATION 300MM ENDURA REV 3 EQU.
dr.dantom
[view on eBay]
Used 5
in stock
$280.00
Description: AMAT Applied Materials 0040-41846 Membrane Support Fixture 300MM Titan Head
dr.dantom
[view on eBay]
Used 1
in stock
$25,000.00
Description: AMAT Applied Materials LTE-1593 0010-16986-005 0020-23741 300mm MCA E-Chuck Assy
dr.dantom
[view on eBay]
Used 2
in stock
$1,699.00
Description: AMAT Applied Materials 0041-30243 SHIELD OUTER PCII/RPC+ 300MM
dr.dantom
[view on eBay]
NEW 1
in stock
$399.00
Description: Applied Materials AMAT 0021-12738 14" Centering Fixture 300MM Titan Head
dr.dantom
[view on eBay]
NEW 1
in stock
$800.00
Description: Applied Materials AMAT 0040-43634 Housing 300mm Titan Head Cooper!
dr.dantom
[view on eBay]
NEW 3
in stock
$250.00
Description: 2pcs Applied Materials 0200-02139 PIN COVER CAPTIVE SCREW 300MM SIP ENCORE TA(N)
dr.dantom
[view on eBay]
NEW 1
in stock
$600.00
Description: Applied Materials 0041-24879 AI CAP ALD TAN LID 300MM BB TM & LPC
dr.dantom
[view on eBay]
NEW 2
in stock
$85.00
Description: AMAT Applied Materials 0242-30462 KIT 300MM EQUIPMENT RACK DC & RF PWR SPLY
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,750.03
Description: 0010-35456 / EPSILON 300MM DUAL HEATED ZONE ASSY. / APPLIED MATERIAL
semiconusa
[view on eBay]
Used 1
in stock
$1,599.00
Description: AMAT 0010-70650, VHP+ Robot Cover, Centura ll, 200mm, 300mm
alvin1462
[view on eBay]
NEW 1
in stock
$1,888.00
Description: AMAT APPLIED MATERIALS 0200-19787 ESC INSULATOR 300MM CATHODE-DS NEW
alvin1462
[view on eBay]
NEW 1
in stock
$3,888.00
Description: AMAT Applied Materials 0190-42863 300mm Ventura 5N Ti Target NEW
spsglobal
[view on eBay]
Used 2
in stock
$240.00
Description: 327-0401// AMAT APPLIED 0020-18810 SCREW, HOLDER, 300MM, HDPCVD [NEW]
wecansellthat
[view on eBay]
NEW 3
in stock
$134.99
Description: 0020-99861 Front Cover Ceramic Htr Heater Power Conn Connector 300mm Metal Gate
partskorea1
[view on eBay]
Used 5
in stock
$399.00
Description: Lam Research ASSY 810-800031-300 SYSTEM INTERLOCK 300mm
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0200-03170 BARRIER RING, QUARTZ, 300MM, IA AMAT *UNUSED*
j316gallery
[view on eBay]
Used 4
in stock
$126.56
Description: 16945 APPLIED MATERIALS 300MM SPD SLIT VALVE DOOR CLAMP 0021-25800
j316gallery
[view on eBay]
Used 2
in stock
$415.67
Description: 16944 APPLIED MATERIALS 300MM SPD SLIT VALVE PIVOT 0021-25799
j316gallery
[view on eBay]
NEW 1
in stock
$1,874.57
Description: 16532 APPLIED MATERIALS PANEL, FLOOR, CENTER 300MM MF AP(NEW) 0040-44929
grandbirdnet
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0021-51761 Aluminium, Blocker, DD2539, Metron Clean, SACVD,300mm, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,900.00
Description: AMAT 0020-49785 FACEPLATE, APF, 300MM PRODUCER SE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 0200-08066 Top Collar RING Si 300mm Emax CT, NEW
senior-inc
[view on eBay]
Used 1
in stock
$1,600.00
Description: AMAT 0021-14589 BLOCKER PLATE 300MM XGEN
grandbirdnet
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0041-90502 Support RPSII Flange, 300mm Producer, NEW
powersell007
[view on eBay]
Used 1
in stock
$999.00
Description: APPLIED MATERIALS 0021-41429 AI SILICON ALLOY, SHUTTER, 300MM AMAT *UNUSED*
j316gallery
[view on eBay]
Used 1
in stock
$736.44
Description: 17957 APPLIED MATERIALS COVER BOTTOM, SHIPPING, 300MM ESC DT 0040-89792
j316gallery
[view on eBay]
Used 1
in stock
$982.06
Description: 17990 APPLIED MATERIALS MANF GP PNEUM 300MM ULTIMA 0190-01401
usedeqsales
[view on eBay]
Used 1
in stock
$2,504.20
Description: AMAT Applied Materials 0040-62718 300mm Electrode Single Crystal Showerhead New
usedeqsales
[view on eBay]
Used 4
in stock
$1,005.20
Description: AMAT Applied Materials 0200-02597 300mm Internal Insulator Rev. 003 Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$3,005.20
Description: AMAT Applied Materials 0200-05638 300mm Blade Ceramic Open Rev. 05 New Surplus
powersell007
[view on eBay]
Used 1
in stock
$5,999.00
Description: APPLIED MATERIALS 0010-43083 SHADOW LIFT ASSY, TSV 300MM AMAT *UNUSED*
usedeqsales
[view on eBay]
Used 1
in stock
$1,205.20
Description: AMAT Applied Materials 0020-02157 300mm Cross Rotation Coupling Guard New Spare
j316gallery
[view on eBay]
Used 10
in stock
$535.60
Description: 207 APPLIED MATERIALS CLAMP, ROLLING SEAL, 300MM TITAN PROFILE 0020-22479
j316gallery
[view on eBay]
Used 3
in stock
$1,289.16
Description: 182 NOVELLUS ANODE RING 300MM, 02-262202-00 16-262201-00
grandbirdnet
[view on eBay]
NEW 13
in stock
$3,500.00
Description: AMAT 0195-07795 HTR SHFT TEMP CONTROLLER 300MM SE/GT, NEW
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0021-52193 ALUMINUM BLOCKER DD3584 SACVD PRODUCER 300MM AMAT
powersell007
[view on eBay]
Used 1
in stock
$7,499.00
Description: APPLIED MATERIALS 0010-14862 ASSEMBLY, THROTTLE VALVE, 300MM DUAL FLAP, AP AMAT
usedeqsales
[view on eBay]
Used 7
in stock
$755.20
Description: AMAT Applied Materials Unmarked Retaining Ring 300mm 0041-37729 Copper Working
grandbirdnet
[view on eBay]
NEW 1
in stock
$9,500.00
Description: AMAT 0020-89739 DOOR SLIT VALVE Y203 COAT 300MM DPS232, NEW
j316gallery
[view on eBay]
Used 2
in stock
$339.07
Description: 18576 NOVELLUS ASSY, TC, 300MM, SPRING LOADED 12-120403-00
powersell007
[view on eBay]
Used 1
in stock
$2,299.00
Description: PRAXAIR MRCFCU0025437 Cu 99.999% 17"x 0.750" ENDURA 300mm AMAT 0190-37278 TARGET
powersell007
[view on eBay]
Used 1
in stock
$3,999.00
Description: HONEYWELL TARGET 100132 AMAT 0190-41385 LC5 Al.5Cu 300mm, HIP 20.625 x 1.380
grandbirdnet
[view on eBay]
Used 1
in stock
$8,900.00
Description: AMAT 0010-29248 TSDA ASSY, STANDARD MANIFOLD, 300MM REFLEXION, USED
j316gallery
[view on eBay]
Used 1
in stock
$257.98
Description: 18822 APPLIED MATERIALS CABLE ASSY HTR GAS LINE SEG 4.1 CH C 300MM 1M 0090-03154
alvin1462
[view on eBay]
Used 1
in stock
$8,888.00
Description: AMAT APPLIED MATERIALS 0040-70247 LAMP HOUSING, BRAZED, FLEX FLANGE, 300MM
j316gallery
[view on eBay]
Used 3
in stock
$1,256.40
Description: 19099 APPLIED MATERIALS ASSY HOUSING EEP 300MM 0040-47632 0010-10663
farmoninc
[view on eBay]
NEW 1
in stock
$4,500.00
Description: AMAT 0022-11209 Wrist Plate, Lower, 300MM Robot, Olympia, 452973
senior-inc
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0200-02301 TOP RING, NM POLY, STEPPED, 300MM EMAX
farmoninc
[view on eBay]
Used 1
in stock
$3,400.00
Description: LAM 839-226267-001 Heater Chuck, 300MM, 1035131-3515-001, Novellus, 452975
j316gallery
[view on eBay]
Used 25
in stock
$139.45
Description: 19276 APPLIED MATERIALS CBL ASSY, SKIN INTERLOCK SW, 300MM R, E3350K0 0150-05997
expertsurplus
[view on eBay]
NEW 2
in stock
$100.00
Description: AMAT, 0050-27975, Adapter, 300MM WXZ Chamber Vent Line, New
expertsurplus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT, 0050-00539, Gasline, Outlet From Valves SWLL 300MM, New
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$1,231.54
Description: 0020-28944 / WEIGHT RING, 300MM PROFILER / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
Used 1
in stock
$923.34
Description: 19374 APPLIED MATERIALS 300MM BLOCKER PLATE 0021-47451
senior-inc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0200-04406 NOZZLE INSERT IEP LID ASSY 300MM DPS2
senior-inc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0200-01326 INSULATOR 300MM, SOURCE ADAPTOR CERAMIC
senior-inc
[view on eBay]
Used 1
in stock
$3,300.00
Description: AMAT 0021-14590 FACE PLATE 300MM, H016 XGEN
senior-inc
[view on eBay]
NEW 1
in stock
$2,000.00
Description: AMAT 0200-02597 INSULATOR INTERNAL 300MM
senior-inc
[view on eBay]
Used 1
in stock
$2,250.00
Description: AMAT 0200-05623 TOP LINER NO LEDGE 300MM APF PRODUCER
powersell007
[view on eBay]
Used 1
in stock
$1,599.00
Description: APPLIED MATERIALS 0200-06713 300MM QUARTZ RING AMAT
surplusssam
[view on eBay]
Used 1
in stock
$112.99
Description: AMAT 0020-15935 APPLIED MATERIALS ONE PIECE PULLEY ADAPTER PLATE 300MM NEW
2010txharleyrider
[view on eBay]
Used 1
in stock
$999.95
Description: *NEW (SEALED)* AMAT 0140-12931 HARNESS ASSY, HX INTFC 75FT 300MM RTP/XG FEP
spsglobal
[view on eBay]
Used 1
in stock
$5,600.00
Description: 115-0501 AMAT APPLIED 0190-15380 3-PORT UPA-B 300MM REV 2D PLUS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 151-0301 AMAT APPLIED 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 103-0601// AMAT APPLIED 0020-47493 STRAP, TOP COIL TO HV ANTENA, 300MM [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 105-0401// AMAT APPLIED 0190-07213 SPECIFICATION, PCB, ENDURA 300MM [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$900.00
Description: 340-0303// AMAT APPLIED 0100-01339 PCB ASSY, 300MM LID SENSORS MUX [NEW]
powersell007
[view on eBay]
Used 1
in stock
$999.00
Description: APPLIED MATERIALS 0200-13285 TRANSFERABLE SHUTTER RING, CLEAN, 300MM AMAT
visionsemi
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT APPLIED MATERIALS BEARING RETAINER CAP 0020-77924 FOR 300MM PROCESS
usedeqsales
[view on eBay]
Used 1
in stock
$1,507.20
Description: AMAT Applied Materials 300mm Gas Interlock PCB Card 0100-00580 0100-00581 Spare
visionsemi
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT APPLIED MATERIALS BACK PLATE 300mm LINEAR PLATFORM 0100-02292 REV 002
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: NEW AMAT 0021-14199 Cover, Upper Chamber, 300MM DPS II, 326428
farmoninc
[view on eBay]
NEW 1
in stock
$595.00
Description: AMAT 0140-02118 Harness assy, DPS Metal, 300MM J5 Conn, 322154
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0010-04386 Assy Capacitor DTCU DPS 300MM, 398773
farmoninc
[view on eBay]
NEW 1
in stock
$595.00
Description: AMAT 0020-08708 MTG Plate, Cathode Lift 300MM DPS I, 418298
farmoninc
[view on eBay]
NEW 1
in stock
$595.00
Description: AMAT 0020-08708 MTG Plate, Cathode Lift 300MM DPS I, 418299
farmoninc
[view on eBay]
NEW 1
in stock
$595.00
Description: AMAT 0020-08708, MTG Plate, Cathode Lift 300mm DPS, 418330
farmoninc
[view on eBay]
NEW 1
in stock
$595.00
Description: AMAT 0140-02118 Harness assy, DPS Metal, 300MM J5 Conn, 322157
farmoninc
[view on eBay]
Used 1
in stock
$1,495.00
Description: AMAT 0040-40793 RING, MOUNT 300MM DTCU, DPS POLY, 327843
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0200-00974 Gas Inlet, Center Gas Feed, 300mm DPS, 327771
auctionrus
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 0100-35414 PCBA, Chamber Distribution, 300MM DPS P0, 407123
spsglobal
[view on eBay]
Used 1
in stock
$1,600.00
Description: 119-0301// AMAT APPLIED 0040-82745 FACEPLATE TEOS HIGH DEP RATE, 300MM [ASIS]
expertsurplus
[view on eBay]
NEW 7
in stock
$450.00
Description: AMAT, 0050-26788, Weldment, NF3, Top Lid Uwave WXZ, 300MM, New
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.20
Description: Honeywell 0190-41182 300mm Sputtering Target AMAT Applied Materials Working
j316gallery
[view on eBay]
NEW 1
in stock
$658.10
Description: 20159 APPLIED MATERIALS SHIELD, CRYO PORT, HYBRID, 300MM (NEW) 0020-48702
j316gallery
[view on eBay]
NEW 1
in stock
$107.12
Description: 20161 NOVELLUS SPACER, ANODE CHAMBER, 300MM (NEW) 15-126061-07
j316gallery
[view on eBay]
Used 1
in stock
$152.84
Description: 20162 NOVELLUS SPACER, ANODE CHAMBER, 300MM 15-263559-05
senior-inc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0200-48891 BLOCKER APF 300MM PRODUCER
capitolareatech
[view on eBay]
NEW 1
in stock
$135.95
Description: Applied Materials (AMAT) 0021-35612 BRACKET, CAP, SIDE 300MM
capitolareatech
[view on eBay]
NEW 1
in stock
$245.95
Description: Applied Materials (AMAT) 0021-11733 PROCESS LID, EXHAUST, 300MM MIRRA
capitolareatech
[view on eBay]
NEW 1
in stock
$178.95
Description: Applied Materials (AMAT) 0040-34160 COVER, INNER, SLIT LINER DOOR, 300MM EMA
capitolareatech
[view on eBay]
NEW 2
in stock
$76.95
Description: Applied Materials (AMAT) 0040-13881 DOOR,BACKING 300MM SLITVALVE PROCESS AL
capitolareatech
[view on eBay]
NEW 1
in stock
$450.95
Description: Applied Materials (AMAT) 0040-13880 DOOR,BACKING 300MM SLIT VALVE LLC AL REV
capitolareatech
[view on eBay]
NEW 1
in stock
$1,467.95
Description: AMAT 0040-09721 45\"POST LIFT ASSY DPS CHAMBER 300MM SYST
capitolareatech
[view on eBay]
NEW 5
in stock
$2,624.95
Description: Applied Materials (AMAT) 0040-08845 Pin, Lift, RF INTLK, 300MM DPS DTCU
capitolareatech
[view on eBay]
NEW 1
in stock
$437.95
Description: AMAT 0040-08737 COVER, LEFT SIDE, 300MM EMAX
capitolareatech
[view on eBay]
NEW 1
in stock
$1,299.95
Description: AMAT 0040-08332 WELDMENT, BLOCK, PROCESS GAS, 300MM, EMA
capitolareatech
[view on eBay]
NEW 1
in stock
$143.95
Description: AMAT 0040-04495 Mounting Plate IHC Assembly, 300MM, HDPCVD
capitolareatech
[view on eBay]
NEW 1
in stock
$299.95
Description: AMAT 0040-03921 Louver, Left Panel, 300MM 4.0 FI
capitolareatech
[view on eBay]
NEW 1
in stock
$500.95
Description: AMAT 0040-03691 Body Vacuum Chuck, MF Robot, 300mm Elect
capitolareatech
[view on eBay]
NEW 1
in stock
$1,266.95
Description: AMAT 0040-03111 PANEL RIGHT SIDE 300MM WAFERLOADER
capitolareatech
[view on eBay]
NEW 1
in stock
$2,474.95
Description: Applied Materials (AMAT) 0040-40863 UPPER PLATE HEATER ECP 300MM ANNEAL
capitolareatech
[view on eBay]
NEW 1
in stock
$218.95
Description: Applied Materials (AMAT) 0140-12597 HARNESS ASSY DIW HTR TC INPUTS 300MM OAS
capitolareatech
[view on eBay]
NEW 1
in stock
$500.95
Description: AMAT 0140-07250 HARNESS ASSY, BARATRON, 300MM RPO
capitolareatech
[view on eBay]
NEW 6
in stock
$87.95
Description: AMAT 0140-06842 HARNESS ASSY HEATER CTRLR/SSR 300MM WET
capitolareatech
[view on eBay]
NEW 6
in stock
$87.95
Description: AMAT 0140-06841 HARNESS ASSY PREHEAT CTRLR/SSR 300MM WET
capitolareatech
[view on eBay]
NEW 1
in stock
$148.95
Description: Applied Materials (AMAT) 0140-06750 CABLE ASSY WAFER TRAY SENSOR NOVA, 300MM
capitolareatech
[view on eBay]
NEW 1
in stock
$87.95
Description: Applied Materials (AMAT) 0140-04806 HARNESS ASSY, MF AC TO NSK POWER, 300MM
capitolareatech
[view on eBay]
NEW 1
in stock
$137.95
Description: Applied Materials (AMAT) 0140-04131 Harness Assembly, OVERTEMP TC INPUT, 300MM U
capitolareatech
[view on eBay]
NEW 1
in stock
$175.95
Description: Applied Materials (AMAT) 0140-04128 HARNESS ASSY, SECONDARY TC INPUT, 300MM
capitolareatech
[view on eBay]
NEW 6
in stock
$764.95
Description: AMAT 0200-03575 COLLAR 300MM 5.4MM PRODUCER ETCH
capitolareatech
[view on eBay]
NEW 3
in stock
$1,269.95
Description: AMAT 0200-03470 TOP LINER, THIN, NO HOLE, 300MM SACVD PR
capitolareatech
[view on eBay]
NEW 1
in stock
$41.95
Description: AMAT 0200-02705 Plug, Endpoint, Sapphire, 300MM IA
capitolareatech
[view on eBay]
NEW 1
in stock
$102.95
Description: AMAT 0200-02257 PAD, SUBFRAME feet, Teflon, WXZ 300MM
capitolareatech
[view on eBay]
NEW 5
in stock
$1,200.95
Description: Applied Materials (AMAT) 0200-01389 Ring Deposition, Narrow OD, 300MM ESC CU
capitolareatech
[view on eBay]
NEW 4
in stock
$188.95
Description: Applied Materials (AMAT) 0021-18226 UPPER HARDSTOP, HEATER LIFT, 300MM, PROD
capitolareatech
[view on eBay]
NEW 15
in stock
$726.95
Description: NOVELLUS 15-177748-00 RING, WEAR REAR LATCHED PET 300MM
capitolareatech
[view on eBay]
Used 1
in stock
$169.95
Description: NOVELLUS 71-052552-00 ALIGNING TOOL, VAT VLV, SPD 300MM
capitolareatech
[view on eBay]
NEW 1
in stock
$509.95
Description: LAM RESEARCH (LAM) 715-075951-001 RING, CLAMP, ELCTD, 300MM
capitolareatech
[view on eBay]
NEW 0
in stock
$1,693.95
Description: Lam Research LAM 716-044668-435 LAM R HE 4XXX ESC WO FLAT 300MM SS
capitolareatech
[view on eBay]
NEW 1
in stock
$79.95
Description: Applied Materials (AMAT) 0150-04066 CABLE ASSY GROUND WIFE, 4" 300MM ENDURA
capitolareatech
[view on eBay]
NEW 1
in stock
$3,393.95
Description: LAM RESEARCH (LAM) 715-052448-002 Liner, 300MM, Bevel SEMICONDUCTOR
capitolareatech
[view on eBay]
NEW 1
in stock
$1,693.95
Description: LAM RESEARCH (LAM) 715-057852-002 LINER, LWR, 300MM, BEVEL  
capitolareatech
[view on eBay]
NEW 3
in stock
$1,353.95
Description: Novellus 15-327152-00 CUP, VACUUM, 300MM ANNEAL, VESPEL CR4638
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 342-0303// AMAT APPLIED 0021-19366 BRACKET, MOUNTING, BLOCK VALVE, 300MM [USED]
capitolareatech
[view on eBay]
Used 1
in stock
$79.95
Description: Applied Materials (AMAT) 0050-44367 TUBE EXTENSION NW25 300MM, RAD
capitolareatech
[view on eBay]
Used 1
in stock
$129.95
Description: Applied Materials (AMAT) 0050-75273 SUPPLY, HARDLINE, 300mm WATER MANIFOLD
j316gallery
[view on eBay]
Used 2
in stock
$2,531.16
Description: 20534 APPLIED MATERIALS PCBA PIB INTLK 300MM PVD RPG P5 0090-02830 0100-01708
maxisemi1349
[view on eBay]
Used 2
in stock
$1,100.00
Description: 16-134895-00 CHUCK,PEM,EBR,300MM, "AS IS"
grandbirdnet
[view on eBay]
NEW 4
in stock
$250.00
Description: AMAT 0140-02972 HARNESS ASSY, 300MM DC POWER, ENDPOINT U, NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$290.00
Description: AMAT 0040-70080 BLOCK LOCATION UNIVERSAL STATION 300MM L, NEW
mountainmerchants
[view on eBay]
NEW 1
in stock
$2,000.00
Description: New 0040-75718 WRIST ASSY, ROBOT APPLIED MATERIALS AMAT Titanium 300mm
mountainmerchants
[view on eBay]
NEW 1
in stock
$1,000.00
Description: New AMAT 0021-12878 ROBOT TRANSFER BLADE 300MM MOSS 390
storefarm
[view on eBay]
Used 2
in stock
$8,998.00
Description: [Used] APPLIED AMAT / 0010-35457 / Assy, 300mm Dual Heated Zone, Base Sub-Level
quick_ship_usa
[view on eBay]
NEW 1
in stock
$149.95
Description: AMAT Applied Materials 0200-00872 Window ENDP Outer 300MM Saphikon # 21042
quick_ship_usa
[view on eBay]
NEW 1
in stock
$99.88
Description: AMAT 0140-03628 Harness ASC Power Source BIAS Harness ASSY 300MM DC Power BI
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 344-0101// AMAT APPLIED 0021-36900 COVER, PROBES, 300MM RTP [ASIS]
capitolareatech
[view on eBay]
Used 1
in stock
$147.95
Description: Applied Materials 0150-01194 CABLE, ASSY AC DIST HDPCVD 300MM
dgold32
[view on eBay]
Used 1
in stock
$399.99
Description: AMAT TiW-ESC 0021-85650 300mm Applied Materials SST Shutter Disk SNNF HTESC
j316gallery
[view on eBay]
NEW 2
in stock
$13,389.80
Description: 21168 LAM RESEARCH HSG, BIAS ELCTD, 300MM (NEW) 715-800326-004
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0010-03763 ASSY, DOOR, HVM 300MM 25C-APPLIED-15, USED
usedeqsales
[view on eBay]
Used 4
in stock
$1,309.20
Description: Novellus Systems 02-402743-00 MCA Shelve 26 Slot LL Elevator 300mm Wafer New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-03054 Cable W376 SYS to GP PCB Main EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-23690 Cable W316 CH-X to GP PCB Main EPI 300mm New
j316gallery
[view on eBay]
NEW 4
in stock
$200.84
Description: 20979 APPLIED MATERIALS CABLE ASSY, CHMB DNET, 3X 300MM (NEW) 0150-47092
j316gallery
[view on eBay]
NEW 4
in stock
$200.84
Description: 20975 APPLIED MATERIALS CABLE ASSY, CHB DNET, 3X 300MM (NEW) 0150-47094
j316gallery
[view on eBay]
NEW 7
in stock
$152.84
Description: 20924 APPLIED MATERIALS CBL ASSY JMPR MF CUST I/F 300MM DPSII (NEW) 0150-06324
j316gallery
[view on eBay]
NEW 1
in stock
$53.56
Description: 20925 APPLIED MATERIALS CABLE ASSY JUMPER MF EXHAUST INTF 300MM (NEW) 0150-08141
j316gallery
[view on eBay]
NEW 2
in stock
$379.68
Description: 20929 APPLIED MATERIALS CABLE ASSY AP GP PNEUS EMAX 300MM (NEW) 0150-07657
j316gallery
[view on eBay]
NEW 1
in stock
$267.79
Description: 20935 APPLIED MATERIALS CABLE ASSY CIOC TO CHMBR EV BLK 300MM (NEW) 0150-45071
j316gallery
[view on eBay]
NEW 4
in stock
$107.12
Description: 20909 APPLIED MATERIALS CABLE ASSY, COMMON EMAX IRM 300MM (NEW) 0150-14855
j316gallery
[view on eBay]
NEW 7
in stock
$53.56
Description: 20997 APPLIED MATERIALS JUMPER MF REMOTE INTERLOCKS 300MM DPSII (NEW) 0150-06325
j316gallery
[view on eBay]
NEW 1
in stock
$1,071.18
Description: 20994 APPLIED MATERIALS CABLE ASSY LOWER IPUP POWER 300MM CENT NEW 0150-23121
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0140-23340 Cable W373 SYS to GP PCB Main EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-23691 Cable W317 CH-Y to Nextgen PCB EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$309.20
Description: AMAT Applied Materials 0150-14666 Cable W825 MF DNET HUB TO MFV EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$309.20
Description: AMAT Applied Materials 0150-03048 Cable W370 SYS MDL to AC DIST EPI 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-04794 300mm 75' Cable GENRACKS INTLKS to Main AC New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-24406 Cable W310 CH-X CONT To GP PCB 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$509.20
Description: AMAT Applied Materials 0150-24407 Cable W311 CH-Y CONT To GP PCB 300mm New
usedeqsales
[view on eBay]
Used 1
in stock
$309.20
Description: AMAT Applied Materials 0050-44837 300mm PVD Chamber Vent Gasline New Surplus
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$513.02
Description: 0050-75100 / LINE, GP VENT, CH A, 300MM RP EPI / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 2
in stock
$1,510.20
Description: AMAT Applied Materials 0021-19471 300mm Deposition Ring ADV 101 TTN/NIV New
usedeqsales
[view on eBay]
Used 1
in stock
$1,410.20
Description: AMAT Applied Materials 0021-19207 300mm Shutter Disk Endura New Surplus
maxisemi1349
[view on eBay]
Used 1
in stock
$1,850.00
Description: 0020-15257 SHIELD,INNER ;AL-SPRAY, SIP-TA ,300MM
maxisemi1349
[view on eBay]
Used 1
in stock
$1,900.00
Description: 0020-13069 SHIELD, UPPER R.500, 300MM SIP TA, AL SP
maxisemi1349
[view on eBay]
Used 1
in stock
$1,450.00
Description: 0021-15610 CLAMP, LOWER SHIELD, 300MM SIP TA
usedeqsales
[view on eBay]
Used 4
in stock
$2,810.20
Description: AMAT Applied Materials 0040-84056 300mm Retaining Ring Grooved AEP New Surplus
usedeqsales
[view on eBay]
Used 2
in stock
$1,010.20
Description: AMAT Applied Materials 0021-18485 Cover Ring 300mm AMAT Centura Refurbished
usedeqsales
[view on eBay]
Used 5
in stock
$1,820.20
Description: AMAT Applied Materials 0040-41846 Membrane Support Fixture 300mm Titan Head New
usedeqsales
[view on eBay]
Used 1
in stock
$1,610.20
Description: AMAT Applied Materials 0021-16287 300mm Narrow Lower Shield Endura Refurbished
usedeqsales
[view on eBay]
Used 2
in stock
$1,610.20
Description: AMAT Applied Materials 0020-13067 300mm Lower Shield SIP TA Centura Refurbished
usedeqsales
[view on eBay]
Used 2
in stock
$1,610.20
Description: AMAT Applied Materials 0021-15610 300mm Shield Clamp Centura Refurbished
usedeqsales
[view on eBay]
Used 4
in stock
$1,510.20
Description: AMAT Applied Materials 0021-17340 300mm Retaining Ring Grooved New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,010.20
Description: AMAT Applied Materials 0020-52600 300mm Inner Shield Centura New Surplus
grandbirdnet
[view on eBay]
NEW 2
in stock
$50.00
Description: AMAT 0015-76163 SPRING LINK 2 ROBOT 300MM, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0150-00321 CABLE ASSY, STEPPER MTR POWER, 300MM END, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0150-00319 CABLE ASSY, STEPPER MTR ENCODER, 300MM E,NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0090-76115 ASSEMBLY, 300MM WAFER SENSORS, NEW
expertsurplus
[view on eBay]
Used 6
in stock
$250.00
Description: AMAT, Gas Line, Purge Man. Dual Vaporizer, 300MM Tanox, 0050-53949, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Manifold, FAC Water Supply, Singen 300MM, 0050-04858, Used
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, Gas Line, 1/2" Line 2, Dual Vaporizer, 300MM Tanox, 0050-53948, Used
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, WELDMENT, XDCR, TO FIN VLV, FJKN, 300MM, 0050-05423, New
usedeqsales
[view on eBay]
Used 3
in stock
$2,010.20
Description: AMAT Applied Materials 0240-13190 300mm Titan SP Head Fixture Kit New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$310.20
Description: AMAT Applied Materials 0021-78052 Idler Assembly 300mm Copper Lot of 6 Working
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$595.82
Description: 0020-60810 / SIP INNER SHILED 300MM ARC SPRAY / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$511.57
Description: 0050-75101 / LINE, GP VENT, CH B, 300MM RP EPI / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
Used 1
in stock
$609.55
Description: 21650 APPLIED MATERIALS XP ROBOT PARTS PIVT 300MM, 0040-13384 (PARTS) 0020-75889
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$550.99
Description: 15-256077-02 / END EFFECTOR,300MM,L,L/LK,VCTR / NOVELLUS
systasemi
[view on eBay]
NEW 1
in stock
$2,000.00
Description: AMAT, 0020-48616, SHIELD MOVABLE HYDROPHILIC, SRD 300MM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,338.00
Description: 0010-07261 / ASSY, WIRE FEED, 300MM IBC, IECP / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,249.14
Description: 0021-70063 / PIVOT, 300MM REV 3 SLIT VALVE / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0140-04642 Harness Assembly, ACDC Drawer, DC 300mm RTP C, 453502
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,083.00
Description: 0040-33258 / INSERT, CH FEED THRU, SHORT, 300MM TICL4 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$931.21
Description: 0270-01757 / TOOL, CONT RING CENTERING, 300MM IECP / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$708.50
Description: 0021-15693 / PLATE,BLANK-OFF,300MM CHAMBER / APPLIED MATERIALS AMAT
dy-global
[view on eBay]
Used 2
in stock
$149.95
Description: Lam RESEARCH Used SYSTEM INTERLOCK 300mm 810-800031-300 REV.A SEM-I-51=9G21
novusferro
[view on eBay]
Used 2
in stock
$299.00
Description: Applied Materials AMAT 0041-05330 Clamp Blade Robot 300MM Titanium
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$462.02
Description: 0021-13441 / JOINT, PLANAR, 300MM IBC, ECP / APPLIED MATERIALS AMAT
powersell007
[view on eBay]
Used 1
in stock
$2,999.00
Description: APPLIED MATERIALS 0041-80885 WATER BOX, VOLTA W, 300MM CVD/ALD AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$360.77
Description: 0040-07501 / COVER, LAMP WIRE, RH, 300MM PVD / APPLIED MATERIALS AMAT
sfwish
[view on eBay]
Used 2
in stock
$3,950.00
Description: NEW Applied Materials/AMAT 0040-38975 Isolator Teflon with Support Ring 300MM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$340.73
Description: 0021-07331 / POST CENTER CHUCK BLADE 300MM, MF ROBOT / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,500.00
Description: 0020-76637 / ENDURA BATCH LOAD LOCK 300MM 28 WAFER CASSETTE ASSY / AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,811.58
Description: 0020-08299 / CLAMP, INNER SHIELD, 300MM SIP / APPLIED MATERIALS AMAT
systasemi
[view on eBay]
NEW 2
in stock
$1,100.00
Description: AMAT, 0020-49203, SHIM FOUR PT LEVEL TOOL 100 SPACING TXZ 300MM
systasemi
[view on eBay]
NEW 1
in stock
$21,000.00
Description: AMAT, 0010-25970, BEARING BLOCKS REV 4.3 DRIVE SIDE 300MM
visionsemi
[view on eBay]
Used 2
in stock
$250.00
Description: APPLIED MATERIALS AMAT PLC/IO DISTRTIBUTION 300MM PCB BOARD, 0100-02888
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,000.00
Description: AMAT 0270-03384 JACKING PLATE, AIR BEARING, RPG CHBR, 300MM, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$28,000.00
Description: AMAT 0010-29128 ASSY, CERAMIC HEATER, HA-12 VER 1, 300MM , NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$1,500.00
Description: AMAT 0021-47451 BLOCKER SD1316 300MM SACVD, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$260.45
Description: 0020-29730 / CAP, SCR, SLIT DOOR BLOCK, 300MM HART CH / APPLIED MATERIALS AMAT
visionsemi
[view on eBay]
Used 8
in stock
$1,500.00
Description: AMAT APPLIED MATERIALS INTERLOCK SELECT 300MM GEN RACK ASSY 0100-02839
powersell007
[view on eBay]
Used 1
in stock
$999.00
Description: AMAT 0010-17633 ASSY ONE PCB MOTOR TORQUE MONITORING REFLEXION 300MM *UNUSED*
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0200-05067 RING, PROCESS, QUARTZ, 300MM HART 3, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$3,500.00
Description: AMAT 0200-00915 INSULATOR, QUARTZ, HART 300MM , NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0021-86513 BRKT, WTM, 300MM HDPCVD, NEW
powersell007
[view on eBay]
Used 1
in stock
$1,299.00
Description: APPLIED MATERIALS 0190-43706 CABLE ASSY 7/16(M) R/A TO N(M STR ENDURA 300mm AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$2,012.19
Description: AMAT Applied Materials HDP 300mm Remote Dist. Box 0100-00444 0100-89006 Centura
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,000.00
Description: AMAT 0021-17364 BLOCKER, 300MM PRODUCER, NEW
grandbirdnet
[view on eBay]
Used 5
in stock
$1,200.00
Description: AMAT 0021-25150 SHUTTER DISC, MDP 300MM SST, NEW
grandbirdnet
[view on eBay]
Used 2
in stock
$1,200.00
Description: AMAT 0021-26460 SHUTTER DISC, MDP 300MM SST, NEW
powersell007
[view on eBay]
Used 1
in stock
$6,999.00
Description: APPLIED MATERIALS 0041-02659 ADAPTER 300MM PC XT TURBO/XTE ENDURA 2 AMAT *UNUSED
grandbirdnet
[view on eBay]
NEW 1
in stock
$15,000.00
Description: NOVELLUS 02-302727-00 300MM PEDESTAL, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$15,000.00
Description: NOVELLUS 04-169233-00 KIT, PM, QUARTERLY, GAMMA 300MM, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$10,082.50
Description: 0040-76652 / SGD, LOWER SHOWER HEAD, 32RA, 300MM EMAX / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,230.85
Description: 0040-03439 / FACEPLATE CENTER HOLE 23 SACVD 300MM PRO / APPLIED MATERIALS AMAT
visionsemi
[view on eBay]
Used 1
in stock
$150.00
Description: APPLIED MATERIALS AMAT CABLE ASSY STEPPER MTR ENCODER 300MM 0150-00319
grandbirdnet
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0010-13075 ASSY., 300MM WAFER LIFT, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,271.43
Description: 0020-49785 / FACEPLATE,APF,300MM PRODUCER SE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,715.12
Description: 716-022493-343 / RING,UNIF,300MM,BTM,2300,CENTE / LAM RESEARCH CORPORATION
visionsemi
[view on eBay]
Used 1
in stock
$200.00
Description: APPLIED MATERIALS AMAT HARNESS ASSY DPN 300MM RFG 0140-12593 NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0190-01871 INTERLOCK MODULE CCM 300MM DPS, NEW
grandbirdnet
[view on eBay]
NEW 8
in stock
$150.00
Description: AMAT 0021-24349 GASKET, DAMPED GIMBAL, 300MM 5 ZONE PROF, NEW
grandbirdnet
[view on eBay]
NEW 10
in stock
$250.00
Description: AMAT 0242-28778 KIT LIFT ASSY DPS-2 CHAMBER 300MM SYSTEM, NEW
grandbirdnet
[view on eBay]
NEW 4
in stock
$450.00
Description: AMAT 0040-03664 ARM, RIGHT, 300MM, ROBOT WRIST, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0242-01313 KIT, 300MM VITON VULC AL LLC SLIT VLV DR, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0021-23274 FIBER OPTIC HOLDER 65MM F IEP 300MM DPS2, NEW
grandbirdnet
[view on eBay]
NEW 2
in stock
$750.00
Description: AMAT 0040-13871 ARM, LEFT, 300MM ROBOT LOT OF 2, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,016.03
Description: 0021-07271 / BLOCKER CH LID HE/HE SACVD 300MM PROD / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0190-05351 SUBPAD PLATE, 0065 SEAL, 300MM CMP FIXED, USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 0020-75118 Membrane, 300mm, NEW
grandbirdnet
[view on eBay]
NEW 10
in stock
$100.00
Description: AMAT 0270-02080 BLANK OFF PLATE,WATER MANIFOLD, UPPER CHAMBER 300MM DPS2 NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,500.00
Description: AMAT 0021-36894 RING PURGE REFLECTOR 300MM RPT, NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0010-13076 ET SPU301 300MM, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$401.93
Description: 0040-05243 / BOX, 300MM CHAMBER CABLE / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-01474 Harness Assembly, ESC, HV MOD EMAX 300mm, 110116
mattron747
[view on eBay]
NEW 5
in stock
$500.00
Description: Applied Materials 0021-19343 Ring, Nut Sector 300mm, OEM New
grandbirdnet
[view on eBay]
Used 2
in stock
$600.00
Description: AMAT 0022-77269 MANDREL 300MM, REFURBISHED
grandbirdnet
[view on eBay]
NEW 2
in stock
$2,000.00
Description: NOVELLUS 02-144175-01 ASSY-SUPPORT KEYED ATC H2 300MM, NEW
grandbirdnet
[view on eBay]
Used 2
in stock
$850.00
Description: AMAT 0040-13872 ARM, RIGHT, 300MM ROBOT LOT OF 2, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$13,000.00
Description: AMAT 409894R3-RV Item 144-Bag 1 of 2 0242-42922 (300mm RADIANCEPLUS RETROF, NEW
novusferro
[view on eBay]
Used 1
in stock
$5,999.00
Description: Lam Research 715-073734-007 ESC Chuck 300mm 839-019080-611
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$180.68
Description: 0020-63714 /CLAMP HEATED RIGHT INTRG GAS LINE 300MM DPS2/ APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$37,000.70
Description: 0040-62258 / CHAMBER, BODY, 300MM, SWLL B / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$350.68
Description: 0050-80586 / WELDMENT PURGE LINE LEFT DG 300MM DPS2 / APPLIED MATERIALS AMAT
visionsemi
[view on eBay]
Used 3
in stock
$1,500.00
Description: APPLIED MATERIALS AMAT INTERLOCK SELECT 300MM GEN RACK 0100-00629
visionsemi
[view on eBay]
Used 4
in stock
$700.00
Description: APPLIED MATERIALS AMAT LAMP FAIL EPI 300MM PCB BOARD 0100-01456
spsglobal
[view on eBay]
Used 1
in stock
$180.00
Description: 350-0402// AMAT APPLIED 0020-12500 RF MATCH CONN 7IN COIL 300MM DPS2 [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 350-0402// AMAT APPLIED 0021-14026 BALL STUD GAS SPRING SOURCE LIFT 300MM P NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT BRACKET LEFT FAC. TRAY BALL VALVE 300MM 0022-05254 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT BRACKET RIGHT FAC TRAY BALL VALVE HTR E4 300MM 0022-05253
visionsemi
[view on eBay]
NEW 12
in stock
$450.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 4 BELLOWS SEC EPI 300MM 0190-46598 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$400.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 3 PRE ISO BEND 2 300MM 0190-46996 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 3 LOWER EXHAUST 300MM 0190-46597 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 2 BALL & PCV SEC EPI 300MM 0190-47420 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 2 BALL & PCV SEC EPI 300MM 0190-47419 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 1 ELBOW EXHAUST 300MM 0190-46994 NEW
visionsemi
[view on eBay]
NEW 8
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 1 ELBOW EXHAUST 300MM 0190-46595 NEW
visionsemi
[view on eBay]
NEW 12
in stock
$200.00
Description: APPLIED MATERIALS AMAT INSULATOR JACKET EXHAUST EPI 300MM 0190-46594 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET PCV SECTION EXHAUST 300MM 0190-47475 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET PCV EXHAUST CH EPI 300MM 0192-47476 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 5 MANOMETER 300MM 0190-46995 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$650.00
Description: APPLIED MATERILAS AMAT HEATER JACKET PRE ISO BEND 1 EXHAUST 300MM 0190-46997 NEW
visionsemi
[view on eBay]
NEW 3
in stock
$125.00
Description: APPLIED MATERIALS AMAT SPACER CLAMP BOTTOM CH Y EPI 300MM 0022-10878 NEW
grandbirdnet
[view on eBay]
Used 1
in stock
$1,950.00
Description: AMAT 0010-14718 MANIFOLD ASSY, ZONE 1/IT UPA 300MM, USED
visionsemi
[view on eBay]
Used 5
in stock
$200.00
Description: APPLIED MATERIALS AMAT PIN WEIGHT 300MM ULTIMAX HDPCVD 0200-06948 NEW
visionsemi
[view on eBay]
NEW 1
in stock
$450.00
Description: APPLIED MATERIALS AMAT KIT CONTROLLER HEATER JACKETS EPI 300MM 0246-14658
visionsemi
[view on eBay]
NEW 3
in stock
$1,500.00
Description: APPLIED MATERIALS AMAT HEATER JACKET CONTR 4 ZONE EPI 300MM 0041-75024 NEW
visionsemi
[view on eBay]
NEW 12
in stock
$300.00
Description: APPLIED MATERIALS AMAT CLAMP BOTTOM CH-B EXHAUST HJ EPI 300MM 0022-10880 NEW
novusferro
[view on eBay]
Used 3
in stock
$3,999.00
Description: Applied Materials AMAT 0190-23942 CESC 5mm Puck Bipolar Heated 300mm
j316gallery
[view on eBay]
Used 3
in stock
$2,300.00
Description: 24895 APPLIED MATERIALS PCB 300MM LOADLOCK I/F, 0100-00638, 15018003 0100-00636
sfwish
[view on eBay]
Used 2
in stock
$2,790.00
Description: NEW Applied Materials/AMAT 0021-61344 300mm Blocker Plate *NO BOX!*
sfwish
[view on eBay]
Used 2
in stock
$479.97
Description: NEW AMAT 0041-08215 RPS HOUSING RPS VALVE 300MM PRODUCER SE *NO BOX!*
novusferro
[view on eBay]
Used 14
in stock
$599.00
Description: Novellus 15-105508-00 Ring, MOER 2.25 O/H 300mm
j316gallery
[view on eBay]
Used 1
in stock
$218.37
Description: 24904 APPLIED MATERIALS PCB, EPI 300MM INTERLOCK MODULE 2, DIP499-5 0190-14286
j316gallery
[view on eBay]
Used 2
in stock
$744.09
Description: 24898 APPLIED MATERIALS PCB EPI 300MM SYS ANALOG CARD CDN496 0190-14284
j316gallery
[view on eBay]
Used 1
in stock
$156.39
Description: 24905 APPLIED MATERIALS PCB, EPI 300MM INTLK MOD 1, DIP498, 15049804 0190-14285
usedeqsales
[view on eBay]
Used 1
in stock
$353.21
Description: AMAT Applied Materials 0040-80146 300mm Gripper Claw Bearing Mount Working Spare
usedeqsales
[view on eBay]
Used 4
in stock
$1,603.21
Description: AMAT Applied Materials Upper 300mm Gripper Claw 0040-80142 0040-84443 Working
usedeqsales
[view on eBay]
Used 4
in stock
$1,603.21
Description: AMAT Applied Materials Lower 300mm Gripper Claw 0040-80144 0040-84444 Working
usedeqsales
[view on eBay]
Used 1
in stock
$603.21
Description: AMAT Applied Materials 0040-80142 Upper RH 300mm Gripper Claw 0040-03857 Working
visionsemi
[view on eBay]
Used 1
in stock
$100.00
Description: APPLIED MATERIALS AMAT ONE PIECE PULLEY PLATE 300MM 0020-15935 NEW
visionsemi
[view on eBay]
NEW 3
in stock
$5,500.00
Description: APPLIED MATERIALS AMAT Y203 COATED SCREEN POLY ETCH DPSII 300MM 0020-87791 NEW
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0040-40810 Liner, Lid, 300MM IBC, IECP, 100584
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0040-40810 Liner, Lid, 300MM IBC, IECP, 396855
sgcequipment
[view on eBay]
Used 1
in stock
$12,055.00
Description: Applied Materials (AMAT) 0010-26524 REV 001 CENTURA TCG EPI DIVISIONAL 300mm
usedeqsales
[view on eBay]
Used 2
in stock
$703.21
Description: AMAT Applied Materials 0041-38981 RF Ground Shield Source 300mm RF PVD New Spare
spsglobal
[view on eBay]
Used 4
in stock
$900.00
Description: 151-0301 AMAT APPLIED 0010-76968 ASSY,OTF CENTER FINDER EMITTER,300MM [USED]
spsglobal
[view on eBay]
Used 5
in stock
$900.00
Description: 151-0301 AMAT APPLIED 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM [USED]
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0021-24593, Gasblock, Face Seal, 300MM IA, 100656
powersell007
[view on eBay]
Used 2
in stock
$1,199.00
Description: APPLIED MATERIALS 0021-26565 DISK SHUTTER ESC HOT AL CENTER PIN BLUE 300MM AMAT
novusferro
[view on eBay]
Used 1
in stock
$299.00
Description: Applied Materials AMAT 0021-18725 Blocker Plate, Low K, Gas Box Mounted, 300mm
sunshine*road
[view on eBay]
NEW 3
in stock
$199.99
Description: Applied Materials (AMAT) 0050-97418 Stool, Lorex 300mm ALD
visionsemi
[view on eBay]
Used 2
in stock
$275.00
Description: APPLIED MATERIALS AMAT KIT CHAMBER MOUNTING ACPII 300MM DPN/+ 0242-47348 NEW
sunshine*road
[view on eBay]
NEW 3
in stock
$199.99
Description: Applied Materials (AMAT) 0050-70898 Manifold Outlet Single AR RPG CHER 300mm
j316gallery
[view on eBay]
NEW 1
in stock
$580.33
Description: 24423 APPLIED MATERIALS GUIDE NUT, LID INTLK, 300MM PRODUCER (NEW) 0020-82679
usedeqsales
[view on eBay]
Used 2
in stock
$2,204.21
Description: AMAT Applied Materials 0040-64336 300mm Flanged PPS AEP Retaining Ring New
sunshine*road
[view on eBay]
NEW 3
in stock
$199.99
Description: Applied Materials (AMAT) 0050-44837 GASLINE CHAMBER VENT 300MM
ecomicron
[view on eBay]
NEW 2
in stock
$2,000.00
Description: 0020-49785, AMAT, FACEPLATE, APF, 300MM PRODUCER SE, 2nd SOURCE NEW
techequipsales
[view on eBay]
Used 1
in stock
$5,000.00
Description: LAM 715-017891-003 PL TOP 300MM 2300 *cleaned
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 124-0203// AMAT APPLIED 0021-15657 (CLEANED) SHUTTER DISC, MDP 300MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 119-0201// AMAT APPLIED 0021-22177 (CLEANED) COVER RING, ADV 101 300MM PVD USED
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$6,656.09
Description: 0040-60980 / LID SGD SHOWER HEAD 300MM EMAX CHAMBER LID / APPLIED MATERIALS AMAT
spsglobal
[view on eBay]
Used 5
in stock
$100.00
Description: 115-0601// AMAT APPLIED 0040-84297 PANEL FRONT 6U 19 RACK 300MM LK REFLEXI [NEW]
j316gallery
[view on eBay]
Used 1
in stock
$2,577.95
Description: 25438 APPLIED MATERIALS DC POWER SUPPLY, 300MM DPN CHAMBER, 101515-01 0090-02649
usedeqsales
[view on eBay]
NEW 6
in stock
$1,206.21
Description: AMAT Applied Materials 0040-49965 Grooved Retaining Ring 300mm Titan Head New
usedparts-semifa
[view on eBay]
Used 1
in stock
$449.90
Description: APPLIED MATERIALS 0090-02880 Rev 001/ 300mm BRUSH INTERLOCK Board
usedparts-semifa
[view on eBay]
Used 1
in stock
$449.90
Description: APPLIED MATERIALS 0090-03157 Rev 002/ 300mm MEG/SYS INTERLOCK Board
powersell007
[view on eBay]
Used 1
in stock
$2,799.00
Description: APPLIED MATERIALS 0040-83506 CARRIER 300MM 5 ZONE PROFILER AMAT *UNUSED*
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-12706 Bracket, Outer, Vertical, Gasline, 300mm, 101452
farmoninc
[view on eBay]
NEW 1
in stock
$900.00
Description: AMAT 0010-13188 Crescent Drive Assembly, VDPM, 300mm, Desca, 101508
capitolareatech
[view on eBay]
Used 1
in stock
$2,795.95
Description: Applied Materials (AMAT) 0100-00636 PCB 300MM LOADLOCK INTERFACE
capitolareatech
[view on eBay]
NEW 1
in stock
$999.95
Description: Applied Materials (AMAT) 0010-02177 ASSY, RH, PIVOT AND BEARING, 300MM ROBOT
capitolareatech
[view on eBay]
NEW 1
in stock
$995.95
Description: APPLIED MATERIALS (AMAT) 0010-02176 ASSY, LH, PIVOT AND BEARING, 300MM ROBOT
capitolareatech
[view on eBay]
Used 1
in stock
$389.95
Description: Applied Materials (AMAT) 0100-76117 PCB ASSY, GEN RACK INDICATOR 300MM
ntsurplus302
[view on eBay]
Used 1
in stock
$65.00
Description: 5653 Applied Materials 0010-08464 Water Hose Assy., 300mm SLT ESC
j316gallery
[view on eBay]
NEW 2
in stock
$3,447.00
Description: 25684 APPLIED MATERIALS BLADE, 300MM PRODUCER GT TI-DOPED CERAMIC NEW 0200-07302
j316gallery
[view on eBay]
Used 1
in stock
$3,695.18
Description: 25698 APPLIED MATERIALS HOUSING, CLUSTER ISO VLV, 300MM PRODUCER 0040-42440
j316gallery
[view on eBay]
Used 1
in stock
$3,695.18
Description: 25699 APPLIED MATERIALS HOUSING, CLUSTER ISO VLV, 300MM PRODUCER 0040-03227
swiftdeals123
[view on eBay]
NEW 1
in stock
$2,499.99
Description: AMAT 0010-29842 Assembly 300mm DBR High Temp Belt Wrist Titanium, New!
swiftdeals123
[view on eBay]
NEW 4
in stock
$2,899.99
Description: AMAT 0010-29842 Assembly 300mm DBR High Temp Belt Wrist Titanium, New Sealed!
getspares.com_sparesllc09
[view on eBay]
NEW 5
in stock
$150.00
Description: 0270-02299 / ALIGNMENT WFR 300MM ROBOT CALIBRATION SYNEXIS / APPLIED MATERIALS
usedeqsales
[view on eBay]
Used 1
in stock
$2,507.21
Description: Novellus Systems 02-258524-00 300mm Heater Pedestal 0225852400 Working Surplus
usedeqsales
[view on eBay]
Used 5
in stock
$1,008.21
Description: Novellus Systems 15-055913-00 300mm Upper Spindle Carrier Ring New Surplus
j316gallery
[view on eBay]
NEW 1
in stock
$200.80
Description: 9723 APPLIED MATERIALS KIT, 300MM EQPT RACK DC & RF PWR SPLY (NEW) 0242-30462
usedeqsales
[view on eBay]
Used 1
in stock
$908.21
Description: Novellus Systems 15-141120-00 300mm PVD Dummy Pedestal Rev. 2 Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.21
Description: Novellus Systems 02-169175-00 300mm RF Platen Assembly Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.21
Description: Novellus Systems 16-270993-00 300mm Wafer O-Ring Sabre PEM Chuck New Surplus
j316gallery
[view on eBay]
Used 1
in stock
$8,041.85
Description: 25898 APPLIED MATERIALS CHMBR BTM SST 300MM RADIANCE VANTAG 0040-54162
j316gallery
[view on eBay]
Used 1
in stock
$3,567.65
Description: 113 APPLIED MATERIALS REFLECTOR PLATE, INJECT, 300MM RADIANCE PLUS 0041-12156
senior-inc
[view on eBay]
Used 10
in stock
$1,990.00
Description: AMAT 0040-76652 SGD, LOWER SHOWER HEAD, 32RA, 300MM EMAX
usedeqsales
[view on eBay]
Used 2
in stock
$3,508.21
Description: Novellus Systems 15-122731-01 300mm HDP RF Distribution Plate Working Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.21
Description: Trazar 2511-001 Seal Plate 300mm HDP Rev. H Novellus 02-122732-00 Working Spare
j316gallery
[view on eBay]
Used 3
in stock
$616.75
Description: 26042 APPLIED MATERIALS MEMBRANE CLAMP, 300MM TITAN PROFILER 0040-48880
j316gallery
[view on eBay]
Used 3
in stock
$1,625.88
Description: 26043 APPLIED MATERIALS TOP MEMBRANE CLAMP 300MM TITAN PROFILER 0020-28055
j316gallery
[view on eBay]
Used 2
in stock
$1,229.01
Description: 26044 APPLIED MATERIALS HSR, ISOLATOR, LID, 300MM TXZ 0200-06509
j316gallery
[view on eBay]
Used 2
in stock
$631.95
Description: 26041 APPLIED MATERIALS CAP, 300MM PROFILER, OD 25CM 0040-51660
j316gallery
[view on eBay]
Used 1
in stock
$5,973.88
Description: 26063 APPLIED MATERIALS GIMBAL, INNER 300MM TITAN HEAD 0040-60763
j316gallery
[view on eBay]
Used 6
in stock
$510.30
Description: 26000 APPLIED MATERIALS 300MM SPD SLIT VALVE DOOR 0041-07783
j316gallery
[view on eBay]
Used 6
in stock
$443.98
Description: 26040 APPLIED MATERIALS BLOCKER, LOW K, GAS BOX MOUNTED, 300MM 0021-18725
j316gallery
[view on eBay]
Used 19
in stock
$1,240.86
Description: 26039 APPLIED MATERIALS BLOCKER PLATE, SIH4, BP GB, 300MM PRODUC 0020-70842
j316gallery
[view on eBay]
Used 4
in stock
$3,274.65
Description: 26065 APPLIED MATERIALS PLATE, GAS DISTRIBUTION, TXZ 300MM CVD 0041-31335
j316gallery
[view on eBay]
Used 22
in stock
$918.05
Description: 25969 APPLIED MATERIALS FACEPLATE 23MIL CENTERHOLE RF CAP, 300MM 0041-56777
j316gallery
[view on eBay]
Used 45
in stock
$976.65
Description: 25965 APPLIED MATERIALS FACEPLATE, 300MM SILANE, FLOW TEST 0020-84596
capitolareatech
[view on eBay]
Used 1
in stock
$289.95
Description: Applied Materials (AMAT) 0150-00336 CABLE ASSY, CR/FI LIGHT TOWER, 300MM
generalpublicsales
[view on eBay]
NEW 3
in stock
$499.95
Description: NEW 10-PACK GENUINE AMAT APPLIED MATERIALS 0190-49729 RTP 300MM CHAMBER LAMP
mattron747
[view on eBay]
Used 1
in stock
$2,500.00
Description: Applied Materials 0100-00584 300mm cPCI TPO Chamber Backplane
powersell007
[view on eBay]
Used 1
in stock
$11,999.00
Description: APPLIED MATERIALS 0010-27742 CASSETTE 300MM ACP BATCH LOADLOCK AMAT *UNUSED*
sgcequipment
[view on eBay]
NEW 3
in stock
$1,200.00
Description: Applied Materials (AMAT) 0040-81293 ret ring 300mm grooved pps (sealed)
sgcequipment
[view on eBay]
NEW 2
in stock
$1,200.00
Description: Applied Materials (AMAT) 0200-03432 RING,RETAINING TAPPERED 300MM GROOVED
j316gallery
[view on eBay]
Used 1
in stock
$3,079.32
Description: 26157 APPLIED MATERIALS WAFER LIFT 300MM W/ MTR ASSY, 0041-31589 0010-57402
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$23,141.80
Description: 0010-05478 / ASSY, MONOCHROMATOR CONTROL BOX, 300MM, / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$3,617.44
Description: 0021-13246 / DOOR, SLIT VALVE, 300MM EMAX / APPLIED MATERIALS AMAT
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 321-0502// AMAT APPLIED 0200-20434 INSULATOR TUBE, RF RETURN,300MM B101 HEA NEW
usedeqsales
[view on eBay]
Used 1
in stock
$2,509.21
Description: Novellus 15-118391-00 300mm Wafer Notch RF52 ESC Electrostatic Chuck Working
eisale1535
[view on eBay]
Used 1
in stock
$2,250.00
Description: AMAT 0021-61702 SST RING, COVER, HP Ultra Low Edge, 300MM RF PVD
kitusemi
[view on eBay]
NEW 1
in stock
$14,895.00
Description: APPLIED MATERIALS 300MM HEATER 0041-82918 & 300114-4615-000
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 349-0401// AMAT APPLIED 0010-14862 ASSEMBLY, THROTTLE VALVE, 300MM DUAL FLA USED
farmoninc
[view on eBay]
Used 1
in stock
$2,750.00
Description: AMAT 0041-28991 Face Plate, Flow Tested, SACVD, 300MM, 102404
j316gallery
[view on eBay]
Used 5
in stock
$7,390.37
Description: 26179 APPLIED MATERIALS HV MOD 5KV ASSY., 300MM CESC, 0010-08880 0010-11440
j316gallery
[view on eBay]
Used 7
in stock
$524.00
Description: 26207 APPLIED MATERIALS BLADE, ROBOT, 300MM, SLOPE POCKET, CLAMP 0021-32929
usedeqsales
[view on eBay]
Used 1
in stock
$909.21
Description: Novellus Systems 15-141120-00 300mm PVD Dummy Pedestal Rev. 1 Working Surplus
farmoninc
[view on eBay]
Used 1
in stock
$6,500.00
Description: CTI Cryogenics 8116081G006 On-Board Cryopump, W/ACM, w/ 300mm PVD System, 102447
farmoninc
[view on eBay]
NEW 1
in stock
$2,800.00
Description: AMAT 0041-96872 Spiral Showerhead Valencia, HTIL_DPYC, 300mm, ATO, ALD, 102562
j316gallery
[view on eBay]
Used 7
in stock
$1,378.80
Description: 26250 APPLIED MATERIALS ASSEMBLY, HOUSING EEP, 300MM, 0040-47632 0010-10663
j316gallery
[view on eBay]
Used 3
in stock
$1,500.00
Description: 26343 APPLIED MATERIALS SIGNAL DISTRIBUTION 300MM, GAS PANEL 0100-00583
j316gallery
[view on eBay]
Used 1
in stock
$332.08
Description: 26334 LAM RESEARCH PCB, DC DIST, PM, 300MM 810-800086-004
j316gallery
[view on eBay]
Used 5
in stock
$286.58
Description: 26330 LAM RESEARCH PCB, SYSTEM INTERLOCK, 300MM 810-800031-300
storefarm
[view on eBay]
NEW 5
in stock
$498.00
Description: [New] APPLIED / 0020-12002 / WINDOW, 300mm, 1pcs
jens.pens
[view on eBay]
Used 1
in stock
$750.00
Description: Applied Materials 0100-00580 0100-00581 REV001 300mm Gas Interlock, IGL Config
j316gallery
[view on eBay]
Used 1
in stock
$34,470.00
Description: 26403 APPLIED MATERIALS PEDESTAL, PIB, 300MM A101 HEATER ASSY 0010-27432
magnumpihonhi
[view on eBay]
Used 1
in stock
$242.25
Description: NEW WELDMENT 0050-80586 PURGE LINE LEFT DG 300MM DPS2 FREE SHIPPING
j316gallery
[view on eBay]
Used 20
in stock
$3,445.85
Description: 26105 APPLIED MATERIALS FACEPLATE, 70 THK, SACVD, 300MM PRODUCER SE 0041-25016
capitolareatech
[view on eBay]
NEW 2
in stock
$149.95
Description: APPLIED MATERIALS (AMAT) 0021-15538 CLAMP, PEEK, 300MM, WALKING BEAM, CLEANE
j316gallery
[view on eBay]
Used 1
in stock
$803.15
Description: 26111 APPLIED MATERIALS INSULATOR, 300MM SOURCE ADAPTOR, CERAMIC 0200-01326
j316gallery
[view on eBay]
Used 4
in stock
$1,500.00
Description: 26110 APPLIED MATERIALS FACEPLATE, 26MIL CENTERHOLE RF CAP 300MM 0041-13896
j316gallery
[view on eBay]
Used 32
in stock
$1,108.56
Description: 26109 APPLIED MATERIALS FACEPLATE, 300MM PRODUCER SA 0022-15835
j316gallery
[view on eBay]
Used 2
in stock
$754.32
Description: 26104 APPLIED MATERIALS PLATE, BLOCKER TXZ, 300MM 0021-19312
alvin1462
[view on eBay]
Used 2
in stock
$2,000.00
Description: APPLIED MATERIALS , AMAT , 0041-13111 , 0041-13114 300MM ELECTROSTATIC CHUCK
crochrc
[view on eBay]
Used 1
in stock
$2,499.99
Description: Applied Materials Mirra Upper Platen Plate 300mm 20" diameter # 0040-77041 C
j316gallery
[view on eBay]
Used 4
in stock
$1,263.90
Description: 26116 APPLIED MATERIALS CARRIER, 300MM TITAN PROFILER 0040-85033
j316gallery
[view on eBay]
Used 1
in stock
$1,847.59
Description: 26560 APPLIED MATERIALS PCB, ARTWORK, SYS CTLR BACKPLANE 300MM EPI 0100-02653
j316gallery
[view on eBay]
Used 2
in stock
$800.62
Description: 26607 APPLIED MATERIALS INNER & OUTER INJECT, CH B, 300MM RP EPI 0050-88241
ciscorpor
[view on eBay]
Used 3
in stock
$4,500.00
Description: P/N 0040-03661 / 0040-03662 AMAT Emax 300mm VHP Robot Arm Set
ciscorpor
[view on eBay]
Used 1
in stock
$5,000.00
Description: P/N 0040-50657 AMAT Emax VHP 300mm Robot Pivot Set
katiil3
[view on eBay]
NEW 1
in stock
$132.30
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 0190-14285 DIP-460-010
katiil3
[view on eBay]
Used 1
in stock
$139.30
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 lot of 2
katiil3
[view on eBay]
Used 1
in stock
$139.30
Description: Applied Materials AMAT 0041-07305 SEAL, SPINDLE, 300MM
katiil3
[view on eBay]
Used 1
in stock
$139.30
Description: AMAT Applied Materials 0010-27689 300mm Target Gravity Safe lock w/ 0020-63665
katiil3
[view on eBay]
Used 1
in stock
$48.30
Description: Applied Materials 0242-23283 KIT, 480VAC ROUGH PUMP CB, 300MM ENDURA
katiil3
[view on eBay]
Used 2
in stock
$62.30
Description: Applied materials 0190-14285 EPI 300MM Interlock Module DIP-428-015
allforsale555
[view on eBay]
Used 1
in stock
$99.00
Description: Applied Materials AMAT 0035-00151 DIAPHRAGM PAD COND 300MM LK POLISHER Qty 2x
allforsale555
[view on eBay]
Used 2
in stock
$499.00
Description: Applied Materials AMAT 0240-06312 ALT, KIT, FULLSCAN ISRM 300MM
allforsale555
[view on eBay]
Used 1
in stock
$199.00
Description: Applied Materials AMAT 0020-10829 NUT PLATE BELT GUARD 300MM REFLEXION Qty 3x
allforsale555
[view on eBay]
Used 1
in stock
$69.00
Description: Applied Materials 0020-43681 SPACER SHORT LK REFLEXION 300MM LOT OF 12
comwaysind
[view on eBay]
Used 1
in stock
$8,743.90
Description: Applied Materials 300mm Factory Information server 0401-01199 Rev. 001 V1.45
allforsale555
[view on eBay]
Used 1
in stock
$99.00
Description: Applied Materials AMAT 0021-40517 SEAL FLEX CPLG 300MM
crochrc
[view on eBay]
Used 1
in stock
$2,999.99
Description: NEW Applied Materials Upper Platen Temperature Control 300 mm 20" # 0040-08153
dgold32
[view on eBay]
Used 1
in stock
$699.99
Description: Applied Materials 0200-06862 Ceramic Isolator Lid top 300MM AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$2,512.21
Description: Novellus Systems 16-418665-00 300mm Wafer Pedestal Heater C3 ALTUS Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$2,512.21
Description: Novellus Systems 16-377679-00 300mm Wafer Pedestal Heater C3 ALTUS Working Spare
usedeqsales
[view on eBay]
Used 1
in stock
$6,012.21
Description: AMAT Applied Materials 0200-11156 Quartz Bell Jar 300mm PC XT/XTE Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$3,512.21
Description: Novellus 02-252432-00 300mm Spindle C3VCTR Concept 3 Vector Altus 15-046314-00
j316gallery
[view on eBay]
Used 26
in stock
$1,169.44
Description: 5387 APPLIED MATERIALS BLADE, ROBOT, 300MM, SLOPE POCKET, CLAMP 0021-32929
powersell007
[view on eBay]
Used 1
in stock
$19,999.00
Description: APPLIED MATERIALS 0010-30277 ESC, ASSY, 300MM DPS2 ULTIMA DPS ETCH AMAT *UNUSED*
roundtable1
[view on eBay]
NEW 1
in stock
$99.00
Description: Applied Materials 0021-30217 Bracket, Mounting, Vent Valve 300MM FNDURA2 BLLK
powersell007
[view on eBay]
Used 1
in stock
$2,999.00
Description: AMAT 0021-94017 BOTTOM LOWER SHIELD XT PEDESTAL CLEAN CHAMBER 300MM (COPPER)
j316gallery
[view on eBay]
Used 3
in stock
$8,313.10
Description: 5340 LAM RESEARCH ECHUCK328 SPUTTERING TARGET, 300MM 839-019090-328
powersell007
[view on eBay]
Used 1
in stock
$1,499.00
Description: APPLIED MATERIALS 0200-07965 SINGLE RING DPS II 300MM AMAT *CLEANED*
dgold32
[view on eBay]
Used 1
in stock
$399.99
Description: AMAT APPLIED MATERIALS FACEPLATE 300MM, SLOPED 0021-42629
j316gallery
[view on eBay]
Used 3
in stock
$15,000.00
Description: 27820 APPLIED MATERIALS 300MM CWXZ CERAMIC HEATER 0040-42541
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$693.24
Description: 0021-21851 / SPACER, TTN PILLOW BLOCK, 300MM PVD / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0040-49965 300mm Titan Head Grooved Retaining Ring GROOVED PPS AEP, 103146
farmoninc
[view on eBay]
NEW 4
in stock
$1,500.00
Description: AMAT 0040-49965 300mm Titan Head Grooved Retaining Ring GROOVED PPS AEP, 103147
farmoninc
[view on eBay]
NEW 1
in stock
$9,500.00
Description: Novellus 02-169183-00 Platen, Overash Right, Gamma 300MM, 103166
j316gallery
[view on eBay]
Used 1
in stock
$455.10
Description: 914 APPLIED MATERIALS BELLOW WAFER LIFT 300MM 0040-75296
surplustechmart
[view on eBay]
Used 1
in stock
$359.10
Description: APPLIED MATERIALS PEDESTAL TITANIUM 300MM PCII 0020-19258
surplustechmart
[view on eBay]
Used 1
in stock
$450.00
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-02916
j316gallery
[view on eBay]
Used 2
in stock
$640.77
Description: 3047 APPLIED MATERIALS CLAMP,LOWER SHIELD,300MM SIP 0020-02348
j316gallery
[view on eBay]
Used 3
in stock
$5,585.00
Description: 27322 APPLIED MATERIALS 300MM SWLL DOOR 0040-03799
j316gallery
[view on eBay]
Used 2
in stock
$6,132.33
Description: 27321 APPLIED MATERIALS 300MM SWLL DOOR 0010-43792
j316gallery
[view on eBay]
Used 6
in stock
$800.00
Description: 18582 NOVELLUS HEATER PEDESTAL ASSY 300MM 16-377679-00
senior-inc
[view on eBay]
Used 3
in stock
$720.00
Description: AMAT 0190-01401 MANIFOLD, GP PNEUM, 300MM ULTIMA / EV MANIFOLD (0040-04102)
j316gallery
[view on eBay]
Used 1
in stock
$4,968.42
Description: 27325 APPLIED MATERIALS PYROMETER, 300MM RADIANCE, RTP, 0150-00743 0010-15632
senior-inc
[view on eBay]
Used 1
in stock
$860.00
Description: AMAT 0190-01401 MANIFOLD, GP PNEUM, 300MM ULTIMA / EV MANIFOLD (0040-04102)
j316gallery
[view on eBay]
Used 1
in stock
$1,005.30
Description: 27336 APPLIED MATERIALS CARRIER, 300MM TITAN PROFILER 0040-85033
labstd
[view on eBay]
Used 1
in stock
$518.98
Description: Novellus 02-288189-00 rev D lift pin actuator 300mm
usedeqsales
[view on eBay]
Used 2
in stock
$1,502.22
Description: AMAT Applied Materials 0021-22177 PVD 300mm MOD ADV101 Cover Ring New Surplus
j316gallery
[view on eBay]
Used 3
in stock
$1,839.70
Description: 27692 APPLIED MATERIALS COVER RING, NARROW POCKET, 300MM SIP CU 0021-16783
j316gallery
[view on eBay]
Used 9
in stock
$1,452.10
Description: 27691 APPLIED MATERIALS DEP RING, CLAMPED, CLEANCOAT, 300MM ESC 0020-63125
maxisemi1349
[view on eBay]
Used 4
in stock
$650.00
Description: 0021-07586 RING,MIDDLE PURGE SST,300MM TXZ
farmoninc
[view on eBay]
Used 1
in stock
$2,200.00
Description: AMAT 0021-11368 300mm Cover Ring, TX PVD, ST Gobain TX, 104060
powersell007
[view on eBay]
Used 1
in stock
$10,999.00
Description: APPLIED MATERIALS 0040-81156 UPPER CHAMBER LINER 300MM DPS2 AMAT
katiil3
[view on eBay]
Used 1
in stock
$349.30
Description: Applied Materials AMAT 0040-84461 BRACKET NOZZLE HEAD WASH 300MM REFLEXION
katiil3
[view on eBay]
Used 1
in stock
$279.30
Description: Applied Materials AMAT 0020-87595 UPA REAR BRACKET 300MM REFLEXION LK Qty 4x
katiil3
[view on eBay]
Used 2
in stock
$279.30
Description: Applied Materials AMAT 0240-88488, 0051-90028 KIT DRIANS 300MM REFLEXION
katiil3
[view on eBay]
Used 1
in stock
$279.30
Description: Applied Materials AMAT 0020-87594 UPA FRONT BRACKET 300MM REFLEXION LK Qty 4x
katiil3
[view on eBay]
Used 2
in stock
$349.30
Description: Applied Materials AMAT 0240-88487 KIT INTEGRATION DRAINS 300MM REFLEXION SPARES
katiil3
[view on eBay]
NEW 1
in stock
$244.30
Description: Applied Materials AMAT 0240-63091 RETROFIT KIT, VD LDM, 300MM DESICA
katiil3
[view on eBay]
Used 1
in stock
$244.30
Description: Applied Materials AMAT 0051-90031 DRAIN PLATEN 3, CMP 300MM
katiil3
[view on eBay]
Used 3
in stock
$454.30
Description: Applied Materials AMAT 0020-53569 Rev. 05 BASE PLATE 2X4-PORT UPA 300MM LK REFLE
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$520.68
Description: 0021-85656 / FACEPLATE 300MM H016 XGEN / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,139.29
Description: 0270-03122 / TOOL, LIFTING, PROC. KIT, LSP, 300MM END / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$18,756.70
Description: 0040-79200 / CHAMBER UPPER Y203 300MM DPSII / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,200.68
Description: 0020-87791 / PLASMA SCREEN Y203 COATED 300MM DPSII / APPLIED MATERIALS AMAT
j316gallery
[view on eBay]
Used 2
in stock
$23,302.80
Description: 28007 APPLIED MATERIALS CENTURA TCG, EPI DIV 300MM ROT CHMBR POS ASSY 0010-36717
j316gallery
[view on eBay]
Used 1
in stock
$3,127.60
Description: 27968 APPLIED MATERIALS PUMPING PLATE AFEOL 300MM 0040-82011
j316gallery
[view on eBay]
Used 2
in stock
$27,925.00
Description: 28017 APPLIED MATERIALS MAGNET, TIN/TTN ASSY PVD, 0020-01997 300MM 0010-03487
j316gallery
[view on eBay]
Used 1
in stock
$787.76
Description: 24907 APPLIED MATERIALS PCB, INTLK MOD EMAX 300MM, DIP498, 15049804 0190-07052
j316gallery
[view on eBay]
Used 3
in stock
$350.01
Description: 8097 LAM RESEARCH SYS INTLK, 300MM, CTRL BOX, 714-802323-003 810-800031-300
globaltech18
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT Applied materials mf #1 interlocks 300mm liner platform 0130-02333 REV. 05
tamoldelectronic
[view on eBay]
Used 1
in stock
$599.00
Description: AMAT SENSO-DG-PRPJ Chamber CABLE ASSY AVP CABINET MONITOR 300MM SA 0150-26997
surplustechmart
[view on eBay]
Used 12
in stock
$130.50
Description: AMAT 0240-82651 409940R10-WB RETROFIT KIT MEG BBX LDM HANDLE 300MM CLEANER MISC
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-87999 HOSE ASSY, HIGH FREQ, RF GEN RETURN 1FT PIGTAIL, 300MM, 104229
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,468.73
Description: 0040-23319 / MANIFOLD, 300MM SOURCE WATER / APPLIED MATERIALS AMAT
surplustechmart
[view on eBay]
Used 1
in stock
$337.50
Description: AMAT TiW-ESC 0021-85650 300mm Applied Materials SST Shutter Disk SNNF HTESC
sgcequipment
[view on eBay]
NEW 5
in stock
$120.00
Description: Novellus 02-277948-00 HOLDER, ASSY MOER RING 300MM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$876.23
Description: 0020-47673 / HOOP UNIVERSAL, 300MM RPG P5 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$327.00
Description: 0050-27752 / WELDMENT UPPER, NF3, RPSII, 300MM PRODUC / APPLIED MATERIALS AMAT
zoro
[view on eBay]
Used 1
in stock
$974.35
Description: Applied Materials AMAT 0010-24107 RF 300mm Enabler Cathode/Electrode Centura
j316gallery
[view on eBay]
Used 1
in stock
$4,904.63
Description: 27949 APPLIED MATERIALS SHIELD, INNER, SIP ENCORE, 300MM, CLEANC 0021-34405
j316gallery
[view on eBay]
Used 2
in stock
$9,810.50
Description: 27976 APPLIED MATERIALS SHOWERHEAD HEATED BRAZED 300MM AFEOL 0040-88628
j316gallery
[view on eBay]
Used 2
in stock
$4,904.63
Description: 27952 APPLIED MATERIALS SHIELD, MIDDLE, 12.46 LG, SIP CU, 300MM 0020-83706
j316gallery
[view on eBay]
Used 2
in stock
$4,466.88
Description: 27951 APPLIED MATERIALS SHIELD, LOWER, ENCORE CU, 300MM 0021-33981
j316gallery
[view on eBay]
Used 4
in stock
$6,132.33
Description: 27975 APPLIED MATERIALS COVER RING, AL CH W/ LCF, ESC, 300MM PVD 0020-99175
j316gallery
[view on eBay]
Used 10
in stock
$2,452.93
Description: 27958 APPLIED MATERIALS COVER RING, CLAMPED, CLEANCOAT, 300MM ES 0020-63124
katiil3
[view on eBay]
Used 4
in stock
$559.30
Description: Applied Materials AMAT 0020-28944 WEIGHT RING 300MM PROFILER

This tag has been viewed 51 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
yayais2012 Used - $780.00 0 Aug/13/12 Sep/12/12
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
athomemarket Used - $53.99 0 Aug/12/12 Sep/11/12
Description: AMAT 300MM Robot Mainframe Lift Sling 0190-03363
ptb-sales Refurbished - $250.00 0 Aug/24/12 Sep/03/12
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
supertechshop Used - $299.00 0 Aug/31/12 Sep/30/12
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Aug/31/12 Sep/30/12
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Aug/31/12 Sep/30/12
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
athomemarket Used - $53.99 0 Sep/11/12 Oct/11/12
Description: AMAT 300MM Robot Mainframe Lift Sling 0190-03363
yayais2012 Used - $780.00 0 Sep/12/12 Oct/12/12
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
ptb-sales Refurbished - $250.00 0 Sep/17/12 Sep/27/12
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket NEW - $51.99 0 Sep/20/12 Oct/20/12
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
ptb-sales Refurbished - $250.00 0 Oct/09/12 Oct/19/12
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
prism_electronics6 Used - $499.95 2 Oct/23/12 Mar/23/15
Description: Lam Research ESC ASSY DZ CLG 2 FNSH 300MM 839-800327-385
supertechshop Used - $299.00 0 Nov/01/12 Dec/01/12
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Nov/01/12 Dec/01/12
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Nov/01/12 Dec/01/12
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
yayais2012 Used - $780.00 0 Nov/12/12 Dec/12/12
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
prism_electronics3 NEW - $6,999.99 1 Oct/19/12 Feb/17/14
Description: LAM RESEARCH 839-019090-328 SPUTTERING TARGET 300MM
ptb-sales Refurbished - $250.00 0 Nov/26/12 Dec/06/12
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
supertechshop Used - $299.00 0 Dec/05/12 Jan/04/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Dec/05/12 Jan/04/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Dec/05/12 Jan/04/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
ptb-sales Refurbished - $250.00 0 Dec/06/12 Dec/16/12
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
usedeqsales Used - $37.84 2 Aug/09/12 Mar/20/14
Description: Applied Materials AMAT 300mm Cryo Drip Bracket 0020-42229 Lot of 2 New
ecomicron Used - $3,000.00 1 Sep/14/11 Oct/16/18
Description: LOCAL MATCH ASSY, TOP ULTIMA X HDP CVD 300MM, 0010-32149
usedeqsales Used - $3,509.07 1 Dec/05/07 Nov/09/12
Description: Equipe PRE-301B PRI Automation 300mm Prealigner used working surplus
athomemarket Used - $55.99 0 Dec/10/12 Jan/09/13
Description: AMAT 300MM Robot Mainframe Lift Sling 0190-03363
redlinemerch Used - $95.00 1 Dec/16/12 Apr/27/14
Description: Novellus 12" chuck pem 300mm o-ring 16-270993-00 , 102480 , rev.B
svcstore Used - $183.99 0 Dec/17/12 Dec/20/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $137.99 0 Dec/17/12 Dec/20/12
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ptb-sales Used - $3,000.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
pacegallery Used - $29.00 0 Dec/17/12 May/21/13
Description: APPLIED MATERIALS 0250-15438 300MM REFLEXION LDM EXHAUST STIFF HOSE UPGRADE PROC
sellyoursurplus NEW - $250.00 0 Dec/15/12 Dec/22/12
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
bobsgoodies NEW - $185.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0190-01170 Valve Hex Supply, 300mm Centura 8A-PR8-EPRT-SS-2822
ptb-sales Refurbished - $250.00 0 Dec/17/12 Dec/27/12
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
prism_electronics5 NEW - $249.99 1 Nov/28/12 Oct/25/17
Description: NEW Lam Research System Interlock, 300mm Board, 810-800031-300 Rev: A
acesemi2010 NEW - $178.00 0 Nov/01/11 Dec/16/12
Description: Applied Materials 0021-17988 BRACKET, HANDLE MOUNTING RING 300MM DPS2 AMAT
usedeqsales Used - $1,009.12 1 Sep/10/12 Dec/18/12
Description: Asyst 300FL, S2.1, HAMA ROX Load Port 9700-8106-01 300mm Used, Working
athomemarket Used - $284.39 0 Dec/19/12 Jan/18/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $239.39 0 Dec/19/12 Jan/18/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $47.69 0 Dec/19/12 Jan/18/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
supertechshop NEW - $299.00 0 Dec/19/12 Jan/18/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
yayais2012 NEW - $650.00 0 Dec/19/12 Jan/18/13
Description: Applied Materials PEDESTAL QUARTZ LARGER PIN DIAMETER 300mm PRECLEAN
svcstore Used - $183.99 0 Dec/20/12 Dec/23/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $137.99 0 Dec/20/12 Dec/23/12
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket NEW - $1,183.49 0 Dec/22/12 Jan/21/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore Used - $183.99 0 Dec/23/12 Dec/26/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $137.99 0 Dec/23/12 Dec/26/12
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket NEW - $435.59 0 Dec/24/12 Jan/23/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcstore Used - $183.99 0 Dec/26/12 Dec/29/12
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $137.99 0 Dec/26/12 Dec/29/12
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ptb-sales Used - $3,000.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Dec/27/12 Jan/06/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
sellyoursurplus NEW - $250.00 0 Dec/27/12 Jan/26/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $295.00 0 Dec/27/12 Jan/26/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
svcstore Used - $183.99 0 Dec/29/12 Jan/01/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $137.99 0 Dec/29/12 Jan/01/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop NEW - $699.00 0 Dec/29/12 Jan/28/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
supertechshop NEW - $699.00 0 Dec/29/12 Jan/28/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $299.00 0 Dec/29/12 Jan/28/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
usedeqsales NEW - $2,108.48 0 Dec/31/12 Jan/08/13
Description: AMAT Applied Materials Shimadzu 300mm EChain Assembly 0010-32580 new
redrockranch NEW - $189.00 0 Jan/01/13 Jan/31/13
Description: Preclean Shield 0021-21065 003 Amat 300mm
svcstore Used - $137.99 0 Jan/01/13 Jan/04/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $183.99 0 Jan/02/13 Jan/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
used1eqsales Used - $2,001.13 0 Jan/03/13 Jun/02/13
Description: AMAT Endura Controller 0010-31159 PVD Chamber 300MM
usedeqsales Used - $3,512.12 1 Dec/31/12 Jan/02/13
Description: Kawasaki 3NS001S-L003 300mm NF Prealigner 0190-14786 working
athomemarket Used - $4,425.29 0 Jan/03/13 Feb/02/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
capitolareatech NEW - $15,000.00 0 Jan/04/13 Sep/01/13
Description: AMAT 0010-10868 ASSY, ADAPTER VECTRA IMP 300MM W/ INSERTS
capitolareatech NEW - $2,800.00 0 Jan/04/13 Jun/03/13
Description: AMAT 0010-03060 300MM SST PR CH SLIT VALVE DR REV 3 ASSE
capitolareatech NEW - $650.00 0 Jan/04/13 Sep/01/13
Description: AMAT 0200-36002 WINDOW,SAPHIRE 300MM ASP
yayais2012 Used - $620.00 0 Jan/05/13 Feb/04/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Jan/05/13 Feb/04/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop NEW - $299.00 0 Jan/05/13 Feb/04/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
athomemarket Used - $212.39 0 Jan/05/13 Feb/04/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
athomemarket Used - $17.99 0 Jan/05/13 Feb/04/13
Description: AMAT 0040-01946 Foot Large 300MM Leveling Mount Centura
svcstore Used - $183.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $137.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ptb-sales Used - $3,000.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Jan/07/13 Jan/17/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
supertechshop NEW - $499.00 0 Jan/07/13 Feb/06/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
capitolareatech NEW - $250.00 1 Jan/04/13 Jan/07/13
Description: TEL D4EX21001-002 THERMOCOUPLE 1PTS PROFILE CONTROL 300MM
usedeqsales Refurbished - $1,750.57 1 Jan/08/13 May/15/13
Description: Novellus 02-312736-00 300mm Vector Spindle Assembly Rev.A refurbished
prism_electronics6 NEW - $499.99 1 Jan/08/13 Oct/25/17
Description: NEW Lam Research System Interlock 300mm Board 810-800031-300 Rev: B
athomemarket Used - $244.99 1 Jan/08/13 Jan/09/13
Description: AMAT 0010-18024 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket Used - $267.29 0 Jan/08/13 Feb/07/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer 2mm Probe
athomemarket Used - $50.39 0 Jan/09/13 Jan/24/13
Description: AMAT 300MM Robot Mainframe Lift Sling 0190-03363
athomemarket Used - $229.49 0 Jan/10/13 Feb/09/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
svcstore Used - $169.99 0 Jan/10/13 Jan/13/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $126.99 0 Jan/10/13 Jan/13/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
bobsgoodies NEW - $149.00 1 Jan/11/13 Jan/14/13
Description: Applied Materials 0270-00901 Handle, SIP Chamber Lifting, 300mm Endura
bobsgoodies NEW - $35.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0190-07379 Rev.001 Etch Centura AP Release E1.2 Disc 1 300mm control system
usedeqsales NEW - $4,501.13 2 Jan/11/13 Jul/08/13
Description: AMAT Applied Materials 0010-12802 300mm Titan-I Head Edge Fast Tungsten Process
yayais2012 Used - $780.00 0 Jan/12/13 Feb/11/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
athomemarket NEW - $215.99 0 Jan/12/13 Feb/11/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket NEW - $58.49 0 Jan/12/13 Feb/11/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
svcstore Used - $126.99 0 Jan/13/13 Jan/16/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
bobsgoodies NEW - $185.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0190-01170 Valve Hex Supply, 300mm Centura 8A-PR8-EPRT-SS-2822
usedeqsales NEW - $808.12 1 Aug/08/12 Jan/10/13
Description: Applied Materials AMAT Valve Process Kit 300mm 0242-13944 New
svcstore Used - $169.99 0 Jan/14/13 Jan/17/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
intertecmodulestore NEW - $3,999.00 0 Jan/14/13 Feb/13/13
Description: AMAT 0200-02679 LINER QRT WALL ,300MM RPO
usedeqsales Used - $150.57 1 Jan/15/13 Apr/14/13
Description: AMAT Applied Materials 0010-03051 300mm Slit Valve NCDQ2B125-UIA97 working
usedeqsales NEW - $401.13 0 Jan/16/13 Dec/04/14
Description: AMAT Applied Materials 0021-81146 300mm HDPCVD Telfon 18" Dome Ring new
svcstore Scrap, for parts - $1,499.99 0 Jan/13/13 Jan/16/13
Description: Brooks Automation Fixload 6 300mm Wafer Load Port Transfer Handler 013096-369-20
hdsemispares Used - $5.00 1 Jan/17/13 Jan/27/13
Description: APPLIED MATERIALS AMAT 300MM SMC SLIT VALVE ACTUATOR 0040-13423
hdsemispares Used - $5.00 1 Jan/17/13 Jan/27/13
Description: APPLIED MATERIALS AMAT 300MM STATOR 0190-02700
svcstore Used - $169.99 0 Jan/17/13 Jan/20/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $126.99 0 Jan/17/13 Jan/20/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ptb-sales Used - $3,000.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Jan/18/13 Jan/28/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $315.99 0 Jan/18/13 Feb/17/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $265.99 0 Jan/18/13 Feb/17/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $52.99 0 Jan/18/13 Feb/17/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
svcstore Scrap, for parts - $1,499.99 0 Dec/21/12 Dec/24/12
Description: Brooks Automation Fixload 6 300mm Wafer Load Port Transfer Handler 013096-588-20
svcstore Used - $126.99 0 Jan/20/13 Jan/23/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $169.99 0 Jan/20/13 Jan/23/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
testeqe Used - $5,866.93 0 Sep/21/09 Dec/24/14
Description: AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
40-30sourcing NEW - $2,435.00 1 May/19/11 Sep/05/13
Description: AMAT 0010-18024W - REFURBISHED PYROMETER, 2MM PROBE, 300MM RADIANCE RTP
usedeqsales Used - $1,004.12 0 Apr/26/12 Apr/09/14
Description: AMAT Centura Applied Materials 300MM Radiance Chamber AC as-is 0180-02403
acesemi2010 NEW - $36.00 0 Feb/26/12 May/09/13
Description: Applied Materials 0190-06677 EXCLUSION CIRCUIT, PNEUMATIC, 300MM TXZ AMAT
acesemi2010 Used - $299.00 0 Feb/26/12 Jun/27/14
Description: Applied Materials 0190-13312 CABLE ASSY., 300MM CHM ION GAUGE (3FT) AMAT
svcstore Used - $2,499.99 0 Jan/21/13 Jan/24/13
Description: Asyst EG-300B-009 300mm Wafer Processing Robot Aligner Prealigner 24VDC/3A
usedeqsales Used - $2,012.08 4 Dec/30/08 Jul/08/13
Description: AMAT Mirra 300mm Titan Head 0010-29563 rebuilt
bizbonanza Used - $1,295.00 0 Jan/22/13 Feb/21/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
athomemarket NEW - $1,314.99 0 Jan/22/13 Feb/21/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
supertechshop NEW - $299.00 0 Jan/22/13 Feb/21/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $126.99 0 Jan/23/13 Jan/26/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $169.99 0 Jan/23/13 Jan/26/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
metrology123 Used - $1,299.00 0 Jan/23/13 Sep/20/13
Description: Applied materials 300MM FEOL CHAMBER INTLK 0090-03868 REV. 004
metrology123 Used - $1,299.00 0 Jan/23/13 Sep/20/13
Description: Applied materials 300MM FEOL GAS PANEL INTLK 0090-03870
hdsemispares Used - $5.00 1 Jan/24/13 Jan/31/13
Description: LFD 300MM RADIANCE PCB 0100-01749 AMAT APPLIED MATERIALS (lot of 3)
athomemarket NEW - $483.99 0 Jan/24/13 Feb/23/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
usedeqsales NEW - $501.13 0 Jan/25/13 May/05/15
Description: AMAT Applied Materials 0041-33021 300mm HHXP Robot Axis-0 Magnet Hub new
svcstore Used - $169.99 0 Jan/26/13 Jan/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $126.99 0 Jan/26/13 Jan/29/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
systasemi Used - $7,000.00 0 Jan/27/13 Feb/26/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
ptb-sales Used - $3,000.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Jan/28/13 Feb/07/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
supertechshop Used - $299.00 0 Jan/29/13 Feb/28/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop NEW - $699.00 0 Jan/29/13 Feb/28/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
svcstore Used - $126.99 0 Jan/29/13 Feb/01/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $169.99 0 Jan/29/13 Feb/01/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop NEW - $699.00 0 Jan/30/13 Mar/01/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
usedeqsales NEW - $401.13 0 Jan/30/13 May/05/15
Description: AMAT Applied Materials 0021-34715 Low 300mm Cathode Liner new
redrockranch NEW - $189.00 0 Jan/31/13 Mar/02/13
Description: Preclean Shield 0021-21065 003 Amat 300mm
bruce135 Used - $50.00 0 Jan/31/13 Mar/01/13
Description: 300mm Entegris KTA 3004A Wafer Transport Tank Carrier w/ Lock-Top, Clear Tray
bruce135 Used - $69.00 0 Jan/31/13 Mar/01/13
Description: Entegris KTL3004A 300mm Wafer Transport Tank Carrier w/ Lock-Top & White Tray
prism_electronics6 Used - $999.99 2 Feb/01/13 Aug/31/16
Description: Novellus 300mm Vector Spindle Assembly R02-130617-00 Rev G w Top Gear Assembly
prism_electronics6 Used - $999.99 1 Feb/01/13 Aug/31/16
Description: Novellus 300mm Vector Spindle Assembly R02-130617-00 Rev G
svcstore Used - $126.99 0 Feb/01/13 Feb/04/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $169.99 0 Feb/01/13 Feb/04/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
visionsemi NEW - $3,600.00 1 Dec/06/12 Feb/01/13
Description: AMAT APPLIED MATERIALS 0010-21748 ASSY, RF MATCH 300MM PRECLEAN/RPC PVD
athomemarket Used - $4,916.99 0 Feb/03/13 Mar/05/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
athomemarket Used - $235.99 0 Feb/04/13 Mar/06/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
athomemarket Used - $19.99 0 Feb/04/13 Feb/26/13
Description: AMAT 0040-01946 Foot Large 300MM Leveling Mount Centura
yayais2012 Used - $620.00 0 Feb/04/13 Mar/06/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
svcstore Used - $169.99 0 Feb/04/13 Feb/07/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $126.99 0 Feb/04/13 Feb/07/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop NEW - $299.00 0 Feb/05/13 Mar/07/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $299.00 0 Feb/05/13 Mar/07/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $2,475.00 0 Feb/05/13 Mar/07/13
Description: Lam Research 839-019090-611 ESC 300mm Tunable Electrostatic Chuck / Warranty
supertechshop NEW - $499.00 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $375.00 0 Feb/06/13 Mar/08/13
Description: NEW AMAT 0200-02408 Pumping Ring Side-2 Ceramic C-channel 300mm Producer SE
bobsgoodies NEW - $217.00 0 Feb/07/13 Mar/07/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
ptb-sales Used - $3,000.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Feb/07/13 Feb/17/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $296.99 0 Feb/07/13 Mar/09/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer 2mm Probe
trees_for_a_better_tomorrow Used - $435.00 0 Feb/08/13 Jun/08/13
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $362.50 1 Feb/08/13 Mar/15/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
athomemarket Used - $254.99 0 Feb/09/13 Mar/11/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
bobsgoodies NEW - $99.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0140-01339 Harness Assy, Transfer Chamber Sensors 300MM Applied Materials
bobsgoodies NEW - $385.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0190-36471 Switch Assembly H2O Flow 15GPM 300MM RTP Alpha
bobsgoodies NEW - $250.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0040-03508 Feedthrough Upper SWLL, 300MM (Assy: 0242-09885)
bobsgoodies NEW - $35.00 1 Feb/11/13 Feb/13/13
Description: AMAT 0190-07379 Rev.001 Etch Centura AP Release E1.2 Disc 1 300mm control system
athomemarket NEW - $239.99 0 Feb/11/13 Mar/13/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
yayais2012 Used - $780.00 0 Feb/11/13 Mar/13/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
athomemarket NEW - $64.99 0 Feb/12/13 Mar/14/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
svcstore Used - $156.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $116.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
intertecmodulestore NEW - $3,999.00 0 Feb/14/13 Mar/16/13
Description: AMAT 0200-02679 LINER QRT WALL ,300MM RPO
bobsgoodies NEW - $185.00 0 Feb/15/13 Mar/17/13
Description: AMAT 0190-01170 Valve Hex Supply, 300mm Centura 8A-PR8-EPRT-SS-2822 W/Test port
svcstore Used - $156.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ab-international Used - $31.49 0 Feb/16/13 Mar/12/24
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
svcstore Used - $116.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ptb-sales Used - $3,000.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Feb/18/13 Feb/28/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $315.99 0 Feb/18/13 Mar/20/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $265.99 0 Feb/18/13 Mar/20/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $52.99 0 Feb/18/13 Mar/20/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
farmoninc NEW - $1,500.00 0 Feb/19/13 Dec/14/16
Description: 5 NEW AMAT 0150-01790 power cable assy, cell plating, 300mm, ECP
svcstore Used - $156.99 0 Feb/19/13 Feb/22/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $116.99 0 Feb/19/13 Feb/22/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
systasemi Used - $80,000.00 0 Feb/22/13 Mar/24/13
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
athomemarket NEW - $1,314.99 0 Feb/21/13 Mar/23/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
supertechshop NEW - $299.00 0 Feb/22/13 Mar/24/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $156.99 0 Feb/22/13 Feb/25/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket NEW - $483.99 0 Feb/23/13 Mar/25/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcstore Used - $116.99 0 Feb/23/13 Feb/26/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $156.99 0 Feb/25/13 Feb/28/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $116.99 0 Feb/26/13 Mar/01/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
sellyoursurplus NEW - $250.00 0 Feb/26/13 Mar/05/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $295.00 0 Feb/26/13 Mar/05/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
sellyoursurplus Used - $115.00 0 Feb/26/13 Mar/05/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $100.00 0 Feb/26/13 Mar/05/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
dr.fantom Scrap, for parts - $1,600.00 1 Feb/27/13 Mar/28/13
Description: Applied Materials Rotation R3.4 0010-32613 w/Drive Card AMAT 300MM 0041-01013
bobsgoodies NEW - $317.00 0 Feb/27/13 Mar/18/13
Description: Applied Materials 1310-00015 T/C Roof Top Dual Circuit 300MM Ultima SDSM-1616
bizbonanza Used - $1,295.00 0 Feb/27/13 Mar/30/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
ptb-sales Used - $3,000.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Feb/28/13 Mar/10/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Used - $156.99 0 Feb/28/13 Mar/03/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $116.99 0 Mar/01/13 Mar/04/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop NEW - $699.00 0 Mar/01/13 Apr/01/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $299.00 0 Mar/01/13 Apr/01/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svcstore Used - $156.99 0 Mar/03/13 Mar/06/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
redrockranch NEW - $189.00 0 Mar/04/13 Apr/03/13
Description: Preclean Shield 0021-21065 003 Amat 300mm
svcstore Used - $116.99 0 Mar/04/13 Mar/07/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop NEW - $699.00 0 Mar/05/13 Apr/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
usedeqsales Scrap, for parts - $3,503.13 1 Mar/05/13 Mar/06/13
Description: AMAT Applied Materials ENDURA 300mm Chamber Assembly 0010-04662
ab-international Used - $37.17 0 Mar/05/13 Feb/23/24
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
ab-international Used - $37.17 0 Mar/05/13 Feb/23/24
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
athomemarket Used - $4,916.99 0 Mar/05/13 Apr/04/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
systasemi Used - $7,000.00 0 Mar/05/13 Apr/04/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Scrap, for parts - $17,501.60 1 Mar/06/13 Apr/16/13
Description: AMAT Applied Materials ENDURA 300mm Chamber Assembly 0010-04662
svcstore Used - $156.99 0 Mar/06/13 Mar/09/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
yayais2012 Used - $620.00 0 Mar/07/13 Apr/06/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
bobsgoodies NEW - $217.00 0 Mar/07/13 Apr/06/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
athomemarket Used - $235.99 0 Mar/07/13 Apr/06/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
svcstore Used - $116.99 0 Mar/07/13 Mar/10/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop NEW - $299.00 0 Mar/07/13 Apr/06/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Mar/07/13 Apr/06/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $2,475.00 1 Mar/07/13 Mar/27/13
Description: Lam Research 839-019090-611 ESC 300mm Tunable Electrostatic Chuck / Warranty
supertechshop NEW - $375.00 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0200-02408 Pumping Ring Side-2 Ceramic C-channel 300mm Producer SE
supertechshop NEW - $499.00 0 Mar/09/13 Apr/08/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
athomemarket Used - $296.99 0 Mar/10/13 Apr/09/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore Used - $156.99 0 Mar/10/13 Mar/13/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $116.99 0 Mar/10/13 Mar/13/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ptb-sales Used - $3,000.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Mar/11/13 Mar/21/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $254.99 0 Mar/12/13 Apr/11/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
bobsgoodies NEW - $385.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0190-36471 Switch Assembly H2O Flow 15GPM 300MM RTP Alpha
bobsgoodies NEW - $250.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-03508 Feedthrough Upper SWLL, 300MM (Assy: 0242-09885)
yayais2012 Used - $780.00 0 Mar/14/13 Apr/13/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
athomemarket NEW - $64.99 0 Mar/14/13 Apr/13/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
sellyoursurplus Used - $115.00 0 Mar/17/13 Mar/24/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $250.00 0 Mar/17/13 Mar/24/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $100.00 0 Mar/17/13 Mar/24/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $295.00 0 Mar/17/13 Mar/24/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
bobsgoodies NEW - $317.00 0 Mar/18/13 Apr/17/13
Description: Applied Materials 1310-00015 T/C Roof Top Dual Circuit 300MM Ultima SDSM-1616
bobsgoodies NEW - $185.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0190-01170 Valve Hex Supply, 300mm Centura 8A-PR8-EPRT-SS-2822 W/Test port
svcstore Used - $144.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $107.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
used1eqsales Used - $10,003.10 0 Mar/19/13 Nov/11/14
Description: AMAT Quantum Leap 3 Process Wheel used 300mm 0040-49084
capitolareatech NEW - $45.00 0 Mar/19/13 Sep/15/13
Description: AMAT 0090-76050 ASSEMBLY,300MM OTF RECEIVER,J4
usedeqsales NEW - $906.10 0 Mar/19/13 May/04/15
Description: AMAT Spliced O-Rings 300mm 0190-15796 Lot of 9 New
visionsemi Used - $1,600.00 1 Mar/19/13 May/07/13
Description: AMAT APPLIED MATERIALS 0010-26180 HE RF MATCH BIAS W/FILTER PCB 300MM
used1eqsales NEW - $1,203.13 0 Mar/20/13 Nov/10/16
Description: AMAT Applied Materials 0270-02530 300mm TXZ View Lid Assembly new
used1eqsales NEW - $803.13 0 Mar/20/13 May/26/17
Description: AMAT Applied Materials 0010-08061 300mm Preclean Viewpoint Assembly new
athomemarket Used - $315.99 0 Mar/20/13 Apr/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $265.99 0 Mar/20/13 Apr/19/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $52.99 0 Mar/20/13 Apr/19/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
ptb-sales Used - $3,000.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Mar/21/13 Mar/31/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Used - $144.99 0 Mar/21/13 Mar/24/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $107.99 0 Mar/21/13 Mar/24/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
used1eqsales Used - $3,503.13 1 Mar/22/13 Mar/22/13
Description: AMAT Applied Materials CPI-VMO 412619-P4-ECH3 300mm MD Chamber 0010-22568 as-is
usedeqsales NEW - $603.13 0 Mar/22/13 Dec/04/14
Description: AMAT 300mm Quick Change Brush 0020-45744 Lot 5 New Sealed
usedeqsales NEW - $403.13 0 Mar/22/13 Dec/04/14
Description: AMAT Applied Materials 0020-14762 300mm Loadcup Centering Cone New
used1eqsales NEW - $522.03 0 Mar/22/13 Jun/20/13
Description: AMAT Applied Materials 0270-00877 300mm Endura Lifting Tool new
athomemarket NEW - $1,314.99 0 Mar/24/13 Apr/23/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore Used - $107.99 0 Mar/24/13 Mar/27/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $144.99 0 Mar/24/13 Mar/27/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
systasemi Used - $80,000.00 0 Mar/25/13 Apr/24/13
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
usedeqsales NEW - $303.13 1 Mar/25/13 Mar/01/18
Description: AMAT Applied Materials 0010-28224 300mm MCA Heater SST Cover Kit 0010-28233 new
supertechshop NEW - $299.00 0 Mar/26/13 Apr/25/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
athomemarket NEW - $483.99 0 Mar/26/13 Apr/25/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcstore Used - $144.99 0 Mar/27/13 Mar/30/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $107.99 0 Mar/27/13 Mar/30/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $107.99 0 Mar/30/13 Apr/02/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $144.99 0 Mar/30/13 Apr/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
intertecmodulestore NEW - $2,500.00 0 Apr/01/13 May/01/13
Description: AMAT 0200-02679 LINER QRT WALL ,300MM RPO
ptb-sales Used - $3,000.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Apr/01/13 Apr/11/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
usedeqsales Scrap, for parts - $604.13 0 Apr/01/13 May/04/15
Description: Yaskawa XU-ACP130-A13 300mm Prealigner AMAT 0190-34910 Untested Not Working
athomemarket NEW - $6,999.99 0 Apr/01/13 Apr/08/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore Used - $107.99 0 Apr/02/13 Apr/05/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $144.99 0 Apr/02/13 Apr/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop NEW - $699.00 0 Apr/03/13 May/03/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $299.00 0 Apr/03/13 May/03/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
redrockranch NEW - $189.00 0 Apr/04/13 May/04/13
Description: Preclean Shield 0021-21065 003 Amat 300mm
alvin1462 NEW - $2,388.00 0 Apr/04/13 Jul/03/13
Description: Applied Materials AMAT 0200-02468 7INER, CHAMBER, UPPER , QUARTZ , 300MM EPI RP
alvin1462 Used - $8,888.00 0 Apr/04/13 Jan/18/22
Description: Applied Materials AMAT 0200-03166 Ceramics. QUARTZ DOME , UPPER , EPI 300MM RP.
athomemarket Used - $163.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $4,916.99 0 Apr/05/13 May/05/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore Used - $107.99 0 Apr/05/13 Apr/08/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $144.99 0 Apr/05/13 Apr/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
yayais2012 Used - $620.00 0 Apr/06/13 May/06/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
athomemarket Used - $235.99 0 Apr/06/13 May/06/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
supertechshop NEW - $699.00 0 Apr/06/13 May/06/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
sellyoursurplus NEW - $250.00 0 Apr/07/13 Apr/14/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $115.00 0 Apr/07/13 Apr/14/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $100.00 0 Apr/07/13 Apr/14/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $295.00 0 Apr/07/13 Apr/14/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
systasemi Used - $7,000.00 0 Apr/07/13 May/07/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
bobsgoodies NEW - $117.00 0 Apr/08/13 May/08/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
farmoninc NEW - $450.00 0 Apr/08/13 Sep/05/13
Description: AMAT 0140-02118 Harness assy, DPS Metal, 300MM J5 Conn
svcstore Used - $144.99 0 Apr/08/13 Apr/11/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $107.99 0 Apr/08/13 Apr/11/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket Used - $296.99 0 Apr/09/13 May/09/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer 2mm Probe
athomemarket Used - $309.99 0 Apr/09/13 Apr/16/13
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
supertechshop NEW - $299.00 0 Apr/10/13 May/10/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $299.00 0 Apr/10/13 May/10/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $299.00 0 Apr/10/13 May/10/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $199.00 0 Apr/10/13 May/10/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Apr/10/13 May/10/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop NEW - $375.00 0 Apr/10/13 May/10/13
Description: NEW AMAT 0200-02408 Pumping Ring Side-2 Ceramic C-channel 300mm Producer SE
supertechshop NEW - $499.00 0 Apr/10/13 May/10/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
athomemarket NEW - $6,999.99 0 Apr/10/13 May/10/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
ptb-sales Used - $3,000.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Apr/11/13 Apr/21/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $254.99 0 Apr/11/13 May/11/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
svcstore Used - $144.99 0 Apr/11/13 Apr/14/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $107.99 0 Apr/11/13 Apr/14/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket Used - $163.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
yayais2012 Used - $780.00 0 Apr/15/13 May/15/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
bobsgoodies NEW - $99.00 0 Apr/12/13 May/12/13
Description: AMAT 0140-01339 Harness Assy, Transfer Chamber Sensors 300MM Applied Materials
sellyoursurplus Used - $100.00 0 Apr/16/13 May/16/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $250.00 0 Apr/16/13 May/16/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $115.00 0 Apr/16/13 May/16/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $295.00 0 Apr/16/13 May/16/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
bobsgoodies NEW - $317.00 0 Apr/17/13 May/17/13
Description: Applied Materials 1310-00015 T/C Roof Top Dual Circuit 300MM Ultima SDSM-1616
bobsgoodies NEW - $185.00 0 Apr/17/13 May/17/13
Description: AMAT 0190-01170 Valve Hex Supply, 300mm Centura 8A-PR8-EPRT-SS-2822 W/Test port
athomemarket NEW - $199.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $309.99 0 Apr/17/13 May/17/13
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore Used - $133.99 0 Apr/17/13 Apr/20/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 Apr/17/13 Apr/20/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket Used - $315.99 0 Apr/19/13 May/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $52.99 0 Apr/19/13 May/19/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $265.99 0 Apr/19/13 May/19/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
svcstore Used - $98.99 0 Apr/20/13 Apr/23/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $133.99 0 Apr/20/13 Apr/23/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $3,000.00 0 Apr/22/13 May/02/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 Apr/22/13 May/02/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
bobsgoodies NEW - $187.00 0 Apr/23/13 May/17/13
Description: Applied Materials 0040-03746 Bellows Weldment 300mm Lift Assembly HPDCVD
athomemarket NEW - $1,314.99 0 Apr/23/13 May/23/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore Used - $98.99 0 Apr/23/13 Apr/26/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $133.99 0 Apr/23/13 Apr/26/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $819.99 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
systasemi Used - $80,000.00 0 Apr/24/13 May/24/13
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
sellyoursurplus NEW - $250.00 0 Apr/24/13 May/01/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $115.00 0 Apr/24/13 May/01/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
athomemarket NEW - $483.99 0 Apr/25/13 May/25/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
supertechshop NEW - $299.00 0 Apr/25/13 May/25/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
sellyoursurplus Used - $100.00 0 Apr/25/13 May/02/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $295.00 0 Apr/25/13 May/02/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
athomemarket NEW - $199.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore Used - $133.99 0 Apr/26/13 Apr/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 Apr/26/13 Apr/29/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $133.99 0 Apr/29/13 May/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 Apr/29/13 May/02/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
dr.dantom Used - $4,000.00 0 Apr/30/13 Feb/12/15
Description: Applied Materials / AMAT Endura Controller 0010-22911 PVD Chamber 300MM WORKING!
dr.fantom Used - $4,000.00 0 Apr/30/13 Feb/12/15
Description: Applied Materials / AMAT Endura Controller 0010-31159 PVD Chamber 300MM WORKING!
dr.fantom Used - $1,800.00 0 Apr/30/13 Feb/12/15
Description: Applied Materials/AMAT 0010-19010 MOTORIZED LIFT 300MM w/TILT GearBox 0190-07643
bobsgoodies NEW - $197.00 0 Apr/30/13 May/17/13
Description: AMAT 0190-02456 SET, HOSE, ASSY 1/2" L/Q, RF, EMAX, 300MM Non-cunductive
athomemarket Used - $3,299.99 0 Apr/30/13 May/07/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket Used - $819.99 0 May/02/13 Jun/01/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
supertechshop Used - $9,750.00 0 May/02/13 Jun/01/13
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
svcstore Used - $133.99 0 May/02/13 May/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 May/02/13 May/05/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
visionsemi Used - $499.00 0 May/03/13 May/08/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
ptb-sales Used - $3,000.00 0 May/03/13 May/13/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Refurbished - $250.00 0 May/03/13 May/13/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
supertechshop NEW - $699.00 0 May/03/13 Jun/02/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $299.00 0 May/03/13 Jun/02/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svcstore Used - $133.99 0 May/05/13 May/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 May/05/13 May/08/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
redrockranch NEW - $189.00 0 May/06/13 Jun/05/13
Description: Preclean Shield 0021-21065 003 Amat 300mm
athomemarket Used - $4,916.99 0 May/05/13 Jun/04/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
athomemarket Used - $235.99 0 May/06/13 Jun/05/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
yayais2012 Used - $320.00 0 May/06/13 Jun/05/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
usedeqsales Scrap, for parts - $35,003.10 0 May/07/13 May/08/13
Description: AMAT Applied Materials ENDURA 300mm Chamber Assembly 0010-04662
supertechshop NEW - $699.00 0 May/07/13 Jun/06/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
intertecmodulestore NEW - $2,500.00 0 May/07/13 Jun/06/13
Description: AMAT 0200-02679 LINER QRT WALL ,300MM RPO
capitolareatech NEW - $205.36 0 May/08/13 Sep/30/14
Description: AMAT 0242-33276 KIT, PC XT LOWER SHIELD 300MM ENDURA
acesemi2010 NEW - $25.00 1 Mar/28/12 May/06/13
Description: Applied Materials 0021-39991 INTERPOSER, WRIST, 300MM PRODUCER, ROBOT AMAT
athomemarket Used - $3,299.99 0 May/08/13 Jun/07/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Used - $133.99 0 May/08/13 May/11/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $98.99 0 May/08/13 May/11/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
elstonsurplus Used - $750.00 1 May/09/13 May/22/13
Description: AMAT RF Match PCB, 300MM, 0010-26180
athomemarket Used - $296.99 0 May/09/13 Jun/08/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer 2mm Probe
bobsgoodies NEW - $117.00 0 May/10/13 May/17/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
athomemarket NEW - $6,999.99 0 May/10/13 Jun/09/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
supertechshop Used - $299.00 0 May/13/13 Jun/12/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 May/13/13 Jun/12/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $199.00 0 May/13/13 Jun/12/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
yayais2012 Used - $380.00 0 May/16/13 Jun/15/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore Used - $122.99 0 May/16/13 May/19/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $90.99 0 May/16/13 May/19/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket Used - $163.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
visionsemi Used - $499.00 0 May/15/13 May/20/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
bruce135 Used - $499.00 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
ptb-sales Used - $3,000.00 0 May/14/13 May/24/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
systasemi Used - $7,000.00 0 May/12/13 Jun/11/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
bobsgoodies NEW - $99.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0021-36906 Clamp, Bottom Insert, 300mm RTP
bobsgoodies NEW - $195.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0040-03746 SS Bellows Weldment 300mm Lift Assembly HPDCVD
bobsgoodies NEW - $187.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0040-03746 Bellows Weldment 300mm Lift Assembly HPDCVD
bobsgoodies NEW - $189.00 0 May/17/13 Jul/09/13
Description: 2 AMAT 0190-01169 Valve Return 300mm Centura W/adapter 8A-PR6-EPRT-SS-2861 1/2"
athomemarket Used - $309.99 0 May/17/13 Jun/16/13
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
supertechshop NEW - $249.00 0 May/17/13 Jun/16/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svcstore Used - $90.99 0 May/19/13 May/22/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $122.99 0 May/19/13 May/22/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $315.99 0 May/20/13 Jun/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $52.99 0 May/20/13 Jun/19/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $265.99 0 May/20/13 Jun/19/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
svcstore Used - $90.99 0 May/22/13 May/25/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $122.99 0 May/22/13 May/25/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
bobsgoodies NEW - $37.00 0 May/23/13 Jul/09/13
Description: AMAT 0150-76524 CABLE ASSY 300MM MAINFRAME WATER SENSOR
athomemarket NEW - $1,314.99 0 May/23/13 Jun/22/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
ptb-sales Used - $250.00 0 May/24/13 Jun/03/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
ptb-sales Used - $3,000.00 0 May/24/13 Jun/03/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $483.99 0 May/25/13 Jun/24/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcstore Used - $90.99 0 May/25/13 May/28/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket NEW - $199.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $199.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
systasemi Used - $80,000.00 0 May/26/13 Jun/25/13
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
svcstore Used - $122.99 0 May/26/13 May/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $90.99 0 May/28/13 May/31/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
bobsgoodies NEW - $87.50 0 May/29/13 Jun/28/13
Description: Applied Materials 0620-01185 Cable Assy Temp Sensing 300MM LG PT100 L-H TM
svcstore Used - $122.99 0 May/29/13 Jun/01/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop NEW - $299.00 0 May/29/13 Jun/28/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop Used - $9,995.00 0 May/29/13 Jun/28/13
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
supertechshop Used - $299.00 0 May/29/13 Jun/28/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
trees_for_a_better_tomorrow NEW - $250.00 0 Jun/01/13 Jun/08/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
svcstore Used - $122.99 0 Jun/01/13 Jun/04/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $819.99 0 Jun/01/13 Jul/01/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
svcstore Used - $90.99 0 May/31/13 Jun/03/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $90.99 0 Jun/03/13 Jun/06/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket Used - $4,916.99 0 Jun/04/13 Jul/04/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore Used - $122.99 0 Jun/04/13 Jun/07/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop NEW - $699.00 0 Jun/04/13 Jul/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $9,750.00 0 Jun/04/13 Jul/04/13
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
supertechshop Used - $299.00 0 Jun/04/13 Jul/04/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
redrockranch NEW - $189.00 0 Jun/05/13 Jul/05/13
Description: Preclean Shield 0021-21065 003 Amat 300mm
ptb-sales Used - $3,000.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jun/05/13 Jun/15/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
yayais2012 Used - $320.00 0 Jun/05/13 Jul/05/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $900.00 1 Jun/05/13 Jun/07/13
Description: NEWPORT 300mm Prealigner AMAT PART NO. 0190-16360
athomemarket Used - $235.99 0 Jun/06/13 Jul/06/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
supertechshop NEW - $699.00 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials 300mm Faceplate 0020-84596 Silane Flow AMAT Sealed
intertecmodulestore NEW - $2,500.00 0 Jun/06/13 Jul/06/13
Description: AMAT 0200-02679 LINER QRT WALL ,300MM RPO
svcstore Used - $90.99 0 Jun/06/13 Jun/09/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
bobsgoodies NEW - $97.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0040-03508 Feedthrough Upper SWLL, 300MM (Assy: 0242-09885)
visionsemi Used - $499.00 1 Jun/07/13 Jun/26/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
athomemarket Used - $3,299.99 0 Jun/07/13 Jul/07/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Used - $122.99 0 Jun/07/13 Jun/10/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $296.99 0 Jun/08/13 Jul/08/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer 2mm Probe
athomemarket NEW - $6,999.99 0 Jun/09/13 Jul/09/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore Used - $90.99 0 Jun/09/13 Jun/12/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
capitolareatech NEW - $270.00 0 Jun/10/13 Oct/03/14
Description: AMAT 0040-13880 DOOR,BACKING 300MM SLIT VALVE LLC AL REV
athomemarket Used - $254.99 0 Jun/10/13 Jul/10/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
svcstore Used - $122.99 0 Jun/10/13 Jun/13/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop Used - $299.00 0 Jun/12/13 Jul/12/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
athomemarket Used - $163.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
supertechshop NEW - $299.00 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $299.00 0 Jun/12/13 Jul/12/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $199.00 0 Jun/12/13 Jul/12/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
beststuff2u Used - $1,295.00 0 Jun/12/13 Jul/12/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
athomemarket NEW - $64.99 0 Jun/13/13 Jul/13/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
supertechshop NEW - $375.00 0 Jun/12/13 Jul/12/13
Description: NEW AMAT 0200-02408 Pumping Ring Side-2 Ceramic C-channel 300mm Producer SE
supertechshop Used - $99.95 0 Jun/12/13 Jul/12/13
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
katsu9202408 Used - $199.99 1 Jun/14/13 Jun/23/14
Description: Lam Research 716-069688-041 ELCTD,INR,SI,LG R 300mm POL lots of 2 inside
katsu9202408 NEW - $1,499.95 1 Jun/14/13 Aug/06/14
Description: Lam Research 715-042350-117 ESC 300mm Tunable
systasemi Used - $7,000.00 0 Jun/11/13 Jul/11/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
prism_electronics1 Used - $249.99 0 Jun/11/13 Sep/09/13
Description: Novellus 16-271520-00 300MM 13" Shower Head
prism_electronics1 Used - $249.99 4 Jun/11/13 Jun/09/16
Description: Novellus 16-271392-00 300MM 13" Shower Head
svcstore Used - $83.99 0 Jun/14/13 Jun/17/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop NEW - $499.00 0 Jun/14/13 Jul/14/13
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svcstore Used - $112.99 0 Jun/14/13 Jun/17/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $309.99 0 Jun/16/13 Jul/16/13
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
sellyoursurplus Used - $115.00 0 Jun/16/13 Jun/19/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $250.00 0 Jun/16/13 Jun/19/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $295.00 0 Jun/16/13 Jun/19/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
sellyoursurplus Used - $100.00 0 Jun/16/13 Jun/19/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
ptb-sales Used - $3,000.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jun/17/13 Jun/27/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Used - $83.99 0 Jun/17/13 Jun/20/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $112.99 0 Jun/17/13 Jun/20/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
yayais2012 Used - $380.00 0 Jun/18/13 Jul/18/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
supertechshop NEW - $249.00 0 Jun/18/13 Jul/18/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $299.00 0 Jun/18/13 Jul/18/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
athomemarket Used - $315.99 0 Jun/19/13 Jul/19/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $265.99 0 Jun/19/13 Jul/19/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $52.99 0 Jun/19/13 Jul/19/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
sellyoursurplus NEW - $250.00 0 Jun/20/13 Jun/30/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $115.00 0 Jun/20/13 Jun/30/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $100.00 0 Jun/20/13 Jun/30/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
svcstore Used - $112.99 0 Jun/20/13 Jun/23/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $83.99 0 Jun/20/13 Jun/23/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
capitolareatech NEW - $225.00 0 Jun/21/13 Sep/04/13
Description: LAM RESEARCH 714-801511-002 LINER, NECK 300MM
athomemarket NEW - $1,314.99 0 Jun/22/13 Jul/22/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore Used - $83.99 0 Jun/23/13 Jun/26/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $112.99 0 Jun/23/13 Jun/26/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket NEW - $483.99 0 Jun/24/13 Jul/24/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
athomemarket NEW - $199.99 1 Jun/25/13 Jul/25/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Jun/25/13 Jul/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
systasemi Used - $80,000.00 0 Jun/26/13 Jul/26/13
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
svcstore Used - $112.99 0 Jun/26/13 Jun/29/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $83.99 0 Jun/26/13 Jun/29/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ptb-sales Used - $3,000.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jun/27/13 Jul/07/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
bobsgoodies NEW - $87.50 0 Jun/29/13 Jul/09/13
Description: Applied Materials 0620-01185 Cable Assy Temp Sensing 300MM LG PT100 L-H TM
svcstore Used - $83.99 0 Jun/29/13 Jul/02/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $112.99 0 Jun/29/13 Jul/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
visionsemi Used - $600.00 0 Jul/01/13 Sep/29/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
bruce135 Used - $499.00 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket Used - $819.99 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
trees_for_a_better_tomorrow NEW - $250.00 0 Jul/01/13 Jul/08/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
csi.usa NEW - $1,795.00 0 Jul/02/13 Jul/03/13
Description: APPLIED MATERIALS P/N 0020-44453 BLOOCKER TEOS, 300SE 300MM PRODUCER
supertechshop NEW - $299.00 0 Jul/02/13 Aug/01/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop Used - $299.00 0 Jul/02/13 Aug/01/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop Used - $9,995.00 0 Jul/02/13 Aug/01/13
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svcstore Used - $83.99 0 Jul/02/13 Jul/05/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $112.99 0 Jul/02/13 Jul/05/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $4,425.29 0 Jul/04/13 Aug/03/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
supertechshop NEW - $699.00 0 Jul/05/13 Aug/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $9,750.00 0 Jul/05/13 Aug/04/13
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
supertechshop Used - $299.00 0 Jul/05/13 Aug/04/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svcstore Used - $112.99 0 Jul/05/13 Jul/08/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $83.99 0 Jul/05/13 Jul/08/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
yayais2012 Used - $320.00 0 Jul/06/13 Aug/05/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
athomemarket Used - $212.39 0 Jul/06/13 Aug/05/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
athomemarket Used - $2,969.99 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
redrockranch NEW - $189.00 0 Jul/08/13 Jan/23/15
Description: Preclean Shield 0021-21065 003 Amat 300mm
ptb-sales Used - $3,000.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jul/08/13 Jul/18/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $267.29 0 Jul/08/13 Aug/07/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer 2mm Probe
ptb-sales Used - $3,000.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 Used - $380.00 0 Jul/18/13 Aug/17/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
dr.fantom Used - $600.00 0 Jul/17/13 Feb/12/15
Description: Applied Materials AMAT 0190-14415 PRESSURE DISPLAY 300MM FI PANEL
odysseystudios Refurbished - $3,900.00 0 Jul/16/13 Dec/03/15
Description: * Refurb* Applied Materials 0010-16687 Rev 2 Degas/Preheat LLA Assy 300MM Endur
svcstore Used - $103.99 0 Jul/15/13 Jul/18/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $76.99 0 Jul/15/13 Jul/18/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop NEW - $299.00 0 Jul/15/13 Aug/14/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $299.00 0 Jul/15/13 Aug/14/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $199.00 0 Jul/15/13 Aug/14/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Jul/15/13 Aug/14/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
ptb-sales Used - $250.00 0 Jul/18/13 Jul/28/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $278.99 0 Jul/16/13 Aug/15/13
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
prism_electronics8 Used - $98.00 0 Jul/11/13 Aug/23/22
Description: Lam Research 716-800942-005 Ring Top 300mm QTZ POLY
systasemi Used - $7,000.00 0 Jul/12/13 Aug/11/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
athomemarket NEW - $6,299.99 0 Jul/09/13 Aug/08/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore Used - $76.99 0 Jul/18/13 Jul/21/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $103.99 0 Jul/18/13 Jul/21/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $284.39 0 Jul/19/13 Aug/18/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $239.39 0 Jul/19/13 Aug/18/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $47.69 0 Jul/19/13 Aug/18/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
supertechshop Used - $299.00 0 Jul/20/13 Aug/19/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop NEW - $249.00 0 Jul/20/13 Aug/19/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svcstore Used - $76.99 0 Jul/21/13 Jul/24/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
athomemarket NEW - $1,183.49 0 Jul/22/13 Aug/21/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore Used - $103.99 0 Jul/22/13 Jul/25/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket NEW - $435.59 0 Jul/24/13 Aug/23/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcstore Used - $76.99 0 Jul/24/13 Jul/27/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
usedeqsales Scrap, for parts - $1,007.13 2 Jul/25/13 Feb/20/18
Description: AMAT Applied Materials 0019-19010 300mm Motorized Lift Assembly Untested As-Is
athomemarket NEW - $179.99 0 Jul/25/13 Aug/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore Used - $103.99 0 Jul/25/13 Jul/28/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
farmoninc NEW - $450.00 0 Jul/26/13 May/18/23
Description: AMAT 0140-04132 Harness assy. robot control power, 300mm WA
usedeqsales NEW - $2,007.13 0 Jul/26/13 Dec/04/14
Description: Applied Materials 0040-64000 Next Generation Cathode 300mm Emax Bulkhead New
athomemarket NEW - $179.99 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
svcstore Used - $76.99 0 Jul/27/13 Jul/30/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
ptb-sales Used - $3,000.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcstore Used - $103.99 0 Jul/28/13 Jul/31/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $250.00 0 Jul/29/13 Aug/08/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
systasemi Used - $80,000.00 0 Jul/29/13 Aug/28/13
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
farmoninc NEW - $90.00 0 Jul/30/13 May/18/23
Description: AMAT 0021-15369 cover main CB line side, GEN rack 300mm E
farmoninc Used - $150.00 0 Jul/30/13 May/18/23
Description: AMAT 0021-12759 bracket support gas lines SST HTR 300mm
odysseystudios NEW - $900.00 1 Jul/30/13 Nov/14/13
Description: NEW Applied Materials 0040-55456 Bell Jar, 300mm, PC XT/XTE w/ 60 day warranty
odysseystudios NEW - $900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0040-39587 Lower Shield, 300mm, PC XT/XTE with Warranty
odysseystudios NEW - $900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0020-92461 Inner Shield, 300mm PC XT/XTE 30 day warranty
farmoninc NEW - $250.00 0 Jul/31/13 May/18/23
Description: AMAT 0150-03181 fan AC receptacle 300mm DTCU
usedeqsales NEW - $2,501.13 2 Jul/31/13 Dec/30/14
Description: AMAT Applied Materials 0010-12802 300mm Titan-I Head Edge Fast Tungsten Process
athomemarket Used - $737.99 0 Jul/31/13 Aug/30/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
usedeqsales Used - $2,012.08 1 Jul/31/13 Dec/28/14
Description: AMAT Mirra 300mm Titan Head 0010-29563 rebuilt
farmoninc NEW - $250.00 1 Jul/31/13 Dec/28/21
Description: AMAT 0150-03182 lamp AC receptacle 300mm DTCU
svcstore Used - $103.99 0 Jul/31/13 Aug/03/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $76.99 0 Jul/31/13 Aug/03/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
j316gallery Used - $33.43 0 Aug/01/13 Jul/28/22
Description: 186 APPLIED MATERIALS 300MM OUTER SHIELD GROUND PLUNGER CAP 0020-42948
bruce135 Used - $499.00 0 Aug/01/13 Aug/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
supertechshop Used - $299.00 0 Aug/01/13 Aug/31/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop Used - $9,995.00 0 Aug/01/13 Aug/31/13
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
lot2sell07 Used - $12,500.00 0 Aug/01/13 Aug/28/13
Description: AMAT (7 pcs) 0010-18024 300mm Radiance Pyro Pyrometer RTP
usedeqsales NEW - $358.13 0 Aug/02/13 May/05/15
Description: AMAT Applied Materials 0270-01156 Cal Tool Heater-To-Robot 300mm ESC New
yayais2012 Used - $110.00 0 Aug/02/13 Sep/01/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
farmoninc NEW - $700.00 0 Aug/03/13 May/18/23
Description: AMAT 0150-01968 cable assy. AC fail 50 FT umbilical 300mm
athomemarket Used - $4,425.29 0 Aug/03/13 Sep/02/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore Used - $103.99 0 Aug/03/13 Aug/06/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $76.99 0 Aug/03/13 Aug/06/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
trees_for_a_better_tomorrow NEW - $250.00 0 Aug/03/13 Aug/10/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
yayais2012 Used - $320.00 0 Aug/05/13 Sep/04/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
wtservicecompany2011 NEW - $1,200.00 0 Aug/05/13 Aug/05/13
Description: 0020-87791 AMAT PLASMA SCREEN Y203 COATED 300MM DPSII
wtservicecompany2011 NEW - $25,000.00 0 Aug/05/13 Oct/15/13
Description: 0200-06615 AMAT LID HPM DUAL GAS FLAT IEP 300MM DPS232
wtservicecompany2011 NEW - $1,000.00 0 Aug/05/13 Oct/15/13
Description: 0200-05564 AMAT DEPSITION RING AL 203 ADV IT 300MM ESC
wtservicecompany2011 NEW - $800.00 0 Aug/05/13 Oct/15/13
Description: 0040-04586 AMAT SHIELD INNER, TXZ CVD 300MM
wtservicecompany2011 NEW - $8,000.00 0 Aug/05/13 Oct/15/13
Description: 0021-53267 AMAT FACEPLATE, ELECTROPOLISH, 300MM PRODUCER
wtservicecompany2011 NEW - $600.00 0 Aug/05/13 Oct/15/13
Description: 0021-07984 AMAT SHIELD OUTER, TXZ CVD 300MM
supertechshop NEW - $299.00 0 Aug/05/13 Sep/04/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
wtservicecompany2011 NEW - $900.00 0 Aug/05/13 Oct/15/13
Description: 0200-36399 AMAT TOPCERAMIC SHIELD , TxZ 300mm
farmoninc NEW - $350.00 0 Aug/05/13 May/18/23
Description: AMAT 0190-77197 BALL SCREW CROSS SWEEP 300MM.
supertechshop NEW - $699.00 0 Aug/05/13 Sep/04/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
athomemarket Used - $212.39 0 Aug/05/13 Sep/04/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
farmoninc NEW - $150.00 0 Aug/05/13 May/18/23
Description: 2 AMAT 0140-02665 Harness Assy, Fan Power/Control 300mm, 323469
supertechshop Used - $299.00 0 Aug/06/13 Sep/05/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 Aug/06/13 Sep/05/13
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
svcstore Used - $76.99 0 Aug/06/13 Aug/09/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $103.99 0 Aug/06/13 Aug/09/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
athomemarket Used - $2,969.99 0 Aug/07/13 Sep/06/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket Used - $296.99 0 Aug/07/13 Aug/14/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer 2mm Probe
ptb-sales Used - $3,000.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Aug/08/13 Aug/18/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
redrockranch NEW - $125.00 0 Aug/08/13 Feb/12/15
Description: Novellus 300MM Cool Anneal Pedestal 16-135607-00 13" Rd x 2.25" Thick
electronicswest NEW - $75.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
athomemarket NEW - $6,299.99 0 Aug/09/13 Sep/08/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket Used - $229.49 0 Aug/09/13 Sep/08/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
svcstore Used - $76.99 0 Aug/09/13 Aug/12/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $103.99 0 Aug/09/13 Aug/12/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
supertechshop NEW - $499.00 0 Aug/11/13 Sep/10/13
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
athomemarket NEW - $215.99 0 Aug/11/13 Sep/10/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
systasemi Used - $7,000.00 0 Aug/11/13 Sep/10/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
athomemarket NEW - $58.49 0 Aug/12/13 Sep/11/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
farmoninc NEW - $250.00 0 Aug/12/13 Jul/11/24
Description: AMAT 0150-04487 cable assy. heater power 300mm ulitma
usedeqsales Scrap, for parts - $604.07 1 Aug/13/13 Dec/04/13
Description: AMAT Applied Materials 0010-28715 Heater/Purge Ring Assembly 300mm As-Is
capitolareatech NEW - $1,050.00 0 Aug/14/13 Oct/08/14
Description: AMAT 5075-98008 LOADPORT,REV 5,300mm,26 WAFER
electronicswest NEW - $75.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
athomemarket Used - $147.59 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
neilan1987 NEW - $1,499.99 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
hot!cardz NEW - $6,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Aug/15/13 Aug/25/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
athomemarket Used - $278.99 0 Aug/15/13 Sep/14/13
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore Used - $95.99 0 Aug/15/13 Aug/18/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
svcstore Used - $70.99 0 Aug/15/13 Aug/18/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop Used - $299.00 0 Aug/16/13 Sep/15/13
Description: Applied Materials 0040-76820 Faceplate 300mm Face Plate AMAT
supertechshop Used - $199.00 0 Aug/16/13 Sep/15/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Aug/16/13 Sep/15/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop NEW - $299.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $99.95 0 Aug/16/13 Sep/15/13
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $499.00 0 Aug/16/13 Sep/15/13
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
usedeqsales NEW - $808.13 0 Aug/14/13 Dec/04/14
Description: AMAT Applied Materials 0021-16287 Lower Narrow Neck Shield 300mm New
yayais2012 Used - $380.00 0 Aug/17/13 Sep/16/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
allpart2013 Used - $400.00 0 Aug/18/13 Sep/02/19
Description: Applied Materials AMAT FINGER ASSY PEEK, LONG, WALKING BEAM 300mm 0010-15708
athomemarket Used - $284.39 0 Aug/18/13 Sep/17/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $239.39 0 Aug/18/13 Sep/17/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $47.69 0 Aug/18/13 Sep/17/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
svcstore Used - $70.99 0 Aug/18/13 Aug/21/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
svcstore Used - $95.99 0 Aug/18/13 Aug/21/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
ptb-sales Used - $3,000.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Aug/19/13 Aug/29/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
yayais2012 Used - $780.00 0 Aug/20/13 Sep/19/13
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
athomemarket NEW - $1,183.49 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore Used - $70.99 0 Aug/21/13 Aug/24/13
Description: Applied Materials ISI 0190-22161 316L SS 300mm Flex Sleeve Feedthrough Seal
supertechshop NEW - $249.00 0 Aug/21/13 Sep/20/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $299.00 0 Aug/21/13 Sep/20/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svcstore Used - $95.99 0 Aug/21/13 Aug/24/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
sellyoursurplus NEW - $250.00 0 Aug/21/13 Aug/28/13
Description: New OEM Genuine AMAT Applied Materials 0040-96157 300mm Slit Valve Door
electronicswest NEW - $55.00 0 Aug/22/13 Aug/29/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
sellyoursurplus Used - $115.00 0 Aug/23/13 Aug/30/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $295.00 0 Aug/23/13 Aug/30/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
sellyoursurplus NEW - $250.00 0 Aug/23/13 Aug/30/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus Used - $100.00 0 Aug/23/13 Aug/30/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
intertecmodulestore NEW - $2,500.00 0 Aug/23/13 Sep/22/13
Description: AMAT 0200-02679 LINER QRT WALL ,300MM RPO
athomemarket NEW - $435.59 0 Aug/23/13 Sep/22/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
ptb-sales Used - $3,000.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcstore Used - $95.99 0 Aug/27/13 Aug/30/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
hot!cardz NEW - $6,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Aug/27/13 Sep/06/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
ptb-sales Used - $250.00 0 Aug/29/13 Sep/08/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
elstonsurplus Scrap, for parts - $100.00 1 Aug/29/13 May/07/14
Description: AMAT Hoop, Universal, 300mm, Endura, 0020-75465
eisale1535 NEW - $7,999.00 0 Aug/28/13 Jan/02/15
Description: AMAT 0041-36161 Integration Flange, C101 ISO Cooling Pedestal 300mm PVD Assy
farmoninc Used - $1,200.00 1 Aug/28/13 Jul/08/20
Description: AMAT 0021-26273 LINER CATHODE 300MM DPSII Tetra
used1eqsales Used - $12,008.10 1 Aug/30/13 Sep/26/13
Description: AMAT Wafer Transfer Arm 0010-19041 300MM Dual Blade CR AMAT Centra 2525 working
athomemarket Used - $819.99 1 Aug/30/13 Sep/05/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
svcstore Used - $95.99 1 Aug/30/13 Sep/02/13
Description: Applied Materials 0190-10801 ASM 300MM LED Indicator Display Shutter Sensor Box
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: New OEM Genuine AMAT Applied Materials 0040-96157 300mm Slit Valve Door
sellyoursurplus NEW - $295.00 0 Aug/31/13 Sep/07/13
Description: New AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
dr.fantom Used - $1,500.00 1 Sep/01/13 Sep/09/13
Description: Applied Materials AMAT 0010-30321 0021-89134-002 CENTURA TCG 300mm Rotation
sellyoursurplus Used - $100.00 0 Aug/31/13 Sep/07/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus Used - $115.00 0 Aug/31/13 Sep/07/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
yayais2012 Used - $110.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
athomemarket Used - $4,425.29 0 Sep/02/13 Oct/02/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
yayais2012 Used - $320.00 0 Sep/05/13 Oct/05/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
supertechshop NEW - $299.00 0 Sep/06/13 Oct/06/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
odysseystudios NEW - $1,200.00 3 Sep/05/13 Oct/15/13
Description: NEW Applied Materials 0040-95475 TEOS HIGH DEP RATE, 300MM Face Plate
athomemarket Used - $2,969.99 0 Sep/06/13 Oct/06/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
bobsgoodies NEW - $97.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0040-03508 Feedthrough Upper SWLL, 300MM (Assy: 0242-09885)
athomemarket NEW - $6,299.99 0 Sep/08/13 Oct/08/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
hot!cardz NEW - $6,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Sep/09/13 Sep/19/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
athomemarket Used - $229.49 0 Sep/09/13 Oct/09/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
ptb-sales Used - $250.00 0 Sep/09/13 Sep/19/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
supertechshop Used - $9,750.00 0 Sep/09/13 Oct/09/13
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
supertechshop Used - $299.00 0 Sep/09/13 Oct/09/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
ptb-sales Used - $3,000.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop NEW - $699.00 0 Sep/09/13 Oct/09/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
farmoninc NEW - $1,500.00 1 Sep/09/13 Dec/29/14
Description: AMAT 0021-23644-001 30056500-173, 0021-88686 gas feed assy AGN IEP 300MM
farmoninc NEW - $1,500.00 0 Sep/09/13 May/22/23
Description: AMAT 0021-16355-003 11858400, 0021-88686 gas feed assy AGN IEP 300MM
systasemi Used - $7,000.00 0 Sep/10/13 Oct/10/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
supertechshop NEW - $499.00 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
athomemarket NEW - $215.99 0 Sep/11/13 Oct/11/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket NEW - $58.49 0 Sep/11/13 Oct/11/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
capitolareatech Refurbished - $1,125.00 0 Sep/12/13 Feb/24/14
Description: AMAT 0041-05536 liner chamber, Y2O3 oxalic, 300mm EMAX
capitolareatech Refurbished - $1,125.00 0 Sep/12/13 Feb/24/14
Description: AMAT 0040-51148 SGD, upper shower head, 300mm EMAX
capitolareatech Used - $1,125.00 0 Sep/12/13 Feb/24/14
Description: AMAT 0040-51066 SGD, lower shower head, 300mm EMAX
electronicswest NEW - $55.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
athomemarket Used - $147.59 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
neilan1987 NEW - $1,499.99 0 Sep/13/13 Oct/25/13
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
athomemarket Used - $269.99 0 Sep/14/13 Oct/14/13
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
supertechshop NEW - $299.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $99.95 0 Sep/15/13 Oct/15/13
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $499.00 0 Sep/15/13 Oct/15/13
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
trees_for_a_better_tomorrow NEW - $250.00 0 Sep/15/13 Sep/22/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
yayais2012 Used - $380.00 0 Sep/16/13 Oct/16/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
usedeqsales NEW - $759.13 1 Sep/17/13 Oct/01/13
Description: Invax 02240-012 Pedestal Bipolar ESC 300mm DPN Plus AMAT 0190-19092 New
capitolareatech NEW - $375.00 0 Sep/17/13 Feb/24/14
Description: AMAT 0040-48648 ENCLOSURE, FACILITIES, MAIN FRAME, 300MM
capitolareatech Used - $168.75 0 Sep/17/13 Feb/24/14
Description: AMAT 0190-01965 VA-26603 DC POWER SUPPLY, 300MM CHAMBER, AC/DC CONVERTER
capitolareatech Used - $900.00 0 Sep/17/13 Feb/24/14
Description: AMAT 0010-14862 ASSEMBLY, THROTTLE VALVE, 300MM DUAL FLA
capitolareatech NEW - $1,350.00 0 Sep/17/13 Feb/24/14
Description: AMAT 0190-14415 PRESSURE DISPLAY, 300MM FI,120V, 1PH, 50/60HZ
capitolareatech NEW - $4,875.00 0 Sep/17/13 Feb/24/14
Description: AMAT 0010-11440 0090-09152, HV- Module, 5KV , 300mm CESC, A8635120001, #0312
capitolareatech NEW - $1,125.00 0 Sep/17/13 Feb/24/14
Description: AMAT 0010-02433 ASSY,FCW VALVE,300MM CENTURA, W/ PARKER 8A-PR8-EPRT-SS-2823, 02
athomemarket Used - $284.39 0 Sep/17/13 Oct/17/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $239.39 0 Sep/17/13 Oct/17/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $47.69 0 Sep/17/13 Oct/17/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
capitolareatech NEW - $170.00 0 Sep/18/13 Feb/24/14
Description: AMAT 0021-15538 CLAMP, PEEK, 300MM, WALKING BEAM, CLEANE
capitolareatech NEW - $101.25 0 Sep/18/13 Feb/24/14
Description: AMAT 0021-13790 GUIDE, LIFT PIN, ESC, 300MM DPS2
capitolareatech NEW - $15.00 0 Sep/18/13 Feb/24/14
Description: AMAT 0200-06622 GUIDE, LIFT PIN, ESC, 300MM DPS2
yayais2012 Used - $780.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $75.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
lot2sell07 Used - $4,500.00 0 Sep/20/13 Sep/30/13
Description: AMAT (7 pcs) 0010-18024 300mm Radiance Pyro Pyrometer RTP
ptb-sales Used - $3,000.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Sep/20/13 Sep/30/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
prism_electronics6 Used - $48.00 4 Sep/20/13 Feb/21/22
Description: Novellus / Shields Mfg. CHUCK,PEM,SABRE,300mm O-RING, 16-270993-00 Rev. C
prism_electronics6 Used - $89.95 7 Sep/20/13 Nov/11/13
Description: Novellus / Shields Mfg. CHUCK,PEM,SABRE,300mm, 16-134895-00 Rev. C
supertechshop NEW - $249.00 0 Sep/21/13 Oct/21/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $199.00 0 Sep/21/13 Oct/21/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Sep/21/13 Oct/21/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Sep/21/13 Oct/21/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $199.00 0 Sep/21/13 Oct/21/13
Description: AMAT 0040-76820 Face Plate 300mm CVD/Producer Faceplate 0041-13952 / Warranty
yayais2012 NEW - $75.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $85.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $120.00 0 Sep/21/13 Oct/21/13
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
athomemarket NEW - $1,314.99 0 Sep/21/13 Oct/21/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
yayais2012 NEW - $120.00 0 Sep/22/13 Oct/22/13
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
athomemarket NEW - $483.99 0 Sep/22/13 Oct/22/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
beststuff2u Used - $1,200.00 0 Sep/23/13 Sep/30/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
athomemarket NEW - $199.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
hot!cardz NEW - $6,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Sep/24/13 Oct/04/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
yayais2012 NEW - $85.00 0 Sep/24/13 Oct/24/13
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
supertechshop Used - $299.00 0 Sep/24/13 Oct/24/13
Description: AMAT 0040-87765 Faceplate 300mm Silane Flow Face Plate Rev-003 / Warranty
wideerp01 NEW - $99.00 0 Sep/25/13 Oct/02/13
Description: APPLIED MATERIALS CABLE ASSY,15A,120V L5-15P 25' DCVDXZ 300MM 0620-01715
ultra_clean_warehouse NEW - $51.30 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0041-12140 BRACKET RPS SUPPORT 300MM ALD-TIN - REV 03
ultra_clean_warehouse NEW - $90.02 0 Sep/26/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-27388 FINGER WAFER TRANSFER TSMC ANNEAL 300MM REV003
yayais2012 NEW - $95.00 0 Sep/26/13 Oct/26/13
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
ultra_clean_warehouse NEW - $260.97 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II - 0040-78952 MANIFOLD BLOCK 4 300MM
ultra_clean_warehouse NEW - $545.67 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II 0040-87405 FEEDTHRU CHAMBER BODY 300MM REV 002
ultra_clean_warehouse NEW - $29.46 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT- 0015-00803 - LOTO SLIT VALVE DOOR 300MM DPS - REV 004
ptb-sales Used - $3,000.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Sep/30/13 Oct/10/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
systasemi Used - $80,000.00 0 Sep/30/13 Oct/30/13
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
supertechshop NEW - $650.00 0 Sep/30/13 Oct/30/13
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop NEW - $975.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
lot2sell07 Used - $2,995.00 0 Sep/30/13 Oct/10/13
Description: AMAT (7 pcs) 0010-18024 300mm Radiance Pyro Pyrometer RTP
used1eqsales Used - $20,008.10 0 Oct/02/13 Oct/22/13
Description: AMAT Wafer Transfer Arm 0010-19041 300MM Dual Blade CR AMAT Centra 2525 working
athomemarket Used - $4,916.99 0 Oct/02/13 Nov/01/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
bruce135 Used - $499.00 0 Oct/03/13 Nov/02/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
yayais2012 Used - $110.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
usedeqsales Used - $2,010.13 0 Oct/04/13 Oct/04/13
Description: AMAT Applied Materials 0040-53718 ESC Assembly 300mm OD 5mm 0010-24774 Used
athomemarket Used - $235.99 0 Oct/04/13 Nov/03/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
yayais2012 Used - $320.00 0 Oct/05/13 Nov/04/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
supertechshop Used - $299.00 0 Oct/05/13 Nov/04/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop Used - $9,995.00 0 Oct/05/13 Nov/04/13
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
supertechshop NEW - $975.00 0 Oct/05/13 Nov/04/13
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
athomemarket Used - $3,299.99 0 Oct/06/13 Nov/05/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
forestnorth NEW - $2,500.00 0 Oct/06/13 Oct/12/13
Description: Applied Materials 300mm Ceramic Heater CVD Producer 0010-30838
forestnorth Used - $1,750.00 0 Oct/07/13 Oct/17/13
Description: Applied Materials ISOLATOR, CERAMIC, LOWK, 300MM PRODUCER 0200-04470 Lot of 12
beststuff2u Used - $1,200.00 0 Oct/07/13 Nov/06/13
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
keykorea Used - $450.00 0 Oct/08/13 Jan/16/20
Description: AMAT 0190-09960 BOARD, DNET ANALOG I/O. CDN396-6, 300MM, WOKING
athomemarket NEW - $6,999.99 0 Oct/08/13 Nov/07/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket Used - $254.99 0 Oct/09/13 Nov/08/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
supertechshop NEW - $699.00 0 Oct/10/13 Nov/09/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
hot!cardz NEW - $6,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Oct/10/13 Oct/20/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
supertechshop NEW - $299.00 0 Oct/10/13 Nov/09/13
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
sparepartssolution Used - $8,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-03488 MAGNET, IMP 300MM PVD USED
sparepartssolution Used - $5,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-03486 MAGNET TI ASSY 300MM PVD USED
supertechshop Used - $299.00 0 Oct/10/13 Nov/09/13
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 Oct/10/13 Nov/09/13
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
ptb-sales Used - $3,000.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Oct/11/13 Oct/21/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket NEW - $239.99 0 Oct/11/13 Nov/10/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket NEW - $64.99 0 Oct/11/13 Nov/10/13
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
sparepartssolution NEW - $1,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-17406 ASSY, LH, PIVOT AND BEARING, 300MM ROBOT NEW
sparepartssolution NEW - $1,300.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM NEW
supertechshop NEW - $499.00 0 Oct/12/13 Nov/11/13
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
forestnorth NEW - $1,500.00 2 Oct/12/13 Oct/12/13
Description: NEW Applied Materials 300mm Ceramic Heater CVD Producer 0010-30838
athomemarket Used - $163.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
keykorea NEW - $9,500.00 0 Oct/15/13 Mar/23/18
Description: AMAT 0010-21748 ASSY, RF MATCH, 300MM PRECLEAN/RPC PVD, NEW
athomemarket Used - $299.99 0 Oct/14/13 Nov/13/13
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
systasemi Used - $7,000.00 0 Oct/14/13 Nov/13/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
visionsemi Used - $25.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0021-12855 AM017 HOUSING, QUARTZ TUBE TXZ 300MM
visionsemi NEW - $850.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 300MM BLOCKER PLATE 0021-19312
visionsemi Used - $600.00 0 Oct/15/13 Nov/14/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
visionsemi NEW - $90.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
visionsemi Used - $25.00 0 Oct/15/13 Nov/14/13
Description: AMAT 0140-07873 HARN ASSY JBOX CRYO AC PWR ENDURA 300MM
visionsemi Used - $1,000.00 0 Oct/15/13 Nov/14/13
Description: AMAT MITUTOYO 300MM CHAMBER ALIGNMENT TOOL 0040-41061
wideerp01 NEW - $99.00 0 Oct/16/13 Oct/23/13
Description: APPLIED MATERIALS CABLE ASSY,15A,120V L5-15P 25' DCVDXZ 300MM 0620-01715
keykorea NEW - $650.00 0 Oct/17/13 Oct/18/16
Description: AMAT 0040-13509 PRECLEAN BELL JAR 300MM, NEW
athomemarket Used - $315.99 0 Oct/17/13 Nov/16/13
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
yayais2012 Used - $380.00 0 Oct/17/13 Nov/16/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
athomemarket NEW - $52.99 0 Oct/17/13 Nov/16/13
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $265.99 0 Oct/17/13 Nov/16/13
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
prism_electronics6 Used - $149.99 1 Oct/18/13 Dec/15/13
Description: Lam Research 300mm T-ESC (U-015R) CER WEAR/SEMI PROC R, 839-019090-351
yayais2012 NEW - $75.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 Used - $780.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
forestnorth Used - $1,750.00 0 Oct/19/13 Oct/29/13
Description: Applied Materials ISOLATOR, CERAMIC, LOWK, 300MM PRODUCER 0200-04470 Lot of 12
yayais2012 Used - $400.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $400.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $110.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $180.00 0 Oct/20/13 Nov/19/13
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
supertechshop NEW - $299.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $99.95 0 Oct/20/13 Nov/19/13
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $499.00 0 Oct/20/13 Nov/19/13
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
partminer2012 NEW - $120.00 0 Oct/21/13 Dec/07/14
Description: Novellus 10-055444-00 ASSY, MANF A/B CHMBR, 300MM PEC - NEW
bobsgoodies Used - $1,550.00 0 Oct/21/13 Oct/21/13
Description: NEWPORT KENSINGTON 35-3700-1425-08 WAFER ROBOT 300mm AMAT 0190-01827
bobsgoodies Used - $1,550.00 0 Oct/21/13 Jun/17/14
Description: KENSINGTON 35-3700-1425-06 WAFER ROBOT 300mm AMAT 0190-01827 00-941-02
bobsgoodies Used - $1,550.00 0 Oct/21/13 Jun/17/14
Description: NEWPORT KENSINGTON 35-3700-1425-06 WAFER ROBOT 300mm AMAT 0190-01827
bobsgoodies Used - $1,550.00 1 Oct/21/13 Feb/13/14
Description: NEWPORT KENSINGTON 35-3700-1425-08 WAFER ROBOT 300mm AMAT 0190-01827
bobsgoodies Used - $1,550.00 0 Oct/21/13 Jun/17/14
Description: Kensington 25-3700-1425-06 WAFER ROBOT 300mm AMAT 0190-01827 00-942-02T
ptb-sales Used - $250.00 0 Oct/21/13 Oct/31/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
yayais2012 NEW - $350.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
athomemarket NEW - $1,314.99 0 Oct/21/13 Nov/20/13
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
yayais2012 NEW - $85.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $75.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $120.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
supertechshop Used - $299.00 0 Oct/22/13 Nov/21/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $199.00 0 Oct/22/13 Nov/21/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Oct/22/13 Nov/21/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop NEW - $249.00 0 Oct/22/13 Nov/21/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $199.00 0 Oct/22/13 Nov/21/13
Description: AMAT 0040-76820 Face Plate 300mm CVD/Producer Faceplate 0041-13952 / Warranty
yayais2012 NEW - $120.00 0 Oct/22/13 Nov/21/13
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
athomemarket NEW - $483.99 0 Oct/22/13 Nov/21/13
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
yayais2012 Used - $110.00 0 Oct/25/13 Nov/24/13
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
neilan1987 NEW - $1,499.99 0 Oct/25/13 Jan/02/14
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
yayais2012 NEW - $85.00 0 Oct/24/13 Nov/23/13
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
athomemarket NEW - $199.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
usedeqsales Used - $2,010.13 1 Oct/24/13 Nov/03/13
Description: NSK ELA-B014CFL-03 300mm Robot Servo Driver NSK-M-CLR AMAT 0190-17853 Used
prism_electronics6 Used - $74.97 0 Oct/24/13 Aug/02/22
Description: Lam Research 300mm Quartz Insert FCS Shield, 716-800942-005
pentagontechgroup NEW - $1,650.00 0 Oct/25/13 Sep/28/21
Description: Novellus Shield Ring Ceramic 300mm Cu , p/n 15-307111-00 Brand New
pentagontechgroup NEW - $1,800.00 0 Oct/23/13 Sep/28/21
Description: Novellus Shield Ring Ceramic 300mm, p/n 15-306819-00 Brand New
sparepartssolution Used - $100.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0050-54970 GASLINE, 3/8 OD BACKSIDE GAS, 300MM ESC, USED
yayais2012 NEW - $95.00 0 Oct/26/13 Nov/25/13
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
supertechshop Used - $299.00 0 Oct/28/13 Nov/26/13
Description: AMAT 0040-87765 Faceplate 300mm Silane Flow Face Plate Rev-003 / Warranty
visionsemi NEW - $90.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
visionsemi NEW - $850.00 0 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 300MM BLOCKER PLATE 0021-19312
visionsemi Used - $600.00 1 Oct/28/13 Dec/27/13
Description: AMAT APPLIED MATERIALS 0010-34370 0010-24792 ACTUATOR SPD SLIT VALVE 300MM ASSY
visionsemi Used - $25.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0021-12855 AM017 HOUSING, QUARTZ TUBE TXZ 300MM
visionsemi Used - $25.00 0 Oct/28/13 Dec/27/13
Description: AMAT 0140-07873 HARN ASSY JBOX CRYO AC PWR ENDURA 300MM
visionsemi Used - $1,000.00 0 Oct/28/13 Dec/27/13
Description: AMAT MITUTOYO 300MM CHAMBER ALIGNMENT TOOL 0040-41061
athomemarket Used - $229.99 0 Oct/28/13 Nov/04/13
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
usedeqsales NEW - $2,010.13 0 Oct/28/13 Dec/04/13
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
athomemarket NEW - $449.99 0 Oct/28/13 Nov/04/13
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
yayais2012 NEW - $45.00 0 Oct/29/13 Nov/28/13
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $349.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $349.99 0 Oct/29/13 Nov/05/13
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket Used - $119.99 0 Oct/30/13 Nov/06/13
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
used1eqsales Used - $3,011.13 0 Oct/30/13 May/26/17
Description: AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working
ptb-sales Used - $3,000.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Oct/31/13 Nov/10/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
prism_electronics6 Used - $187.49 1 Oct/31/13 May/22/15
Description: Novellus 13" 300mm Showerhead, 16-271392-00 Rev. A
yayais2012 Used - $450.00 0 Nov/01/13 Dec/01/13
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 NEW - $125.00 0 Nov/01/13 Dec/01/13
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
athomemarket Used - $4,916.99 0 Nov/01/13 Dec/01/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
athomemarket Used - $235.99 0 Nov/03/13 Dec/03/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
systasemi Used - $80,000.00 0 Nov/03/13 Dec/03/13
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
yayais2012 Used - $110.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $4,000.00 0 Nov/04/13 Dec/04/13
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $320.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
supertechshop NEW - $975.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $650.00 0 Nov/04/13 Dec/04/13
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop Used - $299.00 0 Nov/04/13 Dec/04/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop NEW - $975.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop Used - $9,995.00 0 Nov/04/13 Dec/04/13
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
athomemarket Used - $207.99 0 Nov/05/13 Nov/12/13
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
usedeqsales Used - $1,407.79 0 Nov/05/13 Nov/14/13
Description: NSK ELA-B014CFL-03 300mm Robot Servo Driver NKS-M-CLR AMAT 0190-17853 Used
athomemarket Used - $3,299.99 0 Nov/05/13 Dec/05/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $405.99 0 Nov/05/13 Nov/12/13
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
nps NEW - $15,000.00 1 Nov/05/13 Jan/09/14
Description: Applied Materials 6000-06201 Heater Assembly 300MM MCAH E-Chuck 26.38"*
athomemarket NEW - $315.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $315.99 0 Nov/06/13 Nov/13/13
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket Used - $108.99 0 Nov/07/13 Nov/14/13
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket NEW - $6,999.99 0 Nov/07/13 Dec/07/13
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket Used - $254.99 0 Nov/08/13 Dec/08/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
supertechshop NEW - $699.00 0 Nov/11/13 Dec/11/13
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
athomemarket Used - $163.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
trees_for_a_better_tomorrow NEW - $250.00 0 Nov/12/13 Nov/19/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
athomemarket Used - $207.99 0 Nov/13/13 Dec/13/13
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket NEW - $405.99 0 Nov/13/13 Dec/13/13
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $315.99 1 Nov/14/13 Dec/14/13
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $315.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
usedeqsales Used - $3,511.13 0 Nov/14/13 Apr/30/15
Description: Yaskawa XU-ACP4870 300mm Prealigner AMAT 0190-12263 Used Working
athomemarket Used - $299.99 0 Nov/13/13 Dec/13/13
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
systasemi Used - $7,000.00 0 Nov/14/13 Dec/14/13
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
athomemarket Used - $108.99 0 Nov/15/13 Dec/15/13
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
trees_for_a_better_tomorrow NEW - $250.00 0 Nov/22/13 Nov/29/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
supertechshop NEW - $249.00 0 Nov/21/13 Dec/21/13
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $199.00 0 Nov/21/13 Dec/21/13
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Nov/21/13 Dec/21/13
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Nov/21/13 Dec/21/13
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
yayais2012 NEW - $120.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
ptb-sales Used - $3,000.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 NEW - $85.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $75.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $120.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
supertechshop Used - $199.00 0 Nov/21/13 Dec/21/13
Description: AMAT 0040-76820 Face Plate 300mm CVD/Producer Faceplate 0041-13952 / Warranty
athomemarket NEW - $199.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
forestnorth Used - $850.00 0 Nov/25/13 Dec/09/13
Description: Applied Materials ISOLATOR, CERAMIC, LOWK, 300MM PRODUCER 0200-04470 Lot of 12
yayais2012 Used - $110.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $85.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $95.00 0 Nov/25/13 Dec/25/13
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
trees_for_a_better_tomorrow Used - $100.00 0 Nov/25/13 Dec/02/13
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $150.00 0 Nov/25/13 Dec/02/13
Description: *2* AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $195.00 0 Nov/25/13 Dec/02/13
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $50.00 0 Nov/25/13 Dec/02/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
eisale1535 Used - $22,550.00 0 Nov/25/13 Jul/14/14
Description: AMAT 0010-22184 300mm MCA SNNF High Temp Heater
capitolareatech NEW - $16.87 0 Nov/26/13 Sep/22/14
Description: AMAT 0140-15468 Cable POWER SUPPLY AC LINE CORD W/RING LUGS H/A 300MM ; COMPATI
athomemarket NEW - $144.99 0 Nov/27/13 Dec/27/13
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
yayais2012 NEW - $45.00 0 Nov/28/13 Dec/28/13
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
hot!cardz NEW - $6,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials
hot!cardz NEW - $9,500.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0200-07102 NOZZLE INSERT Y203 SOLID THK, 300MM DPS II 2 Applied Materials
hot!cardz NEW - $18,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Dec/01/13 Dec/11/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
athomemarket Used - $4,916.99 0 Dec/01/13 Dec/31/13
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
yayais2012 Used - $450.00 0 Dec/02/13 Jan/01/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 NEW - $125.00 0 Dec/02/13 Jan/01/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
ptb-sales Used - $3,000.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Dec/02/13 Dec/12/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
trees_for_a_better_tomorrow NEW - $250.00 0 Dec/02/13 Dec/09/13
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/02/13 Dec/09/13
Description: *2* AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow Used - $100.00 0 Dec/02/13 Dec/09/13
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $195.00 0 Dec/02/13 Dec/09/13
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $50.00 0 Dec/02/13 Dec/09/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
supertechshop Used - $299.00 0 Dec/02/13 Jan/01/14
Description: AMAT 0040-87765 Faceplate 300mm Silane Flow Face Plate Rev-003 / Warranty
grandbirdnet Used - $4,000.00 0 Dec/02/13 Feb/03/22
Description: AMAT 0190-24854 MKS REV3.0 G4 PLUS 4-PORT UPA 300MM REFL, NOT WORKING
supertechshop NEW - $299.00 0 Dec/02/13 Jan/01/14
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
dr.fantom Used - $600.00 2 Dec/03/13 Dec/23/13
Description: AMAT / Applied Materials 0190-17499 ROTOR MAG LEV WRLD THIN POST 300MM VQ
athomemarket Used - $235.99 0 Dec/03/13 Jan/02/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
farmoninc NEW - $60.00 1 Dec/03/13 Nov/25/16
Description: NEW AMAT 0021-08157 PAD, GAS PANEL DOOR LATCH, 300 MM TPG, 300MM
supertechshop NEW - $299.00 0 Dec/03/13 Jan/02/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $249.00 0 Dec/03/13 Jan/02/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 Dec/03/13 Jan/02/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
yayais2012 Used - $110.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $320.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $4,000.00 0 Dec/04/13 Jan/03/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
usedeqsales Used - $1,508.09 4 Dec/04/13 Sep/07/21
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm New
farmoninc NEW - $199.00 0 Dec/04/13 Feb/10/15
Description: NEW AMAT 0140-01216 HARNESS ASSY ROBOT CONT PWR 300MM WAFER LDR
systasemi Used - $80,000.00 0 Dec/04/13 Jan/03/14
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
athomemarket Used - $3,299.99 0 Dec/05/13 Jan/04/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
supertechshop NEW - $99.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $99.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $975.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $650.00 0 Dec/06/13 Jan/05/14
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop Used - $299.00 0 Dec/06/13 Jan/05/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop NEW - $975.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
athomemarket NEW - $6,999.99 0 Dec/07/13 Jan/06/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket Used - $254.99 2 Dec/08/13 Dec/27/13
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
forestnorth Used - $500.00 0 Dec/09/13 Dec/16/13
Description: Applied Materials ISOLATOR, CERAMIC, LOWK, 300MM PRODUCER 0200-04470 Lot of 12
athomemarket NEW - $69.99 0 Dec/10/13 Jan/09/14
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $243.99 0 Dec/10/13 Jan/09/14
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-04586 Pin Shield Inner, TXZ CVD 300MM, 188x.500 w
capitolareatech NEW - $13.19 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-02705 Plug, Endpoint, Sapphire, 300MM IA
capitolareatech NEW - $3.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-49517 Block, Strain Relief, DC Cable, 300MM IMP C
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-46318 Pin guide, 300mm ESC DT
capitolareatech NEW - $65.48 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-26092 PLATE, RIGHT DC SOURCE CONNECTOR, 300MM
capitolareatech NEW - $13.31 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-43964 LAMP HEAD SHIM, 0.020" THICK, 300MM RADI
capitolareatech NEW - $22.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-00318 Guide Lift Pin SST Heater 300MM TXZ
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-26968 Insulator, Clamp Heater 300MM
capitolareatech NEW - $18.13 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-20854 Clamp, Support, Blade, Shutter, 300MM, P
capitolareatech NEW - $1,139.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-12847 SCR Lamp Driver 15 Zone 300MM Radiance P 20
capitolareatech NEW - $225.67 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-21234 Upper Shield, Hi-Cond Tin Long, 300MM PV
hot!cardz NEW - $14,000.00 0 Dec/12/13 Dec/22/13
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
capitolareatech Used - $650.00 1 Dec/12/13 Dec/18/13
Description: Applied Materials (AMAT) 0190-03543 B-13023 Assembly Dual Axis Driver, 300MM Dua
supertechshop NEW - $699.00 0 Dec/12/13 Jan/11/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
athomemarket Used - $165.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
supertechshop Used - $299.00 0 Dec/12/13 Jan/11/14
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 Dec/12/13 Jan/11/14
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
supertechshop NEW - $299.00 0 Dec/12/13 Jan/11/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop NEW - $499.00 0 Dec/12/13 Jan/11/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
ptb-sales Used - $3,000.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Dec/13/13 Dec/23/13
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket NEW - $413.99 0 Dec/13/13 Jan/12/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket Used - $209.99 0 Dec/13/13 Jan/12/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $302.99 0 Dec/13/13 Jan/12/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
athomemarket NEW - $318.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $318.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket Used - $110.99 0 Dec/15/13 Jan/14/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
systasemi Used - $7,000.00 0 Dec/16/13 Jan/15/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
yayais2012 Used - $380.00 0 Dec/16/13 Jan/15/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
athomemarket NEW - $267.99 0 Dec/16/13 Jan/15/14
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $54.99 0 Dec/16/13 Jan/15/14
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket Used - $317.99 0 Dec/16/13 Jan/15/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
yayais2012 Used - $400.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $110.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $180.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $400.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $110.00 0 Dec/20/13 Jan/19/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
supertechshop NEW - $299.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
yayais2012 Used - $780.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $75.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
athomemarket NEW - $1,327.99 0 Dec/20/13 Jan/19/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
supertechshop Used - $99.95 0 Dec/19/13 Jan/18/14
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $499.00 0 Dec/19/13 Jan/18/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
athomemarket NEW - $485.99 0 Dec/21/13 Jan/20/14
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
yayais2012 NEW - $120.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $120.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $350.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $85.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $75.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
ptb-sales Used - $3,000.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 NEW - $85.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $95.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 Used - $110.00 0 Dec/25/13 Jan/24/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
supertechshop NEW - $249.00 0 Dec/24/13 Jan/23/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $199.00 0 Dec/24/13 Jan/23/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Dec/24/13 Jan/23/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Dec/24/13 Jan/23/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
athomemarket NEW - $206.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
ptb-sales Used - $250.00 0 Dec/26/13 Jan/05/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
visionsemi NEW - $90.00 0 Dec/27/13 Mar/18/16
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
athomemarket NEW - $146.99 0 Dec/27/13 Jan/26/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
yayais2012 NEW - $45.00 0 Dec/28/13 Jan/27/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
used1eqsales Used - $1,512.13 0 Dec/30/13 Aug/16/16
Description: AMAT Applied Materials Gripper Claw 300mm 0040-84444 0040-80144 0040-80146
used1eqsales Used - $1,512.13 0 Dec/30/13 May/26/17
Description: AMAT Applied Materials Gripper Claw 300mm 0040-84443 0040-80142 0040-03857
trees_for_a_better_tomorrow Used - $100.00 0 Jan/02/14 Jan/09/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $50.00 0 Jan/02/14 Jan/09/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/02/14 Jan/09/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $250.00 0 Jan/02/14 Jan/08/14
Description: New AMAT Applied Materials 0040-96157 300mm Slit Valve Door
visionsemi NEW - $850.00 0 Jan/02/14 Jan/12/15
Description: AMAT APPLIED MATERIALS 300MM BLOCKER PLATE 0021-19312
yayais2012 NEW - $125.00 0 Jan/01/14 Jan/31/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $450.00 0 Jan/01/14 Jan/31/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
athomemarket Used - $237.99 0 Jan/02/14 Feb/01/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
yayais2012 Used - $110.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $3,200.00 0 Jan/03/14 Feb/02/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $320.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
athomemarket Used - $3,331.99 0 Jan/04/14 Feb/03/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
systasemi Used - $80,000.00 0 Jan/05/14 Feb/04/14
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
ptb-sales Used - $3,000.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jan/06/14 Jan/16/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $299.99 0 Jan/06/14 Jan/13/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $6,999.99 0 Jan/06/14 Feb/05/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
dr.fantom Used - $600.00 0 Jan/07/14 Feb/12/15
Description: AMAT / Applied Materials 0190-17499 ROTOR MAG LEV WRLD THIN POST 300MM VQ
visionsemi Used - $1,000.00 0 Jan/07/14 Jan/14/16
Description: AMAT MITUTOYO 300MM CHAMBER ALIGNMENT TOOL 0040-41061
visionsemi Used - $25.00 0 Jan/07/14 Jan/21/17
Description: AMAT 0140-07873 HARN ASSY JBOX CRYO AC PWR ENDURA 300MM
bruce135 Used - $499.00 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
hot!cardz NEW - $18,000.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0021-44786 PLASMA SCREEN Y203 COAT FLOW 300MM DPS II 2 Applied Materials
hot!cardz NEW - $14,000.00 0 Jan/07/14 Jan/17/14
Description: AMAT 0020-08745 LINER CATHODE, Y203 W/ SCREEN, 300MM DPS II 2 Applied Materials
eisale1535 NEW - $499.00 0 Jan/08/14 Oct/09/16
Description: AMAT 0041-31036 Adapter for Vacuum Chuck Heater, 300mm SACVD Producer
neilan1987 Used - $999.99 7 Jan/13/14 May/13/14
Description: Applied Materials AMAT 0200-06355 300mm Ceramic Open Pocket Robot Blade
athomemarket NEW - $318.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $318.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $413.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket Used - $209.99 0 Jan/12/14 Feb/11/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/11/14 Jan/18/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $50.00 0 Jan/11/14 Jan/18/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow Used - $100.00 0 Jan/11/14 Jan/18/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
athomemarket Used - $165.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
usedeqsales Used - $3,001.14 2 Jan/13/14 Aug/21/17
Description: Newport 15-3701-1425-26 300mm Wafer Transfer Robot AMAT 0190-19123 Used Working
usedeqsales Used - $3,001.14 4 Jan/13/14 Dec/06/17
Description: Newport 15-3702-1425-26 300mm Wafer Transfer Robot AMAT 0190-22250 Used Working
athomemarket Used - $302.99 0 Jan/12/14 Feb/11/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
keykorea Used - $360.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-02781 CABLE SYSTEM INTERLOCK SYSTEM, 300MM 36, WORKING
capitolareatech NEW - $8.29 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-17450 BLOCK, PRESSURE GAUGE, ANNL CHMBR 300MM AMA
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-06325 actuator bracket, clamp ring switch, 300mm
capitolareatech NEW - $103.59 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0270-20333 Tool, 300mm Shock, Comrpression
capitolareatech NEW - $15.11 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-01040 hinge, body, left, 300mm, producer
athomemarket Used - $270.99 0 Jan/14/14 Jan/21/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
dr.fantom Used - $450.00 0 Jan/15/14 Feb/12/15
Description: Festo / Applied Materials / AMAT 0010-05311 Megasonic Box 300MM W/6EP1-331-1SH01
yayais2012 NEW - $75.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 Used - $780.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
supertechshop NEW - $699.00 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
ptb-sales Used - $3,000.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
systasemi Used - $7,000.00 0 Jan/15/14 Feb/14/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
athomemarket NEW - $1,327.99 0 Jan/19/14 Feb/18/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
trees_for_a_better_tomorrow NEW - $195.00 0 Jan/19/14 Jan/26/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $50.00 0 Jan/19/14 Jan/26/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow Used - $100.00 0 Jan/19/14 Jan/26/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
farmoninc Used - $100.00 1 Jan/20/14 May/26/16
Description: AMAT 0010-23660 CONFIGURABLE GAS-STICK, PROCESS, E2, 300MM
athomemarket NEW - $485.99 0 Jan/20/14 Feb/19/14
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
supertechshop NEW - $299.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $99.95 0 Jan/20/14 Feb/19/14
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $499.00 0 Jan/20/14 Feb/19/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
bobsgoodies NEW - $325.00 0 Jan/22/14 Jun/17/14
Description: Applied Materials 1310-00017 TC Assy Overtemp Dual Circuit For 300MM AMAT
dr.fantom Scrap, for parts - $400.00 0 Jan/22/14 Feb/12/15
Description: Applied Materials 0021-16781 Shield Upper 300MM
yayais2012 Used - $180.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $120.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $75.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $85.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $120.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $200.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $350.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $180.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
athomemarket Used - $270.99 0 Jan/22/14 Feb/21/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $206.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
systasemi Used - $2,000.00 0 Jan/22/14 May/23/21
Description: AMAT SIDE MATCH ASSY FAN W/INTLK 300MM ULTIMA, 0010-23685
dr.fantom Scrap, for parts - $150.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0021-21065 Rev 005 Al Shield BB Screwless 300mm
dr.fantom Used - $300.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0020-02348 Rev 009 Bottom Shield Clamp 300MM
dr.fantom Used - $300.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0020-26374 Rev 005 12939900-064 Bottom Shield Clamp 300MM
dr.fantom Used - $300.00 0 Jan/23/14 Feb/12/15
Description: Applied Materials 0020-26374 Rev 006 12939900-368 Bottom Shield Clamp 300MM
yayais2012 Used - $90.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $95.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $85.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
used1eqsales Used - $1,001.14 1 Jan/24/14 May/11/15
Description: AMAT Endura 0010-24405 Chamber Magnet 300mm 0040-84886 0021-27122 AMAT Endura wo
supertechshop NEW - $249.00 0 Jan/25/14 Feb/24/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
yayais2012 NEW - $145.00 0 Jan/26/14 Feb/25/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $75.00 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $65.00 0 Jan/26/14 Feb/25/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
athomemarket NEW - $146.99 0 Jan/26/14 Feb/25/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
used1eqsales Used - $1,501.14 1 Jan/27/14 Dec/20/16
Description: AMAT 0010-17798 Rev. 2 Endura Heater 300mm Degas M11-415-0810 working
ptb-sales Used - $3,000.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jan/27/14 Feb/06/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $3,399.99 0 Jan/27/14 Feb/03/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
yayais2012 NEW - $45.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $110.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS 0242-52948 KIT CERAMIC CONDUCTIVE BLADE 300MM
yayais2012 NEW - $32.00 0 Jan/28/14 Feb/27/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
used1eqsales Used - $1,350.51 2 Jan/28/14 Apr/08/15
Description: AMAT 0010-31663 Rev. 02 Endura Pneumatic Control Box AMAT Endura 300mm working
supertechshop Used - $199.00 0 Jan/28/14 Feb/27/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Jan/28/14 Feb/27/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $299.00 0 Jan/28/14 Feb/27/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $199.00 4 Jan/28/14 Feb/17/14
Description: AMAT 0040-76820 Face Plate 300mm CVD/Producer Faceplate 0041-13952 / Warranty
used1eqsales Used - $2,500.57 1 Jan/29/14 Feb/06/15
Description: CTI Cryogenics 0190-19390 On-Board IS-8F Cryopump AMAT Endura 300mm working
pleaplus Used - $200.00 0 Jan/30/14 Feb/02/14
Description: AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
pleaplus NEW - $550.00 0 Jan/30/14 Feb/02/14
Description: LAM Research 839-020965-007 assembly, outer, flat 300MM
athomemarket Used - $4,962.99 0 Jan/30/14 Mar/01/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: AMAT 0190-10208 Loadlock Interlock Circuit Board AMAT Centura 300mm working
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: Dip 0190-03373 CDN494 Circuit Board DIP-102-339 AMAT Centura 300mm working
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: AMAT 0190-10208 Mainframe Interlock Circuit Board AMAT Centura 300mm working
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: AMAT 0100-00472 Motion Signal Conditioning Circuit Board AMAT Centura 300mm work
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: Dip 0190-03373 CDN494 Circuit Board DIP-102-327 AMAT Centura 300mm working
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: AMAT 0100-00637 Mainframe Relays Circuit Board AMAT Centura 300mm working
used1eqsales Used - $357.16 1 Jan/31/14 Nov/18/16
Description: SBS 89004890 Rev. A CPMC1 Circuit Board AMAT Centura 300mm working 0190-17952
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: MEI A022-0001 Rev. 3 XMP Circuit Board AMAT Centura 300mm working 0190-03601
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: SBS CPCI-100A-FP cPCI-100 Circuit Board AMAT Centura 300mm working 0190-17950
used1eqsales Used - $2,501.14 0 Jan/31/14 May/06/15
Description: Neport 15-3702-1425-25 AMAT Robot 0190-22248 AMAT Centura 300mm working
used1eqsales Used - $317.32 1 Jan/31/14 Jun/02/15
Description: TeNTA AMAT AS00850-08 0190-15991 SBC HDFD-0850 Circuit Board AMAT Centura 300mm
athomemarket Used - $237.99 0 Feb/01/14 Mar/03/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
yayais2012 NEW - $125.00 0 Feb/02/14 Mar/04/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $450.00 0 Feb/02/14 Mar/04/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
pleaplus Refurbished - $200.00 0 Feb/02/14 Feb/05/14
Description: AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
pleaplus NEW - $550.00 0 Feb/02/14 Feb/05/14
Description: LAM Research 839-020965-007 assembly, outer, flat 300MM
yayais2012 Used - $3,200.00 0 Feb/03/14 Mar/05/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $320.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $110.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
athomemarket Used - $3,331.99 0 Feb/03/14 Mar/05/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
trees_for_a_better_tomorrow Used - $100.00 0 Feb/03/14 Feb/10/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $195.00 0 Feb/03/14 Feb/10/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $50.00 0 Feb/03/14 Feb/10/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
wideerp01 Refurbished - $399.00 1 Feb/04/14 Nov/10/14
Description: Applied Materials DPS II SCREEN FLAT 300MM 0021-26274 REV 003
supertechshop NEW - $299.00 0 Feb/04/14 Mar/06/14
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
athomemarket Used - $3,060.99 0 Feb/04/14 Feb/11/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
supertechshop Used - $299.00 1 Feb/04/14 Feb/17/14
Description: AMAT 0040-87765 Faceplate 300mm Silane Flow Face Plate Rev-003 / Warranty
systasemi Used - $80,000.00 0 Feb/05/14 Mar/07/14
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
yayais2012 Used - $65.00 0 Feb/05/14 Mar/07/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
athomemarket NEW - $6,999.99 0 Feb/05/14 Mar/07/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
pleaplus Refurbished - $200.00 0 Feb/05/14 Feb/08/14
Description: AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
pleaplus NEW - $550.00 0 Feb/05/14 Feb/08/14
Description: LAM Research 839-020965-007 assembly, outer, flat 300MM
ptb-sales Used - $3,000.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Feb/06/14 Feb/16/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
bruce135 Used - $449.00 0 Feb/07/14 Mar/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket NEW - $66.99 0 Feb/08/14 Mar/10/14
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $241.99 0 Feb/08/14 Mar/10/14
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
pleaplus Refurbished - $200.00 0 Feb/08/14 Feb/11/14
Description: AMAT 0200-36034 300mm Simple Cathode Insulator Quartz
pleaplus NEW - $550.00 0 Feb/08/14 Feb/11/14
Description: LAM Research 839-020965-007 assembly, outer, flat 300MM
athomemarket Used - $165.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
ultra_clean_warehouse NEW - $142.34 0 Feb/09/14 May/07/14
Description: GUARD INNER 300MM LCWE - APPLIED MATERIALS 0021-27707 - REV 002 - USA - NEW
oka1298 Refurbished - $1,249.99 3 Feb/10/14 Jul/11/14
Description: AMAT 0040-76652 REV 003 MII - 495 - 0417 SGD lower shower head 300mm
catalystparts Used - $1,500.00 1 Feb/11/14 Jun/15/18
Description: Applied Materials 0200-02421 Isolator Lid - TXZ 300MM
athomemarket Used - $209.99 0 Feb/11/14 Mar/13/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $302.99 0 Feb/11/14 Mar/13/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
athomemarket NEW - $413.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $318.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
prism_electronics6 Used - $114.98 1 Feb/12/14 May/15/21
Description: NOVELLUS 13", 300mm Shower Head,3292 Holes,Classic,6061 Face,C3VCTR 16-271392-00
athomemarket Used - $3,060.99 0 Feb/12/14 Mar/14/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
jojoequip Used - $2,000.00 0 Feb/13/14 Mar/18/14
Description: APPLIED MATERIALS 0010-16373 ASSY CHAMBER 300MM EMAX AP
athomemarket NEW - $318.99 0 Feb/13/14 Mar/15/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
supertechshop NEW - $975.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $299.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop Used - $299.00 0 Feb/13/14 Mar/15/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop NEW - $249.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $99.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $99.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $650.00 0 Feb/13/14 Mar/15/14
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop NEW - $975.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop Used - $9,995.00 0 Feb/13/14 Mar/15/14
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
dr.fantom Used - $59.00 0 Feb/20/14 Feb/12/15
Description: Applied Materials 0010-21740 Assy TC Amplifier 300MM 0100-20339
promtinc1 NEW - $1,500.00 0 Feb/19/14 Feb/24/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
yayais2012 Used - $780.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $75.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
athomemarket NEW - $485.99 0 Feb/19/14 Mar/21/14
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
prism_electronics6 Used - $114.95 1 Feb/19/14 May/06/14
Description: LAM Research 839-800327-315 Rev. F, 300mm ESC Electrostatic Chuck Electrode
prism_electronics6 Used - $149.97 1 Feb/19/14 Apr/02/19
Description: Lam Research 300mm 13in. Showerhead, CTR To Edge QUDRTIC PA, 16-277520-00
systasemi Used - $7,000.00 0 Feb/19/14 Mar/21/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
supertechshop Used - $99.95 0 Feb/20/14 Mar/22/14
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Feb/20/14 Mar/22/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
athomemarket Used - $270.99 0 Feb/21/14 Mar/23/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $206.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
supertechshop NEW - $299.00 0 Feb/21/14 Mar/23/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $499.00 0 Feb/21/14 Mar/23/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
yayais2012 Used - $200.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $350.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $120.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $75.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $85.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $120.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $180.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $95.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $85.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 Used - $90.00 0 Feb/23/14 Mar/25/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
usedeqsales NEW - $302.14 0 Feb/24/14 May/04/15
Description: NSK LH250280YLK2W02PCZ Linear Slide AMAT 0190-15317 300mm LPCVD New
promtinc1 NEW - $1,200.00 0 Feb/24/14 Mar/01/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
neilan1987 NEW - $1,499.99 0 Feb/25/14 Apr/21/14
Description: Applied Materials AMAT 0200-05638 300mm Ceramic Open Robot Blade NEW
athomemarket NEW - $146.99 0 Feb/25/14 Mar/27/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
yayais2012 NEW - $45.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $145.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $75.00 0 Feb/27/14 Mar/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $65.00 0 Feb/27/14 Mar/29/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
alvin1462 NEW - $888.00 2 Feb/27/14 Apr/08/14
Description: AMAT Applied Materials Test Check Table for 0100-01973 PCB 300mm RADIANCE NEW
alvin1462 NEW - $3,888.00 1 Feb/27/14 Nov/12/16
Description: AMAT Applied Materials 0190-14175 STATOR, MAG LEV, WRLD 300MM RADIANCE NEW
farmoninc NEW - $250.00 0 Feb/27/14 Feb/02/18
Description: NEW AMAT 0140-05215 Harness Assy, W-ALN EC Valve 300MM Endur
supertechshop Used - $299.00 0 Feb/27/14 Mar/29/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $199.00 0 Feb/27/14 Mar/29/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Feb/27/14 Mar/29/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop NEW - $249.00 0 Feb/27/14 Mar/29/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
usedeqsales Used - $602.14 1 Feb/28/14 Dec/07/17
Description: AMAT Applied Materials 0100-00581 300mm Gas Interlock Card Used Working
acesemi2010 Used - $126.00 0 Feb/09/12 Jun/26/14
Description: Applied Materials 0150-03341 CABLE ASSY, SWLL IPUP POWER, 300MM CENTU AMAT
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/12/14 Mar/19/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
ptb-sales Used - $3,000.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 Used - $65.00 0 Mar/11/14 Apr/10/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
bruce135 Used - $449.00 0 Mar/10/14 Apr/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
promtinc1 NEW - $1,200.00 0 Mar/10/14 Mar/15/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
athomemarket NEW - $66.99 0 Mar/11/14 Apr/10/14
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $241.99 0 Mar/11/14 Apr/10/14
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
prism_electronics5 Scrap, for parts - $99.99 1 Mar/04/14 Jul/23/14
Description: LAM Research 839-019090-324 300mm ESC Electrostatic Chuck
prism_electronics5 Scrap, for parts - $1,999.99 1 Mar/04/14 Mar/27/14
Description: Lam Research ESC Big Pin 300MM 839-800327-325
athomemarket NEW - $6,999.99 0 Mar/07/14 Apr/06/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
smtechkoreacom NEW - $14,999.00 0 Mar/13/14 Jun/11/14
Description: AMAT 0040-50363 300MM ESC
smtechkoreacom NEW - $18,000.00 0 Mar/13/14 Jun/11/14
Description: AMAT 0040-33215 LASED PEDESTAL 300MM DPS II
smtechkoreacom NEW - $14,999.00 0 Mar/13/14 Jun/11/14
Description: AMAT 0010-06788 ESC ASSY 300MM DPS II
rfsurplus123 Refurbished - $2,500.00 0 Mar/13/14 Dec/14/14
Description: AMAT HE match 0010-21748 for 300mm PVD system
farmoninc NEW - $400.00 0 Mar/13/14 Dec/03/15
Description: NEW AMAT 0190-12567 PHOTOHELIC SWITCH 0-125 PA, 300MM GAS PA
athomemarket Used - $165.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
prism_electronics6 Used - $124.99 1 Mar/14/14 Aug/06/21
Description: Lam Research 300mm, TC, Inner Electrode, 839-021113-002
athomemarket Used - $209.99 0 Mar/14/14 Apr/13/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $302.99 0 Mar/14/14 Apr/13/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
athomemarket NEW - $413.99 0 Mar/14/14 Apr/13/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
prism_electronics6 Used - $149.97 0 Mar/14/14 Aug/02/22
Description: Lam Research 839-021113-404 A, 300mm Inner Electrode, TC
athomemarket NEW - $318.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket Used - $3,060.99 0 Mar/15/14 Apr/14/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket NEW - $318.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/16/14 Mar/23/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Mar/16/14 Mar/23/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
athomemarket Used - $110.99 0 Mar/16/14 Apr/15/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
craigbred NEW - $830.00 0 Mar/17/14 Apr/16/14
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer
yayais2012 Used - $380.00 0 Mar/17/14 Apr/16/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
oka1298 NEW - $42.49 0 Mar/17/14 Jun/04/16
Description: Applied Materials 0021-08324 ADAPTER, BLOCK WATER 3/8NPT SIP 300MM AMAT
ptb-sales Used - $3,000.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
used1eqsales Used - $1,306.41 1 Mar/21/14 Dec/07/14
Description: AMAT Applied Materials 0110-02977 RF Match Sub Zero BESC AMAT Endura 300mm
yayais2012 Used - $780.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $75.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
athomemarket NEW - $1,327.99 0 Mar/21/14 Apr/20/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
ptb-sales Used - $250.00 0 Mar/21/14 Mar/31/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
prism_electronics6 Used - $224.96 1 Mar/18/14 Mar/13/22
Description: Lam Research 715-801021-339 Rev. A, 300mm Upper Liner
prism_electronics6 Used - $179.99 0 Mar/21/14 Jun/20/22
Description: Lam Research 716-020964-003 REV. B, Outer Electrode Assembly, .18 Step, 300mm
supertechshop NEW - $699.00 0 Mar/22/14 Apr/21/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $299.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 Mar/22/14 Apr/21/14
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
supertechshop NEW - $499.00 0 Mar/22/14 Apr/21/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $299.00 0 Mar/22/14 Apr/21/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
yayais2012 Used - $400.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
yayais2012 NEW - $400.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
athomemarket NEW - $485.99 0 Mar/22/14 Apr/21/14
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
farmoninc NEW - $750.00 0 Mar/22/14 May/18/17
Description: 3 AMAT 0090-36313 Assy, HV ESC, 300MM Cathode DPS
systasemi Used - $7,000.00 0 Mar/23/14 Apr/22/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
trees_for_a_better_tomorrow Used - $100.00 0 Mar/23/14 Mar/30/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/23/14 Mar/30/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
athomemarket NEW - $206.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $270.99 0 Mar/24/14 Apr/23/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $206.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
promtinc1 NEW - $1,200.00 0 Mar/24/14 Mar/29/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
yayais2012 NEW - $120.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $75.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $200.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $120.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $85.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $180.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $180.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $350.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
powersell007 Used - $59.00 10 Mar/25/14 Mar/25/23
Description: *NEW* APPLIED MATERIALS AMAT 0021-81369 MEMBRANE, 300mm TITAN PROFILER 5 - ZONE
supertechshop NEW - $499.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $499.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $299.00 0 Mar/26/14 Apr/25/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop Used - $99.95 0 Mar/26/14 Apr/25/14
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
yayais2012 NEW - $85.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 Used - $90.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $95.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
prism_electronics6 Used - $149.95 0 Mar/27/14 Mar/27/22
Description: Novellus 15-323588-00 Rev. 0.1, 300mm Shower Head Face Plate
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/27/14 Mar/30/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
athomemarket NEW - $146.99 0 Mar/28/14 Apr/27/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
systasemi NEW - $220.00 1 Mar/30/14 Feb/21/18
Description: Novellus PADDLE,300mm, Ceramic, WTS TM ROBOT, RAISED BU, 15-250449-00
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/30/14 Apr/02/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $195.00 0 Mar/30/14 Apr/06/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Mar/30/14 Apr/06/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
yayais2012 NEW - $45.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $65.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $75.00 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $145.00 0 Mar/31/14 Apr/30/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
supertechshop Used - $299.00 0 Mar/31/14 Apr/30/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
ptb-sales Used - $3,000.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop NEW - $249.00 0 Mar/31/14 Apr/30/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $199.00 0 Mar/31/14 Apr/30/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Mar/31/14 Apr/30/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
ptb-sales Used - $250.00 0 Mar/31/14 Apr/10/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
farmoninc NEW - $19,000.00 0 Mar/31/14 Sep/05/19
Description: AMAT 0100-37176 Assy Esc, 300MM LCA, DPS AE
used1eqsales Used - $705.15 0 Apr/01/14 May/26/17
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working
promtinc1 NEW - $1,200.00 0 Apr/01/14 Apr/06/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
used1eqsales Used - $705.15 1 Apr/01/14 Mar/29/18
Description: AMAT 0100-00574 Circuit Board PVD IMP Chamber Interlock AMAT Endura 300mm
athomemarket Used - $4,962.99 0 Apr/01/14 May/01/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
used1eqsales Used - $705.15 0 Apr/01/14 May/26/17
Description: DIP 0190-01270 Circuit Board DIP-216-075 AMAT Endura 300mm working
athomemarket NEW - $69.99 0 Apr/02/14 Apr/09/14
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $99.99 0 Apr/02/14 Apr/09/14
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $69.99 0 Apr/02/14 Apr/09/14
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
systasemi NEW - $447.00 0 Apr/03/14 Aug/17/15
Description: AMAT 0270-02076 Bfr Xfer Robot ZERO, TOOL ZERO POSITION ENDURA XP 300MM
yayais2012 NEW - $32.00 0 Apr/03/14 May/03/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 NEW - $110.00 0 Apr/03/14 May/03/14
Description: APPLIED MATERIALS 0242-52948 KIT CERAMIC CONDUCTIVE BLADE 300MM
athomemarket Used - $237.99 0 Apr/03/14 May/03/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
systasemi NEW - $1,500.00 0 Apr/02/14 Jun/01/23
Description: AMAT 0270-03559 CALIBRATION TOOL COOLDOWN DEGAS 300mm
systasemi NEW - $169.00 0 Apr/02/14 Jun/01/23
Description: AMAT 0020-99758 BONDING PAD PBI REAR HT 3.8MM MODULAR END EFFECTOR 300MM
systasemi NEW - $1,500.00 0 Apr/03/14 Aug/16/22
Description: AMAT 0021-19342 SHIELD AL RF CHAMBER, SHIELD INNER 300MM PCII/RPC+
systasemi NEW - $383.00 0 Apr/03/14 Feb/24/15
Description: NOVELLUS 02-054573-00 MOER RING 2.4MM-14/AL2O3 300MM CERAMIC
systasemi NEW - $780.00 0 Apr/03/14 Jun/01/23
Description: AMAT 0020-17121 CONNECTOR HEATER 300MM ULTIMA X, OEM NEW
systasemi NEW - $700.00 0 Apr/04/14 Jun/01/23
Description: AMAT 0041-11911 HOUSING WAFER PRESENT SENSOR VDPM, 300MM DESIC
used1eqsales Used - $3,004.14 0 Apr/04/14 May/26/17
Description: AMAT Applied Materials 0021-41520 Source Chamber Top AMAT Endura 300mm
used1eqsales Used - $3,004.14 0 Apr/04/14 May/26/17
Description: AMAT Applied Materials 0010-22568 Source Chamber Top CPI-VMO AMAT Endura 300mm
athomemarket Used - $3,331.99 0 Apr/05/14 May/05/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
yayais2012 Used - $450.00 0 Apr/06/14 May/06/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $110.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $125.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $320.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $3,200.00 0 Apr/06/14 May/06/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
used1eqsales Used - $3,004.14 0 Apr/07/14 May/26/17
Description: AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm
athomemarket NEW - $6,999.99 0 Apr/07/14 May/07/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
promtinc1 NEW - $1,200.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials 0200-04094 CYLINDER, 80SR WAFER SUPPORT, 300MM RADI
used1eqsales Used - $2,504.14 0 Apr/07/14 May/26/17
Description: AMAT Applied Materials 0010-22567 Source Chamber Top CPI-VMO AMAT Endura 300mm
used1eqsales Used - $2,504.14 0 Apr/07/14 May/26/17
Description: AMAT Applied Materials 0010-25068 Source Chamber Top CPI-VMO AMAT Endura 300mm
supertechshop NEW - $299.00 0 Apr/08/14 May/08/14
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
systasemi Used - $80,000.00 0 Apr/08/14 May/08/14
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
athomemarket Used - $165.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
ptb-sales Used - $3,000.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $1,400.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-12556 957-630 FLOWTHRU FEEDTHRU 300MM SOURCE ASSY
farmoninc NEW - $195.00 1 Apr/12/14 Dec/26/17
Description: AMAT 0090-01292 LAMP ASSY, SIGNAL TOWER (RBYW) 300MM W/8
used1eqsales Used - $152.07 2 Apr/11/14 Feb/06/15
Description: Vicor MP6-67595 PFC Megapac Power Supply 1140-00342 AMAT Endura 300mm working
outback6 Scrap, for parts - $100.00 0 Apr/11/14 Apr/18/14
Description: R108225 Novellus 300mm Copper Speed HDP ESC 62-283808-00
athomemarket Used - $209.99 0 Apr/13/14 May/13/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $302.99 0 Apr/13/14 May/13/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
keykorea NEW - $4,700.00 1 Apr/14/14 Jul/23/18
Description: AMAT 0270-00689 INSTALL, TOOL COLLAR, 300MM HDPCVD,NEW
athomemarket NEW - $318.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $413.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket Used - $3,060.99 0 Apr/14/14 May/14/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket NEW - $318.99 2 Apr/15/14 May/15/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
athomemarket NEW - $214.99 0 Apr/16/14 Apr/23/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
athomemarket Used - $317.99 0 Apr/16/14 May/16/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket Used - $110.99 0 Apr/16/14 May/16/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket NEW - $54.99 0 Apr/16/14 May/16/14
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $267.99 0 Apr/16/14 May/16/14
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
yayais2012 Used - $380.00 0 Apr/16/14 May/16/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/17/14 Apr/24/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/17/14 Apr/20/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow Used - $100.00 0 Apr/17/14 Apr/24/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
farmoninc NEW - $9.99 1 Apr/17/14 Jul/03/15
Description: AMAT 0021-17549 Liner Process, PVDF, 300MM IBC, IECP
supertechshop NEW - $975.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $299.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $249.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $99.00 0 Apr/17/14 May/17/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $99.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop Used - $299.00 0 Apr/17/14 May/17/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop NEW - $650.00 0 Apr/17/14 May/17/14
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop NEW - $975.00 0 Apr/17/14 May/17/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop Used - $9,995.00 0 Apr/17/14 May/17/14
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
keykorea Used - $5,200.00 1 Apr/17/14 Dec/31/14
Description: AMAT 0190-24282 CONTROLLER, MB340 MAG LEV, 300MM RADIAN, WORKING
grandbirdnet NEW - $4,000.00 0 Apr/18/14 Dec/03/21
Description: MKS AS00385-02 COMMUNICATIONS TRAY, 300MM HDPCVD GEN RA, NEW
usedeqsales NEW - $4,004.14 0 Apr/18/14 Apr/30/15
Description: AB Allen-Bradley AMAT 0195-08932 Chamber AC Rack Radiance Plus 300mm Vantage New
athomemarket NEW - $1,327.99 0 Apr/20/14 May/20/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
yayais2012 Used - $780.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $75.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 Used - $400.00 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
yayais2012 NEW - $400.00 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
ptb-sales Used - $3,000.00 0 Apr/21/14 May/01/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $485.99 0 Apr/21/14 May/21/14
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
ptb-sales Used - $250.00 0 Apr/21/14 May/01/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
prism_electronics7 Used - $99.99 1 Apr/21/14 May/20/14
Description: Novellus 12" Chuck Pem 300mm O-Ring 16-134895-00 , 102480 06 05, Rev. C
keykorea NEW - $230.00 1 Apr/21/14 Aug/22/19
Description: AMAT 0270-00740 PIN, ALIGN CATHODE ASSY, 300MM HDP-CVD, LOT 2, NEW
keykorea NEW - $450.00 0 Apr/21/14 Dec/12/15
Description: AMAT 0270-00741 SLEEVE, ALIGN CATHODE ASSY, 300MM HDP-CV, LOT 2, NEW
keykorea NEW - $3,600.00 1 Apr/22/14 Jun/16/15
Description: AMAT 0270-02726 BLANKOFF, CHAMBER LID, 300MM HDPCVD ULTI, NEW
outback6 Scrap, for parts - $50.00 0 Apr/22/14 Apr/29/14
Description: R108225 Novellus 300mm Copper Speed HDP ESC 62-283808-00
supertechshop NEW - $499.00 0 Apr/22/14 May/22/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $299.00 0 Apr/22/14 May/22/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop NEW - $699.00 0 Apr/22/14 May/22/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $299.00 0 Apr/22/14 May/22/14
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 Apr/22/14 May/22/14
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
pcnomad.com NEW - $2,499.99 1 Apr/23/14 Jun/09/14
Description: NEW AMAT 0190-24854 MKS REV3.0 G4 PLUS 4-PORT UPA 300MM REFL
athomemarket NEW - $206.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $270.99 0 Apr/23/14 May/23/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $206.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
systasemi Used - $7,000.00 0 Apr/23/14 May/23/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
athomemarket NEW - $146.99 0 Apr/27/14 May/27/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/26/14 Apr/29/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $195.00 0 Apr/26/14 May/03/14
Description: Lot of 2 New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $100.00 0 Apr/26/14 May/03/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
velocity-semiconductor-equipment NEW - $20.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS (AMAT) , GUIDE, LIFT PIN, ESC, 300MM DPS2 , 0200-06622
yayais2012 NEW - $85.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $120.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $90.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $180.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $85.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $200.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $95.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $350.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $75.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
farmoninc NEW - $450.00 0 Apr/28/14 May/07/18
Description: 2 AMAT 0021-79130 FINGER, SHORT, WALKING BEAM, 300MM 327428
farmoninc NEW - $150.00 1 Apr/28/14 Jun/17/18
Description: AMAT 0190-08530 Hose Assembly Extention Oxide 300MM
velocity-semiconductor-equipment NEW - $1,800.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) PRESSURE DISPLAY 300MM FI120V 1PH 50/60HZ 0190-14415
velocity-semiconductor-equipment NEW - $135.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) GUIDE LIFT PIN ESC 300MM DPS2 0021-13790
velocity-semiconductor-equipment NEW - $500.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) ENCLOSURE FACILITIES MAIN FRAME 300MM 0040-48648
velocity-semiconductor-equipment NEW - $170.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) CLAMP PEEK 300MM WALKING BEAM CLEANE 0021-15538
supertechshop Used - $99.95 0 Apr/28/14 May/28/14
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Apr/28/14 May/28/14
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $299.00 0 Apr/28/14 May/28/14
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $499.00 0 Apr/28/14 May/28/14
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
usedeqsales NEW - $1,504.14 0 Apr/29/14 May/01/15
Description: A-B Allen-Bradley 1000A-0195-05504 Modular AC 300mm Control AMAT 0195-05504 New
usedeqsales NEW - $1,004.14 0 Apr/29/14 May/07/14
Description: AMAT Applied Materials 0010-35126 Neon 300mm Boom Assembly New
keykorea Used - $9,000.00 0 Apr/30/14 Apr/11/18
Description: AMAT 0010-07903 LDM ASSY BRUSH DIRECT FEED 300MM , USED
yayais2012 NEW - $45.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $65.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $75.00 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $145.00 0 Apr/30/14 May/30/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
athomemarket NEW - $214.99 0 Apr/30/14 May/30/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
ptb-sales Used - $3,000.00 0 May/01/14 May/11/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 May/01/14 May/11/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $4,962.99 0 May/01/14 May/31/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
supertechshop Used - $299.00 0 May/01/14 May/31/14
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $199.00 0 May/01/14 May/31/14
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop NEW - $249.00 0 May/01/14 May/31/14
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $299.00 0 May/01/14 May/31/14
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
craigbred NEW - $830.00 0 May/02/14 Jun/01/14
Description: 0190-16591 GasBox Gas Box Heater for 300mm AMAT Producer
yayais2012 NEW - $110.00 0 May/03/14 Jun/02/14
Description: APPLIED MATERIALS 0242-52948 KIT CERAMIC CONDUCTIVE BLADE 300MM
yayais2012 NEW - $32.00 0 May/03/14 Jun/02/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
athomemarket Used - $237.99 0 May/03/14 Jun/02/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
yayais2012 Used - $380.00 0 May/17/14 Jun/16/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
athomemarket Used - $317.99 0 May/16/14 Jun/15/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket Used - $110.99 0 May/16/14 Jun/15/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
used1eqsales NEW - $505.14 0 May/15/14 May/26/17
Description: AMAT Applied Materials 0240-03251 Mounting Chiller Lines Kit AMAT Endura 300mm
used1eqsales NEW - $252.57 1 May/15/14 Jul/26/14
Description: AMAT Applied Materials 0240-03303 Subzero Chiller ESC Water Box Endura 300mm
used1eqsales NEW - $252.57 1 May/15/14 Jul/26/14
Description: AMAT Applied Materials 0240-04813 AMAT Endura 300mm
athomemarket NEW - $318.99 0 May/15/14 Jun/14/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
used1eqsales Used - $3,505.14 2 May/15/14 Jun/17/15
Description: AMAT 0010-28715 Aluminum Nitride Heater Dual Zone ALD High K 300mm AMAT Endura
athomemarket NEW - $54.99 0 May/16/14 Jun/15/14
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $267.99 0 May/16/14 Jun/15/14
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket Used - $302.99 0 May/13/14 Jun/12/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
athomemarket Used - $3,060.99 0 May/14/14 Jun/13/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
used1eqsales NEW - $4,005.14 1 May/09/14 Nov/25/14
Description: AE APEX 5513 27-368622-00 RF Generator 3156115-253 Novellus C3 Vector 300mm
used1eqsales NEW - $2,005.14 0 May/09/14 Nov/30/15
Description: Novellus 15-311164-00 Ring Wafer Lift 300mm STD ID EC VCTR Novellus Vector
used1eqsales NEW - $252.57 0 May/19/14 Dec/10/15
Description: AMAT Applied Materials 0020-04400 Disk Shutter TA/BESC AL AMAT Endura 300mm
used1eqsales NEW - $227.31 1 May/19/14 Dec/19/14
Description: AMAT Applied Materials 0240-03004 Kit Water SIP-CU Upper Adapter Endura 300mm
farmoninc NEW - $1,150.00 0 May/19/14 Jul/10/20
Description: AMAT 0040-40793 RING, MOUNT 300MM DTCU, DPS POLY
keykorea Used - $2,500.00 0 May/20/14 Apr/11/18
Description: AMAT 0190-16834 HEPA FILTER PRESSURE SENSOR READY 300MM , USED
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $249.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $99.00 0 May/20/14 Jun/19/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $975.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop NEW - $99.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $650.00 0 May/20/14 Jun/19/14
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop Used - $299.00 0 May/20/14 Jun/19/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop NEW - $975.00 0 May/20/14 Jun/19/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop Used - $9,995.00 0 May/20/14 Jun/19/14
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
athomemarket NEW - $1,327.99 0 May/20/14 Jun/19/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
xl-t_com Used - $300.00 0 May/21/14 Aug/31/16
Description: APPLIED MATERIALS - 0100-76117 - PCB ASSY, GEN RACK INDICATOR 300MM
athomemarket NEW - $485.99 0 May/21/14 Jun/20/14
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
usedeqsales Refurbished - $3,505.14 0 May/21/14 May/01/15
Description: Newport 15-3702-1425-26 300mm Wafer Transfer Robot AMAT 0190-22250 Refurbished
yayais2012 Used - $780.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $400.00 0 May/22/14 Jun/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
yayais2012 Used - $400.00 0 May/22/14 Jun/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
yayais2012 NEW - $75.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
ptb-sales Used - $3,000.00 0 May/22/14 Jun/01/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 May/22/14 Jun/01/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
usedeqsales Refurbished - $2,504.11 0 May/22/14 May/01/15
Description: Nova PC 320-52000-00 300mm Dry Wafer Handler AMAT 3920-00235 Refurbished
athomemarket Used - $270.99 0 May/23/14 Jun/22/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $206.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
velocity-semiconductor-equipment NEW - $20.00 0 May/25/14 Jun/24/14
Description: APPLIED MATERIALS (AMAT) , GUIDE, LIFT PIN, ESC, 300MM DPS2 , 0200-06622
keykorea Used - $20,000.00 0 May/26/14 Sep/03/15
Description: AMAT 0010-17445 & 0010-14606 DIRECT FEED BRUSH LDM FOR 300MM DESICA , USED
xl-t_com NEW - $350.00 0 May/27/14 Aug/31/16
Description: APPLIED MATERIALS - 0140-01687 - HARNESS ASSY INTERNAL END 4W FI 300MM
yayais2012 NEW - $85.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 Used - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $95.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 Used - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $90.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $120.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $85.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $200.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $75.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $180.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $120.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $350.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
xl-t_com NEW - $386.00 0 May/27/14 Aug/31/16
Description: APPLIED MATERIALS - 0150-36433 - CABLE ASSY, MF INTERLOCK, 300MM RTP CHAM
athomemarket NEW - $146.99 0 May/27/14 Jun/26/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
supertechshop NEW - $699.00 0 May/28/14 Jun/27/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $499.00 0 May/28/14 Jun/27/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $299.00 0 May/28/14 Jun/27/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop Used - $299.00 0 May/28/14 Jun/27/14
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 May/28/14 Jun/27/14
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
farmoninc Used - $2,475.00 0 May/28/14 May/07/18
Description: AMAT 0190-36041 Controller, Phase Angle, 300mm Aluminum
velocity-semiconductor-equipment NEW - $500.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) ENCLOSURE FACILITIES MAIN FRAME 300MM 0040-48648
velocity-semiconductor-equipment NEW - $1,800.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) PRESSURE DISPLAY 300MM FI120V 1PH 50/60HZ 0190-14415
velocity-semiconductor-equipment NEW - $135.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) GUIDE LIFT PIN ESC 300MM DPS2 0021-13790
velocity-semiconductor-equipment NEW - $170.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) CLAMP PEEK 300MM WALKING BEAM CLEANE 0021-15538
grandbirdnet Used - $300.00 0 May/29/14 Jun/01/23
Description: AMAT 0040-64457 BRACKET, WAFER PRESENT SENSOR, MEG 300MM , USED
keykorea Used - $4,500.00 0 May/29/14 Apr/11/18
Description: AMAT 0010-33479 ROLLER 1 ASSY,MEGASONIC TANK 300MM , USED
pnpsemi Scrap, for parts - $15,000.00 0 May/29/14 Aug/25/20
Description: Applied materials 0010-23684 TOP MATCH ASSY FAN W/INTLK 300MM ULTIMA
athomemarket NEW - $214.99 0 May/30/14 Jun/29/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
yayais2012 NEW - $75.00 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $145.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $45.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $65.00 0 May/30/14 Jun/29/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
keykorea Used - $23,000.00 0 May/30/14 Apr/11/18
Description: AMAT 0010-12523 ASSY, SRD MODULE CU WITHOUT LAMP 300MM HVM , USED
keykorea Used - $35,000.00 0 May/31/14 Apr/11/18
Description: AMAT 0240-15860 MEGASONIC MODULE ASSEMBLY, 300MM , USED
athomemarket NEW - $214.99 0 Jul/29/14 Aug/28/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
nps NEW - $49.99 1 Jul/29/14 Aug/05/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket Used - $4,962.99 0 Jul/30/14 Aug/29/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
athomemarket Used - $302.99 0 Jul/12/14 Aug/11/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore Scrap, for parts - $599.99 0 Jul/28/14 Jul/31/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Jul/28/14 Jul/31/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $349.99 0 Jul/31/14 Aug/30/14
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
athomemarket Used - $349.99 0 Jul/31/14 Aug/30/14
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
svcstore Scrap, for parts - $799.99 0 Jul/31/14 Aug/03/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Jul/31/14 Aug/03/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
supertechshop NEW - $299.00 0 Aug/01/14 Aug/31/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop Used - $299.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 Aug/01/14 Aug/31/14
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
yayais2012 NEW - $145.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $65.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $45.00 0 Aug/01/14 Aug/31/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $75.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Used - $349.99 0 Aug/01/14 Aug/31/14
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $237.99 0 Aug/01/14 Aug/31/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
partminer2012 Used - $170.00 0 Aug/02/14 Dec/07/14
Description: Novellus 16-271392-00 300MM 13" Shower Head
athomemarket Used - $3,331.99 0 Aug/03/14 Sep/02/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
systasemi Used - $7,000.00 0 Aug/03/14 Sep/02/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
yayais2012 NEW - $32.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
ptb-sales Used - $3,000.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Aug/04/14 Aug/14/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
nps NEW - $49.99 1 Aug/05/14 Aug/12/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
farmoninc NEW - $125.00 0 Aug/05/14 Jun/01/23
Description: AMAT 0021-12340 Cover, Protective, Lamp AC, 300mm Radian
athomemarket NEW - $6,999.99 0 Aug/05/14 Sep/04/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore Used - $199.99 0 Aug/05/14 Aug/08/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $599.99 0 Aug/06/14 Aug/09/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Aug/06/14 Aug/09/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
usedeqsales NEW - $1,008.14 0 Aug/07/14 May/04/15
Description: Cortec Precision 0270-00795 300mm Hoop Alignment Tool AMAT Applied Materials New
supertechshop NEW - $699.00 0 Aug/07/14 Sep/06/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $650.00 0 Aug/07/14 Sep/06/14
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop NEW - $975.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $499.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
usedeqsales Used - $3,508.14 0 Aug/07/14 Feb/11/15
Description: Kawasaki 3NS001S-L003 300mm NF Prealigner AMAT 0190-14786 Used Working
svcstore Used - $1,299.99 0 Aug/07/14 Aug/10/14
Description: NSK ELA-B014CFL-03 Dual-Axis 300mm Robot Driver Controller AMAT 0190-17853
svcstore Used - $3,999.99 0 Aug/07/14 Aug/10/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
athomemarket NEW - $318.99 0 Aug/13/14 Sep/12/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
usedeqsales Used - $3,506.11 0 Aug/15/14 May/01/15
Description: AMAT Applied Materials 0010-28724 RF Match Vectra IMP Source 300mm Used Working
altechco NEW - $24,000.00 0 Aug/15/14 Sep/08/14
Description: APPLIED MATERIALS ASSY STD 119338A 1-11938000-468 CRNTURA 300MM MF 0010-26656
athomemarket Used - $317.99 0 Aug/14/14 Sep/13/14
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket Used - $110.99 0 Aug/14/14 Sep/13/14
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
ptb-sales Used - $3,000.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
visionsemi NEW - $600.00 0 Aug/13/14 Jun/03/16
Description: APPLIED MATERIALS AMAT ENDURA CRYO PUMP LIFT ALUM-A-LIFT 300MM 0190-14995
yayais2012 Used - $65.00 0 Aug/13/14 Sep/12/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
farmoninc NEW - $75.00 0 Aug/15/14 Jun/01/23
Description: AMAT 0020-28002 Seal, Interface Panel, Reflexion 300MM
athomemarket NEW - $54.99 0 Aug/14/14 Sep/13/14
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $267.99 0 Aug/14/14 Sep/13/14
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
svcstore Used - $199.99 0 Aug/14/14 Aug/17/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
athomemarket Used - $302.99 0 Aug/11/14 Sep/10/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore Scrap, for parts - $799.99 0 Aug/12/14 Aug/15/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Aug/12/14 Aug/15/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
athomemarket Used - $3,060.99 0 Aug/12/14 Sep/11/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
svcstore Scrap, for parts - $799.99 0 Aug/15/14 Aug/18/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Aug/15/14 Aug/18/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 Used - $380.00 0 Aug/16/14 Sep/15/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore Used - $3,999.99 0 Aug/16/14 Aug/19/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
yayais2012 NEW - $120.00 0 Aug/17/14 Sep/16/14
Description: APPLIED MATERIALS WAFER LIFT PIN AMAT 300mm 0200-03201 301482-491 REV 003
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
grandbirdnet Used - $3,800.00 3 Aug/17/14 Dec/08/22
Description: AMAT 0190-12477 6-PORT ROTARY UNION, 300MM 5-ZONE PROFILER , USED
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
svcstore Used - $199.99 0 Aug/17/14 Aug/20/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
athomemarket Used - $214.99 0 Aug/18/14 Sep/17/14
Description: MKS/dIP/AMAT Applied Materials 0100-01944 Tower Interface (CCT) 300mm, E Card
athomemarket NEW - $259.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $1,327.99 0 Aug/18/14 Sep/17/14
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore Scrap, for parts - $799.99 0 Aug/18/14 Aug/21/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Aug/18/14 Aug/21/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
used1eqsales Used - $6,008.14 0 Aug/19/14 May/26/17
Description: AMAT 0010-22568 Chamber Source Rev 001 AMAT Endura 300mm used working
used1eqsales NEW - $6,008.14 0 Aug/19/14 May/26/17
Description: AMAT 0010-37386 Center Water Feedthru Source 300mm Rev 03 new surplus
nps NEW - $49.99 0 Aug/19/14 Aug/26/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
supertechshop NEW - $299.00 0 Aug/19/14 Sep/18/14
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
athomemarket NEW - $485.99 0 Aug/19/14 Sep/18/14
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcstore Used - $3,999.99 0 Aug/19/14 Aug/22/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
farmoninc NEW - $350.00 0 Aug/20/14 Jun/01/23
Description: AMAT 0150-01731 Cable Assembly, PC ENDPT Interface 30 FT, 300MM
used1eqsales NEW - $2,008.14 0 Aug/20/14 May/26/17
Description: AMAT 0041-05925 Ceramic Platen DLN ESC 300mm Rev. DA new surplus
used1eqsales NEW - $2,008.14 0 Aug/20/14 May/26/17
Description: AMAT 0021-32269 ESC Interface 300mm Rev. A new surplus
farmoninc NEW - $150.00 0 Aug/20/14 Jun/01/23
Description: AMAT 0021-15196 Water Line Cover SWLL 300MM Endura
systasemi Used - $80,000.00 0 Aug/20/14 Sep/19/14
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
svcstore Used - $199.99 0 Aug/20/14 Aug/23/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
dr.fantom Refurbished - $500.00 0 Aug/21/14 Feb/12/15
Description: Applied Materials 0020-41709 500065085 XDK Dark Space Shield 300mm
dr.fantom Refurbished - $500.00 0 Aug/21/14 Feb/12/15
Description: Applied Materials 0021-40241 633005716 Cover Ring 300mm CL
athomemarket NEW - $206.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $270.99 0 Aug/21/14 Sep/20/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $206.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
farmoninc NEW - $1,200.00 0 Aug/21/14 Mar/08/21
Description: 3 AMAT 0040-40810 Liner, Lid, 300MM IBC, IECP
svcstore Scrap, for parts - $799.99 0 Aug/21/14 Aug/24/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Aug/21/14 Aug/24/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
velocity-semiconductor-equipment NEW - $20.00 0 Aug/23/14 Sep/22/14
Description: APPLIED MATERIALS (AMAT) , GUIDE, LIFT PIN, ESC, 300MM DPS2 , 0200-06622
svcstore Used - $3,999.99 0 Aug/23/14 Aug/26/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $199.99 0 Aug/23/14 Aug/26/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
vizko2017 Used - $380.00 1 Aug/24/14 Jun/24/22
Description: APPLIED MATERIALS MIRRA 300MM HVM Controller I/O ASSY NO. 0100-77070 REV - P7
vizko2017 Used - $400.00 1 Aug/24/14 Apr/01/17
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
yayais2012 NEW - $75.00 0 Aug/24/14 Nov/17/15
Description: APPLIED MATERIALS 0150-23610 CABLE ASSY DOOR INTERLOCK SWITCH EPI 300MM LOT OF 2
yayais2012 Used - $200.00 3 Aug/24/14 Sep/13/16
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
dr.fantom Refurbished - $750.00 0 Aug/24/14 Feb/12/15
Description: AMAT / Applied Materials 0020-92461 REV 001 Inner Shield 300mm PC XT/XTE
dr.fantom Refurbished - $600.00 0 Aug/24/14 Feb/12/15
Description: Applied Materials / AMAT 0020-41709 REV 004 XDK Dark Space Shield 300mm
dr.fantom Refurbished - $600.00 0 Aug/24/14 Feb/12/15
Description: Applied Materials / AMAT 0035-00193 REV 002 Shield 1-Piece HP PVD 300MM
dr.fantom Refurbished - $800.00 0 Aug/24/14 Feb/12/15
Description: Applied Materials / AMAT 0040-39587 Lower Shield 300MM PC XT/XTE300mm
ptb-sales Used - $3,000.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Aug/24/14 Sep/03/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Scrap, for parts - $599.99 0 Aug/24/14 Aug/27/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Aug/24/14 Aug/27/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
dr.fantom Refurbished - $300.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0021-22177 Rev 007 Cover Ring 300mm
dr.fantom Refurbished - $300.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0021-22177 Rev 009 XDK Cover Ring 300mm
dr.fantom Refurbished - $500.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0200-20437 DEPOSITION RING 300MM ESC
dr.fantom Refurbished - $400.00 0 Aug/25/14 Feb/12/15
Description: AMAT / Applied Materials 0020-99175 Rev 002 Cover Ring HP PVD 300MM
farmoninc NEW - $100.00 0 Aug/25/14 Jun/01/23
Description: AMAT 0140-21591 HARN. ASSY.,REAR LAMP,300MM CHM
katiil3 Scrap, for parts - $1,490.00 0 Aug/25/14 Aug/28/14
Description: AMAT 0010-16986 Rev 008 Pedestal 300mm
farmoninc NEW - $300.00 0 Aug/25/14 Jun/01/23
Description: AMAT 0021-79130 Finger, Short, Walking Beam, 300MM
athomemarket NEW - $146.99 0 Aug/25/14 Sep/24/14
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
supertechshop NEW - $299.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $99.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $249.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $299.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $99.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $975.00 0 Aug/25/14 Sep/24/14
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop Used - $299.00 0 Aug/25/14 Sep/24/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop Used - $9,995.00 0 Aug/25/14 Sep/24/14
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
farmoninc NEW - $550.00 0 Aug/26/14 Jun/01/23
Description: AMAT 0200-01065 Insert, pump port, 300mm TC14
farmoninc NEW - $300.00 0 Aug/26/14 May/07/18
Description: AMAT 0040-47985 DI Arm, Back Flow, 300mm IBC, IECP
nps NEW - $49.99 0 Aug/26/14 Sep/02/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
velocity-semiconductor-equipment NEW - $135.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) GUIDE LIFT PIN ESC 300MM DPS2 0021-13790
velocity-semiconductor-equipment NEW - $170.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) CLAMP PEEK 300MM WALKING BEAM CLEANE 0021-15538
velocity-semiconductor-equipment NEW - $500.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) ENCLOSURE FACILITIES MAIN FRAME 300MM 0040-48648
velocity-semiconductor-equipment NEW - $1,800.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) PRESSURE DISPLAY 300MM FI120V 1PH 50/60HZ 0190-14415
svcstore Used - $3,999.99 0 Aug/26/14 Aug/29/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $199.99 0 Aug/26/14 Aug/29/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $799.99 0 Aug/27/14 Aug/30/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Aug/27/14 Aug/30/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 NEW - $75.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $90.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $180.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $85.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $85.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $350.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $200.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $95.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $120.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $180.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $120.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
athomemarket NEW - $214.99 0 Aug/28/14 Sep/27/14
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
alvin1462 Used - $5,555.00 0 Aug/29/14 Dec/14/20
Description: Applied Materials 0010-24774 ESC Assy 300MM SMALL OD
athomemarket Used - $4,962.99 0 Aug/29/14 Sep/28/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore Used - $3,999.99 0 Aug/29/14 Sep/01/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $199.99 0 Aug/29/14 Sep/01/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
trees_for_a_better_tomorrow Used - $150.00 0 Aug/30/14 Sep/09/14
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
athomemarket Used - $349.99 0 Aug/30/14 Sep/29/14
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
athomemarket Used - $349.99 0 Aug/30/14 Sep/29/14
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
svcstore Scrap, for parts - $799.99 0 Aug/30/14 Sep/02/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Aug/30/14 Sep/02/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
athomemarket Used - $349.99 0 Aug/31/14 Sep/30/14
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $237.99 0 Aug/31/14 Sep/30/14
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
svcstore Used - $3,999.99 0 Sep/01/14 Sep/04/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
yayais2012 NEW - $65.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $145.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $45.00 0 Sep/02/14 Oct/02/14
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $75.00 0 Sep/02/14 Oct/02/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Used - $3,331.99 0 Sep/02/14 Oct/02/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Used - $199.99 0 Sep/02/14 Sep/05/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
systasemi Used - $7,000.00 0 Sep/02/14 Oct/02/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
farmoninc NEW - $100.00 0 Sep/03/14 Jun/01/23
Description: AMAT 0021-18566 Sensor Clamp, 300MM Profiler
svcstore Scrap, for parts - $599.99 0 Sep/03/14 Sep/06/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Sep/03/14 Sep/06/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
ptb-sales Used - $3,000.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Sep/04/14 Sep/14/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
electronicswest Used - $250.00 0 Sep/04/14 Sep/11/14
Description: Applied Materials AMAT 0040-81156 Tetra 300mm DPS II Chamber Upper Liner
nps NEW - $49.99 0 Sep/04/14 Sep/11/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
sparesllc09 Used - $4,000.00 0 Sep/04/14 Feb/25/16
Description: AMAT LFD 300MM RADIANCE 0100-01973 REV.2
athomemarket NEW - $6,999.99 0 Sep/04/14 Oct/04/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
yayais2012 NEW - $32.00 0 Sep/05/14 Oct/05/14
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
supertechshop Used - $299.00 0 Sep/05/14 Oct/05/14
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 Sep/05/14 Oct/05/14
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
supertechshop NEW - $299.00 0 Sep/05/14 Oct/05/14
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $199.99 0 Sep/05/14 Sep/08/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $799.99 0 Sep/06/14 Sep/09/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Sep/06/14 Sep/09/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
usedeqsales Used - $1,009.14 0 Sep/08/14 May/04/15
Description: AMAT Applied Materials 0040-80142 300mm Gripper Claw 0040-84443 Quantum X Used
usedeqsales Used - $1,009.14 0 Sep/08/14 May/04/15
Description: AMAT Applied Materials 0040-80144 300mm Gripper Claw 0040-84444 Quantum X Used
bruce135 Used - $429.00 0 Sep/08/14 Oct/08/14
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket NEW - $69.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $241.99 0 Sep/08/14 Oct/08/14
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket NEW - $66.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $99.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $69.99 0 Sep/08/14 Oct/08/14
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore Used - $199.99 0 Sep/08/14 Sep/11/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $3,999.99 0 Sep/08/14 Sep/11/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
bobsgoodies Used - $1,650.00 1 Sep/09/14 Nov/29/14
Description: AMAT 0190-77588 WET ROBOT 300mm PRI Brooks WTM511-2-FWS02
supertechshop NEW - $699.00 0 Sep/09/14 Oct/09/14
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $650.00 0 Sep/09/14 Oct/09/14
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop NEW - $975.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $499.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svcstore Scrap, for parts - $599.99 0 Sep/09/14 Sep/12/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 Used - $255.00 0 Sep/09/14 Oct/09/14
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
svcstore Scrap, for parts - $799.99 0 Sep/09/14 Sep/12/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 Used - $110.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $320.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 NEW - $125.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $2,800.00 0 Sep/10/14 Oct/10/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $450.00 0 Sep/10/14 Oct/10/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/04/14 Oct/11/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/04/14 Oct/11/14
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow Used - $150.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
nps NEW - $49.99 0 Oct/03/14 Oct/10/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket Used - $3,331.99 0 Oct/02/14 Nov/01/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/05/14 Oct/12/14
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
athomemarket NEW - $318.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $413.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
yayais2012 NEW - $125.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $2,800.00 0 Oct/10/14 Nov/09/14
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
capitolareatech NEW - $400.00 0 Sep/27/14 Dec/26/14
Description: NOVELLUS 60-300514-00 NOVELLUS GATE, BONDED, 300MM, SMC L-MOTION, CHEMRAZ; G
systasemi Used - $7,000.00 0 Oct/06/14 Nov/05/14
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
athomemarket NEW - $6,999.99 0 Oct/05/14 Nov/04/14
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
athomemarket Used - $3,060.99 0 Oct/12/14 Nov/11/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
svcstore Scrap, for parts - $799.99 0 Oct/11/14 Oct/14/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $302.99 0 Oct/11/14 Nov/10/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore Used - $199.99 0 Oct/11/14 Oct/14/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
yayais2012 Used - $450.00 0 Oct/10/14 Nov/09/14
Description: Applied Materials 0040-48318 bellows 300mm pedestal
semiexpert Used - $1,100.00 0 Oct/11/14 Nov/10/14
Description: AMAT 0040-48767 CATHODE BASE, E-CHUCK, 300MM ULTIMA X, H
semiexpert NEW - $600.00 0 Oct/10/14 Nov/09/14
Description: AMAT 0021-22064 COVER RING TTN 300MM PVD
athomemarket Used - $209.99 0 Oct/11/14 Nov/10/14
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
capitolareatech NEW - $450.00 0 Sep/27/14 Dec/26/14
Description: NOVELLUS 71-055224-00 TOOL,LIFT,TURBO,SPEED 300MM
svcstore Scrap, for parts - $599.99 0 Oct/11/14 Oct/14/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
xl-t_com Used - $2,500.00 0 Oct/12/14 Dec/06/15
Description: APPLIED MATERIALS - 0010-22869 - LIFT FIXTURE WAFER HANDLER, 300MM
athomemarket NEW - $6,999.99 0 Dec/04/14 Jan/03/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
supertechshop NEW - $299.00 0 Dec/03/14 Jan/02/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $4,950.00 0 Dec/02/14 Jan/01/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $4,750.00 0 Dec/02/14 Jan/01/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcstore Used - $169.99 0 Dec/02/14 Dec/05/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
grandbirdnet NEW - $70.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0140-03399 H/A 300MM EQUIPMENT SAFET , NEW
svcstore NEW - $149.99 0 Dec/04/14 Dec/07/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
athomemarket Used - $49.99 0 Nov/10/14 Dec/10/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
keykorea NEW - $300.00 0 Dec/04/14 Feb/13/18
Description: AMAT 0041-08215 HOUSING RPS VALVE, 300MM PRODUCER SE , NEW
keykorea NEW - $400.00 0 Dec/04/14 Feb/13/18
Description: AMAT 0041-09961 SUPPORT PLATE VALVE, 300MM PRODUCER SE , NEW
grandbirdnet NEW - $100.00 0 Dec/04/14 Jun/01/23
Description: AMAT 0242-44178 KIT, QUARTERLY PREVENTITIVE MAINTENANCE, 300MM DPN, NEW
ab-international NEW - $90.57 9 Dec/05/14 Jul/15/22
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $179.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $169.99 0 Dec/05/14 Dec/08/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $189.99 0 Dec/05/14 Dec/08/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $149.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Dec/06/14 Dec/09/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Dec/06/14 Dec/09/14
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
athomemarket NEW - $101.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $72.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $71.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $244.99 0 Dec/07/14 Jan/06/15
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket NEW - $71.99 0 Dec/07/14 Jan/06/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
svcstore NEW - $149.99 0 Dec/07/14 Dec/10/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
athomemarket Used - $1,599.99 1 Dec/08/14 Dec/15/14
Description: Applied Materials 0040-85475 Ceramic 300mm Producer 12" Heater Pedestal 84051
nps NEW - $24.99 1 Dec/08/14 Dec/15/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
farmoninc Used - $450.00 0 Dec/08/14 Jun/17/16
Description: AMAT 0021-26274 Screen Flat Poly Etch 300mm DPS II, AN AL
svcstore NEW - $179.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $3,999.99 0 Dec/08/14 Dec/11/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $169.99 0 Dec/08/14 Dec/11/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $189.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $139.99 0 Dec/08/14 Dec/11/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
yayais2012 NEW - $32.00 0 Dec/09/14 Jan/08/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
athomemarket Scrap, for parts - $129.99 0 Dec/09/14 Dec/16/14
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
athomemarket NEW - $401.99 0 Dec/09/14 Jan/08/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
trees_for_a_better_tomorrow NEW - $300.00 0 Dec/09/14 Dec/12/14
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
svcstore NEW - $149.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Dec/09/14 Dec/12/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Dec/09/14 Dec/12/14
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
altechco NEW - $9,999.99 0 Dec/10/14 May/04/15
Description: APPLIED MATERIALS ASSY STD 119338A 1-11938000-468 CENTURA 300MM MF 0010-26656
ptsurplus845 Used - $5,000.00 1 Dec/10/14 Dec/11/14
Description: AMAT MCA E-CHUCK ESC Heater, 300mm, P/N 0010-27983
ptsurplus845 Used - $5,000.00 0 Dec/10/14 Dec/20/14
Description: AMAT MCA E-CHUCK ESC Heater, 300mm, P/N 0010-24076
athomemarket Used - $211.99 0 Dec/10/14 Jan/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $51.99 0 Dec/10/14 Jan/09/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore NEW - $149.99 0 Dec/10/14 Dec/13/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
yayais2012 Used - $2,800.00 0 Dec/11/14 Jan/10/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $110.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $450.00 0 Dec/11/14 Jan/10/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $255.00 0 Dec/11/14 Jan/10/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
yayais2012 Used - $320.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 NEW - $125.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
ptb-sales Used - $3,000.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Dec/11/14 Dec/21/14
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
bruce135 Used - $399.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket NEW - $416.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $321.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket Used - $3,062.99 0 Dec/11/14 Jan/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
esoteric_specialties Used - $375.00 0 Dec/11/14 Jan/10/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
supertechshop Used - $9,750.00 0 Dec/11/14 Jan/10/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
supertechshop NEW - $450.00 0 Dec/11/14 Jan/10/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
svcstore Used - $169.99 0 Dec/11/14 Dec/14/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
supertechshop NEW - $99.00 0 Dec/11/14 Jan/10/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $3,999.99 0 Dec/11/14 Dec/14/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
supertechshop Used - $299.00 0 Dec/11/14 Jan/10/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
athomemarket NEW - $321.99 0 Dec/12/14 Jan/11/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
trees_for_a_better_tomorrow NEW - $300.00 0 Dec/12/14 Dec/19/14
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
nps NEW - $24.99 1 Dec/01/14 Dec/08/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
jclwriter NEW - $40.00 19 Aug/11/14 Nov/28/14
Description: AMAT OEM Gasket, Damped Gimbal, 300mm 5 Zone Profiler 0021-24349 -- New Sealed
nps NEW - $27.11 1 Nov/17/14 Nov/24/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
dr.fantom NEW - $600.00 1 Apr/29/13 Nov/24/14
Description: Applied Materials 421266-WB-APR Polisher Misc 35 LBC WEIGHT KIT 300MM CONTOUR
used1eqsales NEW - $2,007.14 1 Jul/21/14 Nov/21/14
Description: AMAT 0020-48303 Shield Lower Cleancoat 300mm Rev 003 new surplus
svcstore NEW - $149.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Dec/12/14 Dec/15/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Dec/12/14 Dec/15/14
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
nps NEW - $24.99 1 Nov/10/14 Nov/17/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket NEW - $318.99 1 Oct/13/14 Nov/12/14
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
testeqe Refurbished - $349.99 1 Jan/02/09 Nov/10/14
Description: AMAT Applied Materials 0021-22064 Cover Ring 300mm
athomemarket Used - $112.99 0 Dec/13/14 Jan/12/15
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $320.99 0 Dec/13/14 Jan/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $270.99 0 Dec/13/14 Jan/12/15
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $56.99 0 Dec/13/14 Jan/12/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
svcstore NEW - $139.99 0 Dec/13/14 Dec/16/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Dec/13/14 Dec/16/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $149.99 0 Dec/13/14 Dec/16/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $799.99 0 Dec/13/14 Dec/16/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $179.99 0 Dec/13/14 Dec/16/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/13/14 Dec/16/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Dec/14/14 Dec/17/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $169.99 0 Dec/14/14 Dec/17/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $3,999.99 0 Dec/14/14 Dec/17/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
nps NEW - $49.99 0 Dec/15/14 Dec/22/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore NEW - $149.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $199.99 0 Dec/15/14 Dec/18/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Dec/15/14 Dec/18/14
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
athomemarket Used - $199.99 0 Dec/16/14 Jan/15/15
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
keykorea NEW - $13,000.00 0 Jun/22/14 Sep/16/19
Description: AMAT 0190-24854 MKS REV3.0 G4 PLUS 4-PORT UPA 300MM REFL , NEW
keykorea Refurbished - $8,000.00 0 Sep/10/14 Jul/07/15
Description: AMAT 0190-24854 MKS REV3.0 G4 PLUS 4-PORT UPA 300MM REFL , REFURBISHED
svcstore NEW - $139.99 0 Dec/16/14 Dec/19/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Dec/16/14 Dec/19/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $149.99 0 Dec/16/14 Dec/19/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $799.99 0 Dec/16/14 Dec/19/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $179.99 0 Dec/16/14 Dec/19/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/16/14 Dec/19/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
systasemi Used - $7,000.00 0 Dec/17/14 Jan/16/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
supertechshop NEW - $249.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $199.00 0 Dec/17/14 Jan/16/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Dec/17/14 Jan/16/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Dec/17/14 Jan/16/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $99.95 0 Dec/17/14 Jan/16/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
jhopkinssnnf NEW - $1,670.00 0 Dec/16/14 Feb/17/15
Description: AMAT 0021-61233 Blocker DD2496 SACVD 300MM Producer Brand NEW
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $699.00 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $499.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $650.00 0 Dec/17/14 Jan/16/15
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop NEW - $975.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop NEW - $499.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $299.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop NEW - $199.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 300mm Pedestal PC11 Titanium RF Chamber Shield 0020-19258 / Sealed
supertechshop Used - $1,950.00 0 Dec/17/14 Jan/16/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
athomemarket Used - $514.99 0 Dec/17/14 Dec/24/14
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket NEW - $260.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $1,338.99 0 Dec/17/14 Jan/16/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket Scrap, for parts - $131.99 0 Dec/17/14 Jan/16/15
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
athomemarket Used - $514.99 0 Dec/17/14 Dec/24/14
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $59.99 0 Dec/17/14 Dec/24/14
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
svcstore NEW - $189.99 0 Dec/17/14 Dec/20/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $169.99 0 Dec/17/14 Dec/20/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $3,999.99 0 Dec/17/14 Dec/20/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
used1eqsales NEW - $902.76 1 Jun/25/14 Dec/18/14
Description: AMAT 0020-23549 Rev 007 Shield Upper AL ARC-SPRAY SST 300mm SIP TTN new surplus
semiexpert NEW - $600.00 0 Dec/18/14 Jan/17/15
Description: AMAT 0021-22064 COVER RING TTN 300MM PVD
semiexpert NEW - $100.00 0 Dec/18/14 Jan/17/15
Description: AMAT 0150-07067 CABLE ASSY, EMO INTERCONNECT 25FT, 300MM
semiexpert Used - $1,100.00 0 Dec/18/14 Jan/17/15
Description: AMAT 0040-48767 CATHODE BASE, E-CHUCK, 300MM ULTIMA X, H
athomemarket Used - $1,999.99 0 Dec/18/14 Dec/25/14
Description: Applied Materials 0010-34895 Vectra IMP Source 300mm Endura RF Match AMAT
athomemarket NEW - $490.99 0 Dec/18/14 Jan/17/15
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
athomemarket Used - $114.99 0 Dec/18/14 Dec/25/14
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
farmoninc NEW - $200.00 0 Dec/18/14 Dec/09/22
Description: AMAT 0140-01109 H/A,CDA/VAC GAUGES,2W 300MM FI
gigabitpartsolutions Used - $5,000.00 0 Dec/19/14 Jul/24/15
Description: Liner chamber Direct Cooled, Y2O3 oxalic, 300mm EMAX 0041-05536 AMAT
gigabitpartsolutions Used - $1,500.00 0 Dec/19/14 Jul/24/15
Description: SGD, upper shower head, 300mm EMAX 0040-51148 AMAT
gigabitpartsolutions Used - $2,200.00 0 Dec/19/14 Jul/24/15
Description: LINER, CATHODE, DIRECT-COOLED, BAFFLE, EHA, 300MM EMAX 0040-83331 AMAT
gigabitpartsolutions Used - $1,500.00 0 Dec/19/14 Jul/24/15
Description: SGD, lower shower head, 300mm EMAX 0040-51066 AMAT
gigabitpartsolutions NEW - $550.00 0 Dec/19/14 Jul/24/15
Description: ENCLOSURE, FACILITIES, MAIN FRAME, 300MM 0040-48648 AMAT
gigabitpartsolutions NEW - $550.00 0 Dec/19/14 Jul/24/15
Description: Hose Assy Heat Exchanger 7/8 dia 100ft SST 300mm 0050-83206 AMAT
gigabitpartsolutions NEW - $350.00 0 Dec/19/14 Jul/08/15
Description: Hose Assy Extended Gen supply-return EMAX 300mm 0190-15548 AMAT
svcstore NEW - $139.99 0 Dec/19/14 Dec/22/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Dec/19/14 Dec/22/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Dec/19/14 Dec/22/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $179.99 0 Dec/19/14 Dec/22/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Dec/19/14 Dec/22/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
ptsurplus845 Used - $3,500.00 0 Dec/20/14 Jan/19/15
Description: AMAT MCA E-CHUCK ESC Heater, 300mm, P/N 0010-24076
athomemarket NEW - $208.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $273.99 0 Dec/20/14 Jan/19/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $208.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
used1eqsales NEW - $902.76 1 Jun/25/14 Dec/19/14
Description: AMAT 0020-02348 Rev 009 Clamp Lower Shield 300mm SIP new surplus
used1eqsales NEW - $902.76 6 Jun/10/14 Dec/19/14
Description: AMAT Applied Materials 0021-22177 Cover Ring Adv. 101 300MM PVD Revision 009
used1eqsales NEW - $227.31 1 May/15/14 Dec/19/14
Description: AMAT SIP Source Kit RF Emissions Shield Endura 300mm
svcstore NEW - $189.99 0 Dec/20/14 Dec/23/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
used1eqsales NEW - $902.76 1 Jun/24/14 Dec/19/14
Description: AMAT 0021-17770 Rev 008 Cover Ring 300mm SST AMAT Endura 300mm new surplus
used1eqsales NEW - $902.76 1 Jun/25/14 Dec/19/14
Description: AMAT 0020-08299 Rev 06 Clamp Inner Shield 300mm SIP new surplus
used1eqsales NEW - $902.76 4 Jun/11/14 Dec/19/14
Description: AMAT Applied Materials 0021-19342 Shield Inner 300MM PCll/RPC+ Revision 005
used1eqsales NEW - $1,352.76 1 Jun/25/14 Dec/17/14
Description: AMAT 0020-02344 Rev 005 Shield Lower 300mm SIP AMAT Endura 300mm new surplus
yayais2012 NEW - $200.00 1 Dec/11/14 Dec/16/14
Description: Applied Materials PEDESTAL QUARTZ LARGER PIN DIAMETER 300mm PRECLEAN
svcstore Used - $3,999.99 0 Dec/20/14 Dec/23/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $169.99 0 Dec/20/14 Dec/23/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
yayais2012 Used - $380.00 0 Dec/21/14 Jan/20/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 NEW - $120.00 1 Dec/21/14 Jan/19/15
Description: APPLIED MATERIALS WAFER LIFT PIN AMAT 300mm 0200-03201 301482-491 REV 003
athomemarket Used - $192.99 0 Dec/21/14 Jan/20/15
Description: Applied Materials 300mm Endura2 Electronics Tower Backplane CCT 0090-03758 AMAT
svcstore Used - $249.99 0 Dec/21/14 Dec/24/14
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Dec/21/14 Dec/24/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
gigabitpartsolutions Used - $2,800.00 0 Dec/22/14 Jul/08/15
Description: PLD GAS DIST 300mm W/G RV HTR 715-801916-808 LAM
ptb-sales Used - $3,000.00 0 Dec/22/14 Jan/01/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Dec/22/14 Jan/01/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
testeqe NEW - $749.99 0 Dec/22/14 Jan/21/15
Description: NEW AMAT Applied Materials 0190-10257 300mm I-Cu Anode Gen 3D1 IECP Mykrolis
nps NEW - $24.99 1 Dec/22/14 Dec/29/14
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore NEW - $139.99 0 Dec/22/14 Dec/25/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Dec/22/14 Dec/25/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Dec/22/14 Dec/25/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $139.99 0 Dec/22/14 Dec/25/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
used1eqsales Used - $612.14 1 Dec/23/14 Apr/30/15
Description: AMAT 0010-25624 300mm Slit Valve Assy 0010-26749 AMAT Endura used working
svcstore NEW - $189.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Dec/23/14 Dec/26/14
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $3,999.99 0 Dec/23/14 Dec/26/14
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $169.99 0 Dec/23/14 Dec/26/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
yayais2012 Used - $65.00 0 Dec/24/14 Jan/23/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
athomemarket NEW - $148.99 0 Dec/24/14 Jan/23/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
svcstore NEW - $183.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Dec/24/14 Dec/27/14
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Dec/24/14 Dec/27/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Dec/25/14 Dec/28/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Dec/25/14 Dec/28/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Dec/25/14 Dec/28/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $139.99 0 Dec/25/14 Dec/28/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
used1eqsales Used - $705.15 0 Dec/26/14 Jul/02/15
Description: AMAT 0190-00371 DeviceNet Digital I/O PCB Centura HDP-CVD 300mm 0100-20453 used
used1eqsales Used - $705.15 0 Dec/26/14 Jun/24/15
Description: AMAT 0100-20458 HDPCVD Interlock PCB 0130-20458 Centura HDP-CVD 300mm used works
testeqe Used - $4,499.99 0 Dec/26/14 Jan/25/15
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
capitolareatech NEW - $19.99 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-00631 FEET, CHAMBER, 300MM, DPS
capitolareatech NEW - $47.39 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-01039 Hinge, Body, RT, 300MM Producer
capitolareatech NEW - $47.39 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-01040 hinge, body, left, 300mm, producer
capitolareatech NEW - $20.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0021-02207 Bracket OPTO Sensor 300MM Producer
capitolareatech NEW - $33.39 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-07212 Washer, Compression Quad Ring 300mm HDP
capitolareatech NEW - $500.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-03691 Body Vacuum Chuck, MF Robot, 300mm Elect
capitolareatech NEW - $171.42 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-03800 GAS FEEDTHRU, 300MM TXZ
capitolareatech NEW - $171.28 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-03921 Louver, Left Panel, 300MM 4.0 FI
capitolareatech NEW - $81.85 0 Dec/26/14 Jul/24/15
Description: Applied Materials (AMAT) 0040-04495 Mounting Plate IHC Assembly, 300MM, HDPCV
capitolareatech NEW - $15.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0040-04586 Pin Shield Inner, TXZ CVD 300MM, 188x.500
capitolareatech NEW - $692.38 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-08332 WELDMENT, BLOCK, PROCESS GAS, 300MM, EMA
capitolareatech NEW - $250.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-08737 COVER, LEFT SIDE, 300MM EMAX
capitolareatech NEW - $1,500.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-08845 Pin, Lift, RF INTLK, 300MM DPS DTCU
capitolareatech NEW - $838.76 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-09721 45"POST LIFT ASSY DPS CHAMBER 300MM SYST
capitolareatech NEW - $450.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-13880 DOOR,BACKING 300MM SLIT VALVE LLC AL REV
capitolareatech NEW - $76.38 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-13881 DOOR,BACKING 300MM SLITVALVE PROCESS AL
capitolareatech NEW - $68.37 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-34160 COVER, INNER, SLIT LINER DOOR, 300MM EMA
capitolareatech NEW - $43.70 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00782 Cable Assembly, VDI VME FAN, 300MM CENTUR
capitolareatech NEW - $92.75 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-01206 CABLE ASSY, 300MM FI RS-232 COMM, PRODUC
capitolareatech NEW - $114.30 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-01735 CABLE ASSY,MANOMETER,300MM
capitolareatech NEW - $71.89 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00318 Guide Lift Pin SST Heater 300MM TXZ
capitolareatech NEW - $1,200.00 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0200-01389 Ring Deposition, Narrow OD, 300MM ESC CU
svcstore Used - $169.99 0 Dec/26/14 Dec/29/14
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
capitolareatech Refurbished - $750.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1080-00195 DRIVER SERVO, 300MM HEATER LIFT, PV1
capitolareatech NEW - $5.00 0 Dec/27/14 Feb/27/15
Description: NOVELLUS 22-266894-00 NOVELLUS Seal, Metal, Face, Gas, 300mm
capitolareatech NEW - $400.00 0 Dec/27/14 Feb/27/15
Description: NOVELLUS 60-300514-00 NOVELLUS GATE, BONDED, 300MM, SMC L-MOTION, CHEMRAZ; G
capitolareatech NEW - $50.00 0 Dec/27/14 Feb/27/15
Description: LAM RESEARCH (LAM) 713-034965-001 LAM PAD, R, CONF, .010THK .300MM
capitolareatech NEW - $450.00 0 Dec/27/14 Jan/26/15
Description: NOVELLUS 71-055224-00 TOOL,LIFT,TURBO,SPEED 300MM
athomemarket NEW - $218.99 0 Dec/27/14 Jan/26/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
svcstore NEW - $183.99 0 Dec/27/14 Dec/30/14
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Dec/27/14 Dec/30/14
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Dec/27/14 Dec/30/14
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Dec/27/14 Dec/30/14
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
capitolareatech NEW - $200.00 0 Dec/28/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 839-039317-003 ASSY, RTNR, SPR TL, 300MM, FLEX
capitolareatech NEW - $750.00 0 Dec/28/14 Feb/27/15
Description: LAM RESEARCH (LAM) 839-020965-320 LAM Si, ASSY, ELECTRODE, OUTER, 300MM
capitolareatech Refurbished - $550.00 0 Dec/28/14 Feb/24/16
Description: LAM RESEARCH (LAM) 839-020965-320 LAM Si, ASSY, ELECTRODE, OUTER, 300MM
athomemarket Used - $4,966.99 0 Dec/28/14 Jan/27/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore NEW - $139.99 0 Dec/28/14 Dec/31/14
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Dec/28/14 Dec/31/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Dec/28/14 Dec/31/14
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
grandbirdnet NEW - $2,400.00 1 Dec/28/14 Feb/21/19
Description: AMAT 0200-76058 PEDESTAL, QUARTZ, LARGER PIN DIAMETER, 300MM PRECLEAN , NEW
svcstore NEW - $139.99 0 Dec/28/14 Dec/31/14
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
systasemi Used - $80,000.00 0 Dec/29/14 Jan/28/15
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
athomemarket Used - $351.99 0 Dec/29/14 Jan/28/15
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
athomemarket Used - $351.99 0 Dec/29/14 Jan/28/15
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
athomemarket Used - $2,001.99 0 Dec/29/14 Jan/28/15
Description: Applied Materials 0010-34895 Vectra IMP Source 300mm Endura RF Match AMAT
athomemarket Used - $519.99 0 Dec/29/14 Jan/28/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $61.99 0 Dec/29/14 Jan/28/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $351.99 0 Dec/29/14 Jan/28/15
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $519.99 0 Dec/29/14 Jan/28/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $117.99 0 Dec/29/14 Jan/28/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
svcstore NEW - $189.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Dec/29/14 Jan/01/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $3,999.99 0 Dec/29/14 Jan/01/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
nps NEW - $29.88 1 Dec/30/14 Jan/06/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket Used - $240.99 0 Dec/30/14 Jan/29/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
svcstore Used - $249.99 0 Dec/30/14 Jan/02/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Dec/30/14 Jan/02/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
farmoninc Used - $75.00 0 Dec/31/14 Mar/02/23
Description: AMAT 0021-13987 Bracket Lift Assy DPS Chamber 300MM Syst, Assembly
farmoninc NEW - $250.00 3 Dec/31/14 Nov/17/17
Description: AMAT 0010-21743 Assy Thermal Switch PVD Chamber 300MM MD, Assembly, 400117
farmoninc NEW - $250.00 0 Dec/31/14 Mar/25/16
Description: AMAT 0010-21743 Assy Thermal Switch PVD Chamber 300MM MD, Assembly, 400116
farmoninc NEW - $250.00 0 Dec/31/14 Nov/16/17
Description: AMAT 0010-21743 Assy Thermal Switch PVD Chamber 300MM MD, Assembly, 400115
farmoninc NEW - $250.00 0 Dec/31/14 Mar/25/16
Description: AMAT 0010-21743 Assy Thermal Switch PVD Chamber 300MM MD, Assembly, 400114
svcstore NEW - $139.99 0 Dec/31/14 Jan/03/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Dec/31/14 Jan/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Dec/31/14 Jan/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 Refurbished - $380.00 0 Jan/01/15 Jan/31/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
athomemarket Used - $3,333.99 0 Jan/01/15 Jan/31/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore NEW - $139.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/01/15 Jan/04/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
yayais2012 NEW - $45.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 Used - $110.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $120.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $65.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $95.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $85.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $145.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $350.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $75.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $120.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $200.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $85.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $180.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $90.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $75.00 0 Jan/01/15 Jan/31/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 Used - $110.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $180.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
eisale1535 NEW - $899.00 0 Jan/01/15 Jan/20/17
Description: AMAT Applied Materials 0100-00737 300mm HDPCVD DC to DC PCB Assy Board
trees_for_a_better_tomorrow Used - $200.00 0 Jan/02/15 Jan/09/15
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $200.00 0 Jan/02/15 Jan/09/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
trees_for_a_better_tomorrow NEW - $100.00 0 Jan/02/15 Jan/09/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/15 Jan/09/15
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/15 Jan/09/15
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
svcstore Used - $3,999.99 0 Jan/01/15 Jan/04/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
partminer2012 Used - $170.00 1 Jan/02/15 Aug/28/15
Description: Novellus 16-271392-00 300MM 13" Shower Head
farmoninc NEW - $250.00 0 Jan/02/15 Mar/27/16
Description: AMAT 0150-05963 Cable Assembly DC Power DNET 300MM RTP/S
svcstore Used - $249.99 0 Jan/02/15 Jan/05/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Jan/02/15 Jan/05/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
partminer2012 NEW - $120.00 0 Jan/03/15 May/26/17
Description: Novellus 10-055444-00 ASSY, MANF A/B CHMBR, 300MM PEC - NEW
farmoninc NEW - $125.00 0 Jan/03/15 Mar/02/23
Description: AMAT 0150-02383 CABLE ASSY, WATER LEAK SENSOR 300MM, HDP, 405529
athomemarket NEW - $6,999.99 0 Jan/03/15 Feb/02/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore NEW - $139.99 0 Jan/03/15 Jan/06/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Jan/03/15 Jan/06/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Jan/03/15 Jan/06/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
supertechshop NEW - $99.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $975.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop Used - $9,995.00 0 Jan/04/15 Feb/03/15
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
supertechshop NEW - $299.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop Used - $299.00 0 Jan/04/15 Feb/03/15
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop NEW - $99.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $299.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $299.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
supertechshop NEW - $249.00 0 Jan/04/15 Feb/03/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $4,750.00 0 Jan/04/15 Feb/03/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $4,950.00 0 Jan/04/15 Feb/03/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcstore NEW - $139.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/04/15 Jan/07/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
usedeqsales Used - $1,001.15 0 Jan/05/15 May/01/15
Description: Deublin 20212-200 Rotary Union 300mm AMAT 0190-13851 Used Working
ptb-sales Used - $250.00 0 Jan/05/15 Jan/15/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
ptb-sales Used - $3,000.00 0 Jan/05/15 Jan/15/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $459.99 0 Jan/05/15 Jan/12/15
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing 300mm E2 Assembly
athomemarket NEW - $149.99 0 Jan/05/15 Jan/12/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
svcstore Used - $249.99 0 Jan/05/15 Jan/08/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Jan/05/15 Jan/08/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
nps NEW - $49.99 0 Jan/06/15 Jan/13/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
gigabitpartsolutions NEW - $450.00 0 Jan/06/15 Jul/24/15
Description: Arm, Right, 300mm Endura 0040-43598 AMAT
gigabitpartsolutions NEW - $450.00 0 Jan/06/15 Jul/24/15
Description: 300mm slit valve door 0040-23525 AMAT
athomemarket NEW - $85.99 0 Jan/06/15 Feb/05/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $58.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $54.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $230.99 0 Jan/06/15 Feb/05/15
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket NEW - $57.99 0 Jan/06/15 Feb/05/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $139.99 0 Jan/06/15 Jan/09/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Jan/06/15 Jan/09/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Jan/06/15 Jan/09/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
gigabitpartsolutions Refurbished - $725.00 4 Jan/07/15 Jan/07/15
Description: ASSY,DUAL AXIS DRIVER, 300MM DUAL BLADE 0190-02133 AMAT
gigabitpartsolutions Refurbished - $1,500.00 2 Jan/07/15 Jan/18/15
Description: Driver Dual Arm Robot 300mm Producer AMAT 0190-11706 appear new
testeqe Refurbished - $649.99 0 Jan/07/15 Jul/25/17
Description: Cleaned/Bagged AMAT Applied Materials PN: 0021-22064 Cover Ring 300mm
testeqe Refurbished - $199.99 0 Jan/07/15 Jul/25/17
Description: Cleaned AMAT Applied Materials 0021-21065 Al Shield BB Screwless 300mm
athomemarket Used - $514.99 0 Jan/07/15 Jan/14/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
svcstore NEW - $139.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
grandbirdnet NEW - $3,500.00 0 Jan/07/15 Mar/07/22
Description: AMAT 0020-02344 SHIELD, LOWER, 300MM SIP , NEW
svcstore NEW - $189.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/07/15 Jan/10/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
gigabitpartsolutions Used - $850.00 0 Jan/08/15 May/20/15
Description: ASSEMBLY, THROTTLE VALVE, 300MM EMAX DUAL FLA AS IS 0010-14862 AMAT
athomemarket NEW - $388.99 0 Jan/08/15 Feb/07/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
svcstore Used - $3,999.99 0 Jan/08/15 Jan/11/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $249.99 0 Jan/08/15 Jan/11/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
grandbirdnet NEW - $1,600.00 0 Jan/08/15 Mar/07/22
Description: AMAT 0021-11110 RING EDGE ALUMINUM, SST HEATER TXZ 300MM , NEW
svcstore NEW - $137.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
keykorea NEW - $1,700.00 0 Jan/08/15 Mar/24/15
Description: AMAT 0020-02348 CLAMP, LOWER SHIELD, 300MM SIP , NEW
svcstore NEW - $183.99 0 Jan/08/15 Jan/11/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
keykorea NEW - $990.00 5 Jan/08/15 Feb/14/21
Description: AMAT 0021-22177 COVER RING, ADV. 101 300MM PVD , NEW
keykorea NEW - $1,100.00 3 Jan/08/15 Dec/13/20
Description: AMAT 0021-19342 SHIELD INNER 300MM PCII/RPC+ , NEW
svcstore Used - $156.99 0 Jan/08/15 Jan/11/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
athomemarket Used - $199.99 0 Jan/09/15 Feb/08/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $38.99 0 Jan/09/15 Feb/08/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
prism_electronics6 Used - $1,999.95 3 Mar/18/14 Jan/07/15
Description: Applied Materials 0010-12738, 300mm TITAN-I Head, 0040-43906 Rev. 002
svcstore NEW - $137.99 0 Jan/09/15 Jan/12/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Jan/09/15 Jan/12/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Jan/09/15 Jan/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Jan/09/15 Jan/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
powersell007 Used - $899.00 1 Jan/10/15 Nov/01/17
Description: APPLIED MATERIALS 0010-03057 300MM ENP SLIT VALVE ACT & NCDQ2B125-UIA970691 AMAT
yayais2012 NEW - $32.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 Used - $320.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 NEW - $125.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $450.00 0 Jan/10/15 Feb/09/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $2,800.00 0 Jan/10/15 Feb/09/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $110.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $255.00 0 Jan/10/15 Feb/09/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
athomemarket NEW - $402.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $307.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket Used - $2,986.99 0 Jan/10/15 Feb/09/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
svcstore NEW - $139.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/10/15 Jan/13/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
athomemarket NEW - $307.99 0 Jan/11/15 Feb/10/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
svcstore Used - $3,999.99 0 Jan/11/15 Jan/14/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $249.99 0 Jan/11/15 Jan/14/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/11/15 Jan/14/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
bruce135 Used - $399.99 0 Jan/12/15 Feb/11/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
esoteric_specialties Used - $375.00 0 Jan/12/15 Feb/11/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
supertechshop NEW - $99.00 0 Jan/12/15 Feb/11/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop Used - $299.00 0 Jan/12/15 Feb/11/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop NEW - $450.00 0 Jan/12/15 Feb/11/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
supertechshop Used - $9,750.00 0 Jan/12/15 Feb/11/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
athomemarket Used - $99.99 0 Jan/12/15 Feb/11/15
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $307.99 0 Jan/12/15 Feb/11/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $45.99 0 Jan/12/15 Feb/11/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $256.99 0 Jan/12/15 Feb/11/15
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
svcstore NEW - $137.99 0 Jan/12/15 Jan/15/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Jan/12/15 Jan/15/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Jan/12/15 Jan/15/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Jan/12/15 Jan/15/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Jan/12/15 Jan/15/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
usedeqsales NEW - $2,501.13 2 Jan/13/15 Dec/11/15
Description: AMAT Applied Materials 0010-12802 300mm Titan-I Head Edge Fast Tungsten New
gigabitpartsolutions NEW - $2,500.00 0 Jan/13/15 May/20/15
Description: Faceplate, Cooled Center Hole 23, SACVD, 300mm Producer 0040-40533 AMAT
nps NEW - $24.99 1 Jan/13/15 Jan/20/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
gigabitpartsolutions NEW - $2,500.00 0 Jan/13/15 Jul/24/15
Description: Assy, High Precision Reflectors and bracket set 4 300mm 0090-03426 AMAT
gigabitpartsolutions NEW - $2,500.00 0 Jan/13/15 Jul/08/15
Description: Assembly High Precision Sensors and Bracket, 300mm 0090-03720 AMAT
testeqe NEW - $99.99 0 Jan/13/15 Dec/09/15
Description: NEW AMAT/Applied Materials 0020-27091 Shield, Water, Corner, Mirra, 300mm
athomemarket NEW - $133.99 0 Jan/13/15 Feb/12/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
athomemarket NEW - $459.99 1 Jan/13/15 Jan/29/15
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing 300mm E2 Assembly
svcstore NEW - $139.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/13/15 Jan/16/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $3,999.99 0 Jan/14/15 Jan/17/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore NEW - $183.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Jan/14/15 Jan/17/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Jan/14/15 Jan/17/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
ptb-sales Used - $3,000.00 0 Jan/15/15 Jan/25/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jan/15/15 Jan/25/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $496.99 0 Jan/15/15 Feb/14/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket Used - $185.99 0 Jan/15/15 Feb/14/15
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
svcstore NEW - $137.99 0 Jan/15/15 Jan/18/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Jan/15/15 Jan/18/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Jan/15/15 Jan/18/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Jan/15/15 Jan/18/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Jan/15/15 Jan/18/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $248.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $1,310.99 0 Jan/16/15 Feb/15/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore NEW - $189.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Jan/16/15 Jan/19/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
athomemarket Scrap, for parts - $92.99 0 Jan/16/15 Feb/15/15
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
svcstore NEW - $137.99 0 Jan/18/15 Jan/21/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/17/15 Jan/20/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Jan/17/15 Jan/20/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $3,999.99 0 Jan/17/15 Jan/20/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
athomemarket NEW - $472.99 0 Jan/17/15 Feb/16/15
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcstore Used - $156.99 0 Jan/18/15 Jan/21/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Jan/18/15 Jan/21/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Jan/18/15 Jan/21/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Jan/18/15 Jan/21/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop NEW - $299.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $699.00 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop NEW - $249.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop Used - $199.00 0 Jan/19/15 Feb/18/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Jan/19/15 Feb/18/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Jan/19/15 Feb/18/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop NEW - $199.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 300mm Pedestal PC11 Titanium RF Chamber Shield 0020-19258 / Sealed
supertechshop Used - $1,950.00 0 Jan/19/15 Feb/18/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
supertechshop NEW - $499.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $650.00 0 Jan/19/15 Feb/18/15
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop Used - $99.95 0 Jan/19/15 Feb/18/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
supertechshop NEW - $499.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $975.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
athomemarket Used - $260.99 0 Jan/19/15 Feb/18/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $197.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $197.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore NEW - $179.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Jan/19/15 Jan/22/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
yayais2012 Used - $342.00 0 Jan/20/15 Feb/19/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
nps NEW - $29.88 1 Jan/20/15 Jan/27/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket Used - $176.99 0 Jan/20/15 Feb/19/15
Description: Applied Materials 300mm Endura2 Electronics Tower Backplane CCT 0090-03758 AMAT
gigabitpartsolutions NEW - $2,500.00 0 Jan/20/15 Jul/24/15
Description: Isolator 300mm Applied Materails (AMAT) 0200-04235
svcstore Used - $3,999.99 0 Jan/20/15 Jan/23/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore NEW - $183.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Jan/20/15 Jan/23/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Jan/20/15 Jan/23/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
systasemi Used - $7,000.00 0 Jan/20/15 Feb/19/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
usedeqsales Used - $5,001.15 0 Jan/21/15 May/21/15
Description: AMAT Applied Materials 0010-29882 Endura 2 SST Chamber Assembly 300mm Used
svcstore NEW - $137.99 0 Jan/21/15 Jan/24/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Jan/21/15 Jan/24/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
prism_electronics6 Used - $374.96 1 Jan/21/15 Mar/15/22
Description: Lam Research 715-800326-004 Rev. G, HSG, Bias Elctd Elctd 300mm
svcstore NEW - $139.99 0 Jan/21/15 Jan/24/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Jan/21/15 Jan/24/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Jan/21/15 Jan/24/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $179.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Jan/22/15 Jan/25/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
redrockranch NEW - $189.00 0 Jan/23/15 Jan/14/16
Description: Preclean Shield 0021-21065 003 Amat 300mm
yayais2012 Used - $65.00 0 Jan/23/15 Feb/22/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
athomemarket NEW - $136.99 0 Jan/23/15 Feb/22/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
svcstore Used - $3,999.99 0 Jan/23/15 Jan/26/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore NEW - $183.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Jan/23/15 Jan/26/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Jan/23/15 Jan/26/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Jan/24/15 Jan/27/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Jan/24/15 Jan/27/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Jan/24/15 Jan/27/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Jan/24/15 Jan/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Jan/24/15 Jan/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
trees_for_a_better_tomorrow Used - $200.00 1 Jan/25/15 Feb/04/15
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow NEW - $200.00 0 Jan/25/15 Feb/04/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
svcstore NEW - $179.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Jan/25/15 Jan/28/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
ptb-sales Used - $3,000.00 0 Jan/26/15 Feb/05/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jan/26/15 Feb/05/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket NEW - $199.99 0 Jan/26/15 Feb/25/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
svcstore Used - $3,999.99 0 Jan/26/15 Jan/29/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore NEW - $183.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Jan/26/15 Jan/29/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Jan/26/15 Jan/29/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
nps NEW - $29.88 1 Jan/27/15 Feb/03/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket Used - $4,936.99 0 Jan/27/15 Feb/26/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore NEW - $137.99 0 Jan/27/15 Jan/30/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Jan/27/15 Jan/30/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Jan/27/15 Jan/30/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Jan/27/15 Jan/30/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Jan/27/15 Jan/30/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
systasemi Used - $80,000.00 0 Jan/28/15 Feb/27/15
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
athomemarket Used - $1,958.99 0 Jan/28/15 Feb/27/15
Description: Applied Materials 0010-34895 Vectra IMP Source 300mm Endura RF Match AMAT
athomemarket Used - $397.99 0 Jan/28/15 Feb/27/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $49.99 0 Jan/28/15 Feb/27/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $337.99 0 Jan/28/15 Feb/27/15
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
athomemarket Used - $337.99 0 Jan/28/15 Feb/27/15
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
athomemarket Used - $397.99 0 Jan/28/15 Feb/27/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $338.99 0 Jan/28/15 Feb/27/15
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $105.99 0 Jan/28/15 Feb/27/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
svcstore NEW - $139.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/28/15 Jan/31/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
athomemarket Used - $226.99 0 Jan/29/15 Feb/28/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
svcstore Used - $3,999.99 0 Jan/29/15 Feb/01/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $249.99 0 Jan/29/15 Feb/01/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Jan/29/15 Feb/01/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Jan/30/15 Feb/02/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Jan/30/15 Feb/02/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $599.99 0 Jan/30/15 Feb/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
baybimmer Used - $25,000.00 1 Jan/30/15 Apr/10/15
Description: AMAT 0010-23066 HEATER ASSEMBLY, 300MM MCA HT ESC
svcstore NEW - $139.99 0 Jan/30/15 Feb/02/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Jan/30/15 Feb/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $3,276.99 0 Jan/31/15 Mar/02/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore NEW - $139.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Jan/31/15 Feb/03/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
yayais2012 Refurbished - $342.00 0 Jan/31/15 Mar/02/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 NEW - $315.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $65.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $145.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 Used - $180.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $110.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $95.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 Used - $180.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $90.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $75.00 0 Feb/01/15 Mar/03/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $85.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $45.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $85.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $75.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $120.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $110.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $120.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
svcstore Used - $249.99 0 Feb/01/15 Feb/04/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $3,999.99 0 Feb/01/15 Feb/04/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore NEW - $137.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/01/15 Feb/04/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
athomemarket NEW - $6,999.99 0 Feb/02/15 Mar/04/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore NEW - $137.99 0 Feb/02/15 Feb/05/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Feb/02/15 Feb/05/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Feb/02/15 Feb/05/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Feb/02/15 Feb/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Feb/02/15 Feb/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
nps NEW - $24.99 1 Feb/03/15 Feb/10/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
farmoninc NEW - $500.00 0 Feb/03/15 Mar/02/23
Description: AMAT 0040-47290 PLATFORM SUPPORT, 300MM COOLING TRAY, DS
farmoninc NEW - $650.00 0 Feb/03/15 Mar/02/23
Description: AMAT 0140-00734 Harness Assembly, Analog Sensors STD MF, 300MM C, 400572
svcstore NEW - $139.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/03/15 Feb/06/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
ustechno7 NEW - $1,550.00 0 Feb/03/15 Mar/05/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
athomemarket Used - $4,999.99 0 Feb/04/15 Feb/11/15
Description: Kawasaki 3SX920B-A002 High-Z 300mm Wafer Handling Robot AMAT 0190-25512
svcstore Used - $3,999.99 0 Feb/04/15 Feb/07/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $249.99 0 Feb/04/15 Feb/07/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/04/15 Feb/07/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
bobsgoodies Used - $775.00 1 Feb/05/15 Jan/18/17
Description: NEWPORT KENSINGTON 25-3700-1425-06 WAFER ROBOT 300mm AMAT 0190-01827
athomemarket NEW - $85.99 0 Feb/05/15 Mar/07/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $58.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $230.99 0 Feb/05/15 Mar/07/15
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket NEW - $54.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $57.99 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
supertechshop NEW - $299.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $299.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $299.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
supertechshop NEW - $249.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $99.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $99.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $975.00 0 Feb/05/15 Mar/07/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop Used - $299.00 0 Feb/05/15 Mar/07/15
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop Used - $9,995.00 0 Feb/05/15 Mar/07/15
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
supertechshop NEW - $4,950.00 0 Feb/05/15 Mar/07/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $4,750.00 0 Feb/05/15 Mar/07/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcstore NEW - $137.99 0 Feb/05/15 Feb/08/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Feb/05/15 Feb/08/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Feb/05/15 Feb/08/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Feb/05/15 Feb/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Feb/05/15 Feb/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
baybimmer Used - $3,800.00 0 Feb/06/15 Aug/25/21
Description: Novellus HDP ESC 300MM, 02-260547-00 Rev C
baybimmer Used - $3,800.00 1 Feb/06/15 Mar/01/19
Description: Novellus HDP ESC 300MM, 02-264836-00 Rev B
powersell007 NEW - $899.00 1 Feb/06/15 Oct/29/18
Description: APPLIED MATERIALS 0010-19235 ASSY,300MM CORROSION RESISTANT ROBOT WRIST AMAT NEW
ptb-sales Used - $3,000.00 0 Feb/06/15 Feb/16/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Feb/06/15 Feb/16/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
prism_electronics11 Used - $199.95 0 Feb/06/15 Feb/23/15
Description: Lam Research 716-802946-001 OC Ring Base 300mm Quartz FCS Ring BS 300mm
svcstore NEW - $189.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Feb/06/15 Feb/09/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
grandbirdnet NEW - $11,500.00 0 Feb/06/15 Mar/02/23
Description: AMAT 0010-16373 ASSY, CHAMBER LIFT 300MM EMAX AP , NEW
powersell007 NEW - $859.00 0 Feb/07/15 Nov/23/17
Description: APPLIED MATERIALS 0041-04514 ZONE 1 CLAMP, 300MM TITAN CALYPSO AMAT *NEW IN BOX*
powersell007 Used - $129.00 0 Feb/07/15 Mar/02/23
Description: APPLIED MATERIALS 0010-03674 CFW SUPPLY/RETURN DUAL IPUP 300MM CENTURA 4.0 AMAT
wowsurplus NEW - $99.99 4 Feb/07/15 Feb/07/15
Description: Applied Materials AMAT 0021-34033 MEMBRANE, 300MM TITAN PROFILER 5-ZONE New
athomemarket NEW - $388.99 0 Feb/07/15 Mar/09/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
svcstore Used - $3,999.99 0 Feb/07/15 Feb/10/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore NEW - $183.99 0 Feb/07/15 Feb/10/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Feb/07/15 Feb/10/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
athomemarket Used - $199.99 0 Feb/08/15 Mar/10/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $38.99 0 Feb/08/15 Mar/10/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore NEW - $137.99 0 Feb/08/15 Feb/11/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/08/15 Feb/11/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Feb/08/15 Feb/11/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Feb/08/15 Feb/11/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Feb/08/15 Feb/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Feb/08/15 Feb/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
powersell007 Used - $189.00 0 Feb/08/15 Mar/02/23
Description: APPLIED MATERIALS 0150-23113 CABLE HEATER, 300mm, NO RF AMAT 0041-24964 *NEW*
neilan1987 NEW - $1,499.99 0 Feb/09/15 Feb/27/15
Description: Applied Materials 0200-05638 300mm Ceramic Blade AMAT NEW Sealed
neilan1987 Used - $899.99 0 Feb/09/15 Feb/27/15
Description: Applied Materials 0200-06355 300mm Ceramic Blade AMAT Used
yayais2012 NEW - $32.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 Used - $450.00 0 Feb/09/15 Mar/11/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $320.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $110.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $125.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $2,800.00 0 Feb/09/15 Mar/11/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $255.00 0 Feb/09/15 Mar/11/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
athomemarket NEW - $402.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $307.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket Used - $2,986.99 0 Feb/09/15 Mar/11/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
svcstore NEW - $189.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Feb/09/15 Feb/12/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
usedeqsales Used - $2,502.15 0 Feb/10/15 May/01/15
Description: AMAT Applied Materials 0010-19589 300mm SIP Encore II CU RF Match Used Working
yericomfg NEW - $20,000.00 0 Feb/10/15 Dec/07/15
Description: AMAT Applied Materials 300mm Vacuum Chuck Heater, 0010-26264
athomemarket NEW - $307.99 0 Feb/10/15 Mar/12/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
nps NEW - $24.99 1 Feb/10/15 Feb/17/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore Used - $3,999.99 0 Feb/10/15 Feb/13/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore NEW - $183.99 0 Feb/10/15 Feb/13/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Feb/10/15 Feb/13/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
gigabitpartsolutions Used - $137.50 4 Feb/11/15 Feb/12/15
Description: Valve Applied Materails (AMAT) 0010-02433 ASSY,FCW VALVE,300MM CENTURA
athomemarket Used - $99.99 0 Feb/11/15 Mar/13/15
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $307.99 0 Feb/11/15 Mar/13/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $45.99 0 Feb/11/15 Mar/13/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $256.99 0 Feb/11/15 Mar/13/15
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
bruce135 Used - $399.99 0 Feb/11/15 Mar/13/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
supertechshop NEW - $99.00 0 Feb/11/15 Mar/13/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop NEW - $450.00 0 Feb/11/15 Mar/13/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
supertechshop Used - $299.00 0 Feb/11/15 Mar/13/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 Feb/11/15 Mar/13/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
svcstore NEW - $137.99 0 Feb/11/15 Feb/14/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
esoteric_specialties Used - $375.00 0 Feb/11/15 Mar/13/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
svcstore NEW - $137.99 0 Feb/11/15 Feb/14/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Feb/11/15 Feb/14/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Feb/11/15 Feb/14/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Feb/11/15 Feb/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Feb/11/15 Feb/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
ustechno7 NEW - $264.99 0 Feb/12/15 Mar/14/15
Description: NEW AMAT Applied 0200-06824 ULTIMAX Cover Ring 300mm HDPCVD ULTIMAX high density
dr.fantom NEW - $150.00 0 Feb/12/15 Apr/13/15
Description: Applied Materials 0140-15468 H/A 300MM POWER SUPPLY AC LINE CORD W/RING LUGS
dr.dantom Used - $150.00 0 Feb/12/15 Mar/21/16
Description: Applied Materials / AMAT 0242-23140 KIT STRAIN RELIEF RF GEN 300MM ENDURA CL/SL
dr.fantom NEW - $300.00 0 Feb/12/15 Dec/18/19
Description: Applied Materials 0150-00322 CABLE ASSY CHM EMO INTRC 300MM ENDURA 423960-P4
dr.fantom NEW - $199.00 0 Feb/12/15 Dec/18/19
Description: Applied Materials 423960-P4 Chamber 2 0040-51790 BRACKET LIFTING EYE 300MM ENDUR
dr.dantom Used - $650.00 2 Feb/12/15 Dec/15/15
Description: AMAT / Spellman ESC1.5 PN: X2784 0190-07247 PWRSP DC 1.5KV 48 VDC 300MM HTESC
dr.fantom Used - $350.00 1 Feb/12/15 Dec/01/19
Description: Applied Materials / AMAT 0021-15657 SHUTTER DISC MDP 300MM
dr.fantom Used - $920.00 0 Feb/12/15 Oct/12/19
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM
dr.fantom Refurbished - $300.00 3 Feb/12/15 Jan/29/19
Description: AMAT / Applied Materials 0021-22177 Rev 009 XDK Cover Ring 300mm
usedeqsales NEW - $602.15 0 Feb/12/15 May/04/15
Description: AMAT Applied Materials 0040-40955 Bellows Cone Load Cup 300mm New
athomemarket NEW - $133.99 0 Feb/12/15 Mar/14/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
redrockranch NEW - $125.00 0 Feb/12/15 Mar/03/15
Description: Novellus 300MM Cool Anneal Pedestal 16-135607-00 13" Rd x 2.25" Thick
athomemarket Used - $4,999.99 0 Feb/12/15 Mar/14/15
Description: Kawasaki 3SX920B-A002 High-Z 300mm Wafer Handling Robot AMAT 0190-25512
svcstore NEW - $139.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/12/15 Feb/15/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
grandbirdnet Used - $750.00 1 Feb/12/15 Aug/20/22
Description: AMAT 0190-24115 ASSY. PCB, CDN391R, D-I/O, 300MM ENDURA , USED
gigabitpartsolutions Used - $137.50 0 Feb/13/15 Feb/17/15
Description: Valve Applied Materails (AMAT) 0010-02433 ASSY,FCW VALVE,300MM CENTURA
alvin1462 NEW - $5,555.00 1 Feb/13/15 Feb/13/15
Description: AMAT Applied Materials 0200-02935 Lid, Ceramic Assembly 300MM New
usedeqsales Used - $3,502.15 0 Feb/13/15 May/01/15
Description: Kawasaki 3NS001S-L003 Robot Prealigner 300mm NF AMAT 0190-14786 Used Working
gigabitpartsolutions NEW - $55.00 0 Feb/13/15 Jun/07/16
Description: OEM Part Applied Materails (AMAT) 0021-13790 GUIDE, LIFT PIN, ESC, 300MM DPS2
gigabitpartsolutions NEW - $27.50 15 Feb/13/15 Feb/17/16
Description: OEM Part Applied Materails (AMAT) 0200-06622 GUIDE, LIFT PIN, ESC, 300MM DPS2
gigabitpartsolutions Used - $2,420.00 0 Feb/13/15 Oct/14/16
Description: OEM Part Applied Materails (AMAT) 0010-11440 HV MODULE 5KV ASSY., 300MM CESC
svcstore Used - $249.99 0 Feb/13/15 Feb/16/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $3,999.99 0 Feb/13/15 Feb/16/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
athomemarket Used - $396.99 0 Feb/14/15 Mar/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket Used - $185.99 0 Feb/14/15 Mar/16/15
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
svcstore NEW - $183.99 0 Feb/14/15 Feb/17/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/14/15 Feb/17/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/14/15 Feb/17/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Feb/14/15 Feb/17/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Feb/14/15 Feb/17/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Feb/14/15 Feb/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Feb/14/15 Feb/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
athomemarket NEW - $248.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $1,310.99 0 Feb/15/15 Mar/17/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket Scrap, for parts - $92.99 0 Feb/15/15 Mar/17/15
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
grandbirdnet Used - $950.00 0 Feb/15/15 Mar/02/23
Description: AMAT 0021-26673 FLANGE, 300MM TITAN PROFILER II , USED
svcstore NEW - $139.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
grandbirdnet Used - $400.00 0 Feb/15/15 Mar/02/23
Description: AMAT 0040-51660 CAP, 300MM PROFILER , USED
svcstore NEW - $189.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/15/15 Feb/18/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
grandbirdnet Used - $1,550.00 0 Feb/15/15 Mar/07/22
Description: AMAT 0040-63716 COVER, 300MM PROFILER HEAD , USED
athomemarket NEW - $472.99 0 Feb/16/15 Mar/18/15
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
ptb-sales Used - $3,000.00 0 Feb/16/15 Feb/26/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Feb/16/15 Feb/26/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Used - $3,999.99 0 Feb/16/15 Feb/19/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $249.99 0 Feb/16/15 Feb/19/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
prism_electronics11 Used - $149.99 0 Feb/16/15 Feb/23/15
Description: Lam Research RING CLAMP ELCTD 300MM 715-075951-001 ALLOY
nps NEW - $24.99 0 Feb/17/15 Feb/24/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore NEW - $137.99 0 Feb/17/15 Feb/20/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/17/15 Feb/20/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/17/15 Feb/20/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Feb/17/15 Feb/20/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Feb/17/15 Feb/20/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Feb/17/15 Feb/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Feb/17/15 Feb/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
athomemarket Used - $260.99 0 Feb/18/15 Mar/20/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $197.99 0 Feb/18/15 Mar/20/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $197.99 0 Feb/18/15 Mar/20/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
svcstore NEW - $139.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/18/15 Feb/21/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $189.99 0 Feb/18/15 Feb/19/15
Description: Lam Research 839-021113-002 Silicon TC 300mm Inner Electrode Assembly
supertechshop NEW - $249.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $699.00 0 Feb/19/15 Mar/21/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
supertechshop Used - $299.00 0 Feb/19/15 Mar/21/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $199.00 0 Feb/19/15 Mar/21/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Feb/19/15 Mar/21/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $99.95 0 Feb/19/15 Mar/21/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $499.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $650.00 0 Feb/19/15 Mar/21/15
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop NEW - $499.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop NEW - $299.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
athomemarket Used - $176.99 0 Feb/19/15 Mar/21/15
Description: Applied Materials 300mm Endura2 Electronics Tower Backplane CCT 0090-03758 AMAT
supertechshop NEW - $499.00 0 Feb/19/15 Mar/21/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
yayais2012 Used - $380.00 0 Feb/19/15 Mar/21/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore Used - $3,999.99 0 Feb/19/15 Feb/22/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $249.99 0 Feb/19/15 Feb/22/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $183.99 0 Feb/20/15 Feb/23/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/20/15 Feb/23/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/20/15 Feb/23/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Feb/20/15 Feb/23/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Feb/20/15 Feb/23/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Feb/20/15 Feb/23/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Feb/20/15 Feb/23/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $139.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/21/15 Feb/24/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
athomemarket NEW - $136.99 0 Feb/22/15 Mar/24/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
yayais2012 Used - $65.00 0 Feb/22/15 Mar/24/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
svcstore Used - $3,999.99 0 Feb/22/15 Feb/25/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $249.99 0 Feb/22/15 Feb/25/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
visionsemi Used - $625.00 1 Feb/23/15 Apr/06/15
Description: NOVELLUS SPEED 300MM FOUP WAFER CARRIER 71-253695-00
supertechshop NEW - $299.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop NEW - $199.00 1 Feb/23/15 Mar/20/15
Description: NEW AMAT 300mm Pedestal PC11 Titanium RF Chamber Shield 0020-19258 / Sealed
supertechshop NEW - $975.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
supertechshop Used - $1,950.00 0 Feb/23/15 Mar/25/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcstore NEW - $137.99 0 Feb/23/15 Feb/26/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/23/15 Feb/26/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Feb/23/15 Feb/26/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Feb/23/15 Feb/26/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Feb/23/15 Feb/26/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $799.99 0 Feb/23/15 Feb/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Feb/23/15 Feb/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
nps NEW - $24.99 0 Feb/24/15 Mar/03/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore NEW - $179.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Feb/24/15 Feb/27/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
gigabitpartsolutions Used - $137.50 4 Feb/25/15 Feb/26/15
Description: Valve Applied Materails (AMAT) 0010-02433 ASSY,FCW VALVE,300MM CENTURA
athomemarket NEW - $199.99 0 Feb/25/15 Mar/27/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
svcstore Used - $3,999.99 0 Feb/25/15 Feb/28/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
powersell007 Used - $3,299.00 0 Feb/26/15 Nov/23/21
Description: APPLIED MATERIALS 0200-03259 RING, SLOTTED PREHEAT, .15 THK, 300MM EP AMAT *NEW*
powersell007 NEW - $1,999.00 1 Feb/26/15 Jun/13/17
Description: APPLIED MATERIALS 0041-36356 CARRIER, 300MM TITAN AMAT *NEW with CERT*
powersell007 Used - $1,199.00 0 Feb/26/15 Mar/02/23
Description: APPLIED MATERIALS 0040-61258 REV 005 PLATE PUMPING 300MM AMAT *NEW with CERT*
athomemarket Used - $4,936.99 0 Feb/26/15 Mar/28/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore Used - $249.99 0 Feb/26/15 Mar/01/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Feb/26/15 Mar/01/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Feb/26/15 Mar/01/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $156.99 0 Feb/26/15 Mar/01/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Feb/26/15 Mar/01/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $137.99 0 Feb/26/15 Mar/01/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $799.99 0 Feb/26/15 Mar/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Feb/26/15 Mar/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
athomemarket Used - $397.99 0 Feb/27/15 Mar/29/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $49.99 0 Feb/27/15 Mar/29/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $337.99 0 Feb/27/15 Mar/29/15
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
athomemarket Used - $337.99 0 Feb/27/15 Mar/29/15
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
athomemarket Used - $338.99 0 Feb/27/15 Mar/29/15
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $1,958.99 0 Feb/27/15 Mar/29/15
Description: Applied Materials 0010-34895 Vectra IMP Source 300mm Endura RF Match AMAT
ptb-sales Used - $3,000.00 0 Feb/27/15 Mar/09/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Feb/27/15 Mar/09/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore NEW - $139.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Feb/27/15 Mar/02/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
gigabitpartsolutions Used - $137.50 0 Feb/28/15 Mar/03/15
Description: Valve Applied Materails (AMAT) 0010-02433 ASSY,FCW VALVE,300MM CENTURA
athomemarket Used - $397.99 0 Feb/28/15 Mar/30/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $226.99 0 Feb/28/15 Mar/30/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
athomemarket Used - $105.99 0 Feb/28/15 Mar/30/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
svcstore Used - $3,999.99 0 Feb/28/15 Mar/03/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
trees_for_a_better_tomorrow NEW - $85.00 0 Mar/01/15 Mar/08/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
svcstore NEW - $183.99 0 Mar/01/15 Mar/04/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $249.99 0 Mar/01/15 Mar/04/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Mar/01/15 Mar/04/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $137.99 0 Mar/01/15 Mar/04/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Mar/01/15 Mar/04/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Mar/01/15 Mar/04/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Mar/01/15 Mar/04/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
systasemi Used - $80,000.00 0 Mar/02/15 Apr/01/15
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
systasemi NEW - $1,200.00 1 Mar/02/15 Mar/02/23
Description: NOVELLUS 02-054573-00 MOER RING 2.4MM-14/AL2O3 300MM CERAMIC
systasemi Used - $7,000.00 0 Mar/02/15 Apr/01/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
athomemarket Used - $3,276.99 0 Mar/02/15 Apr/01/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Scrap, for parts - $799.99 0 Mar/02/15 Mar/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
csi.usa NEW - $295.00 0 Mar/03/15 Nov/28/15
Description: APPLIED MATERIALS P/N 0240-50109 KIT NON-PROCESS, COSUMABLES 300MM
csi.usa Used - $975.00 0 Mar/03/15 Apr/03/15
Description: APPLIED MATERIALS P/N 0020-84596 FACEPLATE,300MM, SILANE
athomemarket NEW - $489.99 0 Mar/03/15 Mar/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
svcstore NEW - $189.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Mar/02/15 Mar/05/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
csi.usa Refurbished - $2,295.00 0 Mar/03/15 Apr/03/15
Description: NOVELLUS PN/ 17-276717-00 REV.A SHIELD, PEDESTAL, AS, 300MM
nps NEW - $24.99 1 Mar/03/15 Mar/10/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
csi.usa NEW - $700.00 0 Mar/03/15 Apr/03/15
Description: LAM RESEARCH 857-045857-020 KIT, BAFFLES,MA, PIN,TCP,300MM
csi.usa Used - $4,000.00 0 Mar/03/15 Apr/03/15
Description: NOVELLUS 17-276717-00 SHIELD PEDESTAL AS 300MM
svcstore Used - $3,999.99 0 Mar/03/15 Mar/06/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
athomemarket NEW - $6,999.99 0 Mar/04/15 Apr/03/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore Used - $249.99 0 Mar/04/15 Mar/07/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Mar/04/15 Mar/07/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/04/15 Mar/07/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Mar/04/15 Mar/07/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Mar/04/15 Mar/07/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $139.99 0 Mar/04/15 Mar/07/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Mar/04/15 Mar/07/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 NEW - $350.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $75.00 0 Mar/05/15 Apr/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $75.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $120.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $95.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 Used - $180.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $180.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $120.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $85.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 Used - $110.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $200.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $85.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $90.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
svcstore Used - $61.99 1 Feb/01/15 Feb/04/15
Description: LAM Research 715-014790-302B 300mm ESC Electrostatic Chuck Electrode for 2300
svcstore Scrap, for parts - $799.99 0 Mar/05/15 Mar/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $179.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Mar/05/15 Mar/08/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Mar/05/15 Mar/09/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $3,999.99 0 Mar/06/15 Mar/10/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
athomemarket NEW - $58.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $54.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $230.99 0 Mar/07/15 Apr/06/15
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket NEW - $85.99 0 Mar/07/15 Apr/06/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $57.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore Used - $249.99 0 Mar/07/15 Mar/10/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Mar/07/15 Mar/10/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/07/15 Mar/10/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
ustechno7 NEW - $1,499.99 0 Mar/07/15 Apr/06/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore NEW - $137.99 0 Mar/07/15 Mar/10/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Mar/07/15 Mar/10/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
yayais2012 Refurbished - $380.00 0 Mar/08/15 Apr/07/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 NEW - $145.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $45.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 Used - $110.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $65.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
supertechshop NEW - $299.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
supertechshop NEW - $975.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
supertechshop Used - $299.00 0 Mar/08/15 Apr/07/15
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
supertechshop NEW - $99.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
supertechshop NEW - $299.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
supertechshop NEW - $299.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $249.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
supertechshop NEW - $99.00 0 Mar/08/15 Apr/07/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop NEW - $4,750.00 0 Mar/08/15 Apr/07/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $4,950.00 0 Mar/08/15 Apr/07/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcstore NEW - $139.99 0 Mar/08/15 Mar/11/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Mar/08/15 Mar/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Mar/08/15 Mar/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $139.99 0 Mar/08/15 Mar/11/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
csi.usa NEW - $475.00 1 Mar/09/15 May/04/15
Description: APPLIED MATERIALS P/N 0020-93555 RING, COVER, C3.0, SST. LEANCOAT PVD 300MM
csi.usa NEW - $99.00 0 Mar/09/15 Jan/22/18
Description: APPLIED MATERIALS P/N 0240-50109 POLISHER KIT 300MM
csi.usa NEW - $390.00 1 Mar/09/15 Mar/09/15
Description: APPLIED MATERIALS P/N 0040-07291 DISPOSITION RING ADV.101 300MM
csi.usa NEW - $399.00 1 Mar/09/15 Jun/03/15
Description: APPLIED MATERIALS P/N 0021-41280 LAMP ALIGNMENT, RING 300mm
athomemarket Used - $74.99 0 Mar/09/15 Mar/16/15
Description: Applied Materials 0190-23662 Voltage Present Monitor 300mm Emax/Enabler AP EFIB
svcstore NEW - $189.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/09/15 Mar/12/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
used1eqsales NEW - $2,003.15 0 Mar/09/15 May/26/17
Description: AMAT 0040-48435 Upper Chamber Adapter 300mm SIP new surplus
grandbirdnet NEW - $3,800.00 0 Mar/09/15 Mar/10/22
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, NEW
keykorea NEW - $2,850.00 1 Mar/09/15 Apr/22/21
Description: AMAT 0200-02421 TXZ CERAMIC ISOLATOR 300mm LID, NEW
grandbirdnet NEW - $250.00 3 Mar/09/15 Mar/10/22
Description: AMAT 0021-34033 MEMBRANE, 300MM TITAN PROFILER 5- ZONE , NEW
csi.usa NEW - $2,000.00 0 Mar/10/15 Apr/03/15
Description: APPLIED MATERIALS P/N 9010-02212 GRIPPER 300MM UPPER QX
ptb-sales Used - $3,000.00 0 Mar/10/15 Mar/20/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Mar/10/15 Mar/20/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
used1eqsales NEW - $2,503.15 0 Mar/10/15 May/26/17
Description: AMAT 0040-52495 Bottom Chamber Narrow Adapter 300mm SIP new surplus
offerandown Used - $1,000.00 1 Mar/10/15 Mar/10/15
Description: 1 NSK ELA Series ELA-B014CFC-03 300mm Motor Controller AMAT 0190-03543
athomemarket NEW - $388.99 0 Mar/10/15 Apr/09/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
usedeqsales Used - $403.15 1 Mar/10/15 Apr/06/15
Description: AMAT Applied Materials 0190-01769 RF Cable 75 Foot 300mm Preclean Used Working
athomemarket Used - $199.99 0 Mar/10/15 Apr/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
usedeqsales Used - $403.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-02032 RF Cable 75 Foot 300mm Preclean Used Working
athomemarket Used - $38.99 0 Mar/10/15 Apr/09/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore Used - $3,999.99 0 Mar/10/15 Mar/13/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $249.99 0 Mar/10/15 Mar/13/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Mar/10/15 Mar/13/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/10/15 Mar/13/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $137.99 0 Mar/10/15 Mar/13/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Mar/10/15 Mar/13/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
nps NEW - $24.99 1 Mar/11/15 Mar/18/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales Used - $403.15 1 Mar/11/15 Apr/06/15
Description: AMAT Applied Materials 0190-02032 RF Cable 75 Foot 300mm Proclean Rev. 006 Used
supertechshop Used - $9,995.00 0 Mar/11/15 Apr/10/15
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
yayais2012 Used - $320.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $450.00 0 Mar/11/15 Apr/10/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 NEW - $125.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $32.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 Used - $2,800.00 0 Mar/11/15 Apr/10/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $110.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $255.00 0 Mar/11/15 Apr/10/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
athomemarket NEW - $489.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket NEW - $402.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $307.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket Used - $2,986.99 0 Mar/11/15 Apr/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
svcstore NEW - $139.99 0 Mar/11/15 Mar/14/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
artsemi NEW - $2,200.00 3 Mar/11/15 Mar/02/23
Description: AMAT 300mm Adapter Source Ring, P/N 0200-01326
svcstore Scrap, for parts - $599.99 0 Mar/11/15 Mar/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Mar/11/15 Mar/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $139.99 0 Mar/11/15 Mar/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
used1eqsales Used - $1,203.15 0 Mar/12/15 May/26/17
Description: AMAT 0200-76058 Quartz Pedestal Large Pin Diameter 300mm cleaned used working
athomemarket NEW - $307.99 0 Mar/12/15 Apr/11/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
used1eqsales Used - $353.15 0 Mar/12/15 May/26/17
Description: AMAT 0041-38981 RF Ground Shield Source 300mm RF PVD used working
prism_electronics3 Used - $99.44 1 Mar/12/15 Jun/10/19
Description: Applied Materials 0040-76576 Rev 002 6-Port 300mm Profiler Housing
prism_electronics3 Used - $56.15 0 Mar/12/15 Apr/27/22
Description: Applied Materials 0040-62864 Rev 002 300mm Weight Compatible Profiler Cover
svcstore NEW - $189.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/12/15 Mar/15/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
prism_electronics3 Used - $58.49 3 Mar/12/15 Dec/22/20
Description: Novellus 16-271392-00 300MM 13-Inch Shower Head
supertechshop NEW - $495.00 0 Mar/13/15 Apr/12/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
athomemarket Used - $99.99 0 Mar/13/15 Apr/12/15
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $307.99 0 Mar/13/15 Apr/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $45.99 0 Mar/13/15 Apr/12/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $256.99 0 Mar/13/15 Apr/12/15
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
svcstore Used - $3,999.99 0 Mar/13/15 Mar/16/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $249.99 0 Mar/13/15 Mar/16/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $137.99 0 Mar/13/15 Mar/16/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $183.99 0 Mar/13/15 Mar/16/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
esoteric_specialties Used - $375.00 0 Mar/13/15 Apr/12/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
svcstore NEW - $137.99 0 Mar/13/15 Mar/16/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $156.99 0 Mar/13/15 Mar/16/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
ustechno7 NEW - $264.99 2 Mar/14/15 Mar/14/15
Description: NEW AMAT Applied 0200-06824 ULTIMAX Cover Ring 300mm HDPCVD ULTIMAX high density
athomemarket NEW - $133.99 0 Mar/14/15 Apr/13/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
svcstore NEW - $139.99 0 Mar/14/15 Mar/17/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Mar/14/15 Mar/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Mar/14/15 Mar/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $4,999.99 0 Mar/15/15 Apr/14/15
Description: Kawasaki 3SX920B-A002 High-Z 300mm Wafer Handling Robot AMAT 0190-25512
svcstore NEW - $139.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/15/15 Mar/18/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
supertechshop NEW - $99.00 0 Mar/16/15 Apr/15/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop Used - $299.00 0 Mar/16/15 Apr/15/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
supertechshop Used - $9,750.00 0 Mar/16/15 Apr/15/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
supertechshop NEW - $450.00 0 Mar/16/15 Apr/15/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
bruce135 Used - $399.99 0 Mar/16/15 Apr/15/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
usedeqsales NEW - $603.15 0 Mar/16/15 May/04/15
Description: AMAT Applied Materials 3400-00301 300mm RPG Chamber Hose Lot of 3 New
electronicswest NEW - $500.00 0 Mar/16/15 Mar/26/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $1,000.00 0 Mar/16/15 Mar/26/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $1,000.00 0 Mar/16/15 Mar/26/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
keykorea Used - $2,800.00 1 Mar/17/15 Mar/23/15
Description: AMAT 0190-11706 NSK ROBOT DRIVER FOR 300MM XP ROBOT ELA-B014CFH-03 , USED
powersell007 Used - $22,999.00 0 Mar/17/15 Feb/25/19
Description: APPLIED MATERIALS 0010-24456 MCA E-CHUCK HEATER, 300MM FDR SLT ESC, NI-PL AMAT
athomemarket Used - $74.99 0 Mar/17/15 Apr/16/15
Description: Applied Materials 0190-23662 Voltage Present Monitor 300mm Emax/Enabler AP EFIB
athomemarket Used - $396.99 0 Mar/17/15 Apr/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket Used - $185.99 0 Mar/17/15 Apr/16/15
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
athomemarket NEW - $248.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $1,310.99 0 Mar/17/15 Apr/16/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore NEW - $126.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Mar/17/15 Mar/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $139.99 0 Mar/17/15 Mar/20/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $126.99 0 Mar/18/15 Mar/21/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $230.99 0 Mar/17/15 Mar/20/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
powersell007 Used - $27,999.00 0 Mar/18/15 Dec/13/15
Description: APPLIED MATERIALS 0010-23066 MCA E-CHUCK HEATER, 300MM SLT HT HI-TEMP ESC AMAT
port33serg Used - $650.00 1 Mar/18/15 Mar/18/15
Description: NSK Servo Driver ELA-B014CG2-04 ROBOT 300mm AMAT 0190-25916
gigabitpartsolutions Used - $1,300.00 0 Mar/18/15 Apr/22/20
Description: OEM Part LAM RESEARCH (LAM) 715-801916-004 PLD GAS DIST 300mm W/G RV HTR (used)
nps NEW - $24.99 1 Mar/18/15 Mar/25/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
athomemarket Scrap, for parts - $92.99 0 Mar/18/15 Apr/17/15
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
athomemarket NEW - $472.99 0 Mar/18/15 Apr/17/15
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
offerandown Used - $1,000.00 0 Mar/18/15 Mar/18/15
Description: 1 NSK ELA Series ELA-B014CFC-03 300mm Motor Controller AMAT 0190-03543
used1eqsales Scrap, for parts - $8,003.15 0 Mar/19/15 Oct/05/16
Description: AMAT 0010-11789 Chamber A 0010-25711 AMAT Centura HDP-CVD 300mm sold as-is
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match RPC PVD 300mm Rev. 007 Used Working
usedeqsales Used - $3,003.15 1 Mar/19/15 Mar/23/15
Description: NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 Used Working
gigabitpartsolutions Used - $1,300.00 2 Mar/19/15 Sep/07/21
Description: OEM Part (LAM) 715-801916-808 PLD GAS DIST 300mm W/G RV HTR
ptb-sales Used - $3,000.00 0 Mar/20/15 Mar/30/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Mar/20/15 Mar/30/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket Used - $260.99 0 Mar/20/15 Apr/19/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $197.99 0 Mar/20/15 Apr/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $197.99 0 Mar/20/15 Apr/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore NEW - $169.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $126.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Mar/20/15 Mar/23/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
grandbirdnet NEW - $4,500.00 0 Mar/20/15 Aug/30/23
Description: AMAT 0040-64000 BULKHEAD, NEXT GEN CATHODE, 300MM EMAX , NEW
svcstore NEW - $139.99 0 Mar/20/15 Mar/23/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket Used - $176.99 0 Mar/21/15 Apr/20/15
Description: Applied Materials 300mm Endura2 Electronics Tower Backplane CCT 0090-03758 AMAT
svcstore Used - $230.99 0 Mar/21/15 Mar/24/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $126.99 0 Mar/21/15 Mar/24/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
grandbirdnet NEW - $1,800.00 0 Mar/21/15 Dec/17/23
Description: AMAT 0021-81146 RING TEFLON 18" DOME 300MM HDPCVD , NEW
yayais2012 Used - $380.00 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
keykorea NEW - $2,800.00 0 Mar/21/15 Sep/04/17
Description: AMAT 0020-14762 CONE, CENTERING LOADCUP 300MM , NEW
svcstore NEW - $126.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Mar/23/15 Mar/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $139.99 0 Mar/23/15 Mar/26/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
grandbirdnet NEW - $2,400.00 0 Mar/24/15 Nov/22/21
Description: AMAT 0200-06824 COVER RING, 300MM HDPCVD, ULTIMA X , NEW
usedeqsales Used - $3,003.15 0 Mar/24/15 May/01/15
Description: NSK ELC-JG014VF3-01 2-Axis Driver 300mm XP Robot AMAT 0190-19536 Used Working
supertechshop Used - $199.00 0 Mar/24/15 Apr/23/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
supertechshop Used - $299.00 0 Mar/24/15 Apr/23/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $299.00 0 Mar/24/15 Apr/23/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop NEW - $499.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
supertechshop Used - $99.95 0 Mar/24/15 Apr/23/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $650.00 0 Mar/24/15 Apr/23/15
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
athomemarket NEW - $136.99 0 Mar/24/15 Apr/23/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
supertechshop NEW - $299.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
supertechshop NEW - $249.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
supertechshop NEW - $699.00 0 Mar/24/15 Apr/23/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
farmoninc Used - $1,750.00 0 Mar/24/15 Mar/02/23
Description: AMAT 0010-07094 ASSY, 4 PORT FI CONTROLLER, 300MM
supertechshop NEW - $499.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
supertechshop NEW - $499.00 0 Mar/24/15 Apr/23/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svcstore NEW - $126.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $230.99 0 Mar/24/15 Mar/27/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
grandbirdnet NEW - $1,700.00 0 Mar/24/15 Mar/10/22
Description: AMAT 0020-02348 CLAMP, LOWER SHIELD, 300MM SIP , NEW
svcstore NEW - $189.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
yayais2012 Used - $65.00 0 Mar/24/15 Apr/23/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
svcstore NEW - $179.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Mar/24/15 Mar/27/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Scrap, for parts - $799.99 0 Mar/24/15 Mar/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
keykorea NEW - $2,900.00 0 Mar/24/15 Dec/18/20
Description: AMAT 0040-07291 DEPOSITION RING ADV 101 300MM , NEW
nps NEW - $24.99 1 Mar/25/15 Apr/01/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales Used - $10,003.20 2 Mar/25/15 Mar/26/15
Description: AMAT Applied Materials 0010-17530 Dual Blade Robot 300mm Endura Used Working
usedeqsales Used - $2,803.15 1 Mar/26/15 Apr/20/15
Description: NSK ELA-B014CG2-04 2-AXIS Servo Driver 300mm Robot AMAT 0190-25916 Used Working
electronicswest NEW - $500.00 0 Mar/26/15 Apr/05/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $250.00 0 Mar/26/15 Apr/05/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $500.00 0 Mar/26/15 Apr/05/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
svcstore NEW - $169.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $126.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Mar/26/15 Mar/29/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $139.99 0 Mar/26/15 Mar/29/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket NEW - $199.99 0 Mar/27/15 Apr/26/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
powersell007 Used - $16,899.00 1 Mar/27/15 Mar/30/15
Description: APPLIED MATERIALS 0010-02198 300MM CENTURA SINGLE BLADE ROBOT AMAT 0190-03543
svcstore NEW - $126.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $230.99 0 Mar/27/15 Mar/30/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $139.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Mar/27/15 Mar/30/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Scrap, for parts - $799.99 0 Mar/27/15 Mar/30/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
supertechshop NEW - $299.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop Used - $1,950.00 0 Mar/28/15 Apr/27/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
supertechshop NEW - $975.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
athomemarket Used - $4,936.99 0 Mar/28/15 Apr/27/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
athomemarket Used - $337.99 0 Mar/29/15 Apr/28/15
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
athomemarket Used - $337.99 0 Mar/29/15 Apr/28/15
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
svcstore NEW - $169.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $126.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Mar/29/15 Apr/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $139.99 0 Mar/29/15 Apr/01/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket Used - $397.99 1 Mar/30/15 Apr/20/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $338.99 0 Mar/30/15 Apr/29/15
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $1,958.99 0 Mar/30/15 Apr/29/15
Description: Applied Materials 0010-34895 Vectra IMP Source 300mm Endura RF Match AMAT
athomemarket Used - $49.99 0 Mar/30/15 Apr/29/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $397.99 0 Mar/30/15 Apr/29/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $226.99 0 Mar/30/15 Apr/29/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
athomemarket Used - $105.99 0 Mar/30/15 Apr/29/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
svcstore Used - $230.99 0 Mar/30/15 Apr/02/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $126.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $179.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Mar/30/15 Apr/02/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Scrap, for parts - $799.99 0 Mar/30/15 Apr/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 NEW - $75.00 0 Apr/04/15 May/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $85.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $120.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $350.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $75.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $180.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $90.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $110.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $120.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $200.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $95.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $85.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 Used - $180.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
svcstore NEW - $126.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/04/15 Apr/07/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $144.99 0 Apr/03/15 Apr/06/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $599.99 0 Apr/04/15 Apr/07/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
athomemarket NEW - $6,999.99 0 Apr/04/15 May/04/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
systasemi Used - $7,000.00 0 Apr/03/15 May/03/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
svcstore Scrap, for parts - $799.99 0 Apr/02/15 Apr/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $139.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $230.99 0 Apr/05/15 Apr/08/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $126.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $139.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Apr/05/15 Apr/08/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Scrap, for parts - $799.99 0 Apr/05/15 Apr/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
electronicswest NEW - $300.00 0 Apr/06/15 May/06/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $200.00 0 Apr/06/15 Apr/16/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $300.00 0 Apr/06/15 May/06/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
nps NEW - $24.99 0 Apr/06/15 Apr/13/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
usedeqsales Used - $12,504.20 1 Apr/06/15 Apr/06/15
Description: AMAT Applied Materials 0010-19040 Robot 300mm Single Blade VHP Used Working
athomemarket NEW - $58.99 0 Apr/06/15 May/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $230.99 0 Apr/06/15 May/06/15
Description: AMAT 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
athomemarket NEW - $54.99 0 Apr/06/15 May/06/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
svcstore Used - $3,499.99 0 Apr/06/15 Apr/09/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcstore Used - $144.99 0 Apr/06/15 Apr/09/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
surplus845 Used - $200.00 0 Apr/07/15 Apr/14/15
Description: AMAT 0020-75465 Universal Hoop, Endura 300mm
athomemarket NEW - $85.99 0 Apr/07/15 May/07/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $57.99 0 Apr/07/15 May/07/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $169.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
partsmant6 Refurbished - $9,200.00 1 Apr/07/15 Jan/18/18
Description: Novellus/Lam 02-259925-00 Speed Dome 300mm Factory Refurbished
svcstore NEW - $126.99 0 Apr/07/15 Apr/10/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Apr/07/15 Apr/10/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 Refurbished - $380.00 0 Apr/08/15 May/08/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 Used - $110.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $65.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $145.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $45.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
athomemarket NEW - $319.99 0 Apr/08/15 Apr/15/15
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
svcstore NEW - $139.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $230.99 0 Apr/08/15 Apr/11/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $126.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcompucycle NEW - $299.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcompucycle NEW - $249.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svcompucycle NEW - $149.95 0 Apr/08/15 May/08/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
svcompucycle NEW - $99.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svcompucycle NEW - $299.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
svcompucycle NEW - $99.00 0 Apr/08/15 May/08/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
svcompucycle NEW - $975.00 0 Apr/08/15 May/08/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
svcstore NEW - $179.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $189.99 0 Apr/08/15 Apr/11/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Scrap, for parts - $799.99 0 Apr/08/15 Apr/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $388.99 0 Apr/09/15 May/09/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
xl-t_com NEW - $750.00 0 Apr/09/15 Aug/31/16
Description: APPLIED MATERIALS - 0040-07198 - MOUNT MOTOR, WAFER LIFT, 300MM PVD
athomemarket Used - $199.99 0 Apr/09/15 May/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $38.99 0 Apr/09/15 May/09/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore Used - $3,499.99 0 Apr/09/15 Apr/12/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
svcompucycle NEW - $4,950.00 0 Apr/09/15 May/09/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcompucycle NEW - $4,750.00 0 Apr/09/15 May/09/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcstore Used - $144.99 0 Apr/09/15 Apr/12/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
yayais2012 NEW - $32.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 NEW - $125.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $2,800.00 0 Apr/10/15 May/10/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $320.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $450.00 0 Apr/10/15 May/10/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $255.00 0 Apr/10/15 May/10/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
athomemarket NEW - $402.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $307.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket Used - $2,986.99 0 Apr/10/15 May/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
svcstore NEW - $126.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/10/15 Apr/13/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Apr/10/15 Apr/13/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 Used - $310.00 0 Apr/11/15 May/11/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
athomemarket NEW - $489.99 0 Apr/11/15 May/11/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket NEW - $307.99 0 Apr/11/15 May/11/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
svcstore NEW - $139.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $126.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $230.99 0 Apr/11/15 Apr/14/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $189.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Apr/11/15 Apr/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Scrap, for parts - $799.99 0 Apr/11/15 Apr/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $307.99 0 Apr/12/15 May/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $256.99 0 Apr/12/15 May/12/15
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $45.99 0 Apr/12/15 May/12/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
svcstore Used - $3,499.99 0 Apr/12/15 Apr/15/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
sparesllc09 NEW - $728.00 0 Apr/13/15 Jul/13/16
Description: 0021-24184 /LINER, BOTTOM SIDE 2, 300MM SE / APPLIED MATERIALS
nps NEW - $28.88 1 Apr/13/15 Apr/20/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
esoteric_specialties Used - $375.00 0 Apr/13/15 May/13/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
surplus845 Used - $5,000.00 1 Apr/13/15 Jun/18/15
Description: AMAT Endura 300mm PC Chamber, P/N 0010-04832
athomemarket Used - $99.99 0 Apr/13/15 May/13/15
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
ptb-sales Used - $3,000.00 0 Apr/13/15 Apr/23/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
farmoninc Used - $650.00 0 Apr/13/15 Mar/02/23
Description: AMAT 0150-01517 Cable Assembly, CH C Interlock, 300MM Centura 401257
ptb-sales Used - $250.00 0 Apr/13/15 Apr/23/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
athomemarket NEW - $133.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
gigabitpartsolutions NEW - $49.50 0 Apr/13/15 Jun/06/16
Description: OEM Part APPLIED MATERIAL (AMAT) 0021-15538 CLAMP, PEEK, 300MM, WALKING BEAM, CL
svcstore Used - $144.99 0 Apr/13/15 Apr/16/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcompucycle NEW - $299.00 0 Apr/14/15 May/14/15
Description: NEW AMAT Wafer Calibration Tool 0270-05028 LCF Self Centering OD 300mm ID 40mm
athomemarket Used - $4,999.99 0 Apr/14/15 May/14/15
Description: Kawasaki 3SX920B-A002 High-Z 300mm Wafer Handling Robot AMAT 0190-25512
svcstore NEW - $169.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $179.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $139.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $126.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $189.99 0 Apr/14/15 Apr/17/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Scrap, for parts - $799.99 0 Apr/14/15 Apr/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 Apr/14/15 Apr/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
bruce135 Used - $399.99 0 Apr/15/15 May/15/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
surplus845 Used - $950.00 0 Apr/15/15 Jun/24/17
Description: AMAT Endura motorized lift assembly 300mm, 0010-06140
sparesllc09 Used - $8,000.00 0 Apr/15/15 Nov/17/16
Description: 0040-99095 / LINER,UPPER,W/COOLING ANODIZE,300MM DPN / APPLIED MATERIALS
farmoninc NEW - $1,850.00 0 Apr/15/15 Mar/02/23
Description: AMAT 0040-03641 Blade, Right 300MM FI ECP, 401304
svcstore NEW - $139.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $230.99 0 Apr/15/15 Apr/18/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $126.99 0 Apr/15/15 Apr/18/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $3,499.99 0 Apr/15/15 Apr/18/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
electronicswest NEW - $100.00 0 Apr/16/15 Apr/26/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
farmoninc NEW - $1,850.00 0 Apr/16/15 Mar/02/23
Description: New AMAT 0040-03641 Blade, Right 300MM FI ECP, 401316
surplus845 Used - $2,500.00 1 Apr/16/15 Jul/16/15
Description: AMAT Endura PVD 300mm Heater, P/N 0040-03676, 0021-22224
athomemarket Used - $396.99 0 Apr/16/15 May/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket Used - $74.99 0 Apr/16/15 May/16/15
Description: Applied Materials 0190-23662 Voltage Present Monitor 300mm Emax/Enabler AP EFIB
athomemarket Used - $185.99 0 Apr/16/15 May/16/15
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
athomemarket NEW - $319.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
athomemarket NEW - $248.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $1,310.99 0 Apr/16/15 May/16/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore Used - $144.99 0 Apr/16/15 Apr/19/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
farmoninc NEW - $250.00 0 Apr/17/15 Mar/02/23
Description: AMAT 0150-76398 Cable Assembly 300MM Wafer On Blade, LLA. 401312
ustechno7 NEW - $1,399.99 0 Apr/17/15 May/17/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
athomemarket Scrap, for parts - $92.99 0 Apr/17/15 May/17/15
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
athomemarket NEW - $472.99 0 Apr/17/15 May/17/15
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcompucycle NEW - $495.00 0 Apr/17/15 May/17/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
svcompucycle NEW - $450.00 0 Apr/17/15 May/17/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
svcstore NEW - $139.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $126.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $189.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $179.99 0 Apr/17/15 Apr/20/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Scrap, for parts - $599.99 0 Apr/17/15 Apr/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $799.99 0 Apr/18/15 Apr/21/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $230.99 0 Apr/18/15 Apr/21/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $139.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $126.99 0 Apr/18/15 Apr/21/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $3,499.99 1 Apr/18/15 Apr/21/15
Description: AMAT 0010-12813 300mm Dual Zone Ceramic Heater Unit w/0190-00397 TC Assembly
athomemarket Used - $260.99 0 Apr/19/15 May/19/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $197.99 0 Apr/19/15 May/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $197.99 0 Apr/19/15 May/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore Used - $144.99 0 Apr/19/15 Apr/22/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
athomemarket Used - $176.99 0 Apr/20/15 May/20/15
Description: Applied Materials 300mm Endura2 Electronics Tower Backplane CCT 0090-03758 AMAT
svcstore NEW - $169.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
yayais2012 Used - $323.00 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore NEW - $126.99 0 Apr/20/15 Apr/23/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Apr/20/15 Apr/23/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $139.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $126.99 0 Apr/21/15 Apr/24/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
grandbirdnet NEW - $5,900.00 0 Apr/21/15 Dec/17/23
Description: AMAT 0190-25588 HEPA FILTER PRESSURE SENSOR READY 300MM , NEW
svcstore Used - $230.99 0 Apr/21/15 Apr/24/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $174.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $144.99 0 Apr/22/15 Apr/25/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $499.99 0 Apr/22/15 Apr/25/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Apr/22/15 Apr/25/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $165.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Apr/22/15 Apr/25/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Scrap, for parts - $799.99 0 Apr/22/15 Apr/25/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $549.99 0 Apr/23/15 Apr/26/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $199.99 0 Apr/22/15 Apr/25/15
Description: Lam Research 716-069688-022-B ELCTD Electrode Inner SI 300mm Semiconductor Part
yericomfg Used - $3,000.00 0 Apr/23/15 May/10/17
Description: Applied Materials 0010-32148 REV.001 Top Match ASSY Fan 300MM ULTIM, Refurbished
athomemarket NEW - $136.99 0 Apr/23/15 May/23/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
ptb-sales Used - $3,000.00 0 Apr/23/15 May/03/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Apr/23/15 May/03/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
powersell007 Used - $349.00 1 Mar/24/15 Apr/23/15
Description: AMAT 0010-76967/0100-76130 OTF CENTER FINDER RECEIVER 300MM MUX BOARD, SM31RLMHS
usedeqsales Used - $1,510.11 1 Oct/31/11 Apr/22/15
Description: TEL ACT 12 300mm Develop Process Station Dev. Cup Assembly CT2985-413485-W1
aaaportal Scrap, for parts - $189.03 1 Aug/20/14 Apr/22/15
Description: LAM Research 839-800327-508C 300mm ESC Electrostatic Chuck Electrode
svcstore NEW - $126.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/23/15 Apr/26/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Apr/23/15 Apr/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 Used - $65.00 0 Apr/24/15 May/24/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
svcstore NEW - $174.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $139.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcompucycle Used - $299.00 0 Apr/25/15 May/25/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svcstore NEW - $126.99 0 Apr/24/15 Apr/27/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $230.99 0 Apr/24/15 Apr/27/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $144.99 0 Apr/25/15 Apr/28/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $499.99 0 Apr/25/15 Apr/28/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Apr/25/15 Apr/28/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $165.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 Apr/25/15 Apr/28/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Scrap, for parts - $799.99 0 Apr/25/15 Apr/28/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $199.99 0 Apr/26/15 May/26/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
svcompucycle Used - $9,995.00 0 Apr/26/15 May/26/15
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svcompucycle Used - $9,750.00 0 Apr/26/15 May/26/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
svcstore NEW - $549.99 0 Apr/26/15 Apr/29/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $169.99 0 Apr/26/15 Apr/29/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $126.99 0 Apr/26/15 Apr/29/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
athomemarket Used - $4,936.99 0 Apr/27/15 May/27/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore Scrap, for parts - $599.99 0 Apr/27/15 Apr/30/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $139.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $230.99 0 Apr/27/15 Apr/30/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $126.99 0 Apr/27/15 Apr/30/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $174.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $499.99 0 Apr/28/15 May/01/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore NEW - $128.99 0 Apr/28/15 May/01/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $449.99 0 Apr/28/15 May/01/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Apr/28/15 May/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $49.99 0 Apr/29/15 May/29/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $337.99 0 Apr/29/15 May/29/15
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
athomemarket Used - $337.99 0 Apr/29/15 May/29/15
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
athomemarket Used - $397.99 0 Apr/29/15 May/29/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $338.99 0 Apr/29/15 May/29/15
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $1,958.99 0 Apr/29/15 May/29/15
Description: Applied Materials 0010-34895 Vectra IMP Source 300mm Endura RF Match AMAT
athomemarket Used - $105.99 0 Apr/29/15 May/29/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
athomemarket Used - $226.99 0 Apr/29/15 May/29/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
svcstore NEW - $165.99 0 Apr/29/15 May/02/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $126.99 0 Apr/29/15 May/02/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 Apr/29/15 May/02/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $549.99 0 Apr/29/15 May/02/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
surplus845 Used - $200.00 0 Apr/30/15 Nov/26/15
Description: AMAT 0020-75465 Universal Hoop, Endura 300mm
svcstore Scrap, for parts - $599.99 0 Apr/30/15 May/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $139.99 0 Apr/30/15 May/03/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $230.99 0 Apr/30/15 May/03/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $126.99 0 Apr/30/15 May/03/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcompucycle NEW - $99.00 0 May/01/15 May/31/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore NEW - $174.99 0 May/01/15 May/04/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $499.99 0 May/01/15 May/04/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore NEW - $128.99 1 May/01/15 May/03/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $449.99 0 May/01/15 May/04/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
athomemarket Used - $3,276.99 0 May/02/15 Jun/01/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore NEW - $165.99 0 May/02/15 May/05/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/02/15 May/05/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 May/02/15 May/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $126.99 0 May/02/15 May/05/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 May/02/15 May/05/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 May/03/15 May/06/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $230.99 0 May/03/15 May/06/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $139.99 0 May/03/15 May/06/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $126.99 0 May/03/15 May/06/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
athomemarket NEW - $6,999.99 0 May/04/15 Jun/03/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
ptb-sales Used - $3,000.00 0 May/04/15 May/14/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 May/04/15 May/14/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore NEW - $174.99 0 May/04/15 May/07/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $499.99 0 May/04/15 May/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $133.99 0 May/04/15 May/07/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $449.99 0 May/04/15 May/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
yayais2012 Used - $90.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $120.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $120.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $110.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $95.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $85.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $180.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $180.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $350.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $85.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $75.00 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $75.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $200.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
usedeqsales Used - $20,005.20 1 May/05/15 Dec/09/15
Description: Endura 300MM PC Chamber Assembly AMAT 0010-04832 Used As-Is
svcstore NEW - $165.99 0 May/05/15 May/08/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/05/15 May/08/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 May/05/15 May/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $126.99 0 May/05/15 May/08/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $169.99 0 May/05/15 May/08/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $165.99 0 May/08/15 May/11/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 May/08/15 May/11/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
sparesllc09 NEW - $2,057.00 0 May/08/15 Mar/03/17
Description: 0200-01903 /INSULATOR PEDESTAL QUARTZ 300MM PCII/ APPLIED MATERIALS
svcstore NEW - $174.99 0 May/07/15 May/10/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
athomemarket NEW - $85.99 0 May/07/15 Jun/06/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
electronicswest NEW - $150.00 0 May/07/15 May/17/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
svcstore NEW - $139.99 0 May/06/15 May/09/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket NEW - $57.99 0 May/07/15 Jun/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $58.99 0 May/07/15 Jun/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $549.99 0 May/08/15 May/11/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $449.99 0 May/07/15 May/10/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $133.99 0 May/07/15 May/10/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $499.99 0 May/07/15 May/10/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Scrap, for parts - $799.99 0 May/08/15 May/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 May/06/15 May/09/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
systasemi Used - $7,000.00 0 May/06/15 Jun/03/15
Description: Novellus 300mm Vector Spindle Assembly 02-324753-00 , Working
svcompucycle NEW - $299.00 0 May/08/15 Jun/07/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcompucycle NEW - $99.00 0 May/08/15 Jun/07/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
svcompucycle NEW - $299.00 0 May/08/15 Jun/07/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
svcompucycle NEW - $975.00 0 May/08/15 Jun/07/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
svcstore NEW - $169.99 0 May/08/15 May/11/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $126.99 0 May/08/15 May/11/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
y.t.r2011 NEW - $90.00 0 May/09/15 Jun/02/16
Description: New AMAT 0020-43065 SUPPORT BAR, STEP PLATFORM. 300MM HDPCVD, 8.30"'
yayais2012 NEW - $65.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 Used - $110.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $145.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $45.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 Refurbished - $380.00 0 May/10/15 Jun/09/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
svcstore NEW - $139.99 0 May/09/15 May/12/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket NEW - $388.99 0 May/09/15 Jun/08/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
svcstore NEW - $126.99 0 May/09/15 May/12/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $230.99 0 May/09/15 May/12/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 May/09/15 May/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
athomemarket Used - $38.99 0 May/10/15 Jun/09/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
athomemarket Used - $199.99 0 May/10/15 Jun/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
svcstore NEW - $174.99 0 May/10/15 May/13/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $133.99 0 May/10/15 May/13/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $499.99 0 May/10/15 May/13/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
yayais2012 Used - $2,800.00 0 May/10/15 Jun/09/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $110.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $320.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 NEW - $125.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $32.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 Used - $450.00 0 May/10/15 Jun/09/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
svcstore Used - $449.99 0 May/10/15 May/13/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
yayais2012 Used - $255.00 0 May/10/15 Jun/09/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
used1eqsales Used - $3,005.15 0 May/11/15 Dec/10/16
Description: AMAT 0180-76109 300mm Mainframe AC Centura Panel Missing used working
used1eqsales Used - $3,005.15 0 May/11/15 Dec/10/16
Description: AMAT 0180-76109 300mm Mainframe AC AMAT Centura used working
athomemarket NEW - $489.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket NEW - $307.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket NEW - $402.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket Used - $2,986.99 0 May/11/15 Jun/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
gigabitpartsolutions NEW - $203.50 2 May/11/15 May/18/23
Description: Valve GATE, BONDED 300MM, CHEMRAZ NOVELLUS 60-300514-00 Chemraz 5641A1282SS639
svcstore Scrap, for parts - $799.99 0 May/11/15 May/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $165.99 0 May/11/15 May/14/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 May/11/15 May/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $549.99 0 May/11/15 May/14/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
yayais2012 Used - $310.00 0 May/11/15 Jun/10/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
athomemarket NEW - $307.99 0 May/12/15 Jun/11/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
svcompucycle NEW - $249.00 0 May/12/15 Jun/11/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svcompucycle NEW - $149.95 0 May/12/15 Jun/11/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
svcompucycle NEW - $99.00 0 May/12/15 Jun/11/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svcompucycle NEW - $4,950.00 0 May/12/15 Jun/11/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcompucycle NEW - $4,750.00 0 May/12/15 Jun/11/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcstore NEW - $116.99 0 May/12/15 May/15/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 May/12/15 May/15/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcompucycle Used - $299.00 0 May/13/15 Jun/12/15
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
farmoninc Used - $450.00 0 May/13/15 Jun/30/15
Description: AMAT 0200-03317 Tetra Ceramic, Capture Ring, 300mm 401876
farmoninc Used - $450.00 0 May/13/15 Jun/21/18
Description: AMAT 0200-00933 Tetra Ceramic Cover, Screw, Cathode Liner 300MM DPS I 401875
athomemarket Used - $299.99 0 May/13/15 Jun/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $39.99 0 May/13/15 Jun/12/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $199.99 0 May/13/15 Jun/12/15
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket Used - $99.99 0 May/13/15 Jun/12/15
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket NEW - $133.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
fastdealsemi1 NEW - $15,000.00 0 May/13/15 Aug/03/15
Description: 0010-27784 ESC ASSY, HA-37, 300MM, ENABLER
esoteric_specialties Used - $375.00 0 May/13/15 Jun/12/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
svcstore NEW - $174.99 0 May/13/15 May/16/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $499.99 0 May/13/15 May/16/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $133.99 0 May/13/15 May/16/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $449.99 0 May/13/15 May/16/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
athomemarket Used - $4,999.99 0 May/14/15 Jun/13/15
Description: Kawasaki 3SX920B-A002 High-Z 300mm Wafer Handling Robot AMAT 0190-25512
ptb-sales Used - $3,000.00 0 May/14/15 May/24/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 May/14/15 May/24/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore NEW - $165.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $128.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcompucycle Used - $1,950.00 0 May/14/15 Jun/13/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcompucycle NEW - $975.00 0 May/14/15 Jun/13/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
svcstore NEW - $549.99 0 May/14/15 May/17/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 May/14/15 May/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $599.99 0 May/14/15 May/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 May/14/15 May/17/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $128.99 0 May/14/15 May/17/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $212.99 0 May/14/15 May/17/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcompucycle NEW - $299.00 0 May/15/15 Jun/14/15
Description: NEW AMAT Wafer Calibration Tool 0270-05028 LCF Self Centering OD 300mm ID 40mm
bruce135 Used - $399.99 0 May/15/15 Jun/14/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
svcstore NEW - $116.99 0 May/15/15 May/18/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 May/15/15 May/18/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $79.99 0 May/15/15 May/18/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
athomemarket Used - $74.99 0 May/16/15 Jun/15/15
Description: Applied Materials 0190-23662 Voltage Present Monitor 300mm Emax/Enabler AP EFIB
athomemarket Used - $396.99 0 May/16/15 Jun/15/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket Used - $185.99 0 May/16/15 Jun/15/15
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
svcstore NEW - $174.99 0 May/16/15 May/19/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $133.99 0 May/16/15 May/19/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $499.99 0 May/16/15 May/19/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 May/16/15 May/19/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
athomemarket Scrap, for parts - $92.99 0 May/17/15 Jun/16/15
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
athomemarket NEW - $248.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $319.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
athomemarket NEW - $1,310.99 0 May/17/15 Jun/16/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
svcstore NEW - $128.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Scrap, for parts - $799.99 0 May/17/15 May/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $165.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/17/15 May/20/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $599.99 0 May/17/15 May/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 May/17/15 May/20/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $128.99 0 May/17/15 May/20/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $212.99 0 May/17/15 May/20/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcompucycle NEW - $495.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
svcompucycle NEW - $699.00 0 May/18/15 Jun/17/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
svcompucycle NEW - $450.00 0 May/18/15 Jun/17/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
svcompucycle NEW - $499.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
svcompucycle NEW - $499.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
powersell007 Used - $1,899.00 1 May/18/15 Jan/29/19
Description: APPLIED MATERIALS 0040-97048/ 0040-50851 DETENT, 300MM SDS ARM 0020-86325 AMAT
athomemarket NEW - $472.99 0 May/18/15 Jun/17/15
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
ustechno7 NEW - $1,350.00 0 May/18/15 Jun/17/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore NEW - $116.99 0 May/18/15 May/21/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 May/18/15 May/21/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
equipplus NEW - $179.00 22 May/18/15 Oct/10/17
Description: Applied Materials 0020-87941 Rev 04 Cover,300mm TITAN N Zone,Unused,USA(3728)
svcstore Used - $79.99 0 May/18/15 May/21/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcompucycle Used - $199.00 0 May/19/15 Jun/18/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svcompucycle Used - $299.00 0 May/19/15 Jun/18/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
svcstore Used - $133.99 0 May/19/15 May/22/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
powersell007 Used - $159.00 1 May/20/15 Dec/20/21
Description: APPLIED MATERIALS 0021-21765 SPACER, HEATER, 300MM, PVD AMAT *NEW IN BOX*
athomemarket Used - $260.99 1 May/20/15 Jun/17/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
athomemarket NEW - $197.99 0 May/20/15 Jun/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $197.99 0 May/20/15 Jun/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $176.99 0 May/20/15 Jun/19/15
Description: Applied Materials 300mm Endura2 Electronics Tower Backplane CCT 0090-03758 AMAT
svcstore NEW - $128.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Scrap, for parts - $599.99 0 May/20/15 May/23/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 May/20/15 May/23/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $128.99 0 May/20/15 May/23/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $212.99 0 May/20/15 May/23/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcompucycle NEW - $299.00 0 May/21/15 Jun/20/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
svcompucycle NEW - $299.00 0 May/21/15 Jun/20/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
svcompucycle Used - $299.00 0 May/21/15 Jun/20/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svcompucycle NEW - $499.00 0 May/21/15 Jun/20/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svcompucycle NEW - $650.00 0 May/21/15 Jun/20/15
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
svcstore NEW - $116.99 0 May/21/15 May/24/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 May/21/15 May/24/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $79.99 0 May/21/15 May/24/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
powersell007 Used - $69.00 0 May/22/15 Nov/22/23
Description: APPLIED MATERIALS 0041-35851 BRKT, HTR SHFT TEMP CNTRLR, 300MM, SE AMAT HEATER
svcompucycle NEW - $249.00 0 May/22/15 Jun/21/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svcompucycle Used - $99.95 0 May/22/15 Jun/21/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
svcstore Used - $449.99 0 May/22/15 May/25/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $152.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/22/15 May/25/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $160.99 0 May/22/15 May/25/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Scrap, for parts - $799.99 0 May/22/15 May/25/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $133.99 0 May/22/15 May/25/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $499.99 0 May/22/15 May/25/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
yayais2012 Used - $380.00 0 May/23/15 Jun/22/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore NEW - $116.99 0 May/23/15 May/26/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 May/23/15 May/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $128.99 0 May/23/15 May/26/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $212.99 0 May/23/15 May/26/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
athomemarket NEW - $136.99 0 May/24/15 Jun/23/15
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
svcstore NEW - $116.99 0 May/24/15 May/27/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 May/24/15 May/27/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $79.99 0 May/25/15 May/28/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore Used - $449.99 0 May/25/15 May/28/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $152.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/25/15 May/28/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 May/25/15 May/28/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $160.99 0 May/25/15 May/28/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $133.99 0 May/25/15 May/28/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
ptb-sales Used - $3,000.00 0 May/26/15 Jun/05/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 May/26/15 Jun/05/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Used - $499.99 0 May/26/15 May/29/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $199.99 0 May/26/15 May/29/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $174.99 0 May/26/15 May/29/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $199.99 0 May/26/15 May/29/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $199.99 0 May/26/15 May/29/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Scrap, for parts - $599.99 0 May/26/15 May/29/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 May/26/15 May/29/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $118.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 May/26/15 May/29/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
electronicswest NEW - $70.00 0 May/27/15 Jun/06/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $150.00 0 May/27/15 Jun/03/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $100.00 0 May/27/15 Jun/06/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
used1eqsales Used - $752.57 0 May/27/15 Sep/30/16
Description: AMAT Endura 300mm 0170-76126 Main AC Unit & 0190-06937 Transformer used working
athomemarket NEW - $199.99 0 May/27/15 Jun/26/15
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
svcstore Used - $212.99 0 May/27/15 May/30/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $116.99 0 May/27/15 May/30/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $1,499.99 0 May/27/15 May/30/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $156.99 0 May/27/15 May/30/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $899.99 0 May/27/15 May/30/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcompucycle Used - $299.00 0 May/28/15 Jun/27/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svcompucycle Used - $9,995.00 0 May/28/15 Jun/27/15
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svcompucycle Used - $9,750.00 0 May/28/15 Jun/27/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
athomemarket Used - $288.99 0 May/28/15 Jun/27/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore Used - $79.99 0 May/28/15 May/31/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore Used - $449.99 0 May/28/15 May/31/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $160.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $152.99 0 May/28/15 May/31/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/28/15 May/31/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 May/28/15 May/31/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $133.99 0 May/28/15 May/31/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
yayais2012 Used - $65.00 0 May/29/15 Jun/28/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
athomemarket Used - $338.99 0 May/29/15 Jun/28/15
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $1,958.99 0 May/29/15 Jun/28/15
Description: Applied Materials 0010-34895 Vectra IMP Source 300mm Endura RF Match AMAT
athomemarket Used - $49.99 0 May/29/15 Jun/28/15
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $337.99 0 May/29/15 Jun/28/15
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
athomemarket Used - $337.99 0 May/29/15 Jun/28/15
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
usedeqsales Used - $405.15 0 May/29/15 Apr/21/23
Description: AMAT Applied Materials 0270-03559 Calbration Tool Cooldown/DEGAS 300mm Used
usedeqsales Used - $355.15 0 May/29/15 Mar/24/21
Description: AMAT Applied Materials 0041-38981 RF Ground Shield Source 300mm RF PVD New
athomemarket Used - $397.99 5 May/29/15 Jun/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $105.99 0 May/29/15 Jun/28/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
svcstore Used - $499.99 0 May/29/15 Jun/01/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $199.99 0 May/29/15 Jun/01/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 May/29/15 Jun/01/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $116.99 0 May/29/15 Jun/01/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $174.99 0 May/29/15 Jun/01/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $199.99 0 May/29/15 Jun/01/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Scrap, for parts - $599.99 0 May/29/15 Jun/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $118.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 May/29/15 Jun/01/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket Used - $226.99 0 May/30/15 Jun/29/15
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
svcstore Used - $212.99 0 May/30/15 Jun/02/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $1,499.99 0 May/30/15 Jun/02/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $116.99 0 May/30/15 Jun/02/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 May/30/15 Jun/02/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $899.99 0 May/31/15 Jun/03/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $79.99 0 May/31/15 Jun/03/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore Used - $449.99 0 May/31/15 Jun/03/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $160.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
equipplus NEW - $189.00 2 May/31/15 Oct/11/17
Description: Applied Materials 0020-18686 Rev001 Fixture,Membrane 300mm TITAN PROF,Unuse,AMAT
svcstore NEW - $152.99 0 May/31/15 Jun/03/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 May/31/15 Jun/03/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 May/31/15 Jun/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
usedeqsales Used - $3,006.15 0 Jun/01/15 Apr/21/23
Description: AMAT Applied Materials 0021-43798 Upper NI AL ARC-SPRAY Shield 300mm PVD New
athomemarket Used - $3,276.99 0 Jun/01/15 Jul/01/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Used - $499.99 0 Jun/01/15 Jun/04/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $199.99 0 Jun/01/15 Jun/04/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcompucycle NEW - $99.00 0 Jun/01/15 Jul/01/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore Used - $199.99 0 Jun/01/15 Jun/04/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $174.99 0 Jun/01/15 Jun/04/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $199.99 0 Jun/01/15 Jun/04/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Scrap, for parts - $599.99 0 Jun/01/15 Jun/04/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $128.99 0 Jun/01/15 Jun/04/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
gigabitpartsolutions NEW - $605.00 0 Jun/02/15 Jun/26/16
Description: Actuator APPLIED MATERIAL (AMAT) 0190-14415 PRESSURE DISPLAY ASSY, 300MM FI,120V
svcstore NEW - $160.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $152.99 0 Jun/03/15 Jun/06/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
usedeqsales Used - $506.15 1 Jun/03/15 Mar/21/22
Description: AMAT Applied Materials 0270-03559 Calibration Tool Cooldown/DEGAS 300mm New
sparesllc09 NEW - $2,655.00 0 Jun/03/15 Nov/17/16
Description: 0040-44492 / MOUNTING RING, 300MM DPS2 / APPLIED MATERIALS
sparesllc09 NEW - $42,020.00 0 Jun/03/15 Oct/29/18
Description: 0040-04567 / CHAMBER,TOP,300MM DPS POLY (5IN)/ APPLIED MATERIALS
svcstore NEW - $118.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
used1eqsales NEW - $1,806.15 0 Jun/03/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm cleaned used works
svcstore NEW - $156.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $116.99 0 Jun/02/15 Jun/05/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $549.99 0 Jun/03/15 Jun/06/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $449.99 0 Jun/03/15 Jun/06/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $79.99 0 Jun/03/15 Jun/06/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore Used - $899.99 0 Jun/03/15 Jun/06/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Jun/03/15 Jun/06/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket NEW - $6,999.99 0 Jun/03/15 Jul/03/15
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
svcstore Used - $1,499.99 0 Jun/02/15 Jun/05/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
nps NEW - $17.99 1 Jun/04/15 Jun/11/15
Description: Applied Materials (AMAT) 0040-96157 300mm Slit Valve Door(15.75" x 2.75" x 1.5")
used1eqsales Used - $1,606.15 0 Jun/04/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
svcstore Used - $499.99 0 Jun/04/15 Jun/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $199.99 0 Jun/04/15 Jun/07/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $174.99 0 Jun/04/15 Jun/07/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $199.99 0 Jun/04/15 Jun/07/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jun/04/15 Jun/07/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Scrap, for parts - $599.99 0 Jun/04/15 Jun/07/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 Jun/04/15 Jun/07/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $128.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
gigabitpartsolutions NEW - $82.50 0 Jun/05/15 Jun/29/16
Description: Tool Applied Materails (AMAT) 0270-03517 SLING, BUFFER/TRANSFER LID, 300MM ENDUR
used1eqsales Used - $1,706.15 0 Jun/05/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Bell Jar 300mm cleaned once used working
ptb-sales Used - $3,000.00 0 Jun/05/15 Jun/15/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jun/05/15 Jun/15/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore NEW - $118.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $212.99 0 Jun/05/15 Jun/08/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $122.99 0 Jun/05/15 Jun/08/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $1,499.99 0 Jun/05/15 Jun/08/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $116.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 Jun/05/15 Jun/08/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
yayais2012 NEW - $95.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $75.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $85.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 Used - $90.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $75.00 0 Jun/06/15 Jul/06/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 Used - $180.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $120.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $180.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $350.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $85.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $110.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $200.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $120.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
athomemarket NEW - $85.99 0 Jun/06/15 Jul/06/15
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $57.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $58.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $54.99 0 Jun/06/15 Jul/06/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
svcstore Used - $79.99 0 Jun/06/15 Jun/09/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore Used - $899.99 0 Jun/06/15 Jun/09/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $449.99 0 Jun/06/15 Jun/09/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $160.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $152.99 0 Jun/06/15 Jun/09/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 Jun/06/15 Jun/09/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Jun/06/15 Jun/09/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Jun/07/15 Jun/10/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
systasemi Used - $80,000.00 0 Jun/07/15 Jun/26/15
Description: AMAT P3I MAIN FRAME, 300MM DUAL BLADE, 0010-27382
svcstore Used - $199.99 0 Jun/07/15 Jun/10/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jun/07/15 Jun/10/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $174.99 0 Jun/07/15 Jun/10/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $199.99 0 Jun/07/15 Jun/10/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Scrap, for parts - $599.99 0 Jun/07/15 Jun/10/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
equipplus NEW - $199.00 18 Jun/07/15 Oct/11/17
Description: Applied Materials 0041-04653 Rev 07,Support Plate 300mm Titan Nzone,Unused,AMAT
equipplus NEW - $269.00 9 Jun/07/15 Oct/11/17
Description: Applied Materials 0010-34796 Rev03 300mm Titan Calypso Assy Inner Ring,Unused
svcstore NEW - $116.99 0 Jun/07/15 Jun/10/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
athomemarket NEW - $388.99 0 Jun/08/15 Jul/08/15
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
svcstore NEW - $118.99 0 Jun/08/15 Jun/11/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $128.99 0 Jun/08/15 Jun/11/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $212.99 0 Jun/08/15 Jun/11/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $122.99 0 Jun/08/15 Jun/11/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $1,499.99 0 Jun/08/15 Jun/11/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
yayais2012 Refurbished - $380.00 0 Jun/09/15 Jul/09/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 NEW - $65.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $45.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $145.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 Used - $110.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
athomemarket Used - $199.99 0 Jun/09/15 Jul/09/15
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $38.99 0 Jun/09/15 Jul/09/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
svcstore Used - $79.99 0 Jun/09/15 Jun/12/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore Used - $899.99 0 Jun/09/15 Jun/12/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $449.99 0 Jun/09/15 Jun/12/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
equipplus NEW - $229.00 12 Jun/09/15 Oct/11/17
Description: Applied Materials 0020-87982 Outer Rolling Seal Clamp 300MM Titan M Zone,Unused
svcstore NEW - $160.99 0 Jun/09/15 Jun/12/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $152.99 0 Jun/09/15 Jun/12/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $549.99 0 Jun/09/15 Jun/12/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Jun/09/15 Jun/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcompucycle NEW - $299.00 0 Jun/10/15 Jul/10/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcompucycle NEW - $99.00 0 Jun/10/15 Jul/10/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
svcompucycle NEW - $299.00 0 Jun/10/15 Jul/10/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
svcompucycle NEW - $975.00 0 Jun/10/15 Jul/10/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
yayais2012 Used - $320.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $450.00 0 Jun/10/15 Jul/10/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $2,800.00 0 Jun/10/15 Jul/10/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 NEW - $32.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 Used - $110.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $125.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $255.00 0 Jun/10/15 Jul/10/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
athomemarket NEW - $489.99 0 Jun/10/15 Jul/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket NEW - $402.99 0 Jun/10/15 Jul/10/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
athomemarket NEW - $307.99 0 Jun/10/15 Jul/10/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket Used - $2,986.99 0 Jun/10/15 Jul/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
svcstore Used - $499.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore NEW - $156.99 0 Jun/10/15 Jun/13/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $199.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $116.99 0 Jun/10/15 Jun/13/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $174.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $199.99 0 Jun/10/15 Jun/13/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
yayais2012 Used - $310.00 0 Jun/11/15 Jul/11/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
dr.dantom Refurbished - $450.00 0 Jun/11/15 Feb/17/20
Description: Applied Materials AMAT 0020-23549 REV 007 Shield Upper AL ARC-SPRAY SST 300mm
athomemarket NEW - $307.99 0 Jun/11/15 Jul/11/15
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
usedeqsales Used - $1,753.07 1 Jun/11/15 Jun/21/21
Description: AMAT Applied Materials 0200-03259 Slotted Preheat Ring .15 THK 300mm EP New
usedeqsales NEW - $4,006.15 0 Jun/11/15 Oct/15/19
Description: Brooks Automation Fixload Versio 300mm Load Port AMAT 0190-15597 New
prism_electronics10 Used - $424.99 1 Jun/11/15 Sep/07/15
Description: LAM RESEARCH 300MM (APROX. 12.5" X 1.5") ELECTROSTATIC CHUCK PN: 800-800327-385
svcstore NEW - $118.99 0 Jun/11/15 Jun/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $122.99 0 Jun/11/15 Jun/14/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $1,499.99 0 Jun/11/15 Jun/14/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Used - $212.99 0 Jun/11/15 Jun/14/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcompucycle NEW - $249.00 0 Jun/12/15 Jul/12/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svcompucycle NEW - $149.95 0 Jun/12/15 Jul/12/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
svcompucycle NEW - $99.00 0 Jun/12/15 Jul/12/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svcompucycle Used - $299.00 0 Jun/12/15 Jul/12/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svcompucycle NEW - $4,950.00 0 Jun/12/15 Jul/12/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcompucycle NEW - $4,750.00 0 Jun/12/15 Jul/12/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
athomemarket Used - $99.99 0 Jun/12/15 Jul/12/15
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $299.99 0 Jun/12/15 Jul/12/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $199.99 0 Jun/12/15 Jul/12/15
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $39.99 0 Jun/12/15 Jul/12/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $133.99 0 Jun/12/15 Jul/12/15
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
svcstore Used - $899.99 0 Jun/12/15 Jun/15/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
esoteric_specialties Used - $375.00 0 Jun/12/15 Jul/12/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
svcstore NEW - $128.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $449.99 0 Jun/12/15 Jun/15/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $599.99 0 Jun/12/15 Jun/15/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $160.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $152.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $116.99 0 Jun/12/15 Jun/15/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $549.99 0 Jun/12/15 Jun/15/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Jun/12/15 Jun/15/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Used - $4,999.99 0 Jun/13/15 Jul/13/15
Description: Kawasaki 3SX920B-A002 High-Z 300mm Wafer Handling Robot AMAT 0190-25512
svcstore Used - $199.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $499.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore NEW - $116.99 0 Jun/13/15 Jun/16/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 Jun/13/15 Jun/16/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $199.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $174.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $199.99 0 Jun/13/15 Jun/16/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $118.99 0 Jun/14/15 Jun/17/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $122.99 0 Jun/14/15 Jun/17/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $1,499.99 0 Jun/14/15 Jun/17/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
bruce135 Used - $399.99 0 Jun/15/15 Jul/15/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket Used - $396.99 1 Jun/15/15 Jun/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket Used - $67.49 0 Jun/15/15 Jul/15/15
Description: Applied Materials 0190-23662 Voltage Present Monitor 300mm Emax/Enabler AP EFIB
athomemarket Used - $167.39 0 Jun/15/15 Jul/15/15
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
svcstore Used - $212.99 0 Jun/15/15 Jun/18/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
ptb-sales Used - $3,000.00 0 Jun/15/15 Jun/25/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jun/15/15 Jun/25/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Used - $899.99 0 Jun/15/15 Jun/18/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $449.99 0 Jun/15/15 Jun/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $128.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Jun/15/15 Jun/18/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $160.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $152.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $116.99 0 Jun/15/15 Jun/18/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $549.99 0 Jun/15/15 Jun/18/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Jun/15/15 Jun/18/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
athomemarket Scrap, for parts - $83.69 0 Jun/16/15 Jul/16/15
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
athomemarket NEW - $287.99 0 Jun/16/15 Jul/16/15
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
athomemarket NEW - $224.09 0 Jun/16/15 Jul/16/15
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
gigabitpartsolutions NEW - $385.00 0 Jun/16/15 Jun/10/16
Description: OEM Part Applied Materails (AMAT) 0242-42691 KIT, PURGE GAS FLEXLINE 300MM CVD
athomemarket NEW - $1,179.89 0 Jun/16/15 Jul/16/15
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
nps NEW - $24.99 0 Jun/16/15 Jun/23/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore Used - $499.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $199.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $116.99 0 Jun/16/15 Jun/19/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 Jun/16/15 Jun/19/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $199.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $174.99 0 Jun/16/15 Jun/19/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
athomemarket NEW - $425.69 0 Jun/17/15 Jul/17/15
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcstore Used - $79.99 0 Jun/17/15 Jun/20/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $118.99 0 Jun/17/15 Jun/20/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $122.99 0 Jun/17/15 Jun/20/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $1,499.99 0 Jun/17/15 Jun/20/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcompucycle NEW - $699.00 0 Jun/18/15 Jul/18/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
svcompucycle NEW - $495.00 0 Jun/18/15 Jul/18/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
svcompucycle Used - $199.00 0 Jun/18/15 Jul/18/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svcompucycle Used - $299.00 0 Jun/18/15 Jul/18/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
svcompucycle NEW - $499.00 0 Jun/18/15 Jul/18/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
svcompucycle Used - $1,950.00 0 Jun/18/15 Jul/18/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcompucycle NEW - $299.00 0 Jun/18/15 Jul/18/15
Description: NEW AMAT Wafer Calibration Tool 0270-05028 LCF Self Centering OD 300mm ID 40mm
svcompucycle NEW - $975.00 0 Jun/18/15 Jul/18/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
svcompucycle NEW - $499.00 0 Jun/18/15 Jul/18/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svcompucycle NEW - $450.00 0 Jun/18/15 Jul/18/15
Description: NEW AMAT Endura Insulator 300mm Source Adaptor Ceramic Adapter 0200-01326 Sealed
svcstore Used - $212.99 0 Jun/18/15 Jun/21/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $899.99 0 Jun/18/15 Jun/21/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $128.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Jun/18/15 Jun/21/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 Jun/18/15 Jun/21/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
athomemarket NEW - $149.99 0 Jun/19/15 Jun/08/17
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $197.99 1 Jun/19/15 Sep/16/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $176.99 0 Jun/19/15 Jun/07/17
Description: Applied Materials 300mm Endura2 Electronics Tower Backplane CCT 0090-03758 AMAT
svcstore Used - $199.99 0 Jun/19/15 Jun/22/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jun/19/15 Jun/22/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $116.99 0 Jun/19/15 Jun/22/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 Jun/19/15 Jun/22/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $199.99 0 Jun/19/15 Jun/22/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $174.99 0 Jun/19/15 Jun/22/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $79.99 0 Jun/20/15 Jun/23/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $118.99 0 Jun/20/15 Jun/23/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $122.99 0 Jun/20/15 Jun/23/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $1,499.99 0 Jun/20/15 Jun/23/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
ustechno7 NEW - $1,300.00 0 Jun/21/15 Jul/21/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcompucycle NEW - $299.00 0 Jun/21/15 Jul/21/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
svcompucycle NEW - $249.00 0 Jun/21/15 Jul/21/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svcompucycle NEW - $299.00 0 Jun/21/15 Jul/21/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
svcompucycle Used - $299.00 0 Jun/21/15 Jul/21/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svcompucycle Used - $99.95 0 Jun/21/15 Jul/21/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
svcompucycle NEW - $499.00 0 Jun/21/15 Jul/21/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svcompucycle NEW - $650.00 0 Jun/21/15 Jul/21/15
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
svcstore Used - $212.99 0 Jun/21/15 Jun/24/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $899.99 0 Jun/21/15 Jun/24/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $128.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Scrap, for parts - $599.99 0 Jun/21/15 Jun/24/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 Jun/21/15 Jun/24/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
yayais2012 Used - $380.00 0 Jun/22/15 Jul/22/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore NEW - $156.99 0 Jun/22/15 Jun/25/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $116.99 0 Jun/22/15 Jun/25/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
electronicswest NEW - $70.00 0 Jun/23/15 Jul/03/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
gigabitpartsolutions NEW - $82.50 0 Jun/23/15 Jun/17/16
Description: Cable Applied Materails (AMAT) 0150-12681 Harness ASSY, 300mm PVD Clear Lid Jump
usedeqsales Used - $3,506.15 0 Jun/23/15 Nov/28/16
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 300mm Endura Used Working
athomemarket NEW - $136.99 1 Jun/23/15 Dec/26/16
Description: NEW Applied Materials AMAT/KoMiCo 0040-54098 (Cu) [PETEOS] 300mm Input Manifold
svcstore NEW - $147.99 0 Jun/23/15 Jun/26/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $79.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $140.99 0 Jun/23/15 Jun/26/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $399.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $122.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $139.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $199.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $449.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jun/23/15 Jun/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $199.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore NEW - $549.99 0 Jun/23/15 Jun/26/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $199.99 0 Jun/23/15 Jun/26/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
used1eqsales NEW - $406.15 0 Jun/25/15 May/26/17
Description: AMAT 0040-41846 Membrane Support Fixture 300mm Titan Head Rev 003 new surplus
powersell007 Used - $1,299.00 0 Jun/25/15 Nov/25/23
Description: APPLIED MATERIALS 0200-01991 RING FINGER LIFT PRODUCER SE 300MM 12" DSM AMAT NEW
nps NEW - $3.35 1 Jun/25/15 Jul/02/15
Description: Lot of 2 Applied Materials 0040-84390 300mm Slit Valve Door
svcstore NEW - $128.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
used1eqsales Used - $406.15 0 Jun/25/15 Nov/22/15
Description: Festo 13015611 Megasonic Box 300mm AMAT 0010-05311 Used Working
svcstore NEW - $116.99 0 Jun/24/15 Jun/27/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $212.99 0 Jun/24/15 Jun/27/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jun/24/15 Jun/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 Jun/25/15 Jun/28/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $156.99 0 Jun/25/15 Jun/28/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
nps NEW - $13.05 1 Jun/26/15 Jul/03/15
Description: Lot of 2 Applied Materials 0040-84390 300mm Slit Valve Door
powersell007 Used - $7,999.00 0 Jun/26/15 Sep/03/15
Description: APPLIED MATERIALS 0040-85475 300MM PRODUCER CERAMIC HEATER 12" REV 004 AMAT
athomemarket NEW - $199.99 0 Jun/26/15 Jun/15/17
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
svcstore NEW - $109.99 0 Jun/26/15 Jun/29/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $174.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $79.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore Used - $499.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $122.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $899.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $1,499.99 0 Jun/26/15 Jun/29/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $147.99 0 Jun/26/15 Jun/29/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $140.99 0 Jun/26/15 Jun/29/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Scrap, for parts - $799.99 0 Jun/26/15 Jun/29/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $199.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jun/26/15 Jun/29/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $549.99 0 Jun/26/15 Jun/29/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
athomemarket Used - $49.99 0 Jun/27/15 Jun/15/17
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
svcstore Used - $199.99 0 Jun/27/15 Jun/30/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Scrap, for parts - $599.99 0 Jun/27/15 Jun/30/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $116.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $212.99 0 Jun/27/15 Jun/30/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $128.99 0 Jun/27/15 Jun/30/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
athomemarket Used - $338.99 0 Jun/28/15 Jun/16/17
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $1,958.99 1 Jun/28/15 Apr/05/16
Description: Applied Materials 0010-34895 Vectra IMP Source 300mm Endura RF Match AMAT
athomemarket Used - $337.99 0 Jun/28/15 Jun/16/17
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
athomemarket Used - $337.99 0 Jun/28/15 Jun/16/17
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
athomemarket Used - $49.99 0 Jun/28/15 Jun/16/17
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $105.99 0 Jun/28/15 Jun/16/17
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
svcstore NEW - $156.99 0 Jun/28/15 Jul/01/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $116.99 0 Jun/28/15 Jul/01/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
yayais2012 Used - $65.00 0 Jun/29/15 Jul/29/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
ptb-sales Used - $250.00 0 Jun/29/15 Jul/09/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
ptb-sales Used - $3,000.00 0 Jun/29/15 Jul/09/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $24.99 0 Jun/29/15 Jun/17/17
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
svcompucycle Used - $299.00 0 Jun/29/15 Jul/29/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svcompucycle Used - $9,995.00 0 Jun/29/15 Jul/29/15
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svcstore NEW - $109.99 0 Jun/29/15 Jul/02/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $79.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $147.99 0 Jun/29/15 Jul/02/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $140.99 0 Jun/29/15 Jul/02/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $899.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $174.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $1,499.99 0 Jun/29/15 Jul/02/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Used - $449.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $499.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Jun/29/15 Jul/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $549.99 0 Jun/29/15 Jul/02/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $199.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jun/29/15 Jul/02/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jun/30/15 Jul/03/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore NEW - $116.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $212.99 0 Jun/30/15 Jul/03/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jun/30/15 Jul/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $128.99 0 Jun/30/15 Jul/03/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
usedeqsales NEW - $157.15 2 Jul/01/15 Jul/28/17
Description: AMAT Applied Materials 0020-61186 A101 Heater Cover Plate 300mm PVD New
usedeqsales NEW - $407.15 0 Jul/01/15 Nov/28/15
Description: AMAT Applied Materials 0021-30906 Clamp Blade 300mm DBR New
athomemarket Used - $2,458.99 0 Jul/01/15 Jun/20/17
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcompucycle Used - $9,750.00 0 Jul/01/15 Jul/31/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
svcompucycle NEW - $99.00 0 Jul/02/15 Aug/01/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcstore NEW - $156.99 0 Jul/01/15 Jul/04/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
nps NEW - $15.52 1 Jul/02/15 Jul/09/15
Description: Lot of 2 Applied Materials 0040-84390 300mm Slit Valve Door
svcstore NEW - $116.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
powersell007 Used - $3,299.00 0 Jul/02/15 Dec/28/15
Description: NSK ELA-B014CG1-04 300MM ROBOT DUAL AXIS SERVO DRIVER NSK-M-CLR AMAT 0190-25030
svcstore NEW - $140.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $109.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $174.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $79.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $147.99 0 Jul/02/15 Jul/05/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $899.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $499.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $1,499.99 0 Jul/02/15 Jul/05/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Used - $449.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/02/15 Jul/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $199.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jul/02/15 Jul/05/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
athomemarket NEW - $2,999.99 0 Jul/03/15 Jun/21/17
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
trees_for_a_better_tomorrow NEW - $229.50 0 Jul/03/15 Oct/31/15
Description: New Amat Applied Materials 300mm Slit Valve Door 0040-96157
svcstore Used - $199.99 0 Jul/03/15 Jul/06/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore NEW - $549.99 0 Jul/03/15 Jul/06/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $116.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $212.99 0 Jul/03/15 Jul/06/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jul/03/15 Jul/06/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $128.99 0 Jul/03/15 Jul/06/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $112.99 0 Jul/03/15 Jul/06/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $156.99 0 Jul/04/15 Jul/07/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $116.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $79.99 0 Jul/05/15 Jul/08/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $140.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $109.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $899.99 0 Jul/05/15 Jul/08/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $499.99 0 Jul/05/15 Jul/08/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $174.99 0 Jul/05/15 Jul/08/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore NEW - $147.99 0 Jul/05/15 Jul/08/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $1,499.99 0 Jul/05/15 Jul/08/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Used - $199.99 0 Jul/05/15 Jul/08/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $449.99 0 Jul/05/15 Jul/08/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/05/15 Jul/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
electronicswest NEW - $70.00 0 Jul/06/15 Aug/05/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
athomemarket NEW - $85.99 0 Jul/06/15 Jun/24/17
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $57.99 0 Jul/06/15 Jun/24/17
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $39.99 0 Jul/06/15 Nov/03/15
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $58.99 0 Jul/06/15 Jun/24/17
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
svcstore NEW - $549.99 0 Jul/06/15 Jul/09/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $199.99 0 Jul/06/15 Jul/09/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $212.99 0 Jul/06/15 Jul/09/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $199.99 0 Jul/06/15 Jul/09/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore NEW - $128.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $116.99 0 Jul/06/15 Jul/09/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Jul/06/15 Jul/09/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $112.99 0 Jul/06/15 Jul/09/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
usedeqsales Used - $307.15 0 Jul/08/15 Nov/05/15
Description: AMAT Applied Materials 0021-70063 300MM Slit Valve Pivot 0040-41893 Endura Used
usedeqsales Used - $207.15 1 Jul/08/15 Nov/20/17
Description: AMAT Applied Materials 0040-34330 Insert External Standard 300MM Used Working
athomemarket NEW - $388.99 0 Jul/08/15 Jun/27/17
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
svcstore NEW - $109.99 0 Jul/08/15 Jul/11/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $79.99 0 Jul/08/15 Jul/11/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $147.99 0 Jul/08/15 Jul/11/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $140.99 0 Jul/08/15 Jul/11/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $899.99 0 Jul/08/15 Jul/11/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $499.99 0 Jul/08/15 Jul/11/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $174.99 0 Jul/08/15 Jul/11/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $1,499.99 0 Jul/08/15 Jul/11/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Used - $199.99 0 Jul/08/15 Jul/11/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $449.99 0 Jul/08/15 Jul/11/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/08/15 Jul/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
ptb-sales Used - $3,000.00 0 Jul/09/15 Jul/19/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jul/09/15 Jul/19/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
yayais2012 Used - $110.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $110.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $75.00 0 Jul/09/15 Aug/08/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $45.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $85.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 Used - $180.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $120.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $85.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $145.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 Refurbished - $380.00 0 Jul/09/15 Aug/08/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 NEW - $95.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $65.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $75.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 Used - $90.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $109.97 65 Jul/09/15 Jul/15/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $180.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 NEW - $350.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
athomemarket Used - $199.99 0 Jul/09/15 Jun/27/17
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket Used - $31.19 0 Jul/09/15 Nov/06/15
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
usedeqsales NEW - $407.15 1 Jul/09/15 Jan/15/19
Description: AMAT Applied Materials 0040-34330 Insert External Standard 300mm New
svcstore NEW - $107.99 0 Jul/09/15 Jul/12/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $199.99 0 Jul/09/15 Jul/12/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore NEW - $549.99 0 Jul/09/15 Jul/12/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $199.99 0 Jul/09/15 Jul/12/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $144.99 0 Jul/09/15 Jul/12/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $112.99 0 Jul/09/15 Jul/12/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
athomemarket NEW - $246.39 0 Jul/10/15 Nov/07/15
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
athomemarket Used - $2,986.99 0 Jul/10/15 Jun/29/17
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $489.99 1 Jul/10/15 Apr/05/16
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket NEW - $322.39 0 Jul/10/15 Nov/07/15
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
svcstore NEW - $107.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $118.99 0 Jul/10/15 Jul/13/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $196.99 0 Jul/10/15 Jul/13/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jul/10/15 Jul/13/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 Used - $320.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $2,800.00 0 Jul/11/15 Aug/10/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $450.00 0 Jul/11/15 Aug/10/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $110.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $125.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $32.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 Used - $255.00 0 Jul/11/15 Aug/10/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
athomemarket NEW - $307.99 2 Jul/11/15 Nov/19/18
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
svcstore NEW - $109.99 0 Jul/11/15 Jul/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $174.99 0 Jul/11/15 Jul/14/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $79.99 0 Jul/11/15 Jul/14/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $147.99 0 Jul/11/15 Jul/14/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $140.99 0 Jul/11/15 Jul/14/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $899.99 0 Jul/11/15 Jul/14/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $499.99 0 Jul/11/15 Jul/14/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $1,499.99 0 Jul/11/15 Jul/14/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Used - $449.99 0 Jul/11/15 Jul/14/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/11/15 Jul/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $199.99 0 Jul/11/15 Jul/14/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
yayais2012 Used - $310.00 0 Jul/12/15 Aug/11/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
athomemarket Used - $99.99 0 Jul/12/15 Jun/30/17
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket Used - $239.99 0 Jul/12/15 Nov/09/15
Description: AMAT 0100-02134 DPCVD 300mm Gas Panel Distribution PCB Applied Materials
athomemarket NEW - $159.99 0 Jul/12/15 Nov/09/15
Description: AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $31.99 0 Jul/12/15 Nov/09/15
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
athomemarket NEW - $133.99 0 Jul/12/15 Jun/30/17
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
svcstore Used - $199.99 0 Jul/12/15 Jul/15/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $107.99 0 Jul/12/15 Jul/15/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $199.99 0 Jul/12/15 Jul/15/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore NEW - $549.99 0 Jul/12/15 Jul/15/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $112.99 0 Jul/12/15 Jul/15/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $144.99 0 Jul/12/15 Jul/15/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
esoteric_specialties Used - $375.00 0 Jul/13/15 Aug/12/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
svcompucycle NEW - $299.00 0 Jul/13/15 Aug/12/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcompucycle NEW - $149.95 0 Jul/13/15 Aug/12/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
svcompucycle NEW - $99.00 0 Jul/13/15 Aug/12/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svcompucycle NEW - $299.00 0 Jul/13/15 Aug/12/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
svcompucycle NEW - $249.00 0 Jul/13/15 Aug/12/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svcompucycle NEW - $99.00 0 Jul/13/15 Aug/12/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
svcompucycle Used - $299.00 0 Jul/13/15 Aug/12/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svcompucycle NEW - $975.00 1 Jul/13/15 Jul/21/15
Description: NEW AMAT Chamber Clear Lid Base Side-2 APF 300mm Producer 0041-30924 / Sealed
svcompucycle NEW - $4,750.00 0 Jul/13/15 Aug/12/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcompucycle NEW - $4,950.00 0 Jul/13/15 Aug/12/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
athomemarket Used - $4,999.99 1 Jul/13/15 Jul/17/16
Description: Kawasaki 3SX920B-A002 High-Z 300mm Wafer Handling Robot AMAT 0190-25512
svcstore NEW - $107.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $118.99 0 Jul/13/15 Jul/16/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $196.99 0 Jul/13/15 Jul/16/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jul/13/15 Jul/16/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
used1eqsales Used - $6,007.15 0 Jul/14/15 May/26/17
Description: AMAT 0010-10976 Degas Chamber E AMAT 5500 Endura CU Barrier/Seed 300mm used
svcstore NEW - $140.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $109.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $499.99 0 Jul/14/15 Jul/17/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $899.99 0 Jul/14/15 Jul/17/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $174.99 0 Jul/14/15 Jul/17/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore NEW - $147.99 0 Jul/14/15 Jul/17/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $1,499.99 0 Jul/14/15 Jul/17/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Used - $449.99 0 Jul/14/15 Jul/17/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/14/15 Jul/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
bruce135 Used - $399.99 0 Jul/15/15 Aug/14/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket Used - $74.99 0 Jul/15/15 Jul/04/17
Description: Applied Materials 0190-23662 Voltage Present Monitor 300mm Emax/Enabler AP EFIB
athomemarket Used - $185.99 0 Jul/15/15 Jul/04/17
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
svcstore NEW - $107.99 0 Jul/15/15 Jul/18/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $199.99 0 Jul/15/15 Jul/18/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore NEW - $549.99 0 Jul/15/15 Jul/18/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $199.99 0 Jul/15/15 Jul/18/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jul/15/15 Jul/18/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $112.99 0 Jul/15/15 Jul/18/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
athomemarket NEW - $319.99 0 Jul/16/15 Jul/05/17
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
athomemarket Used - $248.99 0 Jul/16/15 Jul/05/17
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
athomemarket NEW - $150.00 0 Jul/16/15 Jul/04/17
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket Used - $92.99 0 Jul/16/15 Feb/15/16
Description: Applied Materials 0010-41242 300mm Endura Source Feedthrough Assembly for PARTS
svcstore NEW - $107.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $72.99 0 Jul/16/15 Jul/19/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $118.99 0 Jul/16/15 Jul/19/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $196.99 0 Jul/16/15 Jul/19/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jul/16/15 Jul/19/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
generalpublicsales Used - $149.00 1 Jul/17/15 Jun/23/22
Description: AMAT APPLIED MATERIALS 300mm CERAMIC HOUSING 0200-07448
athomemarket NEW - $449.99 0 Jul/17/15 Nov/14/15
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
svcstore NEW - $109.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $174.99 0 Jul/17/15 Jul/20/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore NEW - $147.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $140.99 0 Jul/17/15 Jul/20/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $899.99 0 Jul/17/15 Jul/20/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $499.99 0 Jul/17/15 Jul/20/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $1,499.99 0 Jul/17/15 Jul/20/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $107.99 0 Jul/18/15 Jul/21/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $112.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $199.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $199.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $549.99 0 Jul/18/15 Jul/21/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $199.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $449.99 0 Jul/18/15 Jul/21/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $799.99 0 Jul/18/15 Jul/21/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $144.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $107.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $72.99 0 Jul/19/15 Jul/22/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $118.99 0 Jul/19/15 Jul/22/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $196.99 0 Jul/19/15 Jul/22/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jul/19/15 Jul/22/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
trees_for_a_better_tomorrow NEW - $212.50 4 Jul/19/15 Oct/20/15
Description: New AMAT Applied Materials 0040-84390 300mm Valve Slit Door
ptb-sales Used - $3,000.00 0 Jul/20/15 Jul/30/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jul/20/15 Jul/30/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore NEW - $109.99 0 Jul/20/15 Jul/23/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $899.99 0 Jul/20/15 Jul/23/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $1,499.99 0 Jul/20/15 Jul/23/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
y.t.r2011 Used - $5,200.01 0 Jul/21/15 Jun/11/18
Description: AMAT APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore NEW - $107.99 0 Jul/21/15 Jul/24/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $112.99 0 Jul/21/15 Jul/24/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
usedeqsales NEW - $127.15 1 Jul/22/15 Jun/10/16
Description: AMAT Applied Materials 0015-01573 300mm PC XT Spring Lot of 2 New
svcompucycle NEW - $975.00 1 Jul/22/15 Jul/22/15
Description: NEW AMAT Chamber Clear Lid Base Side-1 APF 300mm Producer 0041-30923 / Sealed
svcstore NEW - $144.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $107.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $72.99 0 Jul/22/15 Jul/25/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $118.99 0 Jul/22/15 Jul/25/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $196.99 0 Jul/22/15 Jul/25/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jul/22/15 Jul/25/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
yayais2012 Used - $380.00 0 Jul/23/15 Aug/22/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
unitedrf Used - $5,500.00 0 Jul/23/15 Sep/17/15
Description: AMAT RF Match PCB, 300MM, 0010-26180
svcstore NEW - $140.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $147.99 0 Jul/23/15 Jul/26/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $549.99 0 Jul/23/15 Jul/26/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $199.99 0 Jul/23/15 Jul/26/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $199.99 0 Jul/23/15 Jul/26/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $499.99 0 Jul/23/15 Jul/26/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Jul/23/15 Jul/26/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $174.99 0 Jul/23/15 Jul/26/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $199.99 0 Jul/23/15 Jul/26/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Jul/23/15 Jul/26/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
auctionrus NEW - $807.50 0 Jul/23/15 Jul/23/20
Description: AMAT 0100-35414 PCBA, Chamber Distribution, 300MM DPS P0, 407123
getspares.com_sparesllc09 Used - $1,000.00 1 Jul/23/15 Aug/01/16
Description: 839-800327-385 /DZ CLG ESC 300MM ASSEMBLY SEMICONDUCTOR REV B / LAM
getspares.com_sparesllc09 Used - $1,000.00 0 Jul/23/15 Jul/13/18
Description: 839-800327-315 / ETCH FLEX TALON ECT. LAM 2300 ESC 300MM REV C / LAM
svcstore NEW - $107.99 0 Jul/24/15 Jul/27/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $112.99 0 Jul/24/15 Jul/27/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcompucycle NEW - $699.00 0 Jul/25/15 Aug/24/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
svcompucycle NEW - $499.00 0 Jul/25/15 Aug/24/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
svcstore NEW - $144.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $107.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $72.99 0 Jul/25/15 Jul/28/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $118.99 0 Jul/25/15 Jul/28/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $196.99 0 Jul/25/15 Jul/28/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jul/25/15 Jul/28/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
getspares.com_sparesllc09 Used - $23,000.00 0 Jul/27/15 Jan/05/17
Description: 0010-24299 / HEATER ASSY HA-35, MC, CIP 300MM PRODUCER APPLIED MATERIALS
svcstore NEW - $100.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $549.99 0 Jul/27/15 Jul/30/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $1,499.99 0 Jul/27/15 Jul/30/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $135.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $107.99 0 Jul/27/15 Jul/30/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $183.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $183.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $499.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $160.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $183.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Jul/27/15 Jul/30/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Jul/27/15 Jul/30/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $899.99 0 Jul/28/15 Jul/31/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
gigabitpartsolutions NEW - $49.50 0 Jul/28/15 Mar/25/16
Description: OEM Part Applied Materails (AMAT) 0020-61949 STRAP SST LOWER SHIELD GROUND 300MM
gigabitpartsolutions Used - $495.00 0 Jul/28/15 Apr/22/20
Description: OEM Part Applied Materails (AMAT) 0020-44453 BLOCKER TEOS, 300SE 300MM PRODUCER
svcompucycle NEW - $495.00 0 Jul/28/15 Aug/27/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
svcompucycle Used - $199.00 0 Jul/28/15 Aug/27/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svcstore Used - $112.99 0 Jul/28/15 Jul/31/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $144.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $107.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $72.99 0 Jul/28/15 Jul/31/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $118.99 0 Jul/28/15 Jul/31/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $196.99 0 Jul/28/15 Jul/31/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jul/28/15 Jul/31/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
gigabitpartsolutions NEW - $137.50 1 Jul/29/15 Feb/17/16
Description: Tool Applied Materails (AMAT) 0270-02456 PIN, 300MM ROBOT CALIBRATION, 300MM 5.3
ptb-sales Used - $3,000.00 0 Jul/30/15 Aug/09/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Jul/30/15 Aug/09/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
trees_for_a_better_tomorrow NEW - $40.00 0 Jul/30/15 Aug/06/15
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
trees_for_a_better_tomorrow NEW - $35.00 0 Jul/30/15 Aug/06/15
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
trees_for_a_better_tomorrow NEW - $50.00 0 Jul/30/15 Aug/06/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
svcstore NEW - $549.99 0 Jul/30/15 Aug/02/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $1,499.99 0 Jul/30/15 Aug/02/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $100.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $107.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $183.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $135.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Jul/30/15 Aug/02/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $160.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $183.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $183.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $499.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Jul/30/15 Aug/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Jul/30/15 Aug/02/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
yayais2012 Used - $65.00 0 Jul/31/15 Aug/30/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
getspares.com_sparesllc09 NEW - $50.00 5 Jul/31/15 Jul/27/21
Description: 0270-02299 / ALIGNMENT WFR 300MM ROBOT CALIBRATION SYNEXIS / APPLIED MATERIALS
svcstore Used - $899.99 0 Jul/31/15 Aug/03/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $107.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $72.99 0 Jul/31/15 Aug/03/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $118.99 0 Jul/31/15 Aug/03/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $196.99 0 Jul/31/15 Aug/03/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Jul/31/15 Aug/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
ustechno7 NEW - $1,250.00 0 Aug/01/15 Aug/31/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
trees_for_a_better_tomorrow NEW - $115.00 0 Aug/01/15 Aug/08/15
Description: New Amat Applied Materials 300mm Slit Valve Door 0040-96157
trees_for_a_better_tomorrow NEW - $115.00 0 Aug/01/15 Aug/08/15
Description: New AMAT Applied Materials 0040-84390 300mm Valve Slit Door
frostliquidation NEW - $150.00 0 Aug/01/15 Sep/25/15
Description: APPLIED MATERIALS AMAT 0270-02510 OUTRIGGER RIGHT REAR 300MM E2 0060-00965
us2014_ajmed NEW - $13.38 1 Aug/01/15 Aug/11/15
Description: AMAT 0021-34033 MEMBRANE, 300MM TITAN PROFILER 5-ZONE (Lot of 7)
svcompucycle NEW - $99.00 0 Aug/02/15 Sep/01/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcompucycle Used - $299.00 0 Aug/02/15 Sep/01/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svcompucycle Used - $9,995.00 0 Aug/02/15 Sep/01/15
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svcompucycle Used - $9,750.00 0 Aug/02/15 Sep/01/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
svcstore NEW - $549.99 0 Aug/02/15 Aug/05/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $1,499.99 0 Aug/02/15 Aug/05/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $129.99 0 Aug/02/15 Aug/05/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Aug/02/15 Aug/05/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $107.99 0 Aug/02/15 Aug/05/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $183.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $183.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $135.99 0 Aug/02/15 Aug/05/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $499.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $183.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $160.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Scrap, for parts - $799.99 0 Aug/02/15 Aug/05/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Aug/02/15 Aug/05/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $899.99 0 Aug/03/15 Aug/06/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $107.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $72.99 0 Aug/03/15 Aug/06/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore NEW - $118.99 0 Aug/03/15 Aug/06/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $196.99 0 Aug/03/15 Aug/06/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $599.99 0 Aug/03/15 Aug/06/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
solutions-on-silicon Used - $4,250.00 0 Aug/04/15 Nov/02/15
Description: AMAT Centura Epi 300mm Gold reflector / 0021-46745
gigabitpartsolutions NEW - $71.50 0 Aug/04/15 Jun/29/16
Description: Tool Applied Materails (AMAT) 0270-03126 ROBOT ALIGNMENT TOOL, 1.688L, 300MM
usedeqsales Used - $807.15 1 Aug/04/15 Sep/13/15
Description: Novellus 15-290999-00 300mm Shuttle 15-297103-00 Used Working
svcompucycle Used - $299.00 0 Aug/04/15 Sep/03/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
svcompucycle NEW - $499.00 0 Aug/04/15 Sep/03/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svcompucycle Used - $1,950.00 0 Aug/04/15 Sep/03/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcstore Used - $103.99 0 Aug/04/15 Aug/07/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $549.99 0 Aug/05/15 Aug/08/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $1,499.99 0 Aug/05/15 Aug/08/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $135.99 0 Aug/05/15 Aug/08/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Aug/05/15 Aug/08/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Aug/05/15 Aug/08/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $183.99 0 Aug/05/15 Aug/08/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $183.99 0 Aug/05/15 Aug/08/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $499.99 0 Aug/05/15 Aug/08/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $183.99 0 Aug/05/15 Aug/08/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $160.99 0 Aug/05/15 Aug/08/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Scrap, for parts - $799.99 0 Aug/05/15 Aug/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Aug/05/15 Aug/08/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
bobsgoodies Used - $80.00 0 Aug/06/15 Nov/04/15
Description: AMAT 0090-76115 300mm Mainframe Wafer Sensor, Banner SM312CV2
svcompucycle NEW - $249.00 0 Aug/06/15 Sep/05/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svcompucycle Used - $299.00 0 Aug/06/15 Sep/05/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svcompucycle NEW - $499.00 0 Aug/06/15 Sep/05/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svcstore Used - $899.99 0 Aug/06/15 Aug/09/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $72.99 0 Aug/06/15 Aug/09/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
electronicswest NEW - $70.00 0 Aug/07/15 Sep/06/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
svcstore NEW - $107.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Aug/07/15 Aug/10/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $103.99 0 Aug/07/15 Aug/10/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $107.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Aug/07/15 Aug/10/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $118.99 0 Aug/07/15 Aug/10/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $549.99 0 Aug/08/15 Aug/11/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $1,499.99 0 Aug/08/15 Aug/11/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $100.99 0 Aug/08/15 Aug/11/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $183.99 0 Aug/08/15 Aug/11/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $135.99 0 Aug/08/15 Aug/11/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Aug/08/15 Aug/11/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $183.99 0 Aug/08/15 Aug/11/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $160.99 0 Aug/08/15 Aug/11/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $183.99 0 Aug/08/15 Aug/11/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $499.99 0 Aug/08/15 Aug/11/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Aug/08/15 Aug/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $899.99 0 Aug/09/15 Aug/12/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $449.99 0 Aug/09/15 Aug/12/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $72.99 0 Aug/09/15 Aug/12/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
ptb-sales Used - $3,000.00 0 Aug/10/15 Aug/20/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcstore Used - $196.99 0 Aug/10/15 Aug/13/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
ptb-sales Used - $250.00 0 Aug/10/15 Aug/20/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore NEW - $107.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $103.99 0 Aug/10/15 Aug/13/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $107.99 0 Aug/10/15 Aug/13/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Aug/10/15 Aug/13/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
bobsgoodies Used - $475.00 0 Aug/11/15 Nov/09/15
Description: AMAT 0660-01878 CDN494 CDN494 DeviceNet Combo I/O DIP-320-334 Centura 300mm
svcstore NEW - $118.99 0 Aug/11/15 Aug/14/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $549.99 0 Aug/11/15 Aug/14/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore NEW - $100.99 0 Aug/11/15 Aug/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $183.99 0 Aug/11/15 Aug/14/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $1,499.99 0 Aug/11/15 Aug/14/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $135.99 0 Aug/11/15 Aug/14/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Aug/11/15 Aug/14/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $183.99 0 Aug/11/15 Aug/14/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $160.99 0 Aug/11/15 Aug/14/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $183.99 0 Aug/11/15 Aug/14/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
yayais2012 NEW - $65.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $32.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
svcstore Used - $499.99 0 Aug/11/15 Aug/14/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Aug/11/15 Aug/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
yayais2012 NEW - $75.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $75.00 0 Aug/11/15 Sep/10/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $45.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $120.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 NEW - $120.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $125.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 Used - $110.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $110.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $85.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $95.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $85.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $90.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
esoteric_specialties Used - $375.00 0 Aug/12/15 Sep/11/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
yayais2012 Used - $200.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $145.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
gigabitpartsolutions Used - $247.50 1 Aug/12/15 Oct/31/15
Description: OEM Part LAM RESEARCH (LAM) 839-020965-320 Si, ASSY, ELECTRODE, OUTER, 300MM Cle
gigabitpartsolutions NEW - $550.00 0 Aug/12/15 Jun/07/16
Description: OEM Part LAM RESEARCH (LAM) 839-020965-320 Si, ASSY, ELECTRODE, OUTER, 300MM
gigabitpartsolutions NEW - $275.00 0 Aug/12/15 Jun/07/16
Description: OEM Part Novellus 17-260361-00 300MM PEDESTAL SHIELD, GRIT BLAST PVD
gigabitpartsolutions NEW - $385.00 0 Aug/12/15 Jun/07/16
Description: OEM Part Novellus 15-283510-00 SHOWERHEAD, 300MM R2+, CIRCU
svcompucycle NEW - $299.00 0 Aug/12/15 Sep/11/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcompucycle NEW - $149.95 0 Aug/12/15 Sep/11/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
svcompucycle NEW - $299.00 0 Aug/12/15 Sep/11/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
svcompucycle Used - $299.00 0 Aug/12/15 Sep/11/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svcompucycle NEW - $4,750.00 0 Aug/12/15 Sep/11/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcompucycle NEW - $4,950.00 0 Aug/12/15 Sep/11/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
yayais2012 Used - $180.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
svcstore Used - $449.99 0 Aug/12/15 Aug/15/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $899.99 0 Aug/12/15 Aug/15/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcompucycle NEW - $299.00 0 Aug/13/15 Sep/12/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
svcompucycle Used - $99.95 0 Aug/13/15 Sep/12/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
svcstore NEW - $144.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Aug/13/15 Aug/16/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $107.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $103.99 0 Aug/13/15 Aug/16/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $107.99 0 Aug/13/15 Aug/16/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $64.99 1 Aug/13/15 Aug/14/15
Description: Lam Research 300mm ESC Electrostatic Chuck TUNABLE,COUL,CNTR RF 839-019090-377
svcstore Scrap, for parts - $599.99 0 Aug/13/15 Aug/16/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
eastsemi Used - $40,000.00 0 Aug/14/15 Nov/12/15
Description: AMAT 0010-07815 ASSY,300MM SLT ESC WITH CENTER TAP META, please coctact us first
getspares.com_sparesllc09 NEW - $10,000.00 0 Aug/14/15 Nov/17/15
Description: 0200-02935 / LID CERAMIC LID ASSEMBLY 300MM DPS2/ APPLIED MATERIALS
powersell007 Used - $2,599.00 1 Aug/14/15 Oct/14/23
Description: APPLIED MATERIALS 0200-07415 ISOLATOR FEOL SMALL RF 300MM 12" CERAMIC AMAT NEW
yayais2012 Used - $2,800.00 0 Aug/14/15 Sep/13/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $450.00 0 Aug/14/15 Sep/13/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 NEW - $350.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $320.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Refurbished - $380.00 0 Aug/14/15 Sep/13/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 Used - $310.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
yayais2012 Used - $255.00 0 Aug/14/15 Sep/13/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
bruce135 Used - $399.99 0 Aug/14/15 Sep/13/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
svcstore NEW - $118.99 0 Aug/14/15 Aug/17/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $549.99 0 Aug/14/15 Aug/17/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $1,499.99 0 Aug/14/15 Aug/17/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $129.99 0 Aug/14/15 Aug/17/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Aug/14/15 Aug/17/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $183.99 0 Aug/14/15 Aug/17/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $183.99 0 Aug/14/15 Aug/17/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $135.99 0 Aug/14/15 Aug/17/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $499.99 0 Aug/14/15 Aug/17/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $183.99 0 Aug/14/15 Aug/17/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $160.99 0 Aug/14/15 Aug/17/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Scrap, for parts - $799.99 0 Aug/14/15 Aug/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Aug/15/15 Aug/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $899.99 0 Aug/15/15 Aug/18/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $107.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Aug/16/15 Aug/19/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $103.99 0 Aug/16/15 Aug/19/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $107.99 0 Aug/16/15 Aug/19/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Aug/16/15 Aug/19/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
sparesllc09 Used - $20,000.00 0 Aug/17/15 Nov/15/15
Description: 0010-37176 / ASSY ESC, 300MM LCA DPS/ APPLIED MATERIALS
svcompucycle NEW - $299.00 0 Aug/17/15 Sep/16/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
svcompucycle NEW - $249.00 0 Aug/17/15 Sep/16/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svcompucycle NEW - $99.00 0 Aug/17/15 Sep/16/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
svcstore NEW - $118.99 0 Aug/17/15 Aug/20/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $100.99 0 Aug/17/15 Aug/20/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $549.99 0 Aug/17/15 Aug/20/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $1,499.99 0 Aug/17/15 Aug/20/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $135.99 0 Aug/17/15 Aug/20/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Aug/17/15 Aug/20/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $183.99 0 Aug/17/15 Aug/20/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $160.99 0 Aug/17/15 Aug/20/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $183.99 0 Aug/17/15 Aug/20/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $183.99 0 Aug/17/15 Aug/20/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $499.99 0 Aug/17/15 Aug/20/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Aug/17/15 Aug/20/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
used1eqsales Used - $5,008.15 0 Aug/18/15 Nov/16/15
Description: AMAT 9090-01158 PSU Chassis Revision A Quantum X 300mm used working
svcstore Used - $899.99 0 Aug/18/15 Aug/20/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $449.99 0 Aug/18/15 Aug/20/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
gigabitpartsolutions Refurbished - $1,000.00 0 Aug/19/15 Jun/14/16
Description: OEM Part Novellus 15-257249-01 HPD 300mm Ceramic Dome
svcstore NEW - $107.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Aug/19/15 Aug/22/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $103.99 0 Aug/19/15 Aug/22/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $107.99 0 Aug/19/15 Aug/22/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Aug/19/15 Aug/22/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
allpart2015 Used - $1,550.00 0 Aug/20/15 Nov/18/15
Description: AMAT Endura Shutter Assembly & Blade, 300mm, P/N 0010-06140 REV 04C sold AS-IS
svcstore NEW - $135.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $118.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $100.99 0 Aug/20/15 Aug/30/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Scrap, for parts - $799.99 0 Aug/20/15 Aug/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $183.99 0 Aug/20/15 Aug/25/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $899.99 0 Aug/20/15 Aug/25/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $183.99 0 Aug/20/15 Aug/25/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $183.99 0 Aug/20/15 Aug/25/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $160.99 0 Aug/20/15 Aug/25/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore NEW - $549.99 0 Aug/20/15 Aug/27/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $449.99 0 Aug/20/15 Aug/27/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $499.99 0 Aug/20/15 Aug/27/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $1,499.99 0 Aug/20/15 Aug/25/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
equipplus Used - $289.00 7 Aug/20/15 Oct/11/17
Description: AMAT 0041-04514 Zone 1 Clamp,300MM Titan CALYPSO,Applied Materials, Unused(3839)
ptb-sales Used - $3,000.00 0 Aug/21/15 Aug/31/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Aug/21/15 Aug/31/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
yayais2012 Used - $380.00 0 Aug/22/15 Sep/21/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore NEW - $107.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Aug/22/15 Aug/27/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $103.99 0 Aug/22/15 Aug/27/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $107.99 0 Aug/22/15 Aug/27/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Aug/22/15 Aug/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
sparesllc09 NEW - $6,000.00 0 Aug/24/15 Nov/22/15
Description: 0040-82516 / SGD LOWER SHOWER HEAD,HEA,300MM EMAX/ APPLIED MATERIALS
usedeqsales Scrap, for parts - $12,008.20 1 Aug/25/15 Dec/11/15
Description: AMAT Applied Materials 0010-04832 Endura 300mm PC Chamber C Assembly As-Is
usedeqsales Used - $308.15 0 Aug/25/15 Jan/18/18
Description: DIP 15039103 CDN391 PCB Card DIP-011-148 AMAT 0660-01865 Endura 300mm Used
usedeqsales Used - $308.15 1 Aug/25/15 Feb/08/17
Description: DIP 15039603 CDN396 PCB Card DIP-101-462 AMAT 0190-01270 Endura 300mm Used
usedeqsales Used - $808.15 1 Aug/25/15 Mar/08/18
Description: AMAT Applied Materials 0100-00573 Preclean Chamber Interlock Endura 300mm Used
svcstore Used - $899.99 0 Aug/25/15 Aug/30/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $160.99 0 Aug/25/15 Aug/30/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $183.99 0 Aug/25/15 Aug/30/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $183.99 0 Aug/25/15 Aug/30/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $183.99 0 Aug/25/15 Aug/30/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $1,499.99 0 Aug/25/15 Aug/30/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcompucycle NEW - $699.00 0 Aug/26/15 Sep/25/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
svcompucycle NEW - $499.00 0 Aug/26/15 Sep/25/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
svcompucycle NEW - $99.00 0 Aug/26/15 Sep/25/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
electronicswest NEW - $150.00 0 Aug/27/15 Sep/03/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $100.00 0 Aug/27/15 Sep/06/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
svcompucycle NEW - $495.00 0 Aug/27/15 Sep/26/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
svcompucycle Used - $199.00 0 Aug/27/15 Sep/26/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svcstore Used - $449.99 0 Aug/27/15 Sep/01/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $499.99 0 Aug/27/15 Sep/01/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore NEW - $549.99 0 Aug/27/15 Sep/01/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Aug/27/15 Sep/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $107.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Aug/27/15 Sep/01/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $103.99 0 Aug/27/15 Sep/01/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $107.99 0 Aug/27/15 Sep/01/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Aug/27/15 Sep/01/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $118.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $135.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Aug/30/15 Sep/04/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
ptb-sales Used - $3,000.00 0 Aug/31/15 Sep/10/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Aug/31/15 Sep/10/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Used - $164.99 0 Aug/31/15 Sep/05/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $164.99 0 Aug/31/15 Sep/05/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $164.99 0 Aug/31/15 Sep/05/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $143.99 0 Aug/31/15 Sep/05/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $899.99 0 Aug/31/15 Sep/05/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $1,499.99 0 Aug/31/15 Sep/05/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $107.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Sep/01/15 Sep/06/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $103.99 0 Sep/01/15 Sep/06/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $107.99 0 Sep/01/15 Sep/06/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Sep/01/15 Sep/06/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
ustechno7 NEW - $1,250.00 0 Sep/02/15 Oct/02/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcompucycle NEW - $99.00 0 Sep/02/15 Oct/02/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
svcompucycle Used - $299.00 0 Sep/02/15 Oct/02/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svcompucycle Used - $9,995.00 0 Sep/02/15 Oct/02/15
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svcompucycle Used - $9,750.00 0 Sep/02/15 Oct/02/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
svcstore NEW - $549.99 0 Sep/02/15 Sep/07/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Sep/02/15 Sep/07/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Sep/02/15 Sep/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Sep/02/15 Sep/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
yayais2012 Used - $65.00 0 Sep/03/15 Oct/03/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
systasemi NEW - $447.00 0 Sep/03/15 Sep/05/18
Description: AMAT 0270-02076 Bfr Xfer Robot ZERO, TOOL ZERO POSITION ENDURA XP 300MM
svcstore NEW - $118.99 0 Sep/04/15 Sep/09/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
nps NEW - $14.99 0 Sep/04/15 Sep/11/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore Used - $164.99 0 Sep/05/15 Sep/10/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $164.99 0 Sep/05/15 Sep/10/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $143.99 0 Sep/05/15 Sep/10/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $164.99 0 Sep/05/15 Sep/10/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $899.99 0 Sep/05/15 Sep/10/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $1,499.99 0 Sep/05/15 Sep/10/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $107.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $144.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Sep/06/15 Sep/11/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $107.99 0 Sep/06/15 Sep/11/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Sep/06/15 Sep/11/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $549.99 0 Sep/07/15 Sep/12/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $799.99 0 Sep/07/15 Sep/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Sep/07/15 Sep/12/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Sep/07/15 Sep/12/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
asmtk Used - $30,000.00 0 Sep/08/15 Feb/07/20
Description: APPLIED MATERIALS 0010-22985 PEDESTAL, PIB, 300MM FDR SLTESC DYLYN AS AMAT
asmtk Used - $25,000.00 0 Sep/08/15 Feb/07/20
Description: APPLIED MATERIALS 0010-24456 ASSEMBLY, 300MM FDR SLT ESC ASSY, NI-PL AMAT
sparesllc09 Used - $20,000.00 0 Sep/08/15 Nov/07/15
Description: 0040-80548, 0040-80813 / BASE PLATE, CHAMBER, 300MM EPI / AMAT
svcstore NEW - $135.99 0 Sep/08/15 Sep/13/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Sep/08/15 Sep/13/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Sep/08/15 Sep/13/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $103.99 0 Sep/08/15 Sep/13/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcompucycle NEW - $249.00 0 Sep/09/15 Oct/09/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svcompucycle Used - $299.00 0 Sep/09/15 Oct/09/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
svcompucycle Used - $299.00 0 Sep/09/15 Oct/09/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svcompucycle Used - $1,950.00 0 Sep/09/15 Oct/09/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcompucycle NEW - $499.00 0 Sep/09/15 Oct/09/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svcompucycle NEW - $499.00 0 Sep/09/15 Oct/09/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
electronicswest NEW - $100.00 0 Sep/09/15 Oct/09/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
electronicswest NEW - $70.00 0 Sep/09/15 Oct/09/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
svcstore NEW - $118.99 0 Sep/09/15 Sep/14/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
ptb-sales Used - $3,000.00 0 Sep/10/15 Sep/20/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Sep/10/15 Sep/20/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Used - $164.99 0 Sep/10/15 Sep/15/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $143.99 0 Sep/10/15 Sep/15/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $164.99 0 Sep/10/15 Sep/15/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $164.99 0 Sep/10/15 Sep/15/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $719.99 0 Sep/10/15 Sep/15/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
yayais2012 NEW - $75.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $65.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $75.00 0 Sep/11/15 Oct/11/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $32.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 NEW - $45.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
esoteric_specialties Used - $375.00 0 Sep/11/15 Oct/11/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
nps NEW - $24.99 0 Sep/11/15 Sep/18/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore Used - $1,199.99 0 Sep/11/15 Sep/16/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
yayais2012 Used - $200.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $180.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $125.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $120.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $110.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $85.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $120.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $145.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $85.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 NEW - $95.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 Used - $90.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 Used - $110.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
svcompucycle NEW - $299.00 0 Sep/12/15 Oct/12/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcompucycle Used - $99.95 0 Sep/12/15 Oct/12/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
svcompucycle NEW - $149.95 0 Sep/12/15 Oct/12/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
svcompucycle Used - $299.00 0 Sep/12/15 Oct/12/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svcompucycle NEW - $299.00 0 Sep/12/15 Oct/12/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
svcstore NEW - $549.99 0 Sep/12/15 Sep/17/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Sep/12/15 Sep/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Sep/12/15 Sep/17/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Sep/12/15 Sep/17/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $107.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Sep/14/15 Sep/19/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $135.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $144.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Sep/14/15 Sep/19/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $107.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $103.99 0 Sep/14/15 Sep/19/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
yayais2012 Used - $2,800.00 0 Sep/14/15 Oct/14/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 NEW - $350.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Refurbished - $380.00 0 Sep/14/15 Oct/14/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 Used - $450.00 0 Sep/14/15 Oct/14/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $310.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
yayais2012 Used - $320.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $255.00 1 Sep/14/15 Oct/01/15
Description: SBS Technologies CPMC1 Circuit Board AMAT Centura 300mm 0190-17952
bruce135 Used - $319.99 0 Sep/14/15 Oct/14/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore NEW - $118.99 0 Sep/14/15 Sep/19/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcompucycle NEW - $299.00 0 Sep/14/15 Oct/14/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
svcompucycle NEW - $4,950.00 0 Sep/15/15 Oct/15/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcompucycle NEW - $4,750.00 0 Sep/15/15 Oct/15/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcstore Used - $164.99 0 Sep/15/15 Sep/20/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $164.99 0 Sep/15/15 Sep/20/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $143.99 0 Sep/15/15 Sep/20/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $164.99 0 Sep/15/15 Sep/20/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
semikorea Used - $15,000.00 0 Sep/16/15 Jan/11/23
Description: AMAT 0041-01652 300mm Producer Ceramic Heater
svcstore Used - $899.99 0 Sep/15/15 Sep/20/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
semikorea Used - $25,000.00 0 Sep/16/15 Aug/02/21
Description: AMAT 0041-16051 300mm Producer Ceramic Heater S/N.251-383
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/15/15
Description: AMAT 0040-07024 300mm Producer Ceramic Heater S/N.251-219
semikorea Used - $25,000.00 0 Sep/16/15 Aug/02/21
Description: AMAT 0040-49020 300mm Producer Ceramic Heater S/N.251-157
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/15/15
Description: AMAT 0040-07024 300mm Producer Ceramic Heater S/N.251-203
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/15/15
Description: AMAT 0040-07024 300mm Producer Ceramic Heater S/N.251-206
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/15/15
Description: AMAT 0040-54449 300mm Producer Ceramic Heater S/N.251-129
semikorea Used - $15,000.00 0 Sep/16/15 Jan/11/23
Description: AMAT 0040-54449 300mm Producer Ceramic Heater S/N.251-124
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/15/15
Description: AMAT 0040-07024 300mm Producer Ceramic Heater S/N.251-227
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/15/15
Description: AMAT 0041-01652 300mm Producer Ceramic Heater S/N.251-394
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0041-01652 300mm Producer Ceramic Heater S/N.251-619
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0040-07024 300mm Producer Ceramic Heater S/N.251-211
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0041-01652 300mm Producer Ceramic Heater S/N.251-362
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0041-01652 300mm Producer Ceramic Heater S/N.251-356
semikorea Used - $15,000.00 0 Sep/16/15 Jan/11/23
Description: AMAT 0040-07024 300mm Producer Ceramic Heater S/N.251-193
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0041-01652 300mm Producer Ceramic Heater S/N.251-387
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0040-53976 300mm Producer Ceramic Heater S/N.251-249
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0041-01652 300mm Producer Ceramic Heater S/N.251-474
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0040-07033 300mm Producer Ceramic Heater S/N.251-051
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0041-01652 300mm Producer Ceramic Heater S/N.251-306
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0040-53976 300mm Producer Ceramic Heater S/N.251-283
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0040-53976 300mm Producer Ceramic Heater S/N.251-196
semikorea Used - $15,000.00 0 Sep/16/15 Aug/02/21
Description: AMAT 0040-53976 300mm Producer Ceramic Heater
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/14/15
Description: AMAT 0041-01652 300mm Producer Ceramic Heater S/N.251-315
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/15/15
Description: AMAT 0040-07033 300mm Producer Ceramic Heater S/N.251-3790
semikorea Used - $15,000.00 0 Sep/16/15 Jan/11/23
Description: AMAT 0040-07033 300mm Producer Ceramic Heater S/N.251-4978
etechsolution Used - $16,000.00 0 Sep/16/15 Nov/15/15
Description: AMAT 0040-07024 300mm Producer Ceramic Heater S/N.251-217
svcompucycle NEW - $299.00 0 Sep/16/15 Oct/16/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
svcompucycle NEW - $99.00 0 Sep/16/15 Sep/17/15
Description: NEW AMAT 300mm Spacer Lid Insulator MSC FCVD Half Ring CVD 0041-46502 / Sealed
svcompucycle NEW - $249.00 0 Sep/16/15 Oct/16/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svcstore Used - $1,499.99 0 Sep/16/15 Sep/21/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
gigabitpartsolutions NEW - $93.50 0 Sep/17/15 Jun/13/16
Description: OEM Part Applied Materails (AMAT) 0270-00772 GAUGE, LIFT STOP LIFT ASSY, 300MM H
gigabitpartsolutions NEW - $28.60 1 Sep/17/15 May/22/16
Description: OEM Part LAM RESEARCH (LAM) 839-039317-003 PKG 2 ASSY, RTNR, SPR TL, 300MM, FLEX
svcstore NEW - $549.99 0 Sep/17/15 Sep/22/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Sep/17/15 Sep/22/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Sep/17/15 Sep/22/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Sep/17/15 Sep/22/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
untested_condition Used - $395.00 0 Sep/18/15 Oct/18/15
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
nps NEW - $8.20 1 Sep/18/15 Sep/25/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore NEW - $107.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $129.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $107.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Sep/19/15 Sep/24/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $118.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $135.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $144.99 0 Sep/19/15 Sep/24/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Sep/19/15 Sep/24/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $103.99 0 Sep/19/15 Sep/24/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
ptb-sales Used - $3,000.00 0 Sep/21/15 Oct/01/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
used1eqsales Used - $3,509.15 1 Sep/21/15 Apr/14/16
Description: AMAT 0010-14528 Magnetic Source 1 PVD CPI-VMO Endura 404663 300mm used working
yayais2012 Used - $380.00 0 Sep/21/15 Oct/21/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
ptb-sales Used - $250.00 0 Sep/21/15 Oct/01/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcstore Used - $899.99 0 Sep/20/15 Sep/25/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $164.99 0 Sep/20/15 Sep/25/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $143.99 0 Sep/20/15 Sep/25/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $164.99 0 Sep/20/15 Sep/25/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $164.99 0 Sep/20/15 Sep/25/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $1,499.99 0 Sep/21/15 Sep/26/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $549.99 0 Sep/22/15 Sep/27/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Sep/22/15 Sep/27/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Sep/22/15 Sep/27/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Sep/22/15 Sep/27/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
powersell007 Used - $4,599.00 0 Sep/23/15 May/04/23
Description: APPLIED MATERIALS 0021-32918 REFLECTOR, BASE, LOWER MID CENTURA ACP RP EPI 300MM
svcstore NEW - $107.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Sep/24/15 Sep/29/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
svcstore NEW - $135.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $144.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Sep/24/15 Sep/29/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $107.99 0 Sep/24/15 Sep/29/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $103.99 0 Sep/24/15 Sep/29/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $164.99 0 Sep/25/15 Sep/30/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $164.99 0 Sep/25/15 Sep/30/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $143.99 0 Sep/25/15 Sep/30/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $164.99 0 Sep/25/15 Sep/30/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $899.99 0 Sep/26/15 Oct/01/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $106.99 0 Sep/26/15 Oct/01/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $99.99 0 Sep/26/15 Oct/01/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $449.99 0 Sep/26/15 Oct/01/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $399.99 0 Sep/26/15 Oct/01/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $1,499.99 0 Sep/26/15 Oct/01/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
frostliquidation NEW - $100.00 0 Sep/27/15 Nov/25/15
Description: APPLIED MATERIALS AMAT 0270-02510 OUTRIGGER RIGHT REAR 300MM E2 0060-00965
svcstore NEW - $549.99 0 Sep/27/15 Oct/02/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Sep/27/15 Oct/02/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Sep/27/15 Oct/02/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Sep/27/15 Oct/02/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
powersell007 Scrap, for parts - $19,999.00 1 Sep/28/15 Oct/02/15
Description: APPLIED MATERIALS 0010-02198 300MM CENTURA SINGLE BLADE ROBOT AMAT, NSK DRIVER
bobsgoodies2 Used - $99.00 0 Sep/28/15 Mar/31/22
Description: AMAT 0020-08735 RF Shield, Fan, Inductive Source, 300mm, DPS2
svcompucycle NEW - $495.00 0 Sep/28/15 Oct/28/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
svcompucycle NEW - $699.00 0 Sep/28/15 Oct/28/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
svcompucycle Used - $199.00 0 Sep/28/15 Oct/28/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svcompucycle NEW - $299.00 0 Sep/28/15 Oct/28/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
svcompucycle NEW - $99.00 0 Sep/28/15 Oct/28/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svcstore NEW - $107.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $479.99 0 Sep/29/15 Oct/04/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $135.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $144.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Sep/29/15 Oct/04/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $107.99 0 Sep/29/15 Oct/04/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $103.99 0 Sep/29/15 Oct/04/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
gigabitpartsolutions NEW - $357.50 0 Sep/30/15 Jun/26/16
Description: OEM Part Novellus 17-271438-00 ADAPTER SHIELD 300mm
svcstore Used - $164.99 0 Sep/30/15 Oct/05/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $143.99 0 Sep/30/15 Oct/05/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $164.99 0 Sep/30/15 Oct/05/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $164.99 0 Sep/30/15 Oct/05/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $899.99 0 Oct/01/15 Oct/06/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $106.99 0 Oct/01/15 Oct/06/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
sparesllc09 NEW - $2,300.00 0 Oct/01/15 Oct/31/15
Description: 0020-23482 / DUMMY TARGET, 300MM PVD SOURCE/ APPLIED MATERIALS
svcstore Used - $99.99 0 Oct/01/15 Oct/06/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $399.99 0 Oct/01/15 Oct/06/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $449.99 0 Oct/01/15 Oct/06/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $1,499.99 0 Oct/01/15 Oct/06/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
farmoninc NEW - $550.00 0 Oct/02/15 May/04/23
Description: AMAT 0021-11547 Cover, Raceway, High Power Signal, 300mm E, 327020
farmoninc NEW - $750.00 0 Oct/02/15 May/04/23
Description: AMAT 0040-03075 Lift Outrigger Swivel Caster, 300mm FI, 326976
svcompucycle Used - $9,750.00 0 Oct/02/15 Nov/01/15
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
ptb-sales Used - $3,000.00 0 Oct/02/15 Oct/12/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcompucycle NEW - $99.00 0 Oct/02/15 Nov/01/15
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
ptb-sales Used - $250.00 0 Oct/02/15 Oct/12/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcompucycle Used - $9,995.00 0 Oct/02/15 Nov/01/15
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svcompucycle Used - $299.00 0 Oct/02/15 Nov/01/15
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svcstore NEW - $549.99 0 Oct/02/15 Oct/07/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Oct/02/15 Oct/07/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Oct/02/15 Oct/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Oct/02/15 Oct/07/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
yayais2012 Used - $65.00 0 Oct/03/15 Nov/02/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
svcstore NEW - $107.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $599.99 0 Oct/04/15 Oct/09/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $135.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $144.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Oct/04/15 Oct/09/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $107.99 0 Oct/04/15 Oct/09/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $103.99 0 Oct/04/15 Oct/09/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $164.99 0 Oct/05/15 Oct/10/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $143.99 0 Oct/05/15 Oct/10/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $164.99 0 Oct/05/15 Oct/10/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $164.99 0 Oct/05/15 Oct/10/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $899.99 0 Oct/06/15 Oct/11/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
ustechno7 NEW - $1,100.00 0 Oct/06/15 Nov/05/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
sparesllc09 Used - $3,200.00 0 Oct/06/15 Nov/05/15
Description: 0021-14590 /FACEPLATE, 300MM, HO16 XGEN / APPLIED MATERIALS
svcstore NEW - $106.99 0 Oct/06/15 Oct/11/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $399.99 0 Oct/06/15 Oct/11/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $449.99 0 Oct/06/15 Oct/11/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $99.99 0 Oct/06/15 Oct/11/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $1,499.99 0 Oct/06/15 Oct/11/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
usedeqsales Scrap, for parts - $810.15 0 Oct/07/15 Oct/09/15
Description: AMAT Applied Materials 0195-00223 300mm Radiance AC Distribution Unit Used As-Is
svcstore NEW - $549.99 0 Oct/07/15 Oct/12/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Oct/07/15 Oct/12/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Oct/08/15 Oct/13/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Oct/07/15 Oct/12/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $135.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $196.99 0 Oct/09/15 Oct/14/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $107.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $107.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $103.99 0 Oct/09/15 Oct/14/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $599.99 0 Oct/09/15 Oct/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $144.99 0 Oct/09/15 Oct/14/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $164.99 0 Oct/10/15 Oct/15/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $143.99 0 Oct/10/15 Oct/15/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $164.99 0 Oct/10/15 Oct/15/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $164.99 0 Oct/10/15 Oct/15/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $899.99 0 Oct/11/15 Oct/16/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
yayais2012 NEW - $75.00 0 Oct/11/15 Nov/10/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $75.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $65.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 NEW - $45.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $32.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
esoteric_specialties Used - $375.00 0 Oct/11/15 Nov/10/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
svcstore NEW - $106.99 0 Oct/11/15 Oct/16/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $449.99 0 Oct/11/15 Oct/16/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $399.99 0 Oct/11/15 Oct/16/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $99.99 0 Oct/11/15 Oct/16/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $1,499.99 0 Oct/11/15 Oct/16/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
yayais2012 Used - $180.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 NEW - $125.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $85.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $110.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $90.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $120.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $85.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $95.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
svcompucycle Used - $299.00 0 Oct/12/15 Nov/11/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
yayais2012 Used - $110.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 NEW - $145.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 Used - $110.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $120.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
svcompucycle NEW - $499.00 0 Oct/12/15 Nov/11/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svcompucycle NEW - $499.00 0 Oct/12/15 Nov/11/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svcompucycle NEW - $299.00 0 Oct/12/15 Nov/11/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
ptb-sales Used - $3,000.00 0 Oct/12/15 Oct/22/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop Used - $29,975.00 0 Oct/12/15 Nov/11/15
Description: AMAT 0010-14204 MCA 300mm E-Chuck Heater ESC Electro-Static Assy / Warranty
ptb-sales Used - $250.00 0 Oct/12/15 Oct/22/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svcompucycle Used - $299.00 0 Oct/12/15 Nov/11/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svcompucycle NEW - $299.00 0 Oct/12/15 Nov/10/15
Description: NEW AMAT Aluminum Heated Pedestal Plate 300mm 0041-47773 Applied Materials
svcompucycle NEW - $149.95 0 Oct/12/15 Oct/30/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
svcompucycle Used - $299.00 0 Oct/12/15 Nov/11/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svcstore NEW - $549.99 0 Oct/12/15 Oct/17/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Oct/12/15 Oct/17/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcompucycle NEW - $249.00 0 Oct/12/15 Nov/11/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svcompucycle Used - $1,950.00 0 Oct/12/15 Nov/11/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svcompucycle Used - $99.95 0 Oct/12/15 Nov/11/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
svcstore Used - $499.99 0 Oct/13/15 Oct/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Oct/13/15 Oct/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
supertechshop Used - $650.00 0 Oct/13/15 Nov/12/15
Description: AMAT 0040-13509 Quartz 300mm Bell Jar Preclean 0040-46397 Ring 0020-19581 Plate
electronicswest NEW - $70.00 0 Oct/13/15 Nov/12/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $100.00 0 Oct/13/15 Nov/12/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
gosemicat NEW - $150.00 0 Oct/13/15 Nov/12/15
Description: AMAT 0040-23633 300mm Cryo Drip Pan
supertechshop Used - $1,995.00 0 Oct/14/15 Nov/13/15
Description: AMAT 0010-12037 Endura 300mm PCII Resonator Preclean Sputter Chamber/ Hinge
xltechtexas NEW - $200.00 0 Oct/14/15 Nov/13/15
Description: AMAT 0090-76115 ASSEMBLY, 300MM WAFER SENSOR BANNER SM312CV2
bruce135 Used - $399.99 0 Oct/14/15 Nov/09/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore NEW - $101.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $97.49 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $75.74 0 Oct/14/15 Oct/19/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $80.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $80.99 0 Oct/14/15 Oct/19/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $77.99 0 Oct/14/15 Oct/19/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $449.99 0 Oct/14/15 Oct/19/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $108.74 0 Oct/14/15 Oct/19/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $147.74 0 Oct/14/15 Oct/19/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
vizko2017 Used - $2,800.00 0 Oct/15/15 Nov/15/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Refurbished - $380.00 0 Oct/15/15 Nov/14/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 Used - $320.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Used - $450.00 0 Oct/15/15 Nov/14/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 NEW - $350.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $310.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
nps NEW - $19.99 0 Oct/16/15 Oct/23/15
Description: AMAT 0021-34033 Applied Materials Membrane 300MM Titan Profiler 5-Zone NEW
svcstore NEW - $106.99 0 Oct/16/15 Oct/21/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $147.99 0 Oct/16/15 Oct/21/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $399.99 0 Oct/16/15 Oct/21/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $449.99 0 Oct/16/15 Oct/21/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $99.99 0 Oct/16/15 Oct/21/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $899.99 0 Oct/16/15 Oct/21/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $128.99 0 Oct/16/15 Oct/21/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $147.99 0 Oct/16/15 Oct/21/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $147.99 0 Oct/16/15 Oct/21/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcompucycle NEW - $299.00 0 Oct/17/15 Nov/16/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
svcompucycle NEW - $299.00 0 Oct/17/15 Nov/16/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
svcompucycle NEW - $249.00 0 Oct/17/15 Nov/16/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svcompucycle NEW - $4,950.00 0 Oct/17/15 Nov/16/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcompucycle NEW - $4,750.00 0 Oct/17/15 Nov/16/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcstore NEW - $107.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $135.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $144.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $196.99 0 Oct/19/15 Oct/24/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $107.99 0 Oct/19/15 Oct/24/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Used - $103.99 0 Oct/19/15 Oct/24/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $499.99 0 Oct/19/15 Oct/24/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $1,499.99 0 Oct/19/15 Oct/24/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Scrap, for parts - $599.99 0 Oct/19/15 Oct/24/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $449.99 0 Oct/19/15 Oct/24/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $699.99 0 Oct/19/15 Oct/24/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore NEW - $549.99 0 Oct/19/15 Oct/24/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
auctionrus NEW - $175.00 0 Oct/21/15 Jan/21/22
Description: AMAT 0150-76640 Cable Assembly, Manometer Adapter, 300mm, 410538
svcstore NEW - $106.99 0 Oct/21/15 Oct/26/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $399.99 0 Oct/21/15 Oct/26/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $449.99 0 Oct/21/15 Oct/26/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $99.99 0 Oct/21/15 Oct/26/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $899.99 0 Oct/21/15 Oct/26/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
yayais2012 Used - $380.00 0 Oct/21/15 Nov/20/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore Used - $147.99 0 Oct/21/15 Oct/26/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Oct/21/15 Oct/26/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $128.99 0 Oct/21/15 Oct/26/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $147.99 0 Oct/21/15 Oct/26/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
neilan1987 NEW - $199.99 1 Oct/22/15 Apr/18/16
Description: Applied Materials AMAT 0040-23526 300mm Slit Valve Door Faceplate NEW
neilan1987 NEW - $179.99 6 Oct/22/15 Apr/18/16
Description: Applied Materials AMAT 0040-23525 300mm Slit Valve Door Faceplate NEW
ptb-sales Used - $3,000.00 0 Oct/22/15 Nov/01/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Oct/22/15 Nov/01/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
neilan1987 NEW - $1,999.99 4 Oct/23/15 Apr/19/16
Description: Applied Materials AMAT 0200-06355 300mm Ceramic Robot Blades NEW SEALED
svcstore NEW - $135.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $100.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $196.99 0 Oct/24/15 Oct/29/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $107.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $107.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $499.99 0 Oct/24/15 Oct/29/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $103.99 0 Oct/24/15 Oct/29/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $599.99 0 Oct/24/15 Oct/29/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $144.99 0 Oct/24/15 Oct/29/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Scrap, for parts - $699.99 0 Oct/24/15 Oct/29/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $1,499.99 0 Oct/24/15 Oct/29/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $549.99 0 Oct/25/15 Oct/30/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $449.99 0 Oct/24/15 Oct/29/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
neilan1987 NEW - $299.99 0 Oct/25/15 Apr/26/17
Description: Applied Materials AMAT 0100-02955 Dual TC Amp PCB 300mm PVD PRE-CLEAN
neilan1987 NEW - $1,499.99 0 Oct/25/15 Nov/24/15
Description: Applied Materials AMAT 0200-05638 300mm Ceramic Robot Blades NEW SEALED
svcstore NEW - $106.99 0 Oct/26/15 Oct/31/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $399.99 0 Oct/26/15 Oct/31/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $449.99 0 Oct/26/15 Oct/31/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $99.99 0 Oct/26/15 Oct/31/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $899.99 0 Oct/26/15 Oct/31/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $147.99 0 Oct/26/15 Oct/31/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Oct/26/15 Oct/31/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $128.99 0 Oct/26/15 Oct/31/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $147.99 0 Oct/26/15 Oct/31/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
used1eqsales Used - $5,010.15 0 Oct/27/15 Oct/28/15
Description: AMAT 9090-01406 PSU Chassis Rev B Quantum X 300mm used working
sparesllc09 NEW - $3,900.00 0 Oct/28/15 Jun/13/19
Description: 0020-51916 / CATHODE LINER, 300MM DPN/ APPLIED MATERIALS
sparesllc09 NEW - $20,000.00 1 Oct/28/15 Jul/20/17
Description: 0200-04996 / LID QUARTZ, 300MM, DPN PLUS / APPLIED MATERIALS
svcompucycle NEW - $699.00 0 Oct/29/15 Nov/28/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
svcompucycle Used - $199.00 0 Oct/29/15 Nov/28/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svcompucycle NEW - $299.00 0 Oct/29/15 Nov/24/15
Description: NEW AMAT 0040-84650 Bellows Inconel Weldment HDPCVD Lift Mech Assy 300mm HDP-CVD
ptb-sales Used - $250.00 0 Nov/02/15 Nov/12/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
sparesllc09 Refurbished - $2,000.00 0 Nov/02/15 Jul/11/19
Description: 0021-16782 /SHIELD, MIDDLE, 12.46 LG 300MM SIP CU, R/ APPLIED MATERIALS
kyuw-kr NEW - $16,700.00 0 Nov/01/15 Dec/01/15
Description: Applied Materials 0040-79200 CHAMBER UPPER Y2O3 300MM DPSII
ptb-sales Used - $3,000.00 0 Nov/02/15 Nov/12/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcstore NEW - $106.99 0 Oct/31/15 Nov/05/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $399.99 0 Oct/31/15 Nov/05/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $99.99 0 Oct/31/15 Nov/05/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $449.99 0 Oct/31/15 Nov/05/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $899.99 0 Oct/31/15 Nov/05/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $147.99 0 Oct/31/15 Nov/05/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Oct/31/15 Nov/05/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Oct/31/15 Nov/05/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $128.99 0 Oct/31/15 Nov/05/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore NEW - $129.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $92.99 0 Oct/30/15 Nov/04/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $89.99 0 Oct/31/15 Nov/04/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $96.99 0 Oct/31/15 Nov/04/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $96.99 0 Oct/31/15 Nov/04/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $115.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Scrap, for parts - $599.99 0 Oct/30/15 Nov/04/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $449.99 0 Oct/29/15 Nov/03/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $499.99 0 Oct/29/15 Nov/03/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Oct/29/15 Nov/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $1,499.99 0 Oct/29/15 Nov/03/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
untested_condition Used - $395.00 0 Oct/30/15 Nov/29/15
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
svcstore Used - $176.99 0 Oct/30/15 Nov/04/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $121.99 0 Oct/30/15 Nov/04/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $549.99 0 Oct/30/15 Nov/03/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Nov/03/15 Nov/08/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $1,499.99 0 Nov/03/15 Nov/08/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Scrap, for parts - $599.99 0 Nov/04/15 Nov/09/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $92.99 0 Nov/04/15 Nov/09/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $899.99 0 Nov/05/15 Nov/10/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $99.99 0 Nov/05/15 Nov/10/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $147.99 0 Nov/05/15 Nov/10/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Nov/05/15 Nov/10/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $399.99 0 Nov/05/15 Nov/10/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $449.99 0 Nov/05/15 Nov/10/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $128.99 0 Nov/05/15 Nov/10/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $147.99 0 Nov/05/15 Nov/10/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore NEW - $121.99 0 Nov/04/15 Nov/09/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
ustechno7 NEW - $1,100.00 0 Nov/06/15 Dec/06/15
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore NEW - $89.99 0 Nov/05/15 Nov/10/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $106.99 0 Nov/05/15 Nov/10/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $499.99 0 Nov/08/15 Nov/13/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $1,499.99 0 Nov/08/15 Nov/13/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Scrap, for parts - $699.99 0 Nov/08/15 Nov/13/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $449.99 0 Nov/08/15 Nov/13/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $549.99 0 Nov/08/15 Nov/13/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
bruce135 Used - $399.99 0 Nov/09/15 Nov/13/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
sparesllc09 NEW - $15,500.00 0 Nov/09/15 Dec/09/15
Description: 0040-41940 /CHAMBER WELDMENT, 300MM PVD / AMAT
svcstore Scrap, for parts - $599.99 0 Nov/09/15 Nov/14/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $176.99 0 Nov/09/15 Nov/14/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $115.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $121.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $92.99 0 Nov/09/15 Nov/14/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $129.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $96.99 0 Nov/10/15 Nov/15/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $96.99 0 Nov/09/15 Nov/14/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $89.99 0 Nov/10/15 Nov/15/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
esoteric_specialties Used - $375.00 0 Nov/10/15 Dec/10/15
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
gemrkim1109 Used - $273.00 0 Nov/10/15 Mar/28/19
Description: Lam SYSTEM INTERLOCK 300MM ASSY. 810-800031-300 REV B /Free Expedited Shipping
svcstore Used - $899.99 0 Nov/10/15 Nov/15/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $147.99 0 Nov/10/15 Nov/15/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Nov/10/15 Nov/15/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $128.99 0 Nov/10/15 Nov/15/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $147.99 0 Nov/10/15 Nov/15/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
yayais2012 NEW - $45.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $32.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 NEW - $75.00 0 Nov/10/15 Dec/10/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $75.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $65.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
ptb-sales Used - $250.00 0 Nov/12/15 Nov/22/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
ptb-sales Used - $3,000.00 0 Nov/12/15 Nov/22/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svliquidate Used - $299.00 0 Nov/12/15 Dec/12/15
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svcstore Used - $399.99 0 Nov/11/15 Nov/16/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $449.99 0 Nov/12/15 Nov/17/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $99.99 0 Nov/11/15 Nov/16/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
yayais2012 NEW - $85.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
svcstore NEW - $95.99 0 Nov/11/15 Nov/16/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
yayais2012 NEW - $120.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 NEW - $85.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $110.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $90.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $95.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $145.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
yayais2012 NEW - $125.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $110.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $120.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $180.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $110.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 Used - $180.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 Used - $200.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
svliquidate NEW - $249.00 0 Nov/12/15 Dec/12/15
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svliquidate Used - $299.00 0 Nov/12/15 Dec/12/15
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
svliquidate Used - $1,950.00 0 Nov/12/15 Dec/12/15
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svliquidate NEW - $499.00 0 Nov/12/15 Dec/12/15
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svliquidate NEW - $499.00 0 Nov/12/15 Dec/12/15
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svliquidate Used - $299.00 0 Nov/12/15 Dec/12/15
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svliquidate NEW - $299.00 0 Nov/12/15 Nov/24/15
Description: NEW AMAT Aluminum Pedestal Heated Plate FCVD 300mm Producer GT CVD / 0041-38251
electronicswest NEW - $100.00 0 Nov/12/15 Dec/12/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
electronicswest NEW - $70.00 0 Nov/12/15 Dec/12/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
gosemicat NEW - $150.00 0 Nov/12/15 Mar/23/16
Description: AMAT 0040-23633 300mm Cryo Drip Pan
xltechtexas NEW - $200.00 0 Nov/13/15 Dec/13/15
Description: AMAT 0090-76115 ASSEMBLY, 300MM WAFER SENSOR BANNER SM312CV2
auctionrus Scrap, for parts - $200.00 1 Nov/13/15 Oct/20/17
Description: AMAT 0190-06891 REMOTE AC DIST, 300MM DPS2 CHAMBER KIT. 411272
svliquidate NEW - $299.00 0 Nov/13/15 Dec/13/15
Description: NEW AMAT Wafer Calibration Tool 0270-05028 LCF Self Centering OD 300mm ID 40mm
bruce135 Used - $399.99 0 Nov/13/15 Nov/23/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $499.99 0 Nov/13/15 Nov/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Nov/13/15 Nov/18/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $1,499.99 0 Nov/13/15 Nov/18/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore NEW - $549.99 0 Nov/13/15 Nov/18/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $449.99 0 Nov/13/15 Nov/18/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $176.99 0 Nov/14/15 Nov/19/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $115.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
yayais2012 Used - $2,800.00 0 Nov/14/15 Dec/14/15
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $310.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
yayais2012 NEW - $350.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $320.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
yayais2012 Refurbished - $380.00 0 Nov/14/15 Dec/14/15
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 Used - $450.00 0 Nov/14/15 Dec/14/15
Description: Applied Materials 0040-48318 bellows 300mm pedestal
svcstore NEW - $121.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $129.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $92.99 0 Nov/14/15 Nov/19/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $599.99 0 Nov/14/15 Nov/19/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $96.99 0 Nov/15/15 Nov/20/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $96.99 0 Nov/14/15 Nov/19/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $89.99 0 Nov/15/15 Nov/20/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
supertechshop Used - $39.95 0 Nov/15/15 Dec/15/15
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
svcstore Used - $899.99 0 Nov/15/15 Nov/20/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $147.99 0 Nov/15/15 Nov/20/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Nov/15/15 Nov/20/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $128.99 0 Nov/15/15 Nov/20/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $147.99 0 Nov/15/15 Nov/20/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
bms-semicon NEW - $159.99 0 Nov/15/15 May/04/23
Description: [4 UNITS] AMAT 0020-61533 INSULATOR PLATE, PIB CHILLER LINES, 300MM ENDURA2 NEW
bms-semicon NEW - $249.99 2 Nov/15/15 Nov/29/21
Description: AMAT 0200-02121 INSULATOR, FEEDTHROUGH, 300MM SIP ENCORE TA NEW
bms-semicon NEW - $189.99 0 Nov/15/15 Jun/28/23
Description: AMAT 0020-70367 BRACKET, STRAIN RELIEF, REMAG, 300MM ENCORE TA(N) NEW
svcstore Used - $399.99 0 Nov/16/15 Nov/21/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore NEW - $95.99 0 Nov/16/15 Nov/21/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $99.99 0 Nov/17/15 Nov/22/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
supertechshop Used - $650.00 0 Nov/17/15 Dec/17/15
Description: AMAT 0040-13509 Quartz 300mm Bell Jar Preclean 0040-46397 Ring 0020-19581 Plate
supertechshop Used - $1,995.00 0 Nov/17/15 Dec/17/15
Description: AMAT 0010-12037 Endura 300mm PCII Resonator Preclean Sputter Chamber/ Hinge
supertechshop Used - $29,975.00 0 Nov/17/15 Dec/17/15
Description: AMAT 0010-14204 MCA 300mm E-Chuck Heater ESC Electro-Static Assy / Warranty
svcstore Used - $449.99 0 Nov/17/15 Nov/22/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
supertechshop NEW - $49.95 0 Nov/18/15 Nov/24/15
Description: NEW AMAT Pumping Channel FCVD Producer 300mm CVD Plate 0041-41423 / Sealed
supertechshop NEW - $495.00 0 Nov/18/15 Dec/18/15
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
miracbever NEW - $195.00 0 Nov/18/15 Nov/25/15
Description: BAR RF SBS 300MM SIP ENCORE *NEW* 0020-23799
svcstore Scrap, for parts - $699.99 0 Nov/18/15 Nov/23/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $1,499.99 0 Nov/18/15 Nov/23/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Used - $499.99 0 Nov/18/15 Nov/23/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Nov/18/15 Nov/23/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $549.99 0 Nov/18/15 Nov/23/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $176.99 0 Nov/19/15 Nov/24/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $115.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Scrap, for parts - $599.99 0 Nov/19/15 Nov/24/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $92.99 0 Nov/19/15 Nov/24/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $129.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $121.99 0 Nov/19/15 Nov/24/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $96.99 0 Nov/20/15 Nov/25/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $89.99 0 Nov/20/15 Nov/25/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $96.99 0 Nov/20/15 Nov/25/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $899.99 0 Nov/20/15 Nov/25/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $147.99 0 Nov/20/15 Nov/25/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Nov/20/15 Nov/25/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $128.99 0 Nov/20/15 Nov/25/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $147.99 0 Nov/20/15 Nov/25/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
yayais2012 Used - $380.00 0 Nov/21/15 Dec/21/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcstore Used - $399.99 0 Nov/21/15 Nov/26/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $99.99 0 Nov/22/15 Nov/27/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
supertechshop NEW - $39.95 0 Nov/22/15 Dec/22/15
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svcstore NEW - $95.99 0 Nov/21/15 Nov/26/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $449.99 0 Nov/22/15 Nov/27/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
ptb-sales Used - $2,000.00 0 Nov/23/15 Dec/03/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $250.00 0 Nov/23/15 Nov/23/15
Description: BOC Edwards 300mm Cover Ring, AMAT # 0200-76057
svliquidate NEW - $249.00 0 Nov/23/15 Nov/24/15
Description: NEW AMAT Showerhead FCVD 300mm Producer CVD Shower Head 0021-85269 / Sealed
svliquidate NEW - $4,750.00 0 Nov/23/15 Dec/23/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
bruce135 Used - $279.99 0 Nov/23/15 Dec/23/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svliquidate NEW - $4,950.00 0 Nov/23/15 Dec/23/15
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svliquidate NEW - $149.95 0 Nov/23/15 Dec/23/15
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
svliquidate NEW - $299.00 0 Nov/23/15 Dec/23/15
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
svcstore Used - $1,499.99 0 Nov/23/15 Nov/28/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Scrap, for parts - $699.99 0 Nov/23/15 Nov/28/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Nov/23/15 Nov/28/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Nov/23/15 Nov/28/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $549.99 0 Nov/24/15 Nov/29/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
esl-elektronik NEW - $1,799.00 0 Nov/24/15 Mar/24/16
Description: Lam Research Hot Edge Ring 300mm 715-443178-300 New / Sealed
svcstore Used - $176.99 0 Nov/24/15 Nov/29/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $449.99 0 Nov/24/15 Nov/29/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $129.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $115.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $92.99 0 Nov/24/15 Nov/29/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $121.99 0 Nov/24/15 Nov/29/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $96.99 0 Nov/25/15 Nov/30/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $89.99 0 Nov/25/15 Nov/30/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $96.99 0 Nov/25/15 Nov/30/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
miracbever NEW - $195.00 0 Nov/25/15 Dec/02/15
Description: BAR RF SBS 300MM SIP ENCORE *NEW* 0020-23799
svcstore Used - $899.99 0 Nov/25/15 Nov/30/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $147.99 0 Nov/25/15 Nov/30/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Nov/25/15 Nov/30/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $147.99 0 Nov/25/15 Nov/30/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $128.99 0 Nov/25/15 Nov/30/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
esl-elektronik NEW - $149.00 0 Nov/26/15 Mar/26/16
Description: Lam Research Ring Edge Top Wide 300mm 716-331142-304 New / Sealed
svcstore Used - $399.99 0 Nov/26/15 Dec/01/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore NEW - $95.99 0 Nov/26/15 Dec/01/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $99.99 0 Nov/27/15 Dec/02/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
supertechshop NEW - $349.95 0 Nov/27/15 Dec/27/15
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
svcstore Used - $449.99 0 Nov/27/15 Dec/02/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
ab-international NEW - $150.00 0 Nov/28/15 Dec/05/15
Description: New Amat Applied Materials 300mm Slit Valve Door 0040-96157
ab-international NEW - $100.00 0 Nov/28/15 Dec/05/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
svcstore Used - $1,499.99 0 Nov/28/15 Dec/03/15
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Scrap, for parts - $699.99 0 Nov/28/15 Dec/03/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $499.99 0 Nov/28/15 Dec/03/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $449.99 0 Nov/28/15 Dec/03/15
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore NEW - $549.99 0 Nov/29/15 Dec/04/15
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $176.99 0 Nov/29/15 Dec/04/15
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore NEW - $129.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $92.99 0 Nov/29/15 Dec/04/15
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $449.99 0 Nov/29/15 Dec/04/15
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $121.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $115.99 0 Nov/29/15 Dec/04/15
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $96.99 0 Nov/30/15 Dec/05/15
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $96.99 0 Nov/30/15 Dec/05/15
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $89.99 0 Nov/30/15 Dec/05/15
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svliquidate Used - $199.00 0 Nov/30/15 Dec/30/15
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svliquidate NEW - $149.95 1 Nov/30/15 Dec/01/15
Description: NEW Applied Materials 300mm Faceplate 0020-48972 Silane Flow Shower Head AMAT
allpart2016 Used - $1,550.00 1 Dec/01/15 Jan/26/19
Description: AMAT Endura Shutter Assembly & Blade, 300mm, P/N 0010-06140 REV 04C sold AS-IS
svcstore Used - $399.99 0 Dec/01/15 Dec/06/15
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $132.99 0 Dec/01/15 Dec/06/15
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $899.99 0 Dec/02/15 Dec/07/15
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $132.99 0 Dec/01/15 Dec/06/15
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $95.99 0 Dec/02/15 Dec/07/15
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $132.99 0 Dec/01/15 Dec/06/15
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $99.99 0 Dec/02/15 Dec/07/15
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $115.99 0 Dec/02/15 Dec/07/15
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $449.99 0 Dec/02/15 Dec/07/15
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
miracbever NEW - $195.00 0 Dec/02/15 Dec/09/15
Description: BAR RF SBS 300MM SIP ENCORE *NEW* 0020-23799
ptb-sales Used - $2,000.00 0 Dec/03/15 Dec/13/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 Used - $65.00 0 Dec/04/15 Jan/03/16
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
svcstore Used - $176.99 0 Dec/04/15 Jan/03/16
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $92.99 0 Dec/04/15 Jan/03/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $129.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Scrap, for parts - $449.99 0 Dec/04/15 Jan/03/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $115.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $121.99 0 Dec/04/15 Jan/03/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $96.99 0 Dec/05/15 Jan/04/16
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $96.99 0 Dec/05/15 Jan/04/16
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $89.99 0 Dec/05/15 Jan/04/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
ustechno7 NEW - $997.99 0 Dec/06/15 Jan/05/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
ab-international NEW - $40.00 0 Dec/06/15 Dec/16/15
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
ab-international NEW - $40.00 0 Dec/06/15 Dec/16/15
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
ab-international NEW - $40.00 0 Dec/06/15 Dec/16/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
ab-international NEW - $150.00 0 Dec/06/15 Dec/16/15
Description: New Amat Applied Materials 300mm Slit Valve Door 0040-96157
ab-international NEW - $100.00 0 Dec/06/15 Dec/13/15
Description: New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane 5 Zone
svcstore Used - $399.99 0 Dec/06/15 Jan/05/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $132.99 0 Dec/06/15 Jan/05/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $95.99 0 Dec/07/15 Jan/06/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $899.99 0 Dec/07/15 Jan/06/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $132.99 0 Dec/06/15 Jan/05/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $132.99 0 Dec/06/15 Jan/05/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $115.99 0 Dec/07/15 Jan/06/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $99.99 0 Dec/07/15 Jan/06/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
ptb-sales Used - $2,000.00 0 Dec/28/15 Jan/07/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
supertechshop NEW - $495.00 0 Dec/25/15 Jan/24/16
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
svliquidate NEW - $299.00 0 Dec/24/15 Jan/23/16
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
bruce135 Used - $399.99 0 Dec/24/15 Jan/23/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
supertechshop NEW - $349.95 0 Dec/27/15 Jan/26/16
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
usedeqsales Used - $406.08 1 Dec/28/15 Apr/24/24
Description: Novellus Systems 02-149841-02 C3 Vector 300mm Assembly 15-156474-02 Used
svliquidate NEW - $4,750.00 0 Dec/24/15 Jan/23/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svliquidate NEW - $4,950.00 0 Dec/24/15 Jan/23/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svcstore Scrap, for parts - $699.99 0 Dec/12/15 Jan/11/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $1,499.99 0 Dec/11/15 Jan/10/16
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
usedeqsales Used - $406.08 1 Dec/29/15 Apr/25/24
Description: Novellus Systems 02-149841-01N C3 Vector 300mm Assembly 15-156474-01 Used
usedeqsales NEW - $1,012.15 0 Dec/31/15 Dec/02/19
Description: AMAT Applied Materials 0270-01156 Robot Calibration Tool 300mm E-Chuck New
mylexingtonblue NEW - $4,000.00 0 Jan/02/16 Feb/01/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
yayais2012 Used - $65.00 0 Jan/03/16 May/11/18
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613
svcstore Used - $176.99 0 Jan/03/16 Feb/02/16
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $449.99 0 Jan/03/16 Feb/02/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $115.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $121.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $96.99 0 Jan/04/16 Feb/03/16
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $129.99 0 Jan/03/16 Feb/02/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $92.99 0 Jan/03/16 Feb/02/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
athomemarket NEW - $42.49 0 Jan/04/16 Jan/20/18
Description: NEW Applied Materials/AMAT 0020-46291 Chamber Mounting 300mm Left Bracket MF
athomemarket NEW - $199.99 0 Jan/04/16 Jan/11/16
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing Hose Assy. Endura 300mm
athomemarket NEW - $299.99 0 Jan/04/16 Jan/11/16
Description: NEW Applied Materials/AMAT 0010-08581 Gen Rack DeviceNet I/O Block 300mm
svcstore NEW - $89.99 0 Jan/04/16 Feb/03/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $96.99 0 Jan/04/16 Feb/03/16
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Used - $399.99 0 Jan/05/16 Feb/04/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $132.99 0 Jan/06/16 Feb/05/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $132.99 0 Jan/05/16 Feb/04/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $132.99 0 Jan/05/16 Feb/04/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore NEW - $95.99 0 Jan/06/16 Feb/05/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $899.99 0 Jan/06/16 Feb/05/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
athomemarket Used - $2,699.99 0 Jan/06/16 Jan/13/16
Description: AMAT/Applied Materials 0041-26723 Rev. 02 Bonded Assembly CESC 300mm ESC
svliquidate Used - $199.00 0 Jan/06/16 Feb/05/16
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svcstore Used - $99.99 0 Jan/06/16 Feb/05/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $115.99 0 Jan/06/16 Feb/05/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $449.99 0 Jan/06/16 Feb/05/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svliquidate Used - $9,995.00 0 Jan/07/16 Feb/06/16
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svliquidate Used - $299.00 0 Jan/07/16 Feb/06/16
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
ptb-sales Used - $2,000.00 0 Jan/07/16 Jan/17/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
johnnytwo-tone NEW - $140.00 1 Jan/08/16 Dec/07/16
Description: AMAT 0021-34033 Applied Materials Membrane 300mm Titan Profiler 5-Zone
ab-international NEW - $150.00 0 Jan/09/16 Jan/19/16
Description: New Amat Applied Materials 300mm Slit Valve Door 0040-96157
retechtronics2 NEW - $100.00 0 Jan/09/16 Jan/18/16
Description: APPLIED MATERIALS AMAT 0270-02510 OUTRIGGER RIGHT REAR 300MM E2 0060-00965
esoteric_specialties Used - $375.00 0 Jan/10/16 Feb/09/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
retechtronics2 NEW - $75.00 0 Jan/10/16 Jan/31/16
Description: APPLIED MATERIALS AMAT 0270-02510 OUTRIGGER RIGHT REAR 300MM E2 0060-00965
svcstore Used - $1,499.99 0 Jan/10/16 Feb/09/16
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Used - $399.99 0 Jan/10/16 Feb/09/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $349.99 0 Jan/11/16 Feb/10/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Scrap, for parts - $699.99 0 Jan/11/16 Feb/10/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
used1eqsales NEW - $1,002.46 1 Jun/26/14 Jan/09/16
Description: AMAT 0010-19010 Motorized Lift 300mm Assembly AMAT Endura 300mm new surplus
used1eqsales NEW - $800.00 4 Nov/13/12 Jan/08/16
Description: AMAT Applied Materials 0021-16783 Cover Ring 300mm POP New
used1eqsales NEW - $1,202.46 1 Jun/24/14 Jan/08/16
Description: AMAT 0010-06140 Rev 004 Shutter Assembly 300mm CL AMAT Endura 300mm new surplus
yericomfg NEW - $39,000.00 0 Jan/11/16 Apr/06/17
Description: OEM New with Cert. AMAT Applied Materials 300mm Vacuum Chuck Heater, 0010-26264
technotrust NEW - $987.99 0 Jan/11/16 Feb/10/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore NEW - $449.99 0 Jan/11/16 Feb/10/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
yayais2012 NEW - $45.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
yayais2012 NEW - $32.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
yayais2012 NEW - $85.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 6
yayais2012 NEW - $85.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
yayais2012 Used - $90.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
yayais2012 NEW - $75.00 0 Jan/12/16 Feb/11/16
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 NEW - $75.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
yayais2012 NEW - $65.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
yayais2012 Used - $200.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-210-010
yayais2012 Used - $180.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
yayais2012 Used - $110.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-510-005
yayais2012 NEW - $120.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0150-02438 CABLE ASSY EMO INTERCONNECT 50 FT 300MM 4.0
yayais2012 Used - $110.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 300MM BONDED SLIT VALVE 0190-25613 REV 2
yayais2012 NEW - $125.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0242-47982 INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 NEW - $95.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0240-46973 KIT, REMOTE FRM UPPER TRIM PNL, EPI E4 300MM
yayais2012 NEW - $120.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0150-22911 CABLE ASSY SCRUBBER RUNNING SICONI 300MM FEP
yayais2012 Used - $110.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-210-015
yayais2012 Used - $180.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-510-002
yayais2012 NEW - $145.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS 0150-23644 CABLE EVC MALE TO EVC MALE, 50 FT, 300MM CENTURA
athomemarket NEW - $169.99 0 Jan/12/16 Jan/28/18
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing Hose Assy. Endura 300mm
athomemarket NEW - $254.99 0 Jan/12/16 Jan/28/18
Description: NEW Applied Materials/AMAT 0010-08581 Gen Rack DeviceNet I/O Block 300mm
generalpublicsales Refurbished - $349.00 1 Jan/12/16 Feb/24/16
Description: OEM NOVELLUS LIFT PIN ACTUATOR 300mm 02-288189-00 REV D
bobsgoodies2 Used - $250.00 0 Jan/12/16 Mar/31/22
Description: AMAT 0100-00637 Mainframe Relays Circuit Board AMAT Centura 300mm working
bobsgoodies Used - $250.00 1 Jan/12/16 Nov/24/16
Description: AMAT 0110-00635 Mainframe Interlock Circuit Board Centura 300mm 0500-01065
bobsgoodies Used - $250.00 1 Jan/12/16 Nov/28/17
Description: AMAT 0100-00472 Motion Signal Conditioning Circuit Board Centura 300mm working
supertechshop Used - $6,750.00 0 Jan/12/16 Feb/11/16
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
ab-international NEW - $40.00 0 Jan/13/16 Jan/23/16
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
ab-international NEW - $40.00 0 Jan/13/16 Jan/23/16
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
supertechshop Used - $1,995.00 0 Jan/14/16 Feb/13/16
Description: AMAT 400748 Endura 300mm PC Chamber Shell 0010-04832 Chassis Assembly / Portable
yayais2012 Used - $2,800.00 0 Jan/14/16 Jul/12/16
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
yayais2012 Used - $450.00 1 Jan/14/16 Jun/16/16
Description: Applied Materials 0040-48318 bellows 300mm pedestal
yayais2012 Used - $310.00 0 Jan/14/16 Jul/12/16
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
yayais2012 Refurbished - $380.00 0 Jan/14/16 Jul/12/16
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
yayais2012 NEW - $350.00 0 Jan/14/16 Jul/12/16
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
yayais2012 Used - $320.00 0 Jan/14/16 Jul/12/16
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
athomemarket Used - $2,699.99 0 Jan/14/16 Jan/30/18
Description: AMAT/Applied Materials 0041-26723 Rev. 02 Bonded Assembly CESC 300mm ESC
redrockranch NEW - $179.55 0 Jan/14/16 Jan/18/16
Description: Preclean Shield 0021-21065 003 Amat 300mm
untested_condition Used - $395.00 1 Jan/14/16 Feb/03/16
Description: Applied Materials 300MM MAINFRAME RELAYS 0100-00637 PCB ASSEMBLY card Semiconduc
ab-international NEW - $40.00 0 Jan/14/16 Jan/24/16
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
visionsemi Used - $1,000.00 0 Jan/14/16 May/26/17
Description: AMAT MITUTOYO 300MM CHAMBER ALIGNMENT TOOL 0040-41061
ecomicron NEW - $1,900.00 1 Jan/14/16 Apr/09/20
Description: 0100-00573, AMAT, PCB ASSY, PRECLEAN PERSONALITY BD, 300MM
redrockranch NEW - $189.00 0 Jan/18/16 Feb/17/16
Description: Preclean Shield 0021-21065 003 Amat 300mm
dqtren NEW - $1,200.00 0 Jan/18/16 Feb/17/16
Description: 0041-06736, NEW, AMAT 300MM TOP GAS FEED ASSY
ptb-sales Used - $2,000.00 0 Jan/18/16 Jan/28/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
auctionrus NEW - $350.00 0 Jan/19/16 Apr/07/17
Description: AMAT 0150-01790 Cable Assy, Cell Plating Power 300mm ECP, Harness, 413504
hakl1103 NEW - $400.00 0 Jan/20/16 Jan/20/16
Description: New AMAT 0020-19943 HOUSING, OUTPUT DRAIN 300MM LOADCUP
bobsgoodies Used - $250.00 1 Jan/20/16 Aug/29/18
Description: AMAT 0110-00327 LOADLOCK INTERFACE Circuit Board Centura 300mm 0500-01065
auctionrus NEW - $75.00 0 Jan/22/16 Dec/22/21
Description: AMAT 0150-03409 Cable Assembly, Water Leak Detect, 300MM Manifold 413540
auctionrus NEW - $50.00 0 Jan/22/16 Dec/22/21
Description: AMAT 0150-04482 Cable Assembly, 24V DC Power, 300MM Ultima P 413692
auctionrus NEW - $350.00 0 Jan/22/16 Sep/28/22
Description: AMAT 0150-01446 Cable Assembly, DNET I/O Backplane DC, 300MM 413712
electronicswest NEW - $85.00 0 Jan/22/16 Feb/21/16
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
auctionrus NEW - $150.00 0 Jan/22/16 Apr/11/18
Description: AMAT 0150-02704 SPOT Rev.001, RTRON, Cable Assembly, DPS Metal 300MM, J3 Connect
capitolareatech NEW - $427.54 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 15-177748-00 RING, WEAR REAR LATCHED PET 300MM
capitolareatech Refurbished - $750.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-00195 DRIVER SERVO, 300MM HEATER LIFT, PV1
capitolareatech NEW - $1,975.73 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-18955 KIT, 300MM PCII PROCESS KIT
capitolareatech NEW - $1,302.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-15112 KIT, 300MM SA BPSG PROD, ISO VALVE INSUL
capitolareatech NEW - $776.63 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-76294 PCB,SMOKE/WATER DISTRIBUTION 300MM
capitolareatech NEW - $27.81 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76524 Cable Assembly, 300MM Mainframe Water Sen
capitolareatech NEW - $80.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-39337 C/A GAS PANEL 300MM OXIDE
capitolareatech NEW - $44.55 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-38412 Cable Assembly, Water Flow Switch, 300MM
capitolareatech NEW - $619.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-80141 GRIPPER CLAW,UPPER,LH,300mm
capitolareatech NEW - $114.17 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-60891 STOP, DOUBLE, WAFER LIFT ASSEMBLY, 300MM
capitolareatech Refurbished - $6,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-53718 ESC 300mm , AMAT 0040-53718 and 0040-8066
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-46343 Base, Wrist 300mm Robot TI LCF+
capitolareatech NEW - $126.83 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-42182 COVER, LOWER WTR BOX, ANNL CHMBR 300MM
capitolareatech NEW - $1,413.98 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-40863 UPPER PLATE HEATER ECP 300MM ANNEAL
capitolareatech NEW - $130.30 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39945 Bracket, Gags Spring, Pull 300mm Producer
capitolareatech NEW - $102.04 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-34160 COVER, INNER, SLIT LINER DOOR, 300MM EMA
capitolareatech NEW - $76.38 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-13881 DOOR,BACKING 300MM SLITVALVE PROCESS AL
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-13880 DOOR,BACKING 300MM SLIT VALVE LLC AL REV
capitolareatech NEW - $838.76 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09721 45"POST LIFT ASSY DPS CHAMBER 300MM SYST
capitolareatech NEW - $1,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-08845 Pin, Lift, RF INTLK, 300MM DPS DTCU
capitolareatech NEW - $176.53 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-64336 Ring, Retaining Flanged PPS AEP, 300MM P
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-55477 Crescent Drive, VDPM, 300MM Desica, CMP
capitolareatech NEW - $64.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-50452 MOUNTING BRKT FRAME ASSY, 300MM ULTIMA X
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-46087 TOOL, KEY INSTALLATION, 300MM DPS2
capitolareatech NEW - $7,892.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-40945 Plate ClampLID, EMAX 300MM
capitolareatech NEW - $4,525.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-40865 Heater Mounting Plate 300MM Anneal
capitolareatech NEW - $272.06 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-40488 BLOCK, VEE, FI INTERFACE 300MM CENTURA M
capitolareatech NEW - $33.39 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07212 Washer, Compression Quad Ring 300mm HDP
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75913 USE 0040-60541 HOOP 300MM PVD COOLDOWN C
capitolareatech Refurbished - $286.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13612 LINER, CATHODE W/ LWR LINER, 300MM DPS I
capitolareatech NEW - $103.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13376 Hinge, Body, Left, 300MM Producer
capitolareatech NEW - $273.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12851 CLAMP DOME DPS 300MM
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12759 BRACKET SUPPORT GAS LINES SST HTR 300MM
capitolareatech NEW - $172.44 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11657 COUPLING, NON-FLEX, LID HINGE 300MM CENT
capitolareatech NEW - $37.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11502 Cap, Adjustable Elbow, 300mm Producer
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08144 PLUG, SST HTR 300MM TXZ
capitolareatech NEW - $224.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07984 Shield Outer, TXZ CVD 300MM
capitolareatech NEW - $47.39 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-01040 hinge, body, left, 300mm, producer
capitolareatech NEW - $47.39 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-01039 Hinge, Body, RT, 300MM Producer
supertechshop NEW - $495.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
hakl1103 NEW - $6,200.00 0 Jan/25/16 Jun/11/18
Description: New AMAT 0021-64485 PEDESTAL,TITANIUM 300MM PCII 2.0
bruce135 Used - $329.99 0 Jan/25/16 Feb/24/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
supertechshop NEW - $49.95 0 Jan/25/16 Feb/24/16
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop NEW - $149.95 0 Jan/25/16 Feb/24/16
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop Used - $1,995.00 0 Jan/25/16 Feb/24/16
Description: AMAT 0010-12037 Endura 300mm PCII Resonator Preclean Sputter Chamber/ Hinge
supertechshop Used - $29,975.00 0 Jan/25/16 Feb/24/16
Description: AMAT 0010-14204 MCA 300mm E-Chuck Heater ESC Electro-Static Assy / Warranty
supertechshop Used - $650.00 0 Jan/25/16 Feb/24/16
Description: AMAT 0040-13509 Quartz 300mm Bell Jar Preclean 0040-46397 Ring 0020-19581 Plate
supertechshop Used - $39.95 0 Jan/25/16 Feb/24/16
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $39.95 0 Jan/25/16 Feb/24/16
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svliquidate NEW - $299.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
svliquidate NEW - $249.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svliquidate Used - $299.00 0 Jan/25/16 Feb/24/16
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
svliquidate Used - $299.00 0 Jan/25/16 Feb/24/16
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svliquidate NEW - $299.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT Wafer Calibration Tool 0270-05028 LCF Self Centering OD 300mm ID 40mm
svliquidate Used - $1,950.00 0 Jan/25/16 Feb/24/16
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svliquidate NEW - $499.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT 75-Ft Sup/Ret Oxide IA 300mm Hose & Fittings Assembly 0190-16766
svliquidate NEW - $499.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT Chamber Hose Assembly 75-Ft Sup/ Ret Low Temp Oxide 300mm 0190-16763
svliquidate Used - $299.00 0 Jan/25/16 Feb/24/16
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svliquidate NEW - $4,950.00 0 Jan/25/16 Feb/24/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svliquidate NEW - $4,750.00 0 Jan/25/16 Feb/24/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
asmtk Used - $14,999.00 0 Jan/25/16 Oct/01/21
Description: APPLIED MATERIALS 0190-24686 HEATER AL SINGLE ZONE 300MM ENDURA2 AMAT
asmtk Used - $13,999.00 0 Jan/25/16 Oct/01/21
Description: APPLIED MATERIALS 300mm Ceramic heater 0041-01652, 0040-04048 AMAT
asmtk Used - $13,999.00 0 Jan/25/16 Oct/01/21
Description: APPLIED MATERIALS 300mm Ceramic heater 0040-88188 AMAT
asmtk Used - $13,999.00 0 Jan/25/16 Oct/01/21
Description: APPLIED MATERIALS 300mm Ceramic heater 0040-84051, 0040-85475 AMAT
asmtk Used - $13,999.00 0 Jan/25/16 Oct/01/21
Description: APPLIED MATERIALS 300mm Ceramic heater 0040-53976, 0040-04048 AMAT
asmtk Used - $13,999.00 0 Jan/25/16 Aug/04/17
Description: APPLIED MATERIALS 300mm Ceramic heater 0040-07033, 0040-85475 AMAT
asmtk Used - $13,999.00 0 Jan/25/16 Oct/01/21
Description: APPLIED MATERIALS 300mm Ceramic heater 0040-07024, 0040-04048 AMAT
auctionrus Used - $850.00 1 Jan/26/16 Sep/07/21
Description: AMAT 0140-02757 Harness Assembly, Emax 300MM Chamber Interlock 413809
supertechshop NEW - $349.95 0 Jan/26/16 Feb/25/16
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
auctionrus NEW - $200.00 0 Jan/26/16 Apr/11/18
Description: AMAT 0140-06751, Cable Assembly, Gripper Cylinder NOVA 300MM. 413805
auctionrus NEW - $250.00 0 Jan/26/16 Aug/08/18
Description: AMAT 0150-03457 Cable Assy, WLD, 300MM RTP Chamber, Harness, 413839
auctionrus NEW - $250.00 0 Jan/26/16 Aug/08/18
Description: AMAT 0150-03457 Cable Assy, WLD, 300MM RTP Chamber, Harness, 413832
auctionrus NEW - $295.00 0 Jan/26/16 Aug/08/18
Description: AMAT 0140-01980 Harness Assembly Cell Plating Power 300MM EC 413880
lot2sell07 Used - $5,150.00 0 Jan/26/16 Jan/28/16
Description: AMAT 0190-24282 CONTROLLER, MB340 MAG LEV, 300MM RADIAN
auctionrus NEW - $175.00 0 Jan/27/16 Jul/17/23
Description: AMAT 0140-02328 H/A, UPS Power, VDT Outlet, 3W 300MM 413743
yericomfg NEW - $1,650.00 0 Jan/27/16 Aug/08/18
Description: OEM Part Novellus 17-271438-00 ADAPTER SHIELD 300mm
auctionrus NEW - $125.00 0 Jan/27/16 Aug/08/18
Description: AMAT 0140-01156, Cable, Harness Pump, Breaker to Bulkhead 300MM C. 413928
auctionrus NEW - $295.00 0 Jan/28/16 Aug/08/18
Description: AMAT 0140-01980, Harness Assembly, Cable, Cell Plating Power, 300MM, EC. 413935
auctionrus NEW - $195.00 0 Jan/28/16 Aug/08/18
Description: AMAT 0140-38012, Cable, Harness Assembly, Dome AC Power DPS 300MM. 413989
gigabitpartsolutions NEW - $110.00 0 Jan/28/16 Jun/26/16
Description: OEM Part Applied Materails (AMAT) 0021-01040 HINGE, BODY, LEFT, 300MM PRODUCER
ptb-sales Used - $2,000.00 0 Jan/29/16 Feb/08/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
auctionrus Used - $185.00 0 Feb/01/16 May/17/24
Description: AMAT 0150-01590 Cable Assembly, Pressure Sensor, 300MM Wafer, Harness, 414354
auctionrus Used - $185.00 0 Feb/01/16 May/17/24
Description: AMAT 0150-01590 Cable Assembly, Pressure Sensor, 300MM Wafer, Harness, 414353
mylexingtonblue NEW - $4,000.00 0 Feb/01/16 Mar/02/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
used1eqsales Used - $15,002.20 0 Feb/02/16 Feb/04/16
Description: AMAT 0010-24076 Electrostatic Chuck ESC 300mm used working
athomemarket NEW - $3,999.99 0 Feb/03/16 Feb/10/16
Description: AMAT/Kensington 15-0000-0017-00 Automatic Door Opener ADO 300mm FOUP 0240-49251
svcstore NEW - $115.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore Used - $158.99 0 Feb/03/16 Mar/04/16
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Scrap, for parts - $449.99 0 Feb/03/16 Mar/04/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $108.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $103.99 0 Feb/03/16 Mar/04/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore Used - $82.99 0 Feb/03/16 Mar/04/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore NEW - $86.99 0 Feb/04/16 Mar/05/16
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $79.99 0 Feb/05/16 Mar/06/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $86.99 0 Feb/05/16 Mar/06/16
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svliquidate Used - $199.00 0 Feb/06/16 Mar/07/16
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svliquidate Used - $299.00 0 Feb/06/16 Mar/07/16
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svliquidate Used - $9,995.00 0 Feb/06/16 Mar/07/16
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
ptb-sales Used - $2,000.00 0 Feb/08/16 Feb/18/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
esoteric_specialties Used - $375.00 0 Feb/09/16 Mar/10/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
svcstore Used - $449.99 0 Feb/09/16 Mar/10/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $118.99 0 Feb/09/16 Mar/10/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $118.99 0 Feb/09/16 Mar/10/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $399.99 0 Feb/09/16 Mar/10/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $118.99 0 Feb/09/16 Mar/10/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $103.99 0 Feb/09/16 Mar/10/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore NEW - $60.19 0 Feb/09/16 Mar/10/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $62.29 0 Feb/10/16 Mar/11/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $899.99 0 Feb/10/16 Mar/11/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
sparesllc09 Used - $10,600.00 0 Feb/10/16 Aug/28/18
Description: 0040-81156 /CHAMBER UPPER LINER 300MM DPS2/APPLIED MATERIALS
svcstore Used - $399.99 0 Feb/10/16 Mar/11/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $349.99 0 Feb/11/16 Mar/12/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $1,499.99 1 Feb/11/16 Mar/11/16
Description: Novellus 300mm Top Level HDP/IMD HEX/ELAS/ESC Electrostatic Chuck 02-267289-00
svcstore Scrap, for parts - $699.99 0 Feb/11/16 Mar/12/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
ypspare NEW - $75.00 0 Feb/11/16 Nov/13/19
Description: APPLIED MATERIALS 0140-14570 HARNESS ASSY PUMP INTERLOCK 300MM EPI
ypspare NEW - $75.00 1 Feb/11/16 Apr/01/17
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
vizko2017 NEW - $85.00 0 Feb/11/16 Oct/17/23
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Qty 4
ypspare Used - $90.00 0 Feb/11/16 Dec/02/18
Description: APPLIED MATERIALS 0190-14286 EPI 300mm INERLOCK MODULE 2 DIP499-5
vizko2017 Used - $65.00 0 Feb/11/16 Feb/02/22
Description: APPLIED MATERIALS 0021-21791 REV 002 INSERT, GROUND HEATER LIFT 300MM VECTRA IMP
ypspare NEW - $85.00 0 Feb/11/16 Nov/13/19
Description: APPLIED MATERIALS 0140-14963 HARNES ASSY MF INTERLOCK 300MM BREAK OUT
ypspare NEW - $32.00 0 Feb/11/16 Nov/13/19
Description: APPLIED MATERIALS CABLE 0140-07766 HARNESS ASSEMBLY EXTENDED EMO PIGTAIL 300MM
athomemarket NEW - $3,999.99 0 Feb/11/16 Mar/21/16
Description: AMAT/Kensington 15-0000-0017-00 Automatic Door Opener ADO 300mm FOUP 0240-49251
auctionrus NEW - $250.00 0 Feb/11/16 Feb/11/16
Description: AMAT 0140-02350 Harness, Gate Valve, 300MM TXZ Chamber 414684
asmtk Used - $4,500.00 0 Feb/12/16 Mar/19/20
Description: APPLIED MATERIALS 0010-26180 HE RF MATCH, BIAS W/ FILTER PCB, 300MM AMAT
technotrust NEW - $987.99 0 Feb/12/16 Mar/13/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
sparesllc09 Used - $5,000.00 0 Feb/12/16 Jun/19/19
Description: 0190-24854 /MKS REV1.8 G4 PLUS 4-PORT UPA 300MM REFL /APPLIED MATERIALS
supertechshop Used - $6,750.00 0 Feb/12/16 Mar/13/16
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
supertechshop Used - $1,995.00 0 Feb/13/16 Mar/14/16
Description: AMAT 400748 Endura 300mm PC Chamber Shell 0010-04832 Chassis Assembly / Portable
svcstore NEW - $449.99 0 Feb/18/16 Mar/20/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
asset_asset NEW - $12,265.20 0 Feb/17/16 Oct/24/18
Description: NOVELLUS 02-259457-00 SPINDLE ASSY,300MM,C3VCTR
asset_asset Used - $3,996.29 0 Feb/16/16 Oct/24/18
Description: NOVELLUS 02-288245-00 CU,ASSY,BOX,CCHK,50A,300MM
supertechshop Used - $1,275.00 0 Feb/21/16 Mar/22/16
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
ecomicron Used - $3,500.00 0 Feb/22/16 Oct/02/18
Description: 0100-00734, AMAT, PCB ASSY, CHAMBER DISTRIBUTION 300MM
ptb-sales Used - $2,000.00 0 Feb/22/16 Mar/03/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
asmtk NEW - $20,000.00 0 Feb/23/16 Oct/01/21
Description: APPLIED MATERIALS 0040-50363 300MM CERAMIC ESC AMAT
asmtk NEW - $20,000.00 0 Feb/23/16 Oct/01/21
Description: APPLIED MATERIALS 0040-44263 300MM CERAMIC ESC AMAT
asmtk NEW - $20,000.00 0 Feb/23/16 Aug/15/18
Description: APPLIED MATERIALS 0010-06788 .ESC, ASSY, 300MM DPS2 AMAT
asmtk NEW - $20,000.00 0 Feb/23/16 Jul/30/17
Description: APPLIED MATERIALS 0040-33215 LASED, PEDESTAL,300MM DPS II AMAT
electronicswest NEW - $45.00 0 Feb/23/16 Mar/24/16
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
nps NEW - $14.99 1 Feb/23/16 Mar/01/16
Description: Applied Materials (AMAT) 0040-96157 300mm Slit Valve Door 15.75" x 2.75" x 1.5"
sacramento_liquidators NEW - $45.00 0 Feb/23/16 Mar/24/16
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT AMAT 0050-80924
capitolareatech Used - $165.00 0 Feb/24/16 Feb/25/16
Description: LAM 714-801511-002 LINER, NECK 300MM
capitolareatech Refurbished - $225.00 0 Feb/24/16 Feb/25/16
Description: LAM 714-801511-002 LINER, NECK 300MM
capitolareatech NEW - $100.65 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-38615 ASSY, NEEDLE VALVE, MAIN LIFT, 300MM DPS
capitolareatech NEW - $315.04 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-21740 Assembly. TC AMP 300MM
capitolareatech NEW - $145.18 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-06389 NOVA A.C. POWER BOX ASSEMBLY 300MM REFLE
capitolareatech NEW - $2,800.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-03060 300MM SST PR CH SLIT VALVE DR REV 3 ASSE
capitolareatech NEW - $33.39 0 Feb/24/16 Mar/26/16
Description: Applied Materials 0020-07212 Washer, Compression Quad Ring 300mm HDP
capitolareatech NEW - $37.77 0 Feb/24/16 Jun/23/16
Description: Applied Materials 0021-11502 Cap, Adjustable Elbow, 300mm Producer
capitolareatech NEW - $618.89 0 Feb/24/16 May/25/16
Description: AMAT 0040-80143 GRIPPER CLAW,LOWER,LH,300mm
capitolareatech NEW - $619.88 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-80141 GRIPPER CLAW,UPPER,LH,300mm
capitolareatech NEW - $176.53 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-64336 Ring, Retaining Flanged PPS AEP, 300MM P
capitolareatech NEW - $114.17 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-60891 STOP, DOUBLE, WAFER LIFT ASSEMBLY, 300MM
capitolareatech NEW - $125.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-55477 Crescent Drive, VDPM, 300MM Desica, CMP
capitolareatech NEW - $64.68 0 Feb/24/16 May/25/16
Description: AMAT 0040-50452 MOUNTING BRKT FRAME ASSY, 300MM ULTIMA X
capitolareatech NEW - $450.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-46343 Base, Wrist 300mm Robot TI LCF+
capitolareatech NEW - $200.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-46087 TOOL, KEY INSTALLATION, 300MM DPS2
capitolareatech NEW - $275.00 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-42314 Finger Assembly Peek, Long Walking Beam 300MM
capitolareatech NEW - $126.83 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-42182 COVER, LOWER WTR BOX, ANNL CHMBR 300MM
capitolareatech NEW - $113.24 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-42181 COVER, UPPER WTR BOX, ANNL CHMBR, 300MM
capitolareatech NEW - $7,892.33 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-40945 Plate ClampLID, EMAX 300MM
capitolareatech NEW - $4,525.34 0 Feb/24/16 Jun/24/16
Description: AMAT 0040-40865 Heater Mounting Plate 300MM Anneal
capitolareatech NEW - $77.73 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-36397 HARNESS ASSY, CATHODE MAINT, LEFT, 300MM
capitolareatech NEW - $45.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-15468 Cable POWER SUPPLY AC LINE CORD W/RING LUGS H/A 300MM ; COMPATIB
capitolareatech NEW - $125.00 0 Feb/25/16 May/25/16
Description: AMAT 0140-12597 HARNESS ASSY DIW HTR TC INPUTS 300MM OAS
capitolareatech NEW - $501.65 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-11746 HARNESS ASSY, P9 CCM, 300MM HART
capitolareatech NEW - $764.26 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-03575 COLLAR 300MM 5.4MM PRODUCER ETCH
capitolareatech NEW - $1,269.17 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-03470 TOP LINER, THIN, NO HOLE, 300MM SACVD PR
capitolareatech NEW - $41.38 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-02705 Plug, Endpoint, Sapphire, 300MM IA
capitolareatech NEW - $427.54 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 15-177748-00 RING, WEAR REAR LATCHED PET 300MM
capitolareatech NEW - $2,595.73 0 Feb/25/16 Jun/24/16
Description: AMAT 3250-01136 CNTNR WFR CARRIER 300MM 13SLOT AUTOPOD
supertechshop NEW - $49.95 0 Feb/25/16 Mar/26/16
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop NEW - $149.95 0 Feb/25/16 Mar/26/16
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
svliquidate Used - $299.00 0 Feb/25/16 Mar/26/16
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
svliquidate NEW - $249.00 1 Feb/25/16 Mar/26/16
Description: NEW AMAT 300mm Collar 5.4mm Producer Etch 0200-03736 Applied Materials / Sealed
svliquidate NEW - $299.00 0 Feb/25/16 Mar/26/16
Description: NEW AMAT 0040-05800 Applied Materials 5000 CVD Shielded Bellows Assy TXZ 300mm
svliquidate Used - $299.00 0 Feb/25/16 Mar/26/16
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
supertechshop Used - $39.95 0 Feb/25/16 Mar/26/16
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop NEW - $39.95 0 Feb/25/16 Mar/26/16
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svliquidate NEW - $4,950.00 0 Feb/25/16 Mar/26/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svliquidate NEW - $4,750.00 0 Feb/25/16 Mar/26/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
supertechshop NEW - $495.00 0 Feb/25/16 Mar/26/16
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
capitolareatech NEW - $641.52 0 Feb/25/16 Jun/24/16
Description: LAM 716-021216-368 Ring, Top, ADJ, CPLG, 2300, 300MM, D
capitolareatech NEW - $750.00 0 Feb/25/16 Apr/04/16
Description: LAM 839-020965-320 LAM Si, ASSY, ELECTRODE, OUTER, 300MM
bruce135 Used - $329.99 0 Feb/25/16 Mar/26/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
supertechshop NEW - $349.95 0 Feb/25/16 Mar/26/16
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
capitolareatech NEW - $50.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0140-06842 HARNESS ASSY HEATER CTRLR/SSR 300MM WET
capitolareatech NEW - $470.62 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-44708 LINE, MFLD 2POS. & PP, 300MM CENTURA LPC
capitolareatech Refurbished - $750.00 0 Feb/29/16 Jun/28/16
Description: AMAT 1080-00195 DRIVER SERVO, 300MM HEATER LIFT, PV1
capitolareatech Refurbished - $125.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0200-02449 RING, COVER 300MM; TOSH C40-013-Q-91R
capitolareatech Refurbished - $500.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0200-01833 COVER COLUMNAR 300MM
capitolareatech Refurbished - $550.00 0 Feb/29/16 Apr/04/16
Description: LAM 839-020965-320 LAM Si, ASSY, ELECTRODE, OUTER, 300MM
dr.dantom NEW - $1,000.00 2 Mar/01/16 May/24/17
Description: AMAT Applied Materials 0040-81707 LIFT HOOP W/BUMP DEGAS CH 300MM ENDURA
dr.dantom NEW - $1,699.00 0 Mar/01/16 Feb/19/20
Description: AMAT Applied Materials 0041-30243 SHIELD OUTER PCII/RPC+ 300MM
dr.dantom NEW - $280.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0040-41846 Membrane Support Fixture 300MM Titan Head
dr.dantom NEW - $200.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0040-41893 DOOR BACKING 300MM SLT RE REV 003
dr.dantom NEW - $280.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0040-75296 REV 004 KSM-1213-0847 BELLOWS WAFER LIFT 300MM
dr.dantom NEW - $120.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0190-17725 PURCHASE SPECIFICATION 300MM ENDURA REV 3 EQU.
dr.dantom Used - $700.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0010-25624 300mm Slit Valve Assy
dr.dantom NEW - $300.00 1 Mar/02/16 Aug/13/19
Description: AMAT Applied Materials 0020-48616 SHIELD MOVABLE HYDROPHILIC SRD 300MM
dr.dantom Used - $260.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0010-41860 Assy Process Kit 300MM SIP ENCORE CU WITH COIL
dr.dantom NEW - $50.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0242-46442 KIT STRAIN RELIEF DC POWER SUPPLY 300MM ENDURA
dr.dantom NEW - $100.00 0 Mar/02/16 Mar/10/16
Description: AMAT Applied Materials 0246-02759 KIT PROCESS UMM W FO E2 300MM
dr.dantom NEW - $85.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0242-30462 KIT 300MM EQUIPMENT RACK DC & RF PWR SPLY
dr.dantom NEW - $320.00 0 Mar/03/16 Feb/19/20
Description: 2x AMAT Applied Materials 0200-02121 INSULATOR FEEDTHRU 300MM SIP ENCORE TA(N)
dr.dantom NEW - $300.00 3 Mar/03/16 Feb/19/20
Description: AMAT Applied Materials 0200-07448 HOUSING DOUBLE RF CONN 300MM SIP ENCORE
dr.dantom Used - $18,000.00 0 Mar/03/16 Feb/19/20
Description: AMAT Applied Materials 0010-42030 PEDESTAL, DT ESC MT, ENDURA 2, 300MM
dr.dantom NEW - $1,200.00 0 Mar/03/16 Feb/19/20
Description: AMAT Applied Materials 0041-37729 RETAINING RING GROOVED PPS AEP 300MM TITAN
mylexingtonblue NEW - $4,000.00 0 Mar/03/16 Apr/02/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
dqtren NEW - $32,000.00 0 Mar/04/16 Apr/03/16
Description: 0010-37176, NEW, AMAT 300MM ESC ASSY, DPS ETCH
dr.dantom NEW - $399.00 0 Mar/06/16 Feb/19/20
Description: Applied Materials AMAT 0021-12738 14" Centering Fixture 300MM Titan Head
dr.dantom NEW - $1,000.00 1 Mar/06/16 Oct/15/19
Description: Applied Materials AMAT 0200-05638 Blade Ceramic open 300MM pocket Conductive
dr.dantom NEW - $350.00 0 Mar/06/16 Feb/19/20
Description: Applied Materials AMAT 0240-13190 Procedure/Fixture Kit for 300MM TITAN SP HEAD
dr.dantom Used - $750.00 4 Mar/06/16 Apr/24/17
Description: Applied AMAT SMC 0010-03051 0040-50505 300MM Slit Valve NCDQ2B125-UIA97
sparesllc09 Used - $30,000.00 0 Mar/07/16 Aug/30/16
Description: 0040-80548, 0040-80813 / BASE PLATE, CHAMBER, 300MM EPI / AMAT
sparesllc09 Used - $4,000.00 1 Mar/08/16 May/01/17
Description: 0100-01973 /3REV.2 AMAT LFD 300MM RADIANCE /APPLIED MATERIALS
dr.dantom NEW - $800.00 0 Mar/08/16 Feb/19/20
Description: Applied Materials AMAT 0040-43634 Housing 300mm Titan Head Cooper!
dr.dantom NEW - $650.00 3 Mar/08/16 Jul/03/16
Description: Applied Materials AMAT 0020-48628 AI Ring Edge 300mm BB
ptb-sales Used - $2,000.00 0 Mar/07/16 Mar/17/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svliquidate Used - $199.00 0 Mar/07/16 Apr/06/16
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svliquidate Used - $299.00 0 Mar/07/16 Apr/06/16
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svliquidate Used - $9,995.00 0 Mar/07/16 Apr/06/16
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svcstore Used - $158.99 0 Mar/09/16 Apr/08/16
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $82.99 0 Mar/09/16 Apr/08/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
esoteric_specialties Used - $375.00 0 Mar/10/16 Apr/09/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
svcstore Used - $799.99 0 Mar/11/16 Apr/10/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Mar/12/16 Apr/11/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
dr.dantom NEW - $600.00 0 Mar/13/16 Feb/19/20
Description: Applied Materials 0041-24879 AI CAP ALD TAN LID 300MM BB TM & LPC
technotrust NEW - $979.99 0 Mar/14/16 Apr/13/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
dqtren NEW - $36,000.00 0 Mar/14/16 Apr/13/16
Description: 0010-53618, NEW OEM, AMAT 300MM PRODUCER HEATER
supertechshop Used - $1,995.00 0 Mar/14/16 Apr/13/16
Description: AMAT 400748 Endura 300mm PC Chamber Shell 0010-04832 Chassis Assembly / Portable
supertechshop Used - $6,750.00 0 Mar/14/16 Apr/13/16
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
dr.dantom NEW - $120.00 3 Mar/15/16 Feb/19/20
Description: 5pcs Applied Materials 0200-02262 Insulator Coil Support 300MM SIP ENCORE TA (N)
dr.dantom NEW - $40.00 3 Mar/15/16 Feb/19/20
Description: 5pcs Applied Materials 0020-24423 Plate Retainer 300mm SIP Encore TA(N)
dr.dantom NEW - $120.00 0 Mar/15/16 Feb/19/20
Description: 7pcs Applied Materials 0270-05576 Tool Dummy Coil Shield Assy 300MM SIP ENCORE
dr.dantom NEW - $40.00 3 Mar/15/16 Feb/19/20
Description: 2pcs Applied Materials 0020-86040 BAR RF SBS 300MM SIP ENCORE TA (N)
dr.dantom NEW - $80.00 0 Mar/16/16 Feb/19/20
Description: 2pcs Applied Materials 0200-02139 PIN COVER CAPTIVE SCREW 300MM SIP ENCORE TA(N)
dr.dantom NEW - $65.00 3 Mar/16/16 Jul/22/19
Description: 5pcs Applied Materials 0200-02145 CAP COIL SUPPORT 300MM SIP ENCORE TA(N)
capitolareatech NEW - $250.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0200-36019 NOZZLE,MIDDLE 4.0/1.5",DTCU,DPS 300MM
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0140-06841 HARNESS ASSY PREHEAT CTRLR/SSR 300MM WET
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0140-04806 HARNESS ASSY, MF AC TO NSK POWER, 300MM
capitolareatech NEW - $250.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0040-08737 COVER, LEFT SIDE, 300MM EMAX
capitolareatech NEW - $250.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0040-08737 COVER, LEFT SIDE, 300MM EMAX
arizindo Used - $425.00 1 Mar/16/16 Apr/04/18
Description: Applied Materials AMAT Assy. FCW Valve, 300mm Centura -- 0010-02433 -- Used
capitolareatech NEW - $2,679.57 0 Mar/16/16 Sep/15/16
Description: AMAT 0020-12397 Lower Plate Heater ECP 300MM Anneal
grandbirdnet NEW - $2,900.00 0 Mar/16/16 Jun/22/23
Description: AMAT 0021-16783 COVER RING, NARROW POCKET, 300MM SIP CU, , NEW
ptb-sales Used - $2,000.00 0 Mar/18/16 Mar/28/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
dqtren NEW - $1,200.00 0 Mar/18/16 Apr/17/16
Description: 0041-06736, NEW, AMAT 300MM TOP GAS FEED ASSY
redrockranch NEW - $189.00 0 Mar/21/16 Apr/14/16
Description: Preclean Shield 0021-21065 003 Amat 300mm
svcstore Used - $118.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $118.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $103.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $118.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $319.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $279.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $239.99 0 Mar/21/16 Apr/20/16
Description: Applied Materials/AMAT 0041-39086 300mm Grooved Titan Head Retaining Ring
svcstore Used - $88.99 0 Mar/21/16 Apr/20/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $359.99 0 Mar/21/16 Apr/20/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Scrap, for parts - $359.99 0 Mar/21/16 Apr/20/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $319.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $599.99 0 Mar/23/16 Apr/22/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
supertechshop Used - $1,275.00 0 Mar/23/16 Apr/22/16
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
sacramento_liquidators NEW - $45.00 0 Mar/24/16 Apr/23/16
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT AMAT 0050-80924
athomemarket Used - $219.99 0 Mar/25/16 Apr/01/16
Description: AMAT Applied Materials 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
svcstore NEW - $79.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $103.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $108.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $85.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $86.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $115.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $86.99 0 Mar/25/16 Apr/24/16
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $449.99 0 Mar/25/16 Apr/24/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
supertechshop Used - $1,250.00 0 Mar/26/16 Apr/25/16
Description: AMAT 0010-37176 ESC Heater Assy 300mm Chuck DPS AE Etch Chamber 0041-09247
svliquidate Used - $299.00 0 Mar/26/16 Apr/25/16
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svliquidate NEW - $4,750.00 0 Mar/26/16 Apr/25/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svliquidate NEW - $4,950.00 0 Mar/26/16 Apr/25/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svliquidate Used - $299.00 0 Mar/26/16 Apr/25/16
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svliquidate Used - $1,950.00 0 Mar/26/16 Apr/25/16
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
svliquidate Used - $299.00 0 Mar/26/16 Apr/25/16
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop NEW - $39.95 0 Mar/26/16 Apr/25/16
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
supertechshop Used - $39.95 0 Mar/26/16 Apr/25/16
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop Used - $650.00 0 Mar/26/16 Apr/25/16
Description: AMAT 0040-13509 Quartz 300mm Bell Jar Preclean 0040-46397 Ring 0020-19581 Plate
supertechshop Used - $29,975.00 0 Mar/26/16 Apr/25/16
Description: AMAT 0010-14204 MCA 300mm E-Chuck Heater ESC Electro-Static Assy / Warranty
supertechshop Used - $1,995.00 0 Mar/26/16 Apr/25/16
Description: AMAT 0010-12037 Endura 300mm PCII Resonator Preclean Sputter Chamber/ Hinge
supertechshop NEW - $149.95 0 Mar/26/16 Apr/25/16
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop NEW - $49.95 0 Mar/26/16 Apr/25/16
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop NEW - $349.95 0 Mar/26/16 Apr/25/16
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop NEW - $495.00 0 Mar/26/16 Apr/25/16
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
supertechshop NEW - $495.00 0 Mar/26/16 Mar/26/16
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
capitolareatech NEW - $85.00 0 Mar/27/16 Jun/25/16
Description: AMAT 0150-91737 Spares TB 300MM
bruce135 Used - $329.99 0 Mar/28/16 Apr/27/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
nissiglobal NEW - $44.99 1 Mar/28/16 Mar/01/17
Description: APPLIED MATERIALS 0195-02768 SPECIFICATION, H/A 300MM POWER SUPPLY AC LINE COR
ptb-sales Used - $2,000.00 0 Mar/28/16 Apr/07/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,000.00 0 Mar/30/16 Apr/09/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ecomicron NEW - $75,000.00 0 Mar/31/16 Jul/08/16
Description: 0010-24076, AMAT, PEDESTAL 300MM FDR SLTESC ASSY D4 DYLYN
outback6stk NEW - $100.00 0 Apr/01/16 Apr/08/16
Description: Z125754 Applied Materials AMAT 0020-19973 Hoop 300MM w/ (3) 0020-27999 - NEW
esl-elektronik NEW - $149.00 0 Apr/04/16 Jul/03/19
Description: Lam Research Ring Edge Top Wide 300mm 716-331142-304 New / Sealed
esl-elektronik NEW - $1,799.00 0 Apr/04/16 Jul/03/19
Description: Lam Research Hot Edge Ring 300mm 715-443178-300 New / Sealed
tjtechseller Used - $1,200.00 0 Apr/04/16 Aug/14/17
Description: 0090-01810 0190-10208 AMAT 300MM ENDURA CENTURA MAINFRAME INTERFACE BOARD C-AP
electronicswest NEW - $35.00 0 Apr/04/16 Apr/04/16
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
athomemarket Used - $219.99 1 Apr/04/16 Feb/22/18
Description: AMAT Applied Materials 0010-06700 300mm Radiance Pyro/Pyrometer RTP Probe
higherbid NEW - $189.88 0 Apr/05/16 May/05/16
Description: AMAT 0021-34033 Membrane 300MM Titan Profiler
tjtechseller Used - $400.00 0 Apr/05/16 Aug/05/19
Description: 0100-20012 AMAT Applied Materials 300mm Centura PCB ASSY ISOLATION AMPLIFIER
tjtechseller NEW - $1,004.00 1 Apr/05/16 Apr/20/19
Description: 0090-04405 AMAT Applied Materials 300mm Centura VMIC PCB 800MHZ 512MB SDRAM
tjtechseller NEW - $450.00 3 Apr/05/16 Mar/05/23
Description: 0190-02748 AMAT Applied Material 300mm Endura Flex Scanner Transition Module
capitolareatech NEW - $25.00 0 Apr/05/16 Jul/04/16
Description: AMAT 0140-01066 Harness SPCL to SWLL Driver 300MM CENTUR
capitolareatech NEW - $26.41 0 Apr/05/16 Jul/04/16
Description: LAM 734-010736-001 GREENE TWEED 4201B28703SP742; O-RING, LINER CHMBR 300MM DOV
ecomicron NEW - $1,500.00 1 Apr/05/16 Apr/01/21
Description: 0020-84776, AMAT, EDGE RING SICONI 300MM
ecomicron Used - $500.00 1 Apr/05/16 Dec/30/21
Description: 0021-47450, AMAT, BLOCKER, DD2439, SACVD, 300MM PRODUCER
svliquidate Used - $199.00 0 Apr/06/16 May/06/16
Description: Applied Materials Faceplate 300mm Face Plate AMAT 0040-70026
svliquidate Used - $299.00 0 Apr/06/16 May/06/16
Description: AMAT 0200-01427 Isolator Ceramic Ring CVD Low-K 300mm Prod ST-4 Isolation Ring
svliquidate Used - $9,995.00 0 Apr/06/16 May/06/16
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
yericomfg NEW - $1,100.00 0 Apr/07/16 Aug/08/18
Description: LAM; Assy, Elctd, Outer, Flat, 300mm; 839-020965-007
ptb-sales Used - $2,000.00 0 Apr/08/16 Apr/18/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ecomicron NEW - $6,000.00 0 Apr/08/16 Jun/22/23
Description: 0040-76577 or 0240-44887 AMAT, WEIGHT 300MM 5ZONE PROFILER
ecomicron NEW - $9,500.00 0 Apr/08/16 Jun/22/23
Description: 0190-11355, AMAT, .650 CALIBR REF.3 CHNL HTR LEVEL 300MM
svcstore Used - $158.99 0 Apr/08/16 May/08/16
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $82.99 0 Apr/08/16 May/08/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
edgkinternational Used - $110,000.00 0 Apr/09/16 Jun/08/16
Description: AMAT 300mm Chamber 0010-22569 CPI-VMO
esoteric_specialties Used - $375.00 0 Apr/10/16 May/10/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
esoteric_specialties Used - $375.00 0 Apr/10/16 May/10/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
esoteric_specialties Used - $375.00 0 Apr/10/16 May/10/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
esoteric_specialties Used - $375.00 0 Apr/10/16 May/10/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
outback6stk NEW - $75.00 1 Apr/11/16 Mar/09/17
Description: Z125754 Applied Materials AMAT 0020-19973 Hoop 300MM w/ (3) 0020-27999 - NEW
svcstore Used - $799.99 0 Apr/10/16 May/10/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Scrap, for parts - $699.99 0 Apr/11/16 May/11/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
techshop7777 NEW - $879.99 0 Apr/14/16 May/14/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
redrockranch NEW - $189.00 0 Apr/14/16 May/12/16
Description: Preclean Shield 0021-21065 003 Amat 300mm
supertechshop Used - $1,995.00 0 Apr/14/16 May/14/16
Description: AMAT 400748 Endura 300mm PC Chamber Shell 0010-04832 Chassis Assembly / Portable
supertechshop Used - $6,750.00 0 Apr/14/16 May/14/16
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
capitolareatech NEW - $9,995.00 0 Apr/17/16 Sep/15/16
Description: AMAT 0010-10868 ASSY, ADAPTER VECTRA IMP 300MM W/ INSERTS
ptb-sales Used - $2,000.00 0 Apr/18/16 Apr/28/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $2,000.00 0 Apr/18/16 Apr/28/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech Refurbished - $4,450.00 0 Apr/19/16 Aug/15/16
Description: AMAT 0190-22248 Robot, 300MM
capitolareatech NEW - $9,950.00 0 Apr/19/16 Sep/15/16
Description: AMAT 0100-00631 ASSEMBLY, PCB, 300MM FAILURE DETECTOR CP
lot2sell07 Used - $1,500.00 0 Apr/20/16 May/20/16
Description: AMAT 0190-24282 CONTROLLER, MB340 MAG LEV, 300MM RADIAN
bobsgoodies2 Used - $875.00 0 Apr/20/16 Mar/31/22
Description: AMAT 0021-12747 Rev 3 BRACKET,CH SPRT,FRONT,RIGHT, 300MM EMAX
capitolareatech NEW - $12.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-49517 Block, Strain Relief, DC Cable, 300MM IMP Chamber
capitolareatech NEW - $15.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-46318 Pin guide, 300mm ESC DT
capitolareatech NEW - $30.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0020-14740 PLUG CYLINDER, PORT CHAMBER TOP, 300MM H
svcstore Used - $349.99 0 Apr/20/16 May/20/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $88.99 0 Apr/20/16 May/20/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $103.99 0 Apr/20/16 May/20/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Scrap, for parts - $449.99 0 Apr/20/16 May/20/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $399.99 0 Apr/20/16 May/20/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $118.99 0 Apr/21/16 May/21/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $118.99 0 Apr/20/16 May/20/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $399.99 0 Apr/20/16 May/20/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $449.99 0 Apr/20/16 May/20/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $118.99 0 Apr/21/16 May/21/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
dqtren NEW - $36,000.00 0 Apr/21/16 Apr/26/16
Description: 0010-53618, NEW OEM, AMAT 300MM PRODUCER HEATER
supertechshop Used - $1,275.00 0 Apr/22/16 May/19/16
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
sacramento_liquidators NEW - $45.00 0 Apr/23/16 May/23/16
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT AMAT 0050-80924
allpart2016 Used - $2,900.00 0 Apr/24/16 Sep/02/19
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer
svcstore NEW - $79.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $103.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $108.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $85.99 0 Apr/24/16 May/24/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $86.99 0 Apr/24/16 May/24/16
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $115.99 0 Apr/24/16 May/24/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $86.99 0 Apr/24/16 May/24/16
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $449.99 0 Apr/24/16 May/24/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svliquidate Used - $299.00 0 Apr/25/16 May/19/16
Description: AMAT Faceplate 300mm Applied Materials Face Plate 0040-88704
svliquidate Used - $299.00 0 Apr/25/16 May/19/16
Description: Lot 2 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189
svliquidate NEW - $3,750.00 0 Apr/25/16 May/10/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-259457-00 Cameo Cam Bodine Gearmotor
svliquidate NEW - $4,750.00 0 Apr/25/16 May/10/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svliquidate Used - $299.00 0 Apr/25/16 May/19/16
Description: Applied Materials Face Plate 300mm Faceplate AMAT 0040-49627
supertechshop Used - $1,995.00 0 Apr/25/16 May/19/16
Description: AMAT 0010-12037 Endura 300mm PCII Resonator Preclean Sputter Chamber/ Hinge
supertechshop Used - $39.95 0 Apr/25/16 May/19/16
Description: AMAT 0020-89739 Door Slit Valve Y203 Coated 300mm DPS232 Yttria Anodized Alum
supertechshop Used - $650.00 0 Apr/25/16 May/19/16
Description: AMAT 0040-13509 Quartz 300mm Bell Jar Preclean 0040-46397 Ring 0020-19581 Plate
supertechshop NEW - $39.95 0 Apr/25/16 May/19/16
Description: NEW AMAT Spacer 300mm Half Ring CVD Insulator Lid 0041-41421 / Sealed
svliquidate Used - $1,950.00 0 Apr/25/16 May/10/16
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
supertechshop NEW - $495.00 0 Apr/25/16 May/19/16
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
supertechshop NEW - $49.95 0 Apr/25/16 May/19/16
Description: AMAT Alignment Insert 300mm Round Adapter CBM PVD 0021-93848 Applied Materials
supertechshop NEW - $149.95 0 Apr/25/16 May/19/16
Description: NEW AMAT 300mm Inner Ring Titan Calypso 0041-37294 Applied Materials
supertechshop NEW - $349.95 0 Apr/25/16 May/19/16
Description: AMAT Blocker Manifold Set Right-Left Chamber Lid 300mm DD2439 SACVD 0020-64656
supertechshop Used - $29,975.00 0 Apr/25/16 May/19/16
Description: AMAT 0010-14204 MCA 300mm E-Chuck Heater ESC Electro-Static Assy / Warranty
supertechshop Used - $1,250.00 0 Apr/25/16 May/19/16
Description: AMAT 0010-37176 ESC Heater Assy 300mm Chuck DPS AE Etch Chamber 0041-09247
grandbirdnet Used - $800.00 0 Apr/26/16 Jun/22/23
Description: AMAT 0190-35788 MOTOR,5PHASE STEPPER W/ CABLE 300MM UNIV , USED
mylexingtonblue NEW - $3,000.00 0 Apr/26/16 May/26/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
bruce135 Used - $329.99 0 Apr/27/16 May/27/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
usedeqsales Used - $2,504.16 0 Apr/28/16 Mar/14/17
Description: AMAT Applied Materials 0010-21748 PVG RF Match 300mm Preclean/RPC Used Working
ptb-sales Used - $2,000.00 0 Apr/29/16 May/09/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $2,000.00 0 Apr/29/16 May/09/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies2 Used - $150.00 0 Apr/30/16 Mar/31/22
Description: AMAT 0100-76108 Interlock Display Board & Display 0020-29652 300MM PVD Chamber
bobsgoodies2 Used - $250.00 0 Apr/30/16 Mar/10/22
Description: AMAT 0100-76103 Contactor Control Board & Display 0020-29653 300MM PVD Chamber
bobsgoodies2 Used - $275.00 0 May/02/16 Mar/31/22
Description: AMAT 0100-76101 CHAMBER INTERLOCK 300MM PVD CHAMBER
bobsgoodies2 Used - $275.00 0 May/02/16 Mar/31/22
Description: AMAT 0100-76102 DC INTERCONNECT 300MM PVD CHAMBER SCHEMATIC 0130-76102
bobsgoodies Used - $225.00 0 May/02/16 Jun/15/16
Description: AMAT 0020-29781 300mm PVD Chamber Contactor Bracket and 2 contractors CE15FN3Y1
bobsgoodies2 Used - $450.00 0 May/02/16 Mar/31/22
Description: AMAT 0100-76096 Power Supply Board 300MM PVD Chamber Controller 0130-76096 ( 2 )
bobsgoodies Used - $400.00 0 May/02/16 Sep/30/20
Description: AMAT 0190-03672 SCR Power Controller Control Concepts 3095-1017 300mm PVD Chambe
ecomicron NEW - $800.00 0 May/02/16 May/16/18
Description: 0100-76294, Applied Materials, PCB,SMOKE/WATER DISTRIBUTION 300MM
capitolareatech NEW - $8.00 0 May/02/16 Jul/01/16
Description: AMAT 0021-06325 actuator bracket, clamp ring switch, 300mm
neilan1987 Used - $49.99 0 May/03/16 Jan/10/17
Description: Applied Materials AMAT 0010-21740 TC Amplifier 300MM USED
yericomfg NEW - $3,100.00 0 May/03/16 Aug/08/18
Description: Applied Materials ; Mount, Platen Drive Motor, 300mm LK Poli; 0040-80083
jinhyucle_0 NEW - $65.00 4 May/03/16 Dec/18/17
Description: AMAT 0190-31284 (76EA) LAMP, 2KW 120V, USHIO BNA8, EPI 300MM
jinhyucle_0 NEW - $13,000.00 0 May/03/16 May/04/16
Description: AMAT 0190-09207 INR-498-012D-X007 PRODUCER 300MM SMC HEAT EXCHANGER
jinhyucle_0 NEW - $1,200.00 0 May/04/16 Dec/18/17
Description: AMAT 0040-47126 BLADE, SST, VHP ROBOT, 300MM, PRODUCER
jinhyucle_0 NEW - $1,200.00 0 May/04/16 Nov/18/16
Description: AMAT 0200-01326 INSULATOR 300MM SOURCE ADAPTER CERAMIXC NEW SEALED
jinhyucle_0 NEW - $3,500.00 0 May/04/16 Nov/18/16
Description: AMAT 0041-13891 FACEPLATE, HARP WATER COOLED, 300MM SACVD BRAND NEW
jinhyucle_0 NEW - $2,300.00 0 May/04/16 Nov/18/16
Description: AMAT 0041-26333 PLATE, GAS DISTRIBUTION, 300MM ALD TIN w/Certification
jinhyucle_0 NEW - $3,000.00 0 May/04/16 Sep/08/16
Description: AMAT 0010-45249 ALUMINUM HEATER ASSY LOW TEMP 300MM PRODUCER
jinhyucle_0 NEW - $1,000.00 0 May/04/16 Nov/18/16
Description: AMAT 0010-08581 GEN RACK DNET, I/O BLOCK, 300MM SEALED
jinhyucle_0 NEW - $800.00 0 May/04/16 Nov/18/16
Description: AMAT 0021-51937 BLOCKER, DD3328, SACVD, 300MM PRODUCER BRAND NEW SEALED
sparesllc09 Used - $3,661.00 0 May/05/16 Jun/28/19
Description: 0021-04870 / PEDESTAL, A101, 300MM / APPLIED MATERIALS
yericomfg NEW - $2,800.00 0 May/06/16 Aug/08/18
Description: Applied Materials;Faceplate,300mm Silane Flow, 0200-84596
autoquip7 NEW - $18,000.00 0 May/07/16 Jul/25/22
Description: 0040-02986, Applied Materials, LASED, PEDESTAL, 300MM DUAL HE, HDPCVD
autoquip7 Used - $29,500.00 0 May/07/16 Jul/25/22
Description: 0010-05856, Applied Materials, HEATER ASSY 300MM DUAL ZONE H17 D13 RIGI
sammy_etek NEW - $25,000.00 0 May/07/16 Aug/27/16
Description: 0040-48594, Applied Materials, ESC, TESTED, 300MM DUAL HE, HDPCVD
autoquip7 NEW - $1,500.00 0 May/08/16 Jul/25/22
Description: 0200-35665, Applied Materials, WINDOW,LAMP HOUSING,RP 300MM RTP
svcstore Used - $158.99 0 May/08/16 Jun/07/16
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $82.99 0 May/08/16 Jun/07/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
edealertech NEW - $189.88 0 May/09/16 Dec/08/16
Description: AMAT 0021-34033 Membrane 300MM Titan Profiler
jinhyucle_0 NEW - $10,000.00 0 May/09/16 Nov/18/16
Description: AMAT 0190-09207 INR-498-012D-X007 PRODUCER 300MM SMC HEAT EXCHANGER
ecomicron NEW - $1,000.00 0 May/09/16 Jun/22/23
Description: 0021-22182, AMAT, HEAT SHIELD, RIGHT, 300MM
esoteric_specialties Used - $375.00 0 May/10/16 Jun/09/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
supertechshop Used - $9,995.00 0 May/10/16 May/19/16
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
svcstore Used - $799.99 0 May/10/16 Jun/09/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
jinhyucle_0 NEW - $1,800.00 0 May/11/16 Nov/18/16
Description: AMAT 0200-07810 CERAMIC BLADE, EDGE CONTACT, 300MM PRODUCER, BRAND NEW
jinhyucle_0 NEW - $250.00 0 May/11/16 Nov/18/16
Description: AMAT 0021-30906 CLAMP, BLADE 300MM DBR, NEW, SEALED
supertechshop NEW - $4,750.00 0 May/11/16 May/19/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
svcstore Scrap, for parts - $699.99 0 May/11/16 Jun/10/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
autoquip7 NEW - $24,000.00 0 May/12/16 Jul/25/22
Description: 0010-08167, Applied Materials, 300mm Dual Zone Ceramic Heater
redrockranch NEW - $189.00 0 May/12/16 Jun/08/16
Description: Preclean Shield 0021-21065 003 Amat 300mm
bbs_express Used - $124.00 0 May/12/16 Sep/12/22
Description: Applied Materials 0040-48108 SHIELD COVER 300MM SRD CLEANER
autoquip7 NEW - $3,800.00 0 May/14/16 Jul/25/22
Description: 0200-01080, Applied Materials, DEP RING, PVD PROCESS, 300MM ESC
dqtren NEW - $36,000.00 0 May/14/16 May/21/16
Description: 0010-53618, NEW OEM, AMAT 300MM PRODUCER HEATER
tjtechseller Used - $4,000.00 0 May/15/16 May/08/17
Description: 0190-17952 0190-10017 0660-01879 Applied Materials AMAT 300mm Board Assy CDN491
supertechshop Used - $1,995.00 0 May/16/16 May/19/16
Description: AMAT 400748 Endura 300mm PC Chamber Shell 0010-04832 Chassis Assembly / Portable
supertechshop Used - $6,750.00 0 May/16/16 May/19/16
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
ecomicron NEW - $400.00 0 May/16/16 Jun/22/23
Description: 0240-49035, AMAT, KIT PUCK INTEGRATION, 300MM ESC, DT
ecomicron NEW - $600.00 0 May/17/16 Jun/22/23
Description: 0240-07855, AMAT, KIT, EXHAUST SENSOR BOX, 300MM MM MESA,
asmtk Used - $40,000.00 0 May/18/16 Oct/01/21
Description: APPLIED MATERIALS 0010-27430 PEDESTAL, PIB, 300MM MCA HT ESC ASSY, KO AMAT
visionsemi Used - $1,875.00 2 May/18/16 May/19/16
Description: APPLIED MATERIALS AMAT NSK 300MM ROBOT DRIVER 0190-25030 ELA-B014CG1-04
jinhyucle_0 NEW - $800.00 0 May/19/16 Nov/18/16
Description: AMAT 0021-61233 Blocker DD2496 SACVD 300MM Producer, Brand NEW, Sealed
jinhyucle_0 NEW - $600.00 1 May/19/16 Aug/24/16
Description: AMAT 0020-63185 Blocker Plate 300mm WXZ. 0021-25474, 300mm BRAND NEW
bobsgoodies NEW - $87.00 9 May/20/16 Oct/11/19
Description: Applied Materials 0090-00701 ASSEMBLY, 300MM CDA PRESSURE SWITCH ISE4-T1-25
jinhyucle_0 NEW - $1,000.00 0 May/20/16 Nov/18/16
Description: AMAT 0021-51937 BLOCKER, DD3328, SACVD, 300MM PRODUCER, BRAND NEW, ORIGINAL PACK
svcstore Used - $399.99 0 May/21/16 May/26/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $349.99 0 May/21/16 May/26/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $118.99 0 May/21/16 May/28/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $118.99 0 May/21/16 May/28/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $103.99 0 May/21/16 May/28/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $118.99 0 May/21/16 May/28/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Scrap, for parts - $449.99 0 May/21/16 May/24/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $449.99 0 May/21/16 May/26/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $88.99 0 May/21/16 May/26/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $399.99 0 May/21/16 May/26/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
techshop7777 NEW - $849.99 0 May/23/16 Jun/22/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore Used - $299.99 1 May/23/16 May/27/16
Description: Applied Materials/AMAT 0041-39086 300mm Grooved Titan Head Retaining Ring
svcstore Used - $599.99 0 May/23/16 Jun/22/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
capitolareatech NEW - $266.32 0 May/24/16 Jun/23/16
Description: AMAT 0040-83219 SKIN, LOWER FRONT LEFT, HVM 300MM
capitolareatech NEW - $177.38 0 May/24/16 Jun/23/16
Description: AMAT 0020-84004 HOUSING, ESC HV CONNECTOR, 300MM HART JD
usedeqsales Used - $1,005.16 0 May/25/16 Apr/02/23
Description: AMAT Applied Materials 0040-06361 ISM SWLL 300mm Bellows Assembly Used
mylexingtonblue NEW - $3,000.00 0 May/26/16 Jun/25/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
svcstore NEW - $86.99 0 May/26/16 Jun/25/16
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $449.99 0 May/26/16 Jun/25/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $79.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $103.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $108.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $85.99 0 May/26/16 Jun/25/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $115.99 0 May/26/16 Jun/25/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $86.99 0 May/26/16 Jun/25/16
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $449.99 0 May/26/16 Jun/25/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
jinhyucle_0 NEW - $200.00 2 May/27/16 Dec/18/17
Description: AMAT 0090-02138 PHOTOELECTRIC SENSOR AMP, 300MM L-DOOR, BRAND NEW
bruce135 Used - $329.99 0 May/27/16 Jun/26/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
yericomfg NEW - $1,100.00 0 May/31/16 Aug/08/18
Description: Applied Materials; Kit,H2000 HX 300mm DNET 0242-53263
yericomfg NEW - $550.00 0 May/31/16 Aug/08/18
Description: Applied Materials; Kit, CHBR to Mainframe MTG, DPN 300mm ACP 0240-55256
y.t.r2011 NEW - $3,390.00 0 Jun/03/16 Jun/11/18
Description: New AMAT 0020-43065 SUPPORT BAR, STEP PLATFORM. 300MM HDPCVD, 8.30"'
svcstore Used - $106.99 0 Jun/03/16 Jul/03/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $106.99 0 Jun/03/16 Jul/03/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $106.99 0 Jun/03/16 Jul/03/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $92.99 0 Jun/03/16 Jul/03/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $399.99 0 Jun/03/16 Jul/03/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $349.99 0 Jun/03/16 Jul/03/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $449.99 0 Jun/04/16 Jul/04/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $399.99 0 Jun/04/16 Jul/04/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $79.99 0 Jun/04/16 Jul/04/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
supertechshop Used - $1,950.00 0 Jun/05/16 Jul/05/16
Description: AMAT CAM Arm & 300mm SDS Arm Detent Assembly 0020-24103 & 0040-97048 / Warranty
supertechshop NEW - $3,750.00 0 Jun/05/16 Jul/05/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-254957-00 Cameo Cam Bodine Gearmotor
yericomfg NEW - $200.00 0 Jun/06/16 Aug/08/18
Description: Applied Materials; Remote AC CB Kit, DPN Chamber A, 300mm 0190-08307
yericomfg NEW - $1,500.00 0 Jun/06/16 Aug/08/18
Description: Applied Materials; Centering Ring, Liner, Bottom, 300mm SE 0021-24185
yericomfg NEW - $185.00 1 Jun/06/16 Oct/16/16
Description: Applied Materials; Cable Assy, Source RF Gen, DPN 300mm 0150-12065
yericomfg NEW - $110.00 0 Jun/06/16 Aug/08/18
Description: Applied Materials; Kit, Gas Delivery, Integration, 300mm 0242-29469
yericomfg NEW - $150.00 0 Jun/06/16 Aug/08/18
Description: Applied Materials; Kit, Chamber Mounting, ACP II, 300mm DPN/+ 0242-47348
ecomicron Used - $11,000.00 1 Jun/07/16 May/04/17
Description: 0040-80744, AMAT, SHIELD, HEAT, UPPER 300MM EPI
gigabitpartsolutions NEW - $44.00 1 Jun/08/16 Mar/12/18
Description: OEM Part Applied Materails (AMAT) 0020-61949 STRAP SST LOWER SHIELD GROUND 300MM
supertechshop Used - $1,995.00 0 Jun/08/16 Jul/08/16
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392
gigabitpartsolutions NEW - $55.00 0 Jun/09/16 Mar/13/18
Description: OEM Part Applied Materails (AMAT) 0021-13790 GUIDE, LIFT PIN, ESC, 300MM DPS2
gigabitpartsolutions NEW - $1,760.00 1 Jun/09/16 Jan/25/18
Description: (AMAT) 0200-04235 ISOLATOR, CERAMIC, 300MM PRODUCER
gigabitpartsolutions NEW - $825.00 2 Jun/09/16 Jun/22/22
Description: Tool AMAT 0090-03426 set 4 300mm Assy, High Precision Reflectors and bracket
gigabitpartsolutions NEW - $236.50 2 Jun/09/16 Feb/09/22
Description: Valve slit door (AMAT) 0040-23525 300mm
usedeqsales Used - $5,005.15 1 Jun/09/16 Mar/27/17
Description: AMAT Applied Materials 0010-04662 300mm PVD Chamber Assembly Endura Used Working
esoteric_specialties Used - $375.00 0 Jun/09/16 Jul/09/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
redrockranch NEW - $189.00 1 Jun/09/16 Jun/11/16
Description: Preclean Shield 0021-21065 003 Amat 300mm
ecomicron Used - $700.00 1 Jun/09/16 Feb/22/22
Description: 0190-13312, AMAT, CABLE ASSY., 300MM CHM ION GAUGE (3FT)
supertechshop Used - $1,270.00 0 Jun/10/16 Jul/10/16
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
visionsemi NEW - $600.00 0 Jun/10/16 May/26/17
Description: APPLIED MATERIALS AMAT ENDURA CRYO PUMP LIFT ALUM-A-LIFT 300MM 0190-14995
supertechshop Used - $950.00 0 Jun/10/16 Jul/10/16
Description: AMAT 0010-12037 Endura 300mm PCII Resonator Preclean Sputter Chamber/ Hinge
gigabitpartsolutions NEW - $328.90 0 Jun/13/16 Jun/08/17
Description: OEM Part Applied Materails (AMAT) 0242-42691 KIT, PURGE GAS FLEXLINE 300MM CVD
gigabitpartsolutions Used - $495.00 0 Jun/13/16 Dec/19/18
Description: Valve (AMAT) 0010-22226 ASSY,THROTTLE, REACTIVE PRECLEAN 300mm
svcstore Used - $82.99 0 Jun/13/16 Jul/13/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $699.99 0 Jun/13/16 Jul/13/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $158.99 0 Jun/14/16 Jul/14/16
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $799.99 0 Jun/14/16 Jul/14/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
supertechshop NEW - $350.00 0 Jun/14/16 Jul/14/16
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
supertechshop Used - $9,975.00 0 Jun/15/16 Jul/15/16
Description: AMAT 0010-14204 MCA 300mm E-Chuck Heater ESC Electro-Static Assy
sparesllc09 Used - $5,000.00 1 Jun/15/16 Aug/20/18
Description: 0010-76385 / CHAMBER LID 300MM / APPLIED MATERIALS
anth_vanc Used - $15,000.00 0 Jun/15/16 Jul/15/16
Description: APPLIED MATERIALS 0010-24456 MCA E-CHUCK HEATER, 300MM FDR SLT ESC, NI-PL AMAT
svcstore Used - $299.99 0 Jun/15/16 Jul/15/16
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
gigabitpartsolutions NEW - $108.90 1 Jun/20/16 Dec/25/17
Description: Tool Applied Materails (AMAT) 0270-02456 PIN, 300MM ROBOT CALIBRATION, 300MM 5.3
anth_vanc Used - $5,000.00 0 Jun/21/16 Jun/24/16
Description: 0010-24456 MCA E-CHUCK HEATER, 300MM FDR SLT ESC, NI-PL AMAT
techshop7777 NEW - $749.99 0 Jun/23/16 Jul/23/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
ptb-sales Used - $500.00 0 Jun/23/16 Jun/30/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $500.00 0 Jun/23/16 Jun/30/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcstore Used - $509.99 0 Jun/23/16 Jul/23/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
anth_vanc Used - $5,000.00 0 Jun/24/16 Jun/27/16
Description: 0010-24456 MCA E-CHUCK HEATER, 300MM FDR SLT ESC, NI-PL AMAT
gigabitpartsolutions NEW - $605.00 1 Jun/27/16 Mar/07/18
Description: OEM Part APPLIED MATERIAL (AMAT) 0190-14415 PRESSURE DISPLAY ASSY, 300MM FI,120V
bruce135 Used - $309.99 0 Jun/27/16 Jul/27/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
anth_vanc Used - $5,000.00 0 Jun/28/16 Jul/01/16
Description: 0010-24456 MCA E-CHUCK HEATER, 300MM FDR SLT ESC, NI-PL AMAT
svcstore NEW - $70.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $92.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $77.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $103.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $77.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore Scrap, for parts - $449.99 0 Jun/28/16 Jul/28/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore NEW - $97.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $76.99 0 Jun/28/16 Jul/28/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $449.99 0 Jun/28/16 Jul/28/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
testeqe NEW - $96.99 0 Jun/29/16 Jul/24/17
Description: NEW AMAT Applied Materials PN: 0200-01919 Pad Wafer Lift Ring 300mm Ultimax, .AD
gigabitpartsolutions NEW - $60.50 1 Jun/30/16 Sep/24/18
Description: Tool Applied Materails (AMAT) 0270-03126 ROBOT ALIGNMENT TOOL, 1.688L, 300MM
gigabitpartsolutions NEW - $71.50 0 Jun/30/16 Mar/29/23
Description: Tool (AMAT) 0270-03517 SLING, BUFFER / TRANSFER LID, 300MM ENDURA
anth_vanc Used - $5,000.00 0 Jun/30/16 Jun/30/16
Description: 0010-24456 MCA E-CHUCK HEATER, 300MM FDR SLT ESC, NI-PL AMAT
anth_vanc Used - $5,000.00 0 Jul/01/16 Jul/04/16
Description: 0010-24456 MCA E-CHUCK HEATER, 300MM FDR SLT ESC, NI-PL AMAT
mylexingtonblue NEW - $3,000.00 0 Jul/01/16 Jul/31/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
esolutions1 NEW - $275.00 0 Jul/04/16 Aug/03/16
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
svcstore Used - $449.99 0 Jul/05/16 Aug/04/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $95.99 0 Jul/05/16 Aug/04/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $82.99 0 Jul/05/16 Aug/04/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $95.99 0 Jul/05/16 Aug/04/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $349.99 2 Jul/05/16 Aug/01/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part #2
svcstore Used - $95.99 0 Jul/05/16 Aug/04/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $399.99 1 Jul/05/16 Aug/01/16
Description: Lam Research 839-800327-385 DZ CLG ESC 300mm Assembly Semiconductor Part
svcstore Used - $399.99 0 Jul/05/16 Aug/04/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $70.99 0 Jul/05/16 Aug/04/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
sparesllc09 NEW - $1,900.50 0 Jul/06/16 Jul/19/19
Description: 716-022493-343 / RING,UNIF,300MM,BTM,2300,CENTE / LAM
ptb-sales Used - $500.00 0 Jul/06/16 Jul/13/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $500.00 0 Jul/06/16 Jul/13/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
capitolareatech NEW - $637.50 0 Jul/08/16 Sep/15/16
Description: AMAT 0021-16287 SHIELD, LOWER, NARROW NECK, 300MM SIP CU
capitolareatech NEW - $100.65 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-38615 ASSY, NEEDLE VALVE, MAIN LIFT, 300MM DPS
capitolareatech NEW - $315.04 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-21740 Assembly. TC AMP 300MM
capitolareatech NEW - $25.29 0 Jul/08/16 Sep/15/16
Description: Applied Materials 0020-10782 Keeper, Maglev Sensor, 300mm Radiance
capitolareatech NEW - $33.39 0 Jul/08/16 Sep/15/16
Description: Applied Materials 0020-07212 Washer, Compression Quad Ring 300mm HDP
capitolareatech NEW - $52.25 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-29865 Ring Clamp Shield IMP 300MM
capitolareatech NEW - $371.88 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-29687 BRACKET, CAP ASSEMBLY, 300MM SIP ENCORE
capitolareatech NEW - $37.77 0 Jul/08/16 Sep/15/16
Description: Applied Materials 0021-11502 Cap, Adjustable Elbow, 300mm Producer
capitolareatech NEW - $224.78 0 Jul/08/16 Sep/15/16
Description: AMAT 0021-07984 Shield Outer, TXZ CVD 300MM
capitolareatech NEW - $618.89 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-80143 GRIPPER CLAW,LOWER,LH,300mm
capitolareatech NEW - $176.53 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-64336 Ring, Retaining Flanged PPS AEP, 300MM P
capitolareatech NEW - $114.17 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-60891 STOP, DOUBLE, WAFER LIFT ASSEMBLY, 300MM
capitolareatech NEW - $125.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-55477 Crescent Drive, VDPM, 300MM Desica, CMP
capitolareatech NEW - $64.68 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-50452 MOUNTING BRKT FRAME ASSY, 300MM ULTIMA X
capitolareatech NEW - $450.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-46343 Base, Wrist 300mm Robot TI LCF+
capitolareatech NEW - $200.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-46087 TOOL, KEY INSTALLATION, 300MM DPS2
capitolareatech NEW - $275.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-42314 Finger Assembly Peek, Long Walking Beam 300MM
capitolareatech NEW - $126.83 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-42182 COVER, LOWER WTR BOX, ANNL CHMBR 300MM
capitolareatech NEW - $113.24 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-42181 COVER, UPPER WTR BOX, ANNL CHMBR, 300MM
capitolareatech NEW - $7,892.33 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-40945 Plate ClampLID, EMAX 300MM
capitolareatech NEW - $4,525.34 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-40865 Heater Mounting Plate 300MM Anneal
capitolareatech NEW - $1,413.98 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-40863 UPPER PLATE HEATER ECP 300MM ANNEAL
capitolareatech NEW - $272.06 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-40488 BLOCK, VEE, FI INTERFACE 300MM CENTURA M
capitolareatech NEW - $130.30 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-39945 Bracket, Gags Spring, Pull 300mm Producer
capitolareatech NEW - $45.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0090-76050 ASSEMBLY,300MM OTF RECEIVER,J4
capitolareatech NEW - $450.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-01504 PCB ASSY 300MM QRG I/F
capitolareatech NEW - $188.62 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-01341 PCB ASSY, DNET INTERFACE BOARD, 300MM RE
capitolareatech NEW - $2,104.52 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-00436 PCB Assembly, HDPCVD 300mm OPTO Interface
capitolareatech NEW - $114.30 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-01735 CABLE ASSY,MANOMETER,300MM
capitolareatech NEW - $92.75 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-01206 CABLE ASSY, 300MM FI RS-232 COMM, PRODUC
capitolareatech NEW - $43.70 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-00782 Cable Assembly, VDI VME FAN, 300MM CENTURA
capitolareatech NEW - $1,269.24 0 Jul/08/16 Sep/15/16
Description: AMAT 0190-05613 XGEN REMOTE CH AC PALLET, 300MM
capitolareatech NEW - $1,200.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-01611 Cable Assembly DNET I/O 300MM LG with RS 4.4T MAL, ID Number: U2
capitolareatech NEW - $427.54 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 15-177748-00 RING, WEAR REAR LATCHED PET 300MM
capitolareatech NEW - $2,595.73 0 Jul/09/16 Sep/15/16
Description: AMAT 3250-01136 CNTNR WFR CARRIER 300MM 13SLOT AUTOPOD
capitolareatech NEW - $3,450.00 0 Jul/09/16 Sep/15/16
Description: AMAT 5075-98008 LOADPORT,REV 5,300mm,26 WAFER SMIF
capitolareatech NEW - $641.52 0 Jul/09/16 Sep/15/16
Description: LAM 716-021216-368 Ring, Top, ADJ, CPLG, 2300, 300MM, D
capitolareatech NEW - $641.52 0 Jul/09/16 Sep/15/16
Description: LAM 716-021209-368 Ring, BOT, ADJ, CPLG, 2300, 300MM, D
capitolareatech NEW - $26.41 0 Jul/09/16 Sep/15/16
Description: LAM 734-010736-001 GREENE TWEED 4201B28703SP742; O-RING, LINER CHMBR 300MM DOV
capitolareatech Refurbished - $750.00 0 Jul/09/16 Sep/15/16
Description: AMAT 1080-00195 DRIVER SERVO, 300MM HEATER LIFT, PV1
capitolareatech Refurbished - $125.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0200-02449 RING, COVER 300MM; TOSH C40-013-Q-91R
capitolareatech Refurbished - $500.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0200-01833 COVER COLUMNAR 300MM
esoteric_specialties Used - $375.00 0 Jul/10/16 Aug/09/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
farmoninc Used - $450.00 0 Jul/12/16 Dec/19/22
Description: AMAT 0040-40810 Liner, Lid, 300MM IBC, IECP, 327925
kakkisung-6 Used - $4,999.00 0 Jul/12/16 Feb/09/22
Description: AMAT 300MM VHP+ Robot Pivot Set 0040-50657
ypspare Used - $2,380.00 3 Jul/13/16 Dec/05/17
Description: AMAT Applied Materials 0010-17798 300mm Degas Heater
vizko2017 Used - $320.00 1 Jul/13/16 Sep/13/21
Description: APPLIED MATERIALS HDPCVD 300MM REMOTE DISTRIBUTION ASSY. 0100-01398 REV 001
ypspare Refurbished - $380.00 0 Jul/13/16 Mar/05/18
Description: AMAT Applied Materials 0041-24879 ALD TAN Lid Cap 300mm , CLEANED
vizko2017 Used - $197.50 1 Jul/13/16 Dec/12/22
Description: APPLIED MATERIALS 0242-47982 KIT, INTEGRATION ON ENDURA 2 300mm CVD2
vizko2017 Used - $200.00 1 Jul/13/16 Apr/13/22
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
farmoninc Used - $295.00 0 Jul/13/16 Dec/19/22
Description: AMAT 0190-25613 300mm Bonded Slit Valve, 300490-080-027, 329863
kakkisung-6 Used - $4,999.00 2 Jul/14/16 Jan/14/22
Description: AMAT 0010-14796 ASSEMBLY, MAG DRIVER, 300MM EMAX AP
used1eqsales Used - $607.16 0 Jul/14/16 Mar/29/18
Description: DIP 15049105 Circuit Board CDN491(c) AMAT 0190-08860 Rev 003 Endura 300mm used
used1eqsales Used - $753.58 1 Jul/14/16 Oct/04/16
Description: Kensington Newport 15-3600-0300-01 Pre-Aligner 0190-16360 AMAT Endura 300mm used
ace449parts2010 NEW - $1,000.00 0 Jul/15/16 Aug/03/17
Description: AMAT 0090-02139 BRAZIED HEATER ASSY LOADLOCK PRODUCER 300mm
farmoninc Used - $595.00 0 Jul/15/16 Dec/19/22
Description: AMAT 0021-64485 Pedestal, Titanium 300mm PCII 2.0, 329886
svcstore Used - $142.99 0 Jul/15/16 Aug/14/16
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $73.99 0 Jul/15/16 Aug/14/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $699.99 0 Jul/15/16 Aug/14/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $799.99 0 Jul/15/16 Aug/14/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
kakkisung-6 Used - $2,999.00 2 Jul/21/16 Feb/09/22
Description: AMAT MCVD 300mm throttle valve 0010-03070
svcstore Used - $299.99 0 Jul/23/16 Aug/22/16
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
techshop7777 NEW - $699.99 0 Jul/24/16 Aug/23/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
ptb-sales Used - $300.00 0 Jul/26/16 Jul/29/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $500.00 0 Jul/26/16 Aug/02/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
jabedow Used - $1,300.00 1 Jul/27/16 Aug/12/16
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
kakkisung-6 Used - $6,999.00 0 Jul/27/16 Apr/27/17
Description: APPLIED MATERIALS 0190-05990 MAGLEV,CONTROLLER,CI,RTP 300mm
electronicswest NEW - $650.00 0 Jul/28/16 Aug/27/16
Description: Applied Material 0040-70407 Rev 002 AMAT 300mm Pedestal Assy.
bruce135 Used - $309.99 0 Jul/29/16 Aug/28/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
yericomfg NEW - $50.00 0 Jul/29/16 Jan/30/17
Description: Applied Materials; 0020-61949, Strap SST, Lower Shield Ground, 300mm PCII/RPC+
sammy_etek NEW - $22,000.00 0 Jul/29/16 Mar/08/18
Description: 0010-31581, APPLIED MATERIALS, PUCK ASSY DTESC ENDURA 2, 300MM
bbs.tech Used - $120.00 0 Jul/29/16 Dec/29/22
Description: APPLIED MATERIALS 0020-15334 ROLLING SEAL 70 DUROMETER 300MM TITAN HEAD, NEW
svcstore NEW - $82.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $68.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $69.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $62.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $87.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $92.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $69.99 0 Jul/29/16 Aug/28/16
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
svcstore NEW - $449.99 0 Jul/29/16 Aug/28/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $449.99 0 Jul/29/16 Aug/28/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $599.99 0 Jul/29/16 Aug/28/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
autoquip7 NEW - $8,800.00 0 Jul/31/16 Jul/25/22
Description: 0010-13068, APPLIED MATERIALS, ENDPT DETECTOR PRODUCER, PLASMA CELL ASSY 300MM
mylexingtonblue NEW - $3,000.00 0 Aug/01/16 Aug/31/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
farmoninc NEW - $550.00 1 Aug/02/16 Feb/09/22
Description: AMAT 0040-23526 300mm Slit Valve Door, 330327
ptb-sales Used - $500.00 0 Aug/02/16 Aug/09/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $300.00 0 Aug/02/16 Aug/05/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
pohyh NEW - $141.01 0 Aug/02/16 Jan/25/21
Description: 4994 APPLIED MATERIAL PIN, 300MM ROBOT CALIBRATION, 300MM 5.3FI (NEW) 0270-02456
pohyh NEW - $350.00 0 Aug/02/16 Jul/31/18
Description: 4984 APPLIED MATERIAL KIT, GAS SPRING INSTALL TOOL FEOL 300MM (NEW) 0240-47188
pohyh NEW - $300.00 0 Aug/03/16 Jul/12/18
Description: 5011 APPLIED MATERIAL RING MIDDLE, 300MM (NEW) 0021-07586
pohyh NEW - $200.00 0 Aug/03/16 Sep/06/17
Description: 5010 APPLIED MATERIAL CLAMP, FORELINE BOTTOM, NON-DPA, 300MM PRODUCER 0040-03224
j316gallery Used - $510.02 0 Aug/03/16 Dec/07/22
Description: 5007 APPLIED MATERIALS KIT, PC ADAPTER 300MM ENDURA2 0242-33281
kakkisung-6 Used - $9,000.00 0 Aug/03/16 Feb/09/22
Description: AMAT 0040-48594 ESC 300MM DUAL HE HDPCVD
pohyh NEW - $244.42 0 Aug/03/16 Jul/27/20
Description: 5020 APPLIED MATERIAL ALCATEL 300MM ADP100 KIT - COOLING WATER LINES 0240-41496
pohyh NEW - $250.00 1 Aug/03/16 Nov/14/16
Description: 5017 APPLIED MATERIAL OUTRIGGER, RIGHT REAR, 300MM E2 (NEW) 0270-03765
ami1617182 NEW - $2,199.00 0 Aug/03/16 Aug/10/16
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
benta09 NEW - $35.00 0 Aug/03/16 Sep/02/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
j316gallery NEW - $157.82 0 Aug/03/16 Dec/21/21
Description: 5040 APPLIED MATERIALS FIXTURE ADAPTER ALIGNMENT 300MM PC (NEW) 0270-02202
pohyh Used - $1,000.00 4 Aug/03/16 Aug/23/18
Description: 5039 APPLIED MATERIAL NO POCKET 300MM ROBOT BLADE 0021-22627 REV 003
j316gallery NEW - $519.41 0 Aug/03/16 Oct/05/22
Description: 5037 APPLIED MATERIALS ENDURA 300MM SWLL DEGAS LIFTING 0190-14994
pohyh Used - $800.00 0 Aug/03/16 Dec/15/20
Description: 5050 APPLIED MATERIAL ENDURA II LOWER SHIELD 300MM PCXT/RPC+ 0040-86514 REV 006
pohyh Used - $700.00 1 Aug/04/16 Aug/21/16
Description: 5085 APPLIED MATERIAL RPG P5 300MM HI-COND SHORT LIFTABLE PVD ADAPTER 0040-80366
pohyh Used - $405.00 0 Aug/04/16 Sep/07/17
Description: 5079 APPLIED MATERIAL CALIBRATION TOOL COOLDOWN/DEGAS 300MM 0270-76244
gigabitpartsolutions Refurbished - $300.00 1 Aug/04/16 Mar/31/17
Description: OEM Part Novellus 15-257249-01 HPD 300mm Ceramic Dome
benta09 NEW - $325.00 0 Aug/05/16 Sep/04/16
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
svcstore Used - $85.99 0 Aug/05/16 Sep/04/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $85.99 0 Aug/05/16 Sep/04/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $85.99 0 Aug/05/16 Sep/04/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $73.99 0 Aug/05/16 Sep/04/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $62.99 0 Aug/05/16 Sep/04/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $449.99 0 Aug/05/16 Sep/04/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $399.99 0 Aug/05/16 Sep/04/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
esolutions1 NEW - $499.00 0 Aug/07/16 Sep/06/16
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
esolutions1 NEW - $499.00 0 Aug/07/16 Sep/06/16
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
pohyh Used - $3,200.00 1 Aug/07/16 Mar/22/17
Description: 5296 APPLIED MATERIAL ENDURA 300MM ETCHER DESKTOP COMPUTER 0190-12247 REV 002
j316gallery NEW - $357.16 1 Aug/08/16 Jul/22/21
Description: 5307 APPLIED MATERIALS KIT,CLEAR PIN TCHG TOOLS,300MM FI ROBOT (NEW) 0242-29108
pohyh Used - $350.00 1 Aug/08/16 Jan/15/18
Description: 5309 APPLIED MATERIAL KIT, LCF CALIBRATION 300MM ENDURA 0242-20765
pohyh Used - $5,000.00 1 Aug/08/16 Oct/25/16
Description: 5340 LAM RESEARCH ECHUCK328 SPUTTERING TARGET, 300MM 839-019090-328
esolutions1 NEW - $275.00 0 Aug/08/16 Sep/07/16
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
farmoninc NEW - $150.00 1 Aug/08/16 Feb/22/18
Description: AMAT 0200-02398 Pin, Lift, Ceramic, 300mm, 415567
esoteric_specialties Used - $375.00 0 Aug/09/16 Sep/08/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
autoquip7 NEW - $1,000.00 0 Aug/10/16 Jul/25/22
Description: 0200-36018, APPLIED MATERIALS, INTEL,GAS LINE,DTCU,DPS 300MM
j316gallery NEW - $311.65 0 Aug/11/16 Mar/07/22
Description: 5508 APPLIED MATERIALS CALIBRATION TOOL, HT SWLL 300MM (NEW) 0270-03884
autoquip7 NEW - $4,000.00 0 Aug/11/16 Jul/25/22
Description: 0040-23168, APPLIED MATERIALS, MANIFOLD, 300MM CHAMBER WATER LINE
pohyh Used - $250.00 0 Aug/11/16 Feb/12/18
Description: 5565 MKS CDN396R 300MM ANALOG I/O AMAT P/N 0190-32372 AS01396
svcstore Used - $127.99 0 Aug/15/16 Sep/14/16
Description: AMAT 0041-13891 Industrial Laboratory 300mm 2-Port HARP Water Cooled Faceplate
svcstore Used - $65.99 0 Aug/15/16 Sep/14/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $699.99 0 Aug/15/16 Sep/14/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $799.99 0 Aug/15/16 Sep/14/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
j316gallery NEW - $307.92 0 Aug/16/16 Feb/02/23
Description: 5827 LAM RESEARCH 300MM 10 STEP OUTER ELECTRODE ASSY (NEW) 839-052158-022
autoquip7 NEW - $10,700.00 0 Aug/19/16 Jul/25/22
Description: 0040-44027, APPLIED MATERIALS, PREFLECTOR PLATE, PROBE INJECT, 300MM RAD
yericomfg NEW - $2,300.00 0 Aug/19/16 Aug/08/18
Description: Applied Materials; 0200-06512, Blade Ceramic 300mm
ptb-sales Used - $500.00 0 Aug/19/16 Aug/26/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $300.00 0 Aug/19/16 Aug/22/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yericomfg NEW - $2,000.00 0 Aug/22/16 Aug/08/18
Description: Sentran; 91155, ASSY, Scale, 30lb., Desica 300mm, AMAT 1040-00229
bionicle1969 Refurbished - $4,195.00 0 Aug/24/16 Sep/23/16
Description: Lam Research ESC, Tunable, MZ, 300mm 839-019080-608
yericomfg Refurbished - $20,000.00 0 Aug/24/16 Aug/31/16
Description: AMAT Applied Materials 300mm Vacuum Chuck Heater, 0010-26264
svcstore Used - $299.99 0 Aug/25/16 Sep/24/16
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
ptb-sales Used - $300.00 0 Aug/26/16 Aug/29/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ami1617182 NEW - $2,199.00 0 Aug/28/16 Sep/04/16
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
techshop7777 NEW - $699.99 0 Aug/28/16 Sep/27/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
autoquip7 Used - $47,500.00 0 Aug/31/16 Jul/25/22
Description: 0010-29710, APPLIED MATERIALS, ASSY, 300MM MCA E-CHUCK
autoquip7 Used - $38,000.00 0 Aug/31/16 Jul/25/22
Description: 0010-07815, APPLIED MATERIALS, ASSY, 300MM SLT ESC WITH CENTER TAP META
autoquip7 Used - $33,000.00 0 Aug/31/16 Jul/25/22
Description: 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC
autoquip7 Used - $55,000.00 0 Aug/31/16 Jul/25/22
Description: 0010-24076, APPLIED MATERIALS, 300MM MCA SNNF LOW TEMP ESC
sammy_etek Used - $33,000.00 0 Aug/31/16 Aug/25/17
Description: 0010-50943, APPLIED MATERIALS, ASSY 300MM ESC
ptb-sales Used - $800.00 0 Aug/30/16 Sep/29/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
electronicswest NEW - $650.00 0 Aug/30/16 Sep/29/16
Description: Applied Material 0040-70407 Rev 002 AMAT 300mm Pedestal Assy.
svcstore Used - $599.99 0 Aug/29/16 Sep/28/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
svcstore NEW - $55.99 0 Aug/29/16 Sep/28/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $73.99 0 Aug/29/16 Sep/28/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $61.99 0 Aug/29/16 Sep/28/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
orapma12012 Used - $7,500.00 0 Aug/31/16 Sep/07/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
svcstore NEW - $82.99 0 Aug/29/16 Sep/28/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
mylexingtonblue NEW - $1,800.00 0 Aug/31/16 Sep/30/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
yericomfg Refurbished - $20,000.00 0 Sep/01/16 Sep/01/16
Description: AMAT Applied Materials 300mm Vacuum Chuck Heater, 0010-26264
yericomfg Refurbished - $20,000.00 0 Sep/01/16 Apr/11/17
Description: AMAT Applied Materials 300mm Vacuum Chuck Heater, 0010-26264
artsemi Used - $9,995.00 0 Sep/02/16 Feb/19/20
Description: AMAT 0040-85475 Rev. 004 300mm Ceramic heater 0040-07033
benta09 NEW - $35.00 0 Sep/03/16 Oct/03/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $325.00 0 Sep/04/16 Oct/04/16
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
kakkisung-6 Used - $35,000.00 0 Sep/05/16 Feb/09/22
Description: AMAT 0010-03487 ENDURA II 300mm Magnet Assy
kakkisung-6 Used - $15,000.00 0 Sep/05/16 Jan/27/17
Description: AMAT ENDURA II 0010-03485 300mm Magnet Assy
pohyh Used - $150.00 2 Sep/06/16 Dec/19/18
Description: 7107 APPLIED MATERIAL LINER,CERAMIC BOTTOM,SACVD 300MM PRODV 0200-00668
j316gallery Used - $2,039.98 1 Sep/06/16 Nov/25/21
Description: 7085 APPLIED MATERIALS ALUMINUM HA-12 CLAMP CERAMIC HEATER 300MM 0040-85475
autoquip7 NEW - $7,800.00 0 Sep/06/16 Jul/25/22
Description: 0090-00398, Applied Materials, ASSY., ELECTRICAL, HDPCVD 300MM, INTERLO
kakkisung-6 NEW - $3,500.00 0 Sep/07/16 Feb/09/22
Description: AMAT 0020-93869 BLOCKER DD3328 SACVD 300mm "NEW"
kakkisung-6 NEW - $899.00 1 Sep/07/16 Jan/15/18
Description: AMAT 0021-24183 LINER BOTTOM SIDE 1 300MM SE "NEW"
kakkisung-6 NEW - $2,999.00 0 Sep/07/16 Apr/19/17
Description: AMAT 0200-04094 CYLINDER 80SR WAFER SUPPORT 300MM RADIANCE<NEW>
orapma12012 Used - $7,500.00 0 Sep/07/16 Sep/14/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
svcstore Used - $76.99 0 Sep/07/16 Oct/07/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $76.99 0 Sep/07/16 Oct/07/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $76.99 0 Sep/07/16 Oct/07/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $65.99 0 Sep/07/16 Oct/07/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $55.99 0 Sep/07/16 Oct/07/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $449.99 0 Sep/07/16 Oct/07/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $399.99 0 Sep/07/16 Oct/07/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
esoteric_specialties Used - $375.00 0 Sep/08/16 Oct/08/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
esolutions1 NEW - $499.00 0 Sep/08/16 Oct/08/16
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
esolutions1 NEW - $499.00 0 Sep/08/16 Oct/08/16
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
esolutions1 NEW - $275.00 0 Sep/08/16 Oct/08/16
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
ptb-sales Used - $750.00 0 Sep/12/16 Oct/12/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
autoquip7 NEW - $500.00 0 Sep/12/16 Jul/25/22
Description: 0050-44715, APPLIED MATERIALS, LINE, BOT. PURGE, 300MM CENTURA LPCVD GP
ecomicron NEW - $1,100.00 0 Sep/13/16 May/25/21
Description: 1310-00019, AMAT, TC ASSY DUAL CIRCUIT GROUND SHIELD 300MM ULTIMA PLUS PH II
orapma12012 Used - $7,500.00 0 Sep/14/16 Sep/21/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
svcstore Scrap, for parts - $699.99 0 Sep/14/16 Oct/14/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
ntc_tech Used - $1,750.00 0 Sep/15/16 Oct/30/17
Description: AE Advanced Energy 27-368450-00 Navigator RF Match Novellus Vector 300mm
svcstore Used - $799.99 0 Sep/15/16 Oct/15/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $449.99 0 Sep/15/16 Oct/15/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $58.99 0 Sep/15/16 Oct/15/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $449.99 0 Sep/15/16 Oct/15/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
capitolareatech NEW - $450.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-01504 PCB ASSY 300MM QRG I/F
capitolareatech NEW - $188.62 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-01341 PCB ASSY, DNET INTERFACE BOARD, 300MM RE
capitolareatech NEW - $9,950.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-00631 ASSEMBLY, PCB, 300MM FAILURE DETECTOR CP
capitolareatech NEW - $2,104.52 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-00436 PCB Assembly, HDPCVD 300mm OPTO Interface
capitolareatech NEW - $47.35 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-05211 Cable Assembly SERIAL/RS232, HERMOS TO COM2, 300MM F
capitolareatech NEW - $18.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04632 C/A, 24VDC, BCR BL-U2, 300MM ADO
capitolareatech NEW - $25.14 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04581 Cable Assembly, Jumper, 300MM ULTIMA Plus
capitolareatech NEW - $50.31 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04484 Cable Assembly, RS232 INTERFACE, 300MM ULTIM
capitolareatech NEW - $43.78 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04482 Cable Assembly, 24V DC Power, 300MM ULTIMA P
capitolareatech NEW - $128.70 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04094 CABLE ASSY 300MM ECP WFR LDR E84 I/F EQU
capitolareatech NEW - $299.70 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04050 Cable Assembly, Post Heat TC, 300mm TXZ DLI
capitolareatech NEW - $15.41 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-03804 H/A,MF ENET TO PORT 8,VDI CNTRL, 300MM
capitolareatech NEW - $119.86 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-02840 CABLE ASSY, FDP TO MDI DC POWER, 300MM C
capitolareatech NEW - $71.11 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-08385 CABLE ASSY, PWR FIC TO EHUB 300MM FI
capitolareatech NEW - $689.77 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-14163 Endeffector, Yaskawa Tetra EE, 300MM
capitolareatech NEW - $207.23 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-13213 LINE, EXTENSION, 2.5L AMPOULE, 300MM TXZ
capitolareatech NEW - $561.01 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-11141 CABLE ASSY, SIGNAL, KAWASAKI WR, 300MM D
capitolareatech NEW - $1,200.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-01611 Cable Assembly DNET I/O 300MM LG with RS 4.4T MAL, ID Number: U2
kakkisung-6 Used - $5,999.00 1 Sep/19/16 Feb/09/22
Description: AMAT 0010-23302 CONTROLLER ASSY, CHAMBER, EPI 300MM
capitolareatech NEW - $16.78 0 Sep/19/16 Nov/18/16
Description: AMAT 0270-75251 300MM,FI END EFFECTOR ALIGNMENT JIG
capitolareatech NEW - $325.00 0 Sep/19/16 Sep/20/16
Description: AMAT 0270-20333 Tool, 300mm Shock, Comrpression
capitolareatech NEW - $237.01 0 Sep/19/16 Nov/18/16
Description: AMAT 0270-20333 Tool, 300mm Shock, Comrpression
capitolareatech Refurbished - $750.00 0 Sep/20/16 Nov/19/16
Description: AMAT 1080-00195 DRIVER SERVO, 300MM HEATER LIFT, PV1
capitolareatech Refurbished - $125.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0200-02449 RING, COVER 300MM; TOSH C40-013-Q-91R
capitolareatech Refurbished - $500.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0200-01833 COVER COLUMNAR 300MM
capitolareatech NEW - $9.95 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 713-034964-001 WSHR, .417IDX1.00ODX.25THK, 300MM, REV C ***Lot of 4
gti-semi NEW - $450.00 0 Sep/20/16 Nov/26/19
Description: AMAT Applied Materials, Mandrel Quick Change Brush Module 300mm, p/n 0020-45744
kyuw-kr NEW - $13,000.00 0 Sep/23/16 Oct/23/16
Description: Applied Materials/AMAT CHAMBER UPPER Y2O3 300MM DPSII 0040-79200
ypspare Used - $280.00 0 Sep/24/16 Nov/29/18
Description: AMAT Applied Materials 0021-11486 300mm Shutter Disk Rev. 004
orapma12012 Used - $6,995.00 0 Sep/26/16 Oct/03/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
powersell007 Refurbished - $7,999.00 0 Sep/27/16 Feb/08/18
Description: LAM RESEARCH 839-019090-374 300MM ESC TUNABLE COUL,CNTR RF FOR LAM 2300 *REFRUB*
powersell007 Used - $16,999.00 1 Sep/28/16 Jan/04/18
Description: APPLIED MATERIALS 0040-89792 300MM DT-ESC HV PUCK CER WEAR/ SEMI PROC R AMAT 12"
techshop7777 NEW - $649.99 0 Sep/28/16 Oct/28/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
bionicle1969 Refurbished - $4,195.00 0 Sep/29/16 Oct/29/16
Description: Lam Research ESC, Tunable, MZ, 300mm 839-019080-608
svcstore NEW - $55.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $73.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $78.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $61.99 0 Sep/29/16 Oct/29/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $61.99 0 Sep/29/16 Oct/14/16
Description: NEW AMAT 0041-33765 Laboratory 300mm Right Pumping Channel Ceramic Ring SACVD
svcstore NEW - $82.99 0 Sep/29/16 Oct/14/16
Description: NEW AMAT 0200-09255 300mm Bottom Chamber Liner Producer Ceramic Ring SACVD
svcstore NEW - $61.99 0 Sep/29/16 Oct/14/16
Description: NEW AMAT 0041-33760 Laboratory 300mm Left Pumping Channel Ceramic Ring SACVD
bruce135 Used - $299.99 0 Sep/30/16 Oct/30/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
lagerwerk_industrialassets NEW - $1,325.90 0 Sep/30/16 Nov/30/17
Description: APPLIED MATERIALS 0200-04017 / 020004017, ring 300mm ESC CU, Rev 2.0 - NEW
svcstore Used - $299.99 0 Sep/30/16 Oct/30/16
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
svcstore Used - $599.99 0 Sep/29/16 Oct/29/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
mylexingtonblue NEW - $1,800.00 0 Sep/30/16 Oct/30/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
alamedaauction Used - $4,995.00 0 Oct/01/16 Oct/31/16
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2
powersell007 Used - $1,199.00 0 Oct/03/16 Dec/03/23
Description: APPLIED MATERIALS 0100-00581 IGL BASE BOARD/CARD GAS PANEL 300MM INTERLOCK *NEW*
powersell007 Used - $2,999.00 1 Oct/03/16 Jun/03/23
Description: AMAT 0010-47782 0100-01753 300MM DNET EPI CHAMBER CS CONTROLLER CDN500R CDN496R
ptb-sales Used - $800.00 0 Oct/03/16 Nov/02/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
electronicswest NEW - $650.00 0 Oct/03/16 Nov/02/16
Description: Applied Material 0040-70407 Rev 002 AMAT 300mm Pedestal Assy.
autoquip7 NEW - $53,000.00 0 Oct/04/16 Apr/04/22
Description: 0010-22184, APPLIED MATERIALS, HEATER ASSEMBLY, 300MM MCA E-CHUCK
ami1617182 NEW - $1,750.00 0 Oct/05/16 Oct/27/16
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
joy-kr2014 NEW - $17,999.00 1 Oct/06/16 Oct/06/16
Description: KAWASAKI ROBOT, 300mm WAFER TRANSFER ROBOT AMAT P/N 0190-10555 , NEW
benta09 NEW - $35.00 0 Oct/06/16 Nov/05/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $325.00 0 Oct/06/16 Nov/05/16
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
powersell007 NEW - $399.00 1 Oct/07/16 Nov/18/16
Description: APPLIED MATERIALS 0200-11115 REV 03 TUBE LINER, MKS AX7670 RPS APC 300MM AMAT
jabedow Used - $600.00 1 Oct/09/16 Mar/10/17
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
esoteric_specialties Used - $375.00 0 Oct/09/16 Nov/08/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
lagerwerk_industrialassets NEW - $1,342.34 0 Oct/09/16 Nov/30/17
Description: LAM RESEARCH 839-020965-007 / 839020965007, ASSY,ELCTD,OUTER,FLAT,300mm - NEW
orapma12012 Used - $7,895.00 0 Oct/09/16 Oct/16/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
farmoninc Used - $10,500.00 1 Oct/10/16 Nov/29/17
Description: AMAT 0010-03485, 300MM, PVD. 417099
svcstore Used - $58.99 0 Oct/10/16 Nov/09/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $68.99 0 Oct/10/16 Nov/09/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $68.99 0 Oct/10/16 Nov/09/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $68.99 0 Oct/10/16 Nov/09/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $49.99 0 Oct/10/16 Nov/09/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $349.99 0 Oct/10/16 Nov/09/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $299.99 0 Oct/10/16 Nov/09/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
kakkisung-6 Used - $9,999.00 0 Oct/11/16 Feb/09/22
Description: AMAT 300mm ENDURA II 0010-19854 - SHUTTER FEEDTHRU ASSY
jabedow NEW - $300.00 1 Oct/11/16 Sep/05/17
Description: New AMAT 0020-79039 SPINDLE ASSY, 300MM bearing housing only
farmoninc NEW - $50.00 0 Oct/11/16 Mar/16/23
Description: AMAT 0010-14922, Holder Assembly, Magnet, Top, 300mm, 1A. 417143
yericomfg NEW - $200.00 0 Oct/12/16 Aug/08/18
Description: Applied Materials; 0242-32942, KIT, PVD Chamber Clear Lid, 300mm Endura2
farmoninc Used - $400.00 0 Oct/14/16 Mar/16/23
Description: 4 AMAT 0021-36900, Cover, Probes, 300MM RTP. 417228
farmoninc Used - $200.00 0 Oct/14/16 Dec/24/18
Description: 2 AMAT 0021-36900, Cover, Probes, 300mm RTP 417222
svcstore Scrap, for parts - $599.99 0 Oct/14/16 Nov/13/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
orapma12012 Used - $7,895.00 0 Oct/16/16 Oct/23/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
partskorea1 Used - $799.00 0 Oct/18/16 Dec/23/20
Description: AMAT 0021-24184 /LINER, BOTTOM SIDE 2, 300MM SE
partskorea1 NEW - $99.99 0 Oct/18/16 Nov/12/17
Description: AMAT 0021-13790 GUIDE, LIFT PIN, ESC, 300MM DPS2
svcstore NEW - $299.99 0 Oct/18/16 Nov/17/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $799.99 0 Oct/18/16 Nov/17/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $52.99 0 Oct/18/16 Nov/17/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Scrap, for parts - $349.99 0 Oct/18/16 Nov/17/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
partskorea1 Used - $9,999.00 0 Oct/19/16 Feb/19/23
Description: AMAT 0190-23942 300MM Ultima DPS ESC
partskorea1 Used - $4,999.00 1 Oct/19/16 Nov/21/21
Description: AMAT 0040-50657 300MM VHP Robot Pivot
ecomicron NEW - $2,000.00 4 Oct/19/16 Apr/01/21
Description: 0020-49785, AMAT, FACEPLATE, APF, 300MM PRODUCER SE
alameda_electronics Used - $1,250.00 0 Oct/19/16 Nov/18/16
Description: AMAT 0010-37176 ESC Heater Assy 300mm Chuck DPS AE Etch Chamber 0041-09247
pohyh Used - $200.00 2 Oct/21/16 Apr/17/17
Description: 7196 APPLIED MATERIALS SHIELD, SAFETY, POLYCARBONATE, 300MM RTP RADIA 0040-43456
pohyh Used - $30.00 0 Oct/21/16 Aug/01/18
Description: 3169 APPLIED MATERIAL FIXTURE, SINGLE CUP GUIDE, 300MM 0270-02874
farmoninc Used - $250.00 0 Oct/21/16 Dec/22/22
Description: AMAT 0150-03907 Harness Assy., 300mm Endpoint Adapter, 415216
alameda_electronics Used - $499.95 0 Oct/22/16 Nov/21/16
Description: AMAT 0040-13509 Quartz 300mm Bell Jar Preclean 0040-46397 Ring 0020-19581 Plate
farmoninc NEW - $250.00 0 Oct/22/16 Dec/22/22
Description: AMAT 0150-03907 Harness Assy., 300mm Endpoint Adaptor, 417458
farmoninc NEW - $135.00 0 Oct/22/16 Mar/16/23
Description: AMAT 0140-38476 Harness Assy, Lift Sense 300mm RTP, 417479
orapma12012 Used - $7,895.00 0 Oct/23/16 Oct/30/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
spsglobal Used - $100.00 0 Oct/24/16 Mar/16/23
Description: 176-0401// AMAT APPLIED 0050-54970 GASLINE, 3/8 OD BACKSIDE GAS, 300MM ESC, USED
ptb-sales Used - $750.00 0 Oct/24/16 Nov/23/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
farmoninc Used - $250.00 0 Oct/24/16 Mar/16/23
Description: AMAT 0150-03907 Harness Assy., 300MM Endpoint Adaptor, 417525
equipplus NEW - $3,299.00 1 Oct/24/16 Feb/01/17
Description: LAM Research 839-019080-611 Rev C,RFRB,ESC,Tunable E,300mm,,839-019080R611-4336
esolutions1 NEW - $449.10 0 Oct/25/16 Nov/24/16
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
esolutions1 NEW - $247.50 0 Oct/25/16 Nov/24/16
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $449.10 0 Oct/25/16 Nov/24/16
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
djaxton NEW - $200.00 0 Oct/25/16 Sep/27/17
Description: AMAT Applied Materials Shielded Bellows Heater Lift TXZ 300MM 0040-05800
powersell007 Used - $899.00 1 Oct/27/16 Apr/02/17
Description: YASKAWA XU-ACP4860 AMAT 0190-14752 300MM ROBOT LM WAFER PRE-ALIGNER 300262
farmoninc NEW - $150.00 0 Oct/27/16 Nov/10/18
Description: AMAT 0190-12567, Photohelic Switch 0-125 PA, 300mm GAS PA, Gauge. 417734
kyuw-kr NEW - $10,000.00 0 Oct/27/16 Nov/26/16
Description: Applied Materials/AMAT CHAMBER UPPER Y2O3 300MM DPSII 0040-79200
techshop7777 NEW - $649.99 0 Oct/29/16 Nov/27/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
alamedaauction Used - $195.00 0 Oct/29/16 Nov/28/16
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
alamedaauction NEW - $750.00 0 Oct/29/16 Nov/28/16
Description: NEW Novellus C3-Vector 300mm Spindle 02-254957-00 Cameo Cam Bodine Gearmotor
svcstore Used - $299.99 0 Oct/30/16 Nov/29/16
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
svcstore NEW - $73.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $78.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $55.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore NEW - $61.99 0 Oct/30/16 Nov/29/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
mylexingtonblue NEW - $1,800.00 0 Oct/31/16 Nov/30/16
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
bruce135 Used - $299.99 0 Oct/31/16 Nov/30/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $599.99 0 Oct/31/16 Nov/30/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
powersell007 Used - $799.00 0 Nov/02/16 Dec/02/23
Description: APPLIED MATERIALS 0200-11112 LINER, BOTTOM, 300MM CuBS CLEAN AMAT ENDURA PVD
alamedaauction Used - $1,995.00 0 Nov/02/16 Dec/02/16
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
powersell007 Used - $499.00 1 Nov/02/16 Nov/02/23
Description: APPLIED MATERIALS 0200-11114 GAS DISTRIBUTION PLATE, APC 300mm ENDURA PVD AMAT
farmoninc NEW - $350.00 2 Nov/02/16 Jan/31/23
Description: AMAT 0021-39716 Plate, Adapter, 300mm, Autobias, 417963
ptb-sales Used - $800.00 0 Nov/02/16 Dec/02/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
powersell007 NEW - $499.00 0 Nov/03/16 Oct/29/17
Description: APPLIED MATERIALS 0200-11113 LINER, 300MM APC ENDURA PVD AMAT w/ CERT *UNUSED*
powersell007 NEW - $999.00 0 Nov/02/16 Oct/28/17
Description: APPLIED MATERIALS 0200-11419 FOCUS RING AL HTR/HEATER APC 300MM ENDURA2 PVD AMAT
powersell007 Used - $1,899.00 0 Nov/03/16 Dec/03/23
Description: NEW APPLIED MATERIALS 0200-09232 QUARTZ RING CLEAN CHAMBER 300MM AMAT w/ CERT
powersell007 NEW - $599.00 0 Nov/03/16 Oct/29/17
Description: APPLIED MATERIALS 0021-29364 QUARTZ KIT HOUSING,300mm CuBS CLEAN AMAT ENDURA PVD
powersell007 Used - $1,899.00 1 Nov/03/16 Apr/03/23
Description: AMAT 0021-33836 AL 3003, SHIELD LOWER ADV TTN 300MM BEADBLAST & TWAS FIR CLEANED
powersell007 NEW - $2,199.00 0 Nov/04/16 Oct/30/17
Description: APPLIED MATERIALS 0020-83876 UPPER SHIELD DARKSPACE 300MM SIP AMAT w/ CERT *NEW*
powersell007 NEW - $299.00 1 Nov/04/16 Jan/13/17
Description: APPLIED MATERIALS 0020-75465 HOOP UNIVERSAL, 300MM AMAT *NEW SURPLUS*
ypspare Refurbished - $890.00 0 Nov/06/16 Mar/01/18
Description: AMAT APPLIED MATERIALS COVER RING 300MM 0021-16783
ypspare Refurbished - $1,990.00 0 Nov/06/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0041-31335 REV. 04 SHOWER HEAD TXZ CVD 300MM #2
orapma12012 Used - $7,895.00 0 Nov/07/16 Nov/14/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
ypspare Refurbished - $1,200.00 0 Nov/08/16 Dec/09/18
Description: AMAT APPLIED MATERIALS XDK 0035-00193 SHIELD HP PVD 300MM BOC EDWARDS
ypspare Refurbished - $693.00 0 Nov/08/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0022-04641 COVER RING 300MM SST
ypspare Refurbished - $420.00 0 Nov/08/16 Nov/29/18
Description: AMAT APPLIED MATERIALS 0021-16783 Cover Ring 300MM
ypspare Refurbished - $680.00 2 Nov/08/16 Jun/01/18
Description: AMAT APPLIED MATERIALS 0040-07291 REV 007 DEPOSITION RING ADV 101 300MM
esoteric_specialties Used - $375.00 0 Nov/08/16 Dec/08/16
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
ypspare Refurbished - $1,200.00 0 Nov/08/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0040-61317 QUARTZ BELL JAR 21 OD 300MM COATED SPIDOR
ypspare Refurbished - $1,200.00 0 Nov/08/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0021-16781 SHIEL UPPER 300MM REV. 2.0 SIP CU
ypspare Refurbished - $1,200.00 0 Nov/08/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0021-16781 SHIEL UPPER 300MM REV. 2 SIP C
ypspare Refurbished - $1,200.00 0 Nov/08/16 Nov/29/18
Description: AMAT APPLIED MATERIALS 0020-29711 REV 007 SHIELD LOWER Hi-C SHORT 300MM
ypspare Refurbished - $1,400.00 0 Nov/09/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0021-16781 SHIELD UPPER 300MM REV 2.0 SIP CU
benta09 NEW - $35.00 0 Nov/09/16 Dec/09/16
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $325.00 0 Nov/09/16 Dec/09/16
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
farmoninc NEW - $450.00 0 Nov/09/16 Jul/10/20
Description: AMAT 0020-08708, MTG Plate, Cathode Lift 300mm DPS. 418330
farmoninc NEW - $450.00 0 Nov/09/16 Jul/10/20
Description: AMAT 0020-08708 MTG Plate, Cathode Lift 300MM DPS I, 418299
farmoninc NEW - $450.00 0 Nov/09/16 Jul/10/20
Description: AMAT 0020-08708 MTG Plate, Cathode Lift 300MM DPS I, 418298
ypspare Refurbished - $1,400.00 0 Nov/10/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0200-76058 REV 002 QUARTZ PEDESTAL 300MM
svcstore Used - $43.99 0 Nov/10/16 Dec/10/16
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $349.99 0 Nov/10/16 Dec/10/16
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $299.99 0 Nov/10/16 Dec/10/16
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $61.99 0 Nov/10/16 Dec/10/16
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $61.99 0 Nov/10/16 Dec/10/16
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $61.99 0 Nov/10/16 Dec/10/16
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $52.99 0 Nov/10/16 Dec/10/16
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
ypspare Refurbished - $390.00 0 Nov/11/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0020-26374 REV 07 CLAMP MIDDLE SHIELD 300MM
ypspare NEW - $355.00 0 Nov/12/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0041-03513 REV. 05 CAP ALD LID 300MM 1272
ypspare Refurbished - $560.00 0 Nov/12/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0020-48305 COVER RING TI WITH CLEANCOAT 300MM ESIP TA
speedsell2015 Used - $9,500.00 0 Nov/13/16 Sep/02/19
Description: 300MM WAFER CHUCK AMAT 0240-B9990 0020-14540
orapma12012 Used - $7,895.00 0 Nov/14/16 Nov/21/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
be4049 NEW - $160.00 12 Nov/14/16 Sep/14/20
Description: 0020-25624 / 0200-01311 AMAT INSULATOR, 300MM HEATER
asset_asset NEW - $931.37 0 Nov/14/16 Oct/24/18
Description: Applied Materials 0040-52328 FLEXTURE,300MM,PAD CONDITIONER,W/DRAIN
asset_asset NEW - $2,793.95 0 Nov/14/16 Oct/24/18
Description: Novellus 15-269129-00 1264 ONLY,CUP,300MM,KNIFE,EDGE,BOTTOM,X4
bionicle1969 Refurbished - $4,195.00 0 Nov/14/16 Dec/14/16
Description: Lam Research ESC, Tunable, MZ, 300mm 839-019080-608
j316gallery NEW - $382.92 0 Nov/16/16 Jun/13/23
Description: 5000 APPLIED MATERIALS TOOL, SHOCK COMPRESSION 300MM PVD (NEW) 0270-01959
sparesllc09 NEW - $2,455.00 0 Nov/21/16 Jul/12/19
Description: 0040-44492 / MOUNTING RING, 300MM DPS2 / APPLIED MATERIALS
powersell007 Used - $3,299.00 1 Nov/21/16 Mar/20/17
Description: NSK ELA-B014CFH-03 AMAT 0190-11706 300MM PRODUCER ROBOT CONTROLLER/ SERVO DRIVER
alameda_electronics Used - $975.00 0 Nov/20/16 Dec/20/16
Description: AMAT 0010-37176 ESC Heater Assy 300mm Chuck DPS AE Etch Chamber 0041-09247
ab-international NEW - $750.00 0 Nov/19/16 Nov/29/16
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane
alameda_electronics Used - $395.00 0 Nov/22/16 Dec/22/16
Description: AMAT 0040-13509 Quartz 300mm Bell Jar 0040-46397 Ring 0020-19581 Plate Endura
svcstore Used - $799.99 0 Nov/18/16 Dec/18/16
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $299.99 0 Nov/18/16 Dec/18/16
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $46.99 0 Nov/18/16 Dec/18/16
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
pohyh Used - $499.00 1 Nov/20/16 Apr/20/17
Description: 5625 NOVELLUS 300MM PEDESTAL CYLINDER W/ ANIMATICS SMA 02-306362-00 19-100586-00
svcstore Scrap, for parts - $349.99 0 Nov/18/16 Dec/18/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $599.99 0 Nov/18/16 Dec/18/16
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
asset_asset NEW - $349.80 0 Nov/17/16 Jan/10/17
Description: Novellus 04-289663-00 PM KIT,300MM,CYLINDER REBUILT, DP,SBR-XT
asset_asset NEW - $9,220.66 0 Nov/17/16 Jan/10/17
Description: Novellus 02-368049-00 ASSY,TOP PLATE,ADJ,APC,HS,300MM
asset_asset NEW - $119.40 0 Nov/17/16 Jan/10/17
Description: Novellus 15-357409-00 Holder, Button, Pem chuck, 300MM
esolutions1 NEW - $499.00 0 Nov/24/16 Dec/24/16
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
esolutions1 NEW - $499.00 0 Nov/24/16 Dec/24/16
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
esolutions1 NEW - $275.00 0 Nov/24/16 Dec/24/16
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
farmoninc NEW - $450.00 0 Nov/25/16 May/10/22
Description: AMAT 0040-76504 Cooler Weldment, 300mm DEGAS, 419221
ptb-sales Used - $750.00 0 Nov/28/16 Dec/28/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
atxdeals4u Used - $350.00 0 Nov/28/16 Oct/26/17
Description: SBS Technologies Rev. A CPMC1 Circuit Board AMAT Centura 300mm 0190-17952 Rev. 3
farmoninc NEW - $450.00 0 Nov/28/16 May/10/22
Description: AMAT 0040-76504 Rev.P1, JL 2 01, Cooler Weldment, 300mm Degas. 419350
ami1617182 NEW - $1,550.00 1 Nov/28/16 Jan/20/17
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
orapma12012 Used - $7,595.00 0 Nov/28/16 Dec/05/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
techshop7777 NEW - $649.99 0 Nov/28/16 Dec/28/16
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
usedeqsales Used - $511.16 1 Nov/29/16 Mar/11/21
Description: AMAT Applied Materials 0040-55456 300mm Quartz Bell Jar 633007491-F Copper Used
svcstore NEW - $65.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $70.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $54.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $49.99 0 Nov/30/16 Dec/30/16
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
svcstore Used - $299.99 0 Nov/30/16 Dec/30/16
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
atxdeals4u NEW - $350.00 0 Dec/01/16 Oct/25/17
Description: AMAT 300mm SLIT VALVE DOOR 0040-96157 REV. 002
bruce135 Used - $299.99 0 Dec/01/16 Dec/31/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $599.99 0 Dec/01/16 Dec/31/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
bobsgoodies2 Used - $115.00 0 Dec/02/16 Mar/31/22
Description: AMAT 1310-00084 TC DUAL CIRCUIT MARCHI SDSM -1603 300MM HDPCVD
sparesllc09 Used - $4,200.00 0 Dec/02/16 Nov/05/17
Description: 0040-99095 / LINER,UPPER,W/COOLING ANODIZE,300MM DPN / APPLIED MATERIALS
sparesllc09 Used - $6,800.00 0 Dec/02/16 Jul/09/19
Description: 0040-08136 / SUPPORT, LIFT CYLINDER ,300MM CATHODE, D / APPLIED MATERIALS
alamedaauction Used - $995.00 0 Dec/03/16 Jan/02/17
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
alamedaauction NEW - $450.00 0 Dec/03/16 Jan/02/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-254957-00 Cameo Cam Bodine Gearmotor
alamedaauction Used - $125.00 0 Dec/03/16 Jan/02/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
mylexingtonblue NEW - $1,800.00 0 Dec/03/16 Jan/02/17
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
orapma12012 Used - $7,595.00 0 Dec/05/16 Dec/12/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
johnnytwo-tone Used - $140.00 1 Dec/07/16 Jul/15/22
Description: AMAT 0021-34033 Applied Materials Membrane 300mm Titan Profiler 5-Zone
usedeqsales Used - $112.16 0 Dec/07/16 Sep/13/18
Description: TDK TAS300 Load Port Power Supply Assembly AMAT 0190-17837 Endura 300mm Used
kyuw-kr NEW - $10,000.00 0 Dec/07/16 Jan/06/17
Description: Applied Materials/AMAT CHAMBER UPPER Y2O3 300MM DPSII 0040-79200
esoteric_specialties Used - $375.00 0 Dec/08/16 Jan/07/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
ptb-sales Used - $800.00 0 Dec/09/16 Jan/08/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ab-international NEW - $750.00 0 Dec/10/16 Dec/20/16
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane
kakkisung-6 Used - $35,000.00 0 Dec/11/16 Feb/09/22
Description: AMAT ENDURA II HY-11 MAGNET 300MM DS-TTN 0010-25739
orapma12012 Used - $7,595.00 0 Dec/12/16 Dec/19/16
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
atxdeals4u Used - $299.99 0 Dec/13/16 Oct/25/17
Description: AMAT/Applied Materials 0010-42371 Rev. 03 RF Filter 300mm Assembly
svcstore Used - $54.99 0 Dec/13/16 Jan/12/17
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $54.99 0 Dec/13/16 Jan/12/17
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $54.99 0 Dec/13/16 Jan/12/17
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $46.99 0 Dec/13/16 Jan/12/17
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $38.99 0 Dec/13/16 Jan/12/17
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $349.99 0 Dec/13/16 Jan/12/17
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $299.99 0 Dec/13/16 Jan/12/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
vizko2017 Used - $170.00 1 Dec/17/16 Dec/12/17
Description: APPLIED MATERIALS FEED THROUGH BOARD BIASABLE ELECTRODES 300mm 0100-01025 REV004
vizko2017 Used - $250.00 0 Dec/17/16 Dec/09/18
Description: APPLIED MATERIALS TC AMP 300MM 0010-21740 REV 003
svcstore NEW - $299.99 0 Dec/18/16 Jan/17/17
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $46.99 0 Dec/18/16 Jan/17/17
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $679.99 0 Dec/18/16 Jan/17/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Scrap, for parts - $599.99 0 Dec/18/16 Jan/17/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Scrap, for parts - $349.99 0 Dec/18/16 Jan/17/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
capitolareatech NEW - $25.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-75913 USE 0040-60541 HOOP 300MM PVD COOLDOWN C
capitolareatech NEW - $1,909.62 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-61991 COVER HOUSING WAFER HEAD 300mm
capitolareatech NEW - $115.38 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-61558 SHIELD, FARADAY 300mm WAFER HD
capitolareatech NEW - $45.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-53247 BRACKET AC PANEL 300MM REFLEXION
capitolareatech NEW - $25.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-49932 Base Strain Relief, DC Cable ,300mm IMP Chamber
capitolareatech NEW - $12.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-49517 Block, Strain Relief, DC Cable, 300MM IMP Chamber
capitolareatech NEW - $125.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0020-47424 BAR, HORIZ., CD RCW, REGEN RES., 300MM C
capitolareatech NEW - $752.49 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-76061 BLADE, ROBOT, TRANSFER 300MM
capitolareatech NEW - $354.75 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-39059 Body, Clamp Power Cable 300mm Heater DCS
capitolareatech NEW - $47.75 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-37889 MOUNT ACME NUT 300MM UNIVERSAL LIFT
capitolareatech NEW - $75.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-26968 Insulator, Clamp Heater 300MM
capitolareatech NEW - $964.29 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-26577 Liner, Aluminum Bottom, 300MM SACVD PROD
capitolareatech NEW - $201.85 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-25000 SHIM, .25MM(.009")SST HI PWR, 300MM
capitolareatech NEW - $39.29 0 Dec/19/16 Aug/05/19
Description: AMAT 0021-24934 COVER, POWER BLOCK, FIXED CATHODE, 300MM
capitolareatech NEW - $64.95 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-24305 BRACKET TOP, CAPACOITOR ASSY, EMAX 300MM
capitolareatech NEW - $410.55 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-76956 ELBOW, 300MM BACKSIDE GAS
capitolareatech NEW - $53.58 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-62647 LINE, MANOMETER SUPPORT, RP 300MM EPI
capitolareatech NEW - $312.29 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-48098 LINE, RP MANIFOLD TO PUMP TEE, 300MM RAD
capitolareatech NEW - $470.62 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-44708 LINE, MFLD 2POS. & PP, 300MM CENTURA LPC
capitolareatech NEW - $155.89 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-42160 Weldment, Long Liquid Spool, 300MM TICL
capitolareatech NEW - $291.71 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-40899 LINE, MNFLD 14 CHAM. A/C OR B/D, 300MM
capitolareatech NEW - $45.00 0 Dec/19/16 Aug/03/17
Description: AMAT 0140-15468 Cable POWER SUPPLY AC LINE CORD W/RING LUGS H/A 300MM ; COMPATIB
capitolareatech NEW - $125.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-12597 HARNESS ASSY DIW HTR TC INPUTS 300MM OAS
capitolareatech NEW - $501.65 0 Dec/19/16 Oct/16/17
Description: AMAT 0140-11746 HARNESS ASSY, P9 CCM, 300MM HART
capitolareatech NEW - $85.00 0 Dec/19/16 Aug/09/19
Description: AMAT 0150-91737 Spares TB 300MM
capitolareatech NEW - $1,200.00 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-01611 Cable Assembly DNET I/O 300MM LG with RS 4.4T MAL, ID Number: U2
capitolareatech NEW - $427.54 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 15-177748-00 RING, WEAR REAR LATCHED PET 300MM
capitolareatech NEW - $3,450.00 1 Dec/20/16 Dec/27/18
Description: AMAT 5075-98008 LOADPORT,REV 5,300mm,26 WAFER SMIF
capitolareatech NEW - $19.95 2 Dec/20/16 Jun/20/20
Description: LAM 734-010736-001 GREENE TWEED 4201B28703SP742; O-RING, LINER CHMBR 300MM DOV
capitolareatech NEW - $641.52 0 Dec/20/16 Jun/20/20
Description: LAM 716-021209-368 Ring, BOT, ADJ, CPLG, 2300, 300MM, D
capitolareatech Refurbished - $750.00 0 Dec/20/16 Jun/20/20
Description: AMAT 1080-00195 DRIVER SERVO, 300MM HEATER LIFT, PV1
capitolareatech Refurbished - $125.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0200-02449 RING, COVER 300MM; TOSH C40-013-Q-91R
capitolareatech Refurbished - $500.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0200-01833 COVER COLUMNAR 300MM
dr.dantom NEW - $899.99 0 Dec/21/16 Mar/03/20
Description: AMAT Applied Materials 0040-61317 Quartz 300mm Bell Jar 21OD PC Spidor
alameda_electronics Used - $195.00 0 Dec/22/16 Jan/21/17
Description: AMAT 0040-13509 Quartz 300mm Bell Jar 0040-46397 Ring 0020-19581 Plate Endura
alameda_electronics Used - $775.00 0 Dec/22/16 Jan/21/17
Description: AMAT 0010-37176 ESC Heater Assy 300mm Chuck DPS AE Etch Chamber 0041-09247
esolutions1 NEW - $449.10 0 Dec/27/16 Jan/26/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
esolutions1 NEW - $449.10 0 Dec/27/16 Jan/26/17
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
benta09 NEW - $35.00 0 Dec/28/16 Jan/27/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $325.00 0 Dec/28/16 Jan/27/17
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
uam_126 Used - $1,000.00 1 Dec/29/16 Dec/30/16
Description: NSK ELA-B014CG2-04,SERVO DRIVER 300MM ROBOT, AMAT 0190-25916 REV.03
uam_126 Used - $1,000.00 1 Dec/29/16 Dec/29/16
Description: NSK ELA-B014CFL-03, SERVO DRIVER 300MM ROBOT,AMAT 0190-17853 REV.001
y.t.r2011 Used - $500.00 0 Dec/29/16 Jul/05/17
Description: Novellus 16-132590-01 WLDMNT SHWRHD Pinned 300mm
bionicle1969 Refurbished - $4,195.00 0 Dec/30/16 Jan/29/17
Description: Lam Research ESC, Tunable, MZ, 300mm 839-019080-608
esolutions1 NEW - $275.00 0 Jan/01/17 Jan/31/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
techshop7777 NEW - $649.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
mylexingtonblue NEW - $1,800.00 0 Jan/03/17 Feb/02/17
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
alamedaauction Used - $495.00 0 Jan/03/17 Feb/02/17
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
alamedaauction Used - $125.00 0 Jan/03/17 Feb/02/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
alamedaauction NEW - $250.00 1 Jan/03/17 Jan/31/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-254957-00 Cameo Cam Bodine Gearmotor
svcstore NEW - $48.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $62.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $58.99 0 Jan/03/17 Feb/02/17
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $43.99 1 Jan/03/17 Jan/05/17
Description: NEW AMAT Applied Materials 300mm Notch Silicon Inner Insert Ring Unit 0200-08067
bruce135 Used - $299.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $299.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
svcstore Used - $599.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
ab-international NEW - $704.48 0 Jan/03/17 Jan/13/17
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane
alamedaauction NEW - $274.95 0 Jan/03/17 Feb/02/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
alvin1462 NEW - $688.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24184 LINER BOTTOM SIDE 2 300MM SE NEW
alvin1462 NEW - $688.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24183 LINER BOTTOM SIDE 1 300MM SE NEW
alvin1462 NEW - $555.00 1 Jan/04/17 Jan/18/19
Description: APPLIED MATERIALS AMAT 0200-03313 LIFT RING 120 FIXED FLOATING 300MM PRODU NEW
sparesllc09 NEW - $19,000.00 0 Jan/05/17 Jun/26/19
Description: 0010-24299 / HEATER ASSY HA-35, MC, CIP 300MM PRODUCER APPLIED MATERIALS
johbedo_0 Used - $790.00 1 Jan/06/17 Jan/08/17
Description: NSK ELA-B014CG2-04,SERVO DRIVER 300MM ROBOT, AMAT 0190-25916 REV.03
usedeqsales Used - $801.17 2 Jan/06/17 Jan/29/19
Description: AMAT Applied Materials 0021-20838 Pedestal LG OD Preclean 300MM Used Working
esoteric_specialties Used - $375.00 0 Jan/07/17 Feb/06/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
ptb-sales Used - $800.00 0 Jan/09/17 Feb/08/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
kyuw-kr NEW - $10,000.00 0 Jan/09/17 Feb/08/17
Description: Applied Materials/AMAT CHAMBER UPPER Y2O3 300MM DPSII 0040-79200
atxdeals4u Used - $1,200.00 0 Jan/11/17 Jan/14/17
Description: NSK ELA-B014CG2-04 SERVO DRIVE 300MM ROBOT, AMAT 0190-25916 Rev. 001
svcstore Used - $48.99 0 Jan/13/17 Feb/12/17
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $48.99 0 Jan/13/17 Feb/12/17
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $41.99 0 Jan/13/17 Feb/12/17
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $48.99 0 Jan/13/17 Feb/12/17
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $34.99 0 Jan/13/17 Feb/12/17
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $349.99 0 Jan/13/17 Feb/12/17
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $299.99 0 Jan/13/17 Feb/12/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
y.t.r2011 Used - $230.00 1 Jan/16/17 Oct/03/22
Description: NOVELLUS 02-288189-00 Lift Pin Actuator 300mm
spsglobal Used - $500.00 2 Jan/16/17 Jun/15/23
Description: 323-0301// AMAT APPLIED 0020-75600 INSULATOR, 300MM PRECLEAN SHAFT TOP NEW
svcstore Used - $46.99 0 Jan/19/17 Feb/18/17
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $679.99 0 Jan/19/17 Feb/18/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $299.99 0 Jan/19/17 Feb/18/17
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $349.99 0 Jan/19/17 Feb/18/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $599.99 0 Jan/19/17 Feb/18/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
jinhyucle_0 NEW - $1,000.00 0 Jan/20/17 Dec/18/17
Description: AMAT 0021-61233 Blocker DD2496 SACVD 300MM Producer, Brand NEW, Sealed
ami1617182 NEW - $1,550.00 0 Jan/21/17 Mar/22/17
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
alameda_electronics Used - $475.00 1 Jan/21/17 Feb/13/17
Description: AMAT 0010-37176 ESC Heater Assy 300mm Chuck DPS AE Etch Chamber 0041-09247
alameda_electronics Used - $125.00 1 Jan/21/17 Feb/01/17
Description: AMAT 0040-13509 Quartz 300mm Bell Jar 0040-46397 Ring 0020-19581 Plate Endura
levmucciacciar0 Used - $145.00 2 Jan/21/17 May/20/17
Description: 0100-20012 AMAT Applied Materials 300mm Centura PCB ASSY ISOLATION AMPLIFIER
vizko2017 Refurbished - $290.00 0 Jan/24/17 Dec/09/18
Description: AMAT 0020-02348 CLAMP, LOWER SHIELD, 300MM SIP CLEANED
vizko2017 Refurbished - $420.00 0 Jan/24/17 Dec/09/18
Description: AMAT CLEANED CHAMBER TOP CERAMIC RING TXZ 0200-06508 300MM
gosemicat Used - $200.00 2 Jan/24/17 Feb/07/17
Description: AMAT 300mm Assy, Transport Cart Endura Chamber (Chamber Rack/Stand) 0040-84804
grandbirdnet NEW - $5,900.00 0 Jan/25/17 Mar/31/22
Description: AMAT 0010-06140 Endura Shutter Assembly & Blade, 300mm, NEW
alameda_electronics Used - $1,450.00 1 Jan/26/17 Feb/01/17
Description: NSK ELA-B014CF1-02 Servo Driver Dual Axis & Cables 300mm Robot 0190-02133 AMAT
vizko2017 Refurbished - $180.00 0 Jan/27/17 Dec/09/18
Description: AMAT Applied Materials 0021-21065 TAD Shield Screwless 300mm CLEANED
orapma12012 Used - $7,595.00 0 Jan/27/17 Feb/03/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
vizko2017 Refurbished - $1,600.00 0 Jan/28/17 Mar/29/17
Description: AMAT APPLIED MATERIALS 0020-60810 005 SHIELD INNER BB & TWAS KIT CLEANED 300MM
levmucciacciar0 Used - $290.00 0 Jan/28/17 Mar/15/20
Description: Applied Materials 0100-02186 REV. 004 300mm Endura
vizko2017 Refurbished - $560.00 0 Jan/28/17 Nov/28/18
Description: AMAT APPLIED MATERIALS 0020-60810 005 SHIELD INNER BB & TWAS KIT CLEANED 300MM
levmucciacciar0 Used - $290.00 0 Jan/27/17 Mar/15/20
Description: AMAT Applied Materials 0100-00546 300mm Endura
bionicle1969 Refurbished - $3,450.00 0 Jan/29/17 Oct/31/18
Description: Lam Research ESC, Tunable, MZ, 300mm 839-019080-608
esolutions1 NEW - $499.00 0 Jan/30/17 Mar/01/17
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
esolutions1 NEW - $499.00 0 Jan/30/17 Mar/01/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
powersell007 Used - $899.00 0 Jan/31/17 Nov/30/23
Description: APPLIED MATERIALS 0200-09236 CERAMIC DEPOSITION RING, CLEAN CHAMBER 300MM AMAT
partskorea1 Used - $14,999.00 0 Jan/31/17 Apr/01/17
Description: AMAT 0010-03485 300mm Magnet Assy
esolutions1 NEW - $275.00 0 Jan/31/17 Mar/02/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
atxdeals4u NEW - $49.99 0 Jan/31/17 Oct/25/17
Description: Applied Materials 0020-62220 Shield Side Top Hot Chamber Low K 300MM New
usedhightechequipment Used - $7,290.00 0 Jan/31/17 Feb/05/17
Description: LAM Research OffBoard Gas Box Motherboard 300mm (12 gas), 810-800316-004 Rev C
visionsemi NEW - $90.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
visionsemi Used - $25.00 0 Feb/01/17 May/26/17
Description: AMAT 0140-07873 HARN ASSY JBOX CRYO AC PWR ENDURA 300MM
vizko2017 Refurbished - $630.00 0 Feb/02/17 Dec/09/18
Description: AMAT APPLIED MATERIALS 0040-64429 REV 002 LID TxZ 300MM ALUMINIUM
alamedaauction Used - $195.00 0 Feb/02/17 Mar/04/17
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
alamedaauction Used - $95.00 0 Feb/02/17 Mar/04/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
mylexingtonblue NEW - $1,800.00 0 Feb/02/17 Mar/04/17
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
alamedaauction NEW - $274.95 0 Feb/03/17 Mar/05/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
orapma12012 Used - $7,595.00 0 Feb/03/17 Feb/10/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
svcstore NEW - $52.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $55.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $43.99 0 Feb/03/17 Mar/05/17
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $299.99 0 Feb/03/17 Mar/05/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
vizko2017 Refurbished - $300.00 0 Feb/05/17 Apr/06/17
Description: AMAT APPLIED MATERIALS 0021-53112 RING COVER LOW PROFILE RF PVD 300MM
vizko2017 Refurbished - $200.00 0 Feb/05/17 Apr/06/17
Description: AMAT APPLIED MATERIALS 0041-29007 RING SPACER TEXTURED WITH SPRING RFPVD 300MM
ab-international NEW - $704.48 0 Feb/05/17 Feb/15/17
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane
techshop7777 NEW - $649.99 0 Feb/05/17 Mar/07/17
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
bruce135 Used - $251.99 0 Feb/06/17 Mar/08/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
bobsgoodies2 Used - $450.00 0 Feb/06/17 Mar/31/22
Description: AMAT 0021-12878 ROBOT TRANSFER BLADE 300MM MOSS 390
usedhightechequipment Used - $7,999.00 0 Feb/06/17 Mar/25/17
Description: LAM Research OffBoard Gas Box Motherboard 300mm (12 gas), 810-800316-004 Rev C
esoteric_specialties Used - $375.00 0 Feb/06/17 Mar/08/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
benta09 NEW - $35.00 0 Feb/08/17 Mar/10/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $325.00 0 Feb/08/17 Mar/10/17
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
ptb-sales Used - $800.00 0 Feb/09/17 Mar/11/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
equipplus NEW - $3,199.00 1 Feb/09/17 May/21/18
Description: LAM Research 839-019080-611 Rev C,RFRB,ESC,Tunable E,300mm,,839-019080R611@4336
orapma12012 Used - $7,595.00 0 Feb/10/17 Feb/17/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
lagerwerk_gmbh Refurbished - $1,107.69 0 Feb/12/17 Nov/09/17
Description: APPLIED MATERIALS 11028573, 0200-04017, ring 300mm ESC CU - REFURBISHED
svcstore Used - $599.99 0 Feb/14/17 Mar/16/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
svcstore Used - $43.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $43.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $43.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $36.99 0 Feb/14/17 Mar/16/17
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
svcstore Used - $30.99 0 Feb/14/17 Mar/16/17
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
kyuw-kr NEW - $10,000.00 0 Feb/16/17 Mar/18/17
Description: Applied Materials/AMAT CHAMBER UPPER Y2O3 300MM DPSII 0040-79200
svcstore Used - $349.99 0 Feb/16/17 Mar/18/17
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $299.99 0 Feb/16/17 Mar/18/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
orapma12012 Used - $6,950.00 0 Feb/19/17 Feb/26/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
cubit001 Used - $4,000.00 0 Feb/21/17 Mar/23/17
Description: APPLIED MATERIALS 0010-06002 300MM NON-ENP REV 3 SLIT VALVE ASSY EQ LINE
svcstore Scrap, for parts - $349.99 0 Feb/24/17 Mar/26/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $599.99 0 Feb/24/17 Mar/26/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $46.99 0 Feb/24/17 Mar/22/17
Description: Lam Research 810-802969-002 300mm Heater Filter PCB Board Module Assembly
svcstore Used - $679.99 0 Feb/24/17 Mar/26/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $299.99 0 Feb/24/17 Mar/26/17
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
jinhyucle_0 Used - $4,500.00 0 Feb/28/17 Dec/18/17
Description: AMAT 0040-48594 ESC 300MM HDP CVD
usedeqsales Used - $403.17 0 Mar/01/17 Feb/11/22
Description: AMAT Applied Materials 0090-01312 300mm Source Rotation Motor Assembly Used
kakkisung-6 NEW - $6,000.00 0 Mar/02/17 Feb/09/22
Description: AMAT 0200-08585 HUB CENTER DUAL GAS SIDE FEED 300MM DPS II 2 Applied Materials #
kakkisung-6 Used - $15,999.00 0 Mar/02/17 Feb/09/22
Description: APPLIED MATERIALS 0190-24686 HEATER AL SINGLE ZONE 300MM ENDURA2 AMAT #
orapma12012 Used - $6,950.00 0 Mar/03/17 Mar/10/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
usedeqsales Used - $3,503.17 0 Mar/03/17 Nov/21/17
Description: TDK TAS300 300mm Wafer Load Port Type E4 AMAT 0190-17837 Used Working
mylexingtonblue NEW - $1,800.00 0 Mar/05/17 Apr/04/17
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
esolutions1 NEW - $247.50 0 Mar/05/17 Mar/29/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $449.10 0 Mar/05/17 Mar/29/17
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
esolutions1 NEW - $449.10 0 Mar/05/17 Mar/29/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
ab-international NEW - $704.48 0 Mar/05/17 Mar/15/17
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane
alamedaauction NEW - $274.95 0 Mar/06/17 Apr/05/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
alamedaauction Used - $195.00 1 Mar/06/17 Mar/06/17
Description: AMAT 0010-16392 ESC 300mm Chuck Dual Electrode 0040-63476 Endura-2 / Warranty
alamedaauction Used - $95.00 0 Mar/06/17 Apr/05/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
svcstore NEW - $46.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $38.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore NEW - $49.99 0 Mar/06/17 Apr/05/17
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore Used - $299.99 0 Mar/06/17 Apr/06/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
kakkisung-6 Used - $8,999.00 0 Mar/07/17 Feb/09/22
Description: AMAT SIDE Match Assy 300mm ULTIMA 0010-23685
kakkisung-6 Used - $8,999.00 0 Mar/07/17 Feb/09/22
Description: AMAT 0010-23684 TOP MATCH ASSY 300MM ULTIMA
bruce135 Used - $209.99 0 Mar/08/17 Apr/07/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
esoteric_specialties Used - $375.00 0 Mar/09/17 Apr/08/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
orapma12012 Used - $6,950.00 0 Mar/10/17 Mar/17/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
benta09 NEW - $35.00 0 Mar/11/17 Apr/10/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $325.00 0 Mar/11/17 Apr/10/17
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
semiconusa Used - $4,799.00 0 Mar/12/17 Jun/15/23
Description: AMAT VHP 300MM Robot Arm Set , P/N 0040-03661/ 0040-03662
semiconusa Used - $4,799.00 0 Mar/12/17 Jun/15/23
Description: AMAT VHP 300MM Robot Pivot Set , P/N 0040-50657 Comparable
ptb-sales Used - $800.00 0 Mar/15/17 Apr/14/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
pohyh Used - $200.00 0 Mar/16/17 May/11/17
Description: 7659 APPLIED MATERIAL ASM 300MM LED INDICATOR DISPLAY SHUTTER SENSOR 0190-10801
orapma12012 Used - $6,950.00 0 Mar/17/17 Mar/24/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
j316gallery Used - $174.76 0 Mar/17/17 Nov/17/21
Description: 7653 APPLIED MATERIALS UNIVERSAL HOOP, ENDURA 300MM 0020-75465
svcstore Used - $30.99 0 Mar/17/17 Apr/16/17
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
svcstore Used - $43.99 0 Mar/17/17 Mar/22/17
Description: Lam Research 716-077262-009 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $43.99 0 Mar/17/17 Mar/22/17
Description: Lam Research 716-077262-017 300mm Quartz Edge Top Ring Semiconductor Part
svcstore Used - $43.99 0 Mar/17/17 Mar/22/17
Description: Lam Research 716-126318-005 300mm Quartz Top Edge Ring Semiconductor Part
svcstore Used - $36.99 0 Mar/17/17 Mar/22/17
Description: Lam Research 716-116522-006 300mm H/E Hot Edge Ring Semiconductor Part Unit
eastsemi Refurbished - $50,100.00 0 Mar/19/17 Oct/13/20
Description: 0010-23066 MCA E-CHUCK HEATER, 300MM SLT HT HI-TEMP ESC AMAT
kyuw-kr NEW - $10,150.00 0 Mar/20/17 Apr/19/17
Description: Applied Materials/AMAT CHAMBER UPPER Y2O3 300MM DPSII 0040-79200
odysseystudios Used - $950.00 1 Mar/20/17 Jun/05/17
Description: Applied Materials 0040-81157 Rev. 002 SPOOL TURBO/ GV 300MM DPS II w/ warranty
grandbirdnet Used - $180.00 0 Mar/23/17 Jun/15/23
Description: AMAT 0020-16859 BASE PLATE UPA 300MM, USED
techshop7777 NEW - $649.99 0 Mar/24/17 Apr/23/17
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
ace449parts2010 Used - $850.00 0 Mar/26/17 Oct/26/19
Description: AMAT 0100-35414 CHAMBER TC INTERFACE DPS POLY, 300mm ASSY, PCB
tmh_inc Used - $2,040.00 0 Mar/26/17 Oct/01/21
Description: AMAT 0500-01076, Granville-Phillips CNTRL ASSY VACUUM GAUGE 300MM DNET
eastsemi Refurbished - $50,100.00 0 Mar/27/17 Oct/13/20
Description: AMAT 0010-36738, 300mm AMAT Producer Ceramic Heater
svcstore Used - $679.99 0 Mar/27/17 Apr/26/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $269.99 0 Mar/27/17 Apr/26/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $314.99 0 Mar/27/17 Apr/26/17
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore NEW - $299.99 0 Mar/27/17 Apr/26/17
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $349.99 0 Mar/27/17 Apr/26/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $599.99 0 Mar/27/17 Apr/26/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
techequipsales Used - $500.00 0 Mar/27/17 Jun/15/23
Description: Applied Materials 0040-04540 Portion Gauge 300mm TXZ 2-3011602-310 (New Surplus)
j316gallery Used - $650.00 1 Mar/27/17 Mar/29/17
Description: 7793 APPLIED MATERIAL PCB 300MM CENTURA VMICPCI-7325-259 800MHZ 512MB 0090-04405
alamedaauction Used - $395.00 1 Mar/28/17 Mar/29/17
Description: AMAT 300mm ESC Chuck 0040-63476 Dual Electrode IA E-Max 0010-16392 / Warranty
yericomfg NEW - $50.00 0 Mar/29/17 Apr/14/17
Description: Applied Materials; 0020-61949, Strap SST, Lower Shield Ground, 300mm PCII/RPC+
levmucciacciar0 Used - $150.00 0 Mar/29/17 Mar/15/20
Description: AMAT APPLIED MATERIALS 0190-24116 ASSY. PCB, CDN396R, A-I/O, 300MM ENDURA USED
levmucciacciar0 Used - $90.00 0 Mar/29/17 Mar/15/20
Description: AMAT 0100-20268 PCB Assembly, Feed Through Board, 300mm,
esolutions1 NEW - $247.50 0 Apr/01/17 May/01/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $449.10 0 Apr/01/17 May/01/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
esolutions1 NEW - $449.10 0 Apr/01/17 May/01/17
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
j316gallery Used - $2,500.00 0 Apr/04/17 Apr/10/17
Description: 8085 APPLIED MATERIAL VAT UHV GATE VALVE 300MM HYBRID CBM 0010-29881
yericomfg NEW - $700.00 0 Apr/04/17 Aug/08/18
Description: Applied Materials 0100-02139 Gas Box Distribution Board HP+AxZ 300mm
alamedaauction NEW - $395.00 0 Apr/04/17 May/04/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Cameo Cam Bodine Gearmotor
alamedaauction Used - $24,975.00 0 Apr/04/17 May/04/17
Description: AMAT 0010-14204 MCA 300mm E-Chuck Heater ESC Electro-Static Assy / Warranty
j316gallery Used - $305.53 0 Apr/04/17 Nov/01/20
Description: 8097 LAM RESEARCH SYSTEM INTERLOCK, 300MM, CONTROL BOX 810-800031-300
tmh_inc NEW - $591.00 0 Apr/05/17 Apr/10/17
Description: AMAT 0040-96941, BRACKET, LAMP HEAD SW, 300MM RADIANCE
tmh_inc NEW - $144.00 0 Apr/05/17 Apr/10/17
Description: AMAT 0050-80584, HOSE ASSY, LAMP HOUSING FLANGE, 300MM RA
usedeqsales Used - $554.17 1 Apr/05/17 Sep/10/17
Description: AMAT Applied Materials 0100-01781 300mm HDPCVD Chamber Distribution Board Used
equipplus Used - $129.00 1 Apr/05/17 Jun/18/18
Description: AMAT 0020-14704 Rev 003 AC-DC Power Supply,300mm,XP 100915-01,used@4596
alamedaauction NEW - $274.95 0 Apr/06/17 May/06/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
alamedaauction Used - $95.00 0 Apr/06/17 May/06/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
tmh_inc NEW - $30.00 0 Apr/06/17 Apr/10/17
Description: AMAT 0040-48543, PLATE, MANIFOLD MOUNTING, RPS II, 300MM
svcstore NEW - $41.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $43.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
svcstore NEW - $34.99 0 Apr/06/17 May/06/17
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
mylexingtonblue NEW - $1,300.00 0 Apr/08/17 May/08/17
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
esoteric_specialties Used - $375.00 0 Apr/09/17 May/09/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
alvin1462 NEW - $888.00 1 Apr/10/17 Jun/05/17
Description: AMAT APPLIED MATERIALS 0041-31335 REV. 04 SHOWER HEAD TXZ CVD 300MM
j316gallery Used - $2,200.00 0 Apr/10/17 May/14/19
Description: 7991 APPLIED MATERIAL LID, RIGHT, VIEWPORTS, 300MM TWIN PRODUCE 0040-07390
j316gallery Used - $1,747.60 0 Apr/10/17 Dec/22/21
Description: 7990 APPLIED MATERIALS LID, LEFT, VIEWPORT, 300MM TWIN PRODUCE 0040-07389
bruce135 Used - $195.99 0 Apr/10/17 May/10/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
tmh_inc NEW - $709.20 0 Apr/10/17 Oct/01/21
Description: AMAT 0040-96941, BRACKET, LAMP HEAD SW, 300MM RADIANCE
vizko2017 Used - $100.00 1 Apr/11/17 Mar/07/18
Description: APPLIED MATERIALS 0010-29435 REV 001 TC AMP 300MM W/BUFFER 0100-20339 REV 004
partskorea1 Used - $9,999.00 0 Apr/12/17 Nov/08/17
Description: AMAT 0040-91179 300mm Heater Assy
techequipsales Used - $125.00 0 Apr/12/17 Jun/15/23
Description: AMAT Applied Materials 0100-20349 Operator Key Panel Circuit Board 300mm
systasemi Refurbished - $8,000.00 0 Apr/12/17 Aug/25/17
Description: Novellus 15-257249-01, Ceramic Dome 300mm, Condition : Refurbished
usedeqsales Used - $3,504.17 0 Apr/13/17 Nov/21/17
Description: TDK TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used Working
systasemi NEW - $60.00 0 Apr/14/17 Nov/01/23
Description: Applied Materials 0190-26994, Belt, Tmg, 375P, 1/2W, 300mm Endura
part_miner NEW - $450.00 0 Apr/15/17 Aug/13/17
Description: Applied Materials Interlock Personality Board 300MM Chamber HDPCVD 0110-20458
johbedo_0 NEW - $175.00 0 Apr/15/17 Aug/13/17
Description: Applied Materials 0040-38857 Retaining Ring 300mm Double w Groove Vent Hole AMAT
ptb-sales Used - $800.00 0 Apr/17/17 May/17/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
kyuw-kr NEW - $10,150.00 0 Apr/19/17 May/19/17
Description: Applied Materials/AMAT CHAMBER UPPER Y2O3 300MM DPSII 0040-79200
discountdepot NEW - $350.00 1 Apr/18/17 Sep/17/17
Description: New Applied Materials 0020-89739 Door Slit Valve Y203 Coat 300MM DPS232
randmgoods NEW - $299.99 0 Apr/19/17 Feb/28/20
Description: AMAT 0021-81247 FACEPLATE Sequoia APR CIP 2, 300MM
svcstore Used - $26.99 0 Apr/17/17 May/10/17
Description: SEALED Lam Research 716-044668-430 Rev. A 300mm 4XX ESC Ring Semiconductor Part
tmh_inc NEW - $5,490.00 1 Apr/26/17 Nov/08/17
Description: AMAT 0190-25916 Rev.001 500C, ASSY,DUAL AXIS DRIVER,300MM ROBOT, ACP
yericomfg Used - $3,950.00 0 Apr/25/17 Aug/08/18
Description: 0190-24854 /MKS REV1.8 G4 PLUS 4-PORT UPA 300MM REFL /APPLIED MATERIALS
atxdeals4u Used - $2,900.00 0 Apr/26/17 May/15/17
Description: NSK ELA-B014CG7-04 Model ELA 300MM PRODUCER CONTROLLER AMAT 0190-24962 Rev.001
techshop7777 NEW - $649.99 0 Apr/25/17 May/25/17
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
tmh_inc NEW - $1,160.40 0 Apr/25/17 Oct/01/21
Description: AMAT 0190-08009, SPEC., 300MM EDGE GRIP KIT FOR PRODUCER
tmh_inc NEW - $1,200.00 0 Apr/28/17 Oct/01/21
Description: RING,CERAMIC,COUPLING,300MM, LAM RESEARCH, 716-011969-020
eastsemi Used - $50,100.00 0 Apr/28/17 Oct/13/20
Description: 0010-23677 MCA E-CHUCK HEATER, 300mm MCA SNNF Low Temp ESC
eastsemi Used - $50,100.00 0 Apr/28/17 Oct/13/20
Description: AMAT 0010-23066 MCA E-CHUCK HEATER, 300mm MCA SNNF High Temp Heater
eastsemi Used - $50,100.00 0 Apr/28/17 Oct/13/20
Description: AMAT 0010-22134 MCA E-CHUCK HEATER, 300mm MCA SNNF High Temp Heater
eastsemi Used - $50,100.00 0 Apr/28/17 Oct/13/20
Description: 0010-17111 MCA E-CHUCK HEATER, 300mm MCA SNNF Low Temp Heater
benta09 NEW - $35.00 0 Apr/29/17 May/29/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $325.00 0 Apr/29/17 May/29/17
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
ab-international NEW - $704.48 0 Apr/30/17 May/10/17
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membrane
svcstore Scrap, for parts - $349.99 0 May/01/17 May/31/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Scrap, for parts - $599.99 0 May/01/17 May/10/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE #2
svcstore Used - $269.99 0 May/01/17 May/31/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $314.99 0 May/01/17 May/31/17
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $679.99 0 May/01/17 May/31/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
dy-global Used - $249.90 0 May/01/17 Dec/01/20
Description: Lam RESEARCH Used SYSTEM INTERLOCK 300mm 810-800031-300 REV.A SEM-I-51=2M24
atxdeals4u Scrap, for parts - $4,000.00 0 May/02/17 Aug/10/17
Description: Applied Materials 0190-24854 MKS 134500-G4-PLUS 4-Port UPA 300mm REFL
esolutions1 NEW - $499.00 0 May/04/17 Jun/03/17
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
esolutions1 NEW - $499.00 0 May/04/17 Jun/03/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
esolutions1 NEW - $275.00 0 May/04/17 Jun/03/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
usedeqsales Used - $805.17 5 May/04/17 Dec/20/20
Description: AMAT Applied Materials 0010-13967 OFT Centerfinder Receiver 300mm Centura Used
usedeqsales Used - $805.17 4 May/04/17 Dec/20/20
Description: AMAT Applied Materials 0010-76968 OFT Centerfinder Emitter 300mm Centura Used
alamedaauction Used - $24,950.00 0 May/05/17 Jun/04/17
Description: AMAT 0010-14204 MCA 300mm E-Chuck ESC Pedestal Applied Materials / Warranty
alamedaauction NEW - $495.00 0 May/05/17 Jun/04/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Camco Cam Bodine DC Gear Motor
alamedaauction NEW - $95.00 0 May/07/17 Jun/06/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
alamedaauction Used - $95.00 0 May/07/17 Jun/06/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
jabedow NEW - $2,500.00 1 May/07/17 May/08/17
Description: AMAT, APPLIED MATERIALS, ASSY, WAFER SENSOR, 300mm, LCWE, 0010-17670, NEW
eisale1535 Refurbished - $15,589.00 0 May/07/17 Nov/28/18
Description: Applied Material 300mm AMAT ESC Assy 0010-33416 rev 5
mylexingtonblue NEW - $1,300.00 0 May/08/17 Jun/07/17
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
svcstore Used - $299.99 0 May/08/17 Jun/07/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
esoteric_specialties Used - $375.00 0 May/09/17 Jun/08/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
usedeqsales Used - $1,505.17 1 May/09/17 Jul/07/17
Description: AMAT Applied Materials 0021-22627 300mm Robot Blade End Effector 0040-96312 Used
usedeqsales Used - $1,505.17 1 May/09/17 Jul/07/17
Description: AMAT Applied Materials 0021-22627 300mm Robot Blade End Effector 0040-96311 Used
bruce135 Used - $279.99 0 May/10/17 Jun/09/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
tommy_chou Used - $1,999.99 0 May/11/17 Sep/07/17
Description: NOVELLUS 16-162983-00 REV:A 300mm Heater Assembly
tmh_inc NEW - $960.00 0 May/12/17 Oct/01/21
Description: AMAT 0021-46364, 300MM BLOCKER PLATE, HPD -0.2 BP STAND
ptb-sales Used - $800.00 0 May/17/17 Jun/16/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
debbiwilbe0 Used - $400.00 0 May/18/17 Jul/17/17
Description: AMAT RF Match PEALD 300mm AMAT 0041-07023
kyuw-kr NEW - $10,150.00 0 May/19/17 Jun/18/17
Description: Applied Materials/AMAT CHAMBER UPPER Y2O3 300MM DPSII 0040-79200
industry-outlet NEW - $39.99 0 May/21/17 Jun/20/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
j316gallery Used - $444.76 0 May/22/17 May/26/22
Description: 8605 APPLIED MATERIALS LINER, BOTTOM SIDE 1, 300MM SE 0021-24183
j316gallery Used - $444.76 0 May/22/17 May/26/22
Description: 8604 APPLIED MATERIALS LINER, BOTTOM SIDE 2, 300MM SE 0021-24184
usedeqsales Used - $1,753.06 1 May/25/17 Nov/20/22
Description: AMAT Applied Materials 0010-11228 300mm Magnet Assembly New Surplus
visionsemi NEW - $600.00 0 May/26/17 Sep/18/18
Description: APPLIED MATERIALS AMAT ENDURA CRYO PUMP LIFT ALUM-A-LIFT 300MM 0190-14995
used1eqsales Used - $1,512.13 0 Jun/02/17 Mar/15/18
Description: AMAT Applied Materials Gripper Claw 300mm 0040-84444 0040-80144 0040-80146
used1eqsales Used - $1,512.13 0 Jun/02/17 Mar/15/18
Description: AMAT Applied Materials Gripper Claw 300mm 0040-84443 0040-80142 0040-03857
vizvik16 NEW - $400.00 0 Jun/02/17 Oct/28/19
Description: AMAT Applied Materials 0040-34330, 0242-7297 Insert External Standard 300mm New
orapma12012 Used - $9,999.00 0 Jun/01/17 Jun/08/17
Description: AMAT 0041-04679 Rev. 002 300mm Ceramic
used1eqsales NEW - $803.13 0 Jun/01/17 Mar/19/18
Description: AMAT Applied Materials 0270-00877 300mm Endura Lifting Tool New
used1eqsales NEW - $803.13 0 Jun/01/17 Mar/16/18
Description: AMAT Applied Materials 0040-13509 300mm Preclean 21 OD Belljar Used Working
used1eqsales NEW - $803.13 0 Jun/01/17 Dec/20/17
Description: AMAT Applied Materials 0010-08061 300mm Preclean Viewpoint Assembly New
orapma12012 Used - $9,995.00 0 Jun/01/17 Jun/08/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
valincorporation NEW - $65.00 0 Jun/01/17 Jul/01/17
Description: AMAT 0020-45368 Component of ASSY, CHAMBER 300MM eMAX AP 0010-16373 REV 4
svcstore Used - $314.99 0 Jun/01/17 Jul/01/17
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $269.99 0 Jun/01/17 Jul/01/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $679.99 0 Jun/01/17 Jul/01/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Scrap, for parts - $349.99 0 Jun/01/17 Jul/01/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
alvin1462 NEW - $666.00 1 Jun/05/17 Jun/05/17
Description: AMAT Applied Materials 0041-12192 Reflector Plate Probe Inject 300mm RADIA
alamedaauction NEW - $495.00 0 Jun/05/17 Jul/05/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Camco Cam Bodine DC Gear Motor
used1eqsales Used - $705.15 0 Jun/05/17 Dec/18/17
Description: DIP 0190-01270 Circuit Board DIP-216-075 AMAT Endura 300mm working
used1eqsales Used - $705.15 0 Jun/05/17 Mar/29/18
Description: AMAT 0100-00567 Gas Box Distribution Circuit Board AMAT Endura 300mm working
used1eqsales Used - $2,504.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0010-25068 Source Chamber Top CPI-VMO AMAT Endura 300mm
used1eqsales Used - $2,504.14 0 Jun/05/17 Mar/29/18
Description: AMAT 0010-22567 Source Chamber Top CPI-VMO AMAT Endura 300mm used as-is
used1eqsales Used - $3,004.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0010-22569 Source Chamber Top CPI-VMO AMAT Endura 300mm
used1eqsales Used - $3,004.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0010-22568 Source Chamber Top CPI-VMO AMAT Endura 300mm
used1eqsales Used - $3,004.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0021-41520 Source Chamber Top AMAT Endura 300mm
used1eqsales Used - $3,011.13 0 Jun/05/17 Mar/16/18
Description: AMAT Quantum Leap 2 Process Module Load Lock Door 9010-00299 300mm working
used1eqsales Used - $705.15 0 Jun/05/17 Mar/16/18
Description: AMAT Applied Materials 0100-00546 Circuit Board AMAT Endura 300mm working
used1eqsales NEW - $2,004.14 0 Jun/05/17 Mar/16/18
Description: AMAT Applied Materials 0200-08569 Insulator Quartz 300mm PCII 2.0 New Surplus
used1eqsales NEW - $2,005.14 0 Jun/05/17 Mar/29/18
Description: Novellus 15-311164-00 Ring Wafer Lift 300mm STD ID EC VCTR Novellus Vector
used1eqsales NEW - $2,005.14 0 Jun/05/17 Mar/29/18
Description: Novellus Vector 03-417236-00 PCA GEN II FE INTF PCB C3VCTR 300mm
used1eqsales NEW - $505.14 0 Jun/05/17 Mar/29/18
Description: AMAT Applied Materials 0240-03251 Mounting Chiller Lines Kit AMAT Endura 300mm
j316gallery Used - $330.00 0 Jun/06/17 Jul/04/19
Description: 8647 NOVELLUS 300MM 13'' SHOWER HEAD 16-271392-00
used1eqsales NEW - $4,006.14 0 Jun/06/17 Mar/28/18
Description: AMAT 0010-11228 Revision 05 PVD Magnet, LP-3.7.3 300mm Endura 300mm new surplus
used1eqsales Used - $3,003.12 0 Jun/06/17 Mar/28/18
Description: AMAT Source Assy Encore 2 Cu 300mm c 0010-27504 0190-13851 Vexta BXM6400-B1
used1eqsales NEW - $1,006.14 2 Jun/06/17 Feb/23/18
Description: AMAT Applied Materials 0021-21519 Gasket RF 300MM B101 Heater IMP Revision 001
used1eqsales NEW - $2,006.14 0 Jun/06/17 Mar/28/18
Description: Applied Materials 0020-26374 Clamp Middle Shield 300MM SIP CU En Revision 006
used1eqsales Used - $4,007.15 1 Jun/06/17 Sep/18/17
Description: AMAT 0010-10976 Degas Chamber E AMAT 5500 Endura CU Barrier/Seed 300mm used
atxdeals4u Used - $325.00 0 Jun/06/17 Oct/26/17
Description: AMAT 0090-03582 300MM TxZ DLI Heater Controller w/ Interlock Personality Board
alamedaauction Used - $95.00 0 Jun/06/17 Jul/06/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
alamedaauction NEW - $95.00 0 Jun/06/17 Jul/06/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
j316gallery Used - $900.00 0 Jun/08/17 Sep/27/17
Description: 8842 NOVELLUS ANODE HCM SI 300MM VACUUM FLANGE RING, 1 PCE.012 TWAS 16-262201-00
j316gallery Used - $1,500.00 0 Jun/08/17 Sep/27/17
Description: 8851 LAM RESEARCH PLD/LD GAS DIST 300MM W/G RV HTR 715-801916-008
used1eqsales NEW - $2,006.14 0 Jun/07/17 Mar/28/18
Description: AMAT 0200-08301 Rev 03 Deposition Ring 300mm BESC Ceramic w/ Bead Blast new
mylexingtonblue NEW - $300.00 0 Jun/07/17 Jul/07/17
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
benta09 NEW - $325.00 0 Jun/08/17 Jul/08/17
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
used1eqsales NEW - $1,206.14 0 Jun/07/17 Mar/27/18
Description: AMAT 0021-11486 Rev 004 Disk Shutter SNNF BESC 300mm new surplus
athomemarket Used - $176.99 1 Jun/07/17 Jul/10/17
Description: Applied Materials 300mm Endura2 Electronics Tower Backplane CCT 0090-03758 AMAT
benta09 NEW - $35.00 0 Jun/08/17 Jul/08/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
used1eqsales Used - $4,008.14 0 Jun/08/17 Mar/27/18
Description: AMAT 0010-22568 CPI-VMO Chamber Source 4 Rev 001 AMAT Endura 300mm used working
used1eqsales NEW - $4,008.14 0 Jun/08/17 Mar/27/18
Description: AMAT 0010-37386 Center Water Feedthru Source 300mm Rev 03 new surplus
used1eqsales Scrap, for parts - $1,509.14 1 Jun/08/17 Aug/04/17
Description: AMAT 0010-02977 RF Match Sub Zero BESC Rev 008 AMAT Endura 300mm sold as is
powersell007 Used - $599.00 0 Jun/08/17 Dec/08/23
Description: APPLIED MATERIALS 0041-10531 RR INNER RING, 300MM TITAN, CALYPSO AMAT
orapma12012 Used - $9,999.00 0 Jun/08/17 Jun/15/17
Description: AMAT 0041-04679 Rev. 002 300mm Ceramic
orapma12012 Used - $9,995.00 0 Jun/08/17 Jun/15/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
spsglobal Used - $10,000.00 0 Jun/09/17 Jan/31/23
Description: 107-0201// AMAT APPLIED 0010-04941 300MM SIP MAGNET ASSEMBLY USED
esoteric_specialties Used - $375.00 0 Jun/09/17 Jul/09/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
used1eqsales Used - $990.15 0 Jun/09/17 Mar/26/18
Description: AMAT 0010-22876 Door Assembly 300mm MD SWLL 0040-03795-002 used working
svcstore Used - $199.99 0 Jun/09/17 Jul/09/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
bigg.logistics101 Used - $299.99 2 Jun/10/17 Mar/17/21
Description: LAM RESEARCH LINER MTG PL ESC 300MM 714-801511-002
used1eqsales Used - $2,001.13 0 Jun/13/17 Mar/21/18
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
used1eqsales Used - $2,001.13 0 Jun/13/17 Mar/21/18
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
yericomfg Used - $3,000.00 1 Jun/13/17 Jul/19/17
Description: AMAT 0190-25916 Rev.03 500C, ASSY,DUAL AXIS DRIVER,300MM ROBOT, ACP
yericomfg Used - $3,000.00 1 Jun/13/17 Jul/19/17
Description: AMAT 0190-25916 Rev.03 500C, ASSY,DUAL AXIS DRIVER,300MM ROBOT, ACP
used1eqsales Used - $3,509.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Jun/12/17 Nov/20/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $2,004.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0010-25341 CPI-VMO Chamber Source 1 Rev004 AMAT Endura 300mm used sold a is
bruce135 Used - $195.99 0 Jun/12/17 Jul/12/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
j316gallery Used - $3,000.00 2 Jun/12/17 Jul/25/17
Description: 8652 APPLIED MATERIAL 300MM DEGAS HEATER W/ TR 0010-19706
used1eqsales Used - $1,706.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0040-61317 Aluminum Oxide Coated Bell Jar 300mm cleaned once used working
used1eqsales Used - $1,606.15 1 Jun/12/17 Aug/29/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
used1eqsales NEW - $1,806.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm cleaned used works
used1eqsales Used - $353.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0041-38981 RF Ground Shield Source 300mm RF PVD used working
used1eqsales Used - $1,203.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0200-76058 Quartz Pedestal Large Pin Diameter 300mm cleaned used working
esolutions1 NEW - $247.50 0 Jun/14/17 Jul/14/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $449.10 0 Jun/14/17 Jul/14/17
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
esolutions1 NEW - $499.00 0 Jun/14/17 Jul/14/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
athomemarket NEW - $159.99 0 Jun/14/17 Oct/06/18
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
orapma12012 Used - $9,999.00 0 Jun/15/17 Jun/22/17
Description: AMAT 0041-04679 Rev. 002 300mm Ceramic
athomemarket Used - $29.99 1 Jun/15/17 Sep/17/18
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer 2mm Probe
orapma12012 Used - $9,995.00 0 Jun/15/17 Jun/22/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
athomemarket Used - $236.59 1 Jun/16/17 Dec/14/19
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
athomemarket Used - $270.39 1 Jun/16/17 Sep/13/18
Description: AMAT/Applied Materials 0010-44733 Rev. 01 RF Filter Left 300mm TR44733 Assembly
athomemarket Used - $39.99 0 Jun/16/17 Oct/08/18
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $271.19 0 Jun/16/17 Oct/08/18
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $84.79 0 Jun/16/17 Oct/08/18
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
athomemarket Used - $24.99 2 Jun/17/17 May/25/18
Description: AMAT 0010-15632 300mm Radiance Pyro/Pyrometer Probe 2mm
spsglobal Used - $8,000.00 0 Jun/19/17 Apr/05/23
Description: 109-0601// AMAT APPLIED 0010-06788 0040-33215 ESC, ASSY, 300MM DPS2 ASIS
athomemarket Used - $420.00 0 Jun/19/17 Oct/11/18
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
sparesllc09 Used - $35,000.00 0 Jun/20/17 Jul/18/17
Description: 0010-41342, 0040-04233 / LCM 300MM TXZ RP CHAMBER /AMAT
industry-outlet NEW - $29.99 0 Jun/20/17 Jul/20/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
athomemarket NEW - $1,399.99 0 Jun/21/17 Oct/13/18
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
j316gallery Used - $28,000.00 0 Jun/23/17 Dec/04/18
Description: 9005 APPLIED MATERIAL PEDESTAL, 300MM MCA HT ESC ASSY, KO AMAT 0010-27430
j316gallery Used - $13,000.00 0 Jun/23/17 Jan/09/18
Description: 9004 APPLIED MATERIAL MCA E-CHUCK HEATER, 300MM FDR SLT ESC, NI-PL 0010-24456
athomemarket Used - $159.99 4 Jun/27/17 Dec/06/17
Description: AMAT/Applied Materials 0100-00582 Gas Panel Controller 300mm Backplane PCB Board
athomemarket NEW - $388.99 0 Jun/26/17 Oct/18/18
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
athomemarket NEW - $68.79 0 Jun/24/17 Oct/16/18
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $23.39 0 Jun/24/17 Oct/16/18
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
techequipsales NEW - $300.00 1 Jun/24/17 May/22/19
Description: AMAT Applied Materials 0021-30906 Clamp Blade 300MM DBR (New Surplus)
techequipsales NEW - $250.00 0 Jun/24/17 Mar/01/19
Description: AMAT Applied Materials 0270-03780 Hose Assy Air Bearing 300mm 412317R03-PJ /NEW
techequipsales NEW - $300.00 1 Jun/24/17 Aug/27/18
Description: AMAT Applied Materials 0041-12638 Bracket Lid Water Bulkhead 300mm TIN (New Surp
techshop7777 NEW - $649.99 0 Jun/27/17 Jul/27/17
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
athomemarket Used - $38.99 0 Jun/27/17 Oct/19/18
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
testeqe Refurbished - $649.99 0 Jun/28/17 Aug/27/17
Description: Cleaned/Bagged AMAT Applied Materials PN: 0021-22064 Cover Ring 300mm
testeqe NEW - $99.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT/Applied Materials PN: 0020-27091 Shield, Water, Corner, Mirra, 300mm
testeqe NEW - $749.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT Applied Materials 0190-10257 300mm I-Cu Anode Gen 3D1 IECP Mykrolis
testeqe NEW - $96.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT Applied Materials PN: 0200-01919 Pad Wafer Lift Ring 300mm Ultimax, .AD
testeqe Used - $4,499.99 0 Jun/28/17 Aug/27/17
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
testeqe Refurbished - $199.99 0 Jun/28/17 Aug/27/17
Description: Cleaned AMAT Applied Materials 0021-21065 Al Shield BB Screwless 300mm
usedeqsales Refurbished - $2,007.09 0 Jun/28/17 Sep/25/17
Description: AMAT Applied Materials 633-4639-99 SIP TA 300mm Kit 0021-19207 Refurbished
athomemarket Used - $1,499.99 0 Jun/28/17 Oct/20/18
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
j316gallery Used - $340.00 0 Jun/29/17 Mar/02/18
Description: 8660 APPLIED MATERIAL IMP PEDESTAL WITH CENTRE BUTTON 300MM HEATER W/ 0021-22224
intek22 Used - $95.00 0 Jun/29/17 Mar/01/18
Description: Lam Research 810-800031-300 300mm System Interlock Board REV A
ptb-sales Used - $800.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $74.99 1 Jun/30/17 Jun/19/18
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
athomemarket NEW - $99.99 2 Jun/30/17 Jul/06/17
Description: Applied Materials AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $133.99 0 Jun/30/17 Oct/22/18
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
athomemarket NEW - $39.99 0 Jun/30/17 Oct/22/18
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
comwaysind Used - $45.24 0 Jul/03/17 Sep/03/24
Description: New 0020-75784 REV 002 Plug Lift Point 300MM 119423-227
j316gallery Used - $4,500.00 0 Jul/03/17 Jul/06/17
Description: 8696 APPLIED MATERIAL CRITICAL PLATEN LWR 300MM REFLEXTION CONTRACT 0040-54167
j316gallery Used - $2,000.00 0 Jul/03/17 Sep/27/17
Description: 9046 LAM RESEARCH PLD GAS DIST 300MM W/G RV HTR 715-801916-808
athomemarket Used - $185.99 0 Jul/03/17 Oct/25/18
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
athomemarket Used - $63.74 1 Jul/03/17 Jan/19/18
Description: Applied Materials 0190-23662 Voltage Present Monitor 300mm Emax/Enabler AP EFIB
svcstore Used - $314.99 0 Jul/03/17 Aug/02/17
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $269.99 0 Jul/03/17 Aug/02/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $679.99 0 Jul/03/17 Aug/02/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Scrap, for parts - $349.99 0 Jul/03/17 Aug/02/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
powersell007 Used - $1,999.00 0 Jul/04/17 Feb/14/19
Description: APPLIED MATERIALS 0040-62611 ADAPTER OFFSET NR ISO200 300MM PC XT AMAT
athomemarket NEW - $319.99 0 Jul/04/17 Oct/26/18
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
athomemarket NEW - $150.00 0 Jul/04/17 Oct/26/18
Description: NEW AMAT 0200-02355 Quartz Single Ring Hart 300mm Rev2
athomemarket NEW - $248.99 0 Jul/04/17 Oct/26/18
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
j316gallery Used - $386.84 0 Jul/04/17 Jan/04/23
Description: 8977 APPLIED MATERIALS BLOCKER TEOS, 300SE 300MM PRODUCER 0020-44453
j316gallery Used - $488.84 0 Jul/04/17 Dec/15/20
Description: 8976 APPLIED MATERIAL PEDESTAL TITANIUM 300MM PCII 0020-19258
j316gallery Used - $366.63 1 Jul/04/17 Oct/07/20
Description: 8981 APPLIED MATERIAL ISOLATOR, CERAMIC, LOWK 300MM PRODUCER 0200-04470
j316gallery NEW - $1,500.00 0 Jul/04/17 Jul/06/17
Description: 8680 APPLIED MATERIAL MOUNT ASSY-LH-W/PASS-THROUGH, 300MM REFLEXION ( 0040-83362
valincorporation NEW - $65.00 0 Jul/05/17 Aug/04/17
Description: AMAT 0020-45368 Component of ASSY, CHAMBER 300MM eMAX AP 0010-16373 REV 4
testeqe NEW - $999.99 0 Jul/05/17 Sep/03/17
Description: NEW AMAT/Applied Materials PN: 0200-01372 300mm Dual-Cell Ceramic Membrane ECP
testeqe Used - $3,999.99 0 Jul/05/17 Sep/03/17
Description: Applied Materials AMAT PN: 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
alamedaauction NEW - $2,995.00 0 Jul/05/17 Aug/04/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
athomemarket NEW - $338.99 0 Jul/05/17 Oct/27/18
Description: AMAT 0200-01225 300mm Cathode Ring Insulator Producer E
orapma12012 Used - $7,954.00 0 Jul/10/17 Jul/17/17
Description: AMAT 0041-04679 Rev. 002 300mm Ceramic
svcstore Used - $199.99 0 Jul/10/17 Aug/09/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
esoteric_specialties Used - $375.00 0 Jul/09/17 Aug/08/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
mylexingtonblue NEW - $300.00 0 Jul/08/17 Aug/07/17
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
alamedaauction NEW - $95.00 0 Jul/08/17 Aug/07/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
orapma12012 Used - $7,958.00 0 Jul/10/17 Jul/17/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
alamedaauction Used - $495.00 0 Jul/08/17 Aug/07/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
bruce135 Used - $195.99 0 Jul/12/17 Aug/11/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $499.99 0 Jul/12/17 Aug/11/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
esolutions1 NEW - $499.00 0 Jul/14/17 Aug/13/17
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
esolutions1 NEW - $275.00 0 Jul/14/17 Aug/13/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $499.00 0 Jul/14/17 Aug/13/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
orapma12012 Used - $7,954.00 0 Jul/17/17 Jul/24/17
Description: AMAT 0041-04679 Rev. 002 300mm Ceramic
orapma12012 Used - $7,958.00 0 Jul/17/17 Jul/24/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
sparesllc09 Used - $10,000.00 0 Jul/18/17 Jul/25/17
Description: 0010-41342, 0040-04233 / LCM 300MM TXZ RP CHAMBER /AMAT
industry-outlet NEW - $29.99 0 Jul/20/17 Aug/19/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
orapma12012 Used - $7,954.00 0 Jul/24/17 Jul/31/17
Description: AMAT 0041-04679 Rev. 002 300mm Ceramic
orapma12012 Used - $7,958.00 0 Jul/24/17 Jul/31/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
sparesllc09 Used - $10,000.00 0 Jul/25/17 Aug/01/17
Description: 0010-41342, 0040-04233 / LCM 300MM TXZ RP CHAMBER /AMAT
benta09 NEW - $35.00 0 Jul/26/17 Aug/25/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $325.00 0 Jul/26/17 Aug/25/17
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
gorilla-store NEW - $11,516.80 0 Jul/26/17 Aug/25/17
Description: Applied Materials AMAT CMP 0190-25073 Transducer Plate, 300mm
svcstore NEW - $3,999.99 0 Jul/26/17 Aug/25/17
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
techshop7777 NEW - $649.99 0 Jul/28/17 Aug/27/17
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
vizko2017 Refurbished - $560.00 0 Jul/28/17 Nov/29/18
Description: AMAT APPLIED MATERIALS 0021-07406 SHIELD, LOWER 300MM CLEANED
vizko2017 Refurbished - $1,600.00 0 Jul/28/17 Dec/09/18
Description: AMAT 0020-48303 SHIELD, LOWER CLEANCOAT 300MM CLEANED
vizko2017 Refurbished - $1,600.00 0 Jul/28/17 Nov/29/18
Description: AMAT 0020-02344 SHIELD, LOWER, 300MM SIP CU, KIT, CSP, TI, C4 CLEANED
vizko2017 Refurbished - $420.00 0 Jul/28/17 Dec/09/18
Description: AMAT Applied Materials 0021-07952 XDK SHIELD LOWER 300mm CLEANED
vizko2017 Used - $420.00 0 Jul/28/17 Nov/29/18
Description: AMAT Applied Materials 0021-16287 300mm Lower Shield Endura Refurbished
vizko2017 Refurbished - $420.00 0 Jul/28/17 Dec/09/18
Description: AMAT Applied Materials 0021-40239 300mm Ti TWAS Shutter Disk Rev.001
vizko2017 NEW - $560.00 0 Jul/28/17 Nov/29/18
Description: AMAT Applied Materials 0021-25668 300mm HTESC Shutter Disk Rev.003 New
sparesllc09 NEW - $20,000.00 0 Jul/28/17 Jul/28/17
Description: 0200-04996 / LID QUARTZ, 300MM, DPN PLUS / APPLIED MATERIALS
sammy_etek NEW - $17,700.00 0 Jul/28/17 Oct/29/18
Description: 0040-80217 Applied Materials AMAT Shield, Heat, Lower 300MM EPI
j316gallery Used - $500.00 1 Jul/31/17 Nov/21/18
Description: 9048 LAM RESEARCH HSG, BIAS ELCTD 300MM W/ INSULR RING, ESC, 300M 715-800326-004
vizvik16 Refurbished - $400.00 0 Jul/31/17 Nov/29/18
Description: AMAT Applied Materials 0021-25668 300mm HTESC Shutter Disk Rev.003
ptb-sales Used - $800.00 0 Jul/31/17 Aug/30/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
j316gallery Used - $488.84 0 Aug/01/17 Dec/15/20
Description: 9207 APPLIED MATERIAL SHIELD INNER 300MM PCII/RPC+ 0021-19342
sparepartsworldwide Refurbished - $20,500.00 0 Jul/31/17 Aug/19/17
Description: 0040-89792 300MM DT-ESC HV PUCK AMAT *refurbishment services, part not for sale*
usedeqsales Used - $808.17 1 Aug/01/17 Jul/17/18
Description: AMAT Applied Materials 0240-63968 STEC Z512 N2 20SCCM 300mm MFC Kit New Surplus
svcstore Scrap, for parts - $349.99 0 Aug/02/17 Sep/01/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $679.99 0 Aug/03/17 Sep/02/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $314.99 0 Aug/03/17 Sep/02/17
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $269.99 0 Aug/03/17 Sep/02/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
randmgoods NEW - $149.99 1 Aug/04/17 Aug/28/20
Description: Applied Materials AMAT 0021-42136 FACEPLATE XTRA ROW EXTENDING FLO 300mm
alamedaauction NEW - $2,995.00 0 Aug/04/17 Sep/03/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
valincorporation NEW - $65.00 0 Aug/04/17 Jul/25/19
Description: AMAT 0020-45368 Component of ASSY, CHAMBER 300MM eMAX AP 0010-16373 REV 4
alamedaauction NEW - $75.00 0 Aug/08/17 Sep/07/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
alamedaauction Used - $295.00 0 Aug/08/17 Sep/07/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
esoteric_specialties Used - $375.00 0 Aug/08/17 Sep/07/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
svcstore Used - $199.99 0 Aug/11/17 Sep/10/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
svcstore NEW - $25.99 1 Aug/11/17 Aug/29/17
Description: NEW AMAT Applied Materials 300mm Silicon Collar Producer Etch Ring 0200-08242
svcstore NEW - $26.99 2 Aug/11/17 Aug/29/17
Description: NEW AMAT Applied Materials 300mm Top Collar Silicon EMAX CT Ring 0200-08066
mylexingtonblue NEW - $300.00 0 Aug/11/17 Sep/09/17
Description: AMAT Applied Materials 0040-46340 REV002 300mm CHAMBER INTERFACE
esolutions1 NEW - $449.10 0 Aug/13/17 Sep/12/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
esolutions1 NEW - $247.50 0 Aug/13/17 Sep/12/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $499.00 0 Aug/13/17 Aug/15/19
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
bruce135 Used - $195.99 0 Aug/14/17 Sep/13/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
orapma12012 Used - $999.00 0 Aug/15/17 Aug/22/17
Description: AMAT/Applied Materials 0041-01351 Rev. 02 Bonded Assembly CESC 300mm ESC
usedeqsales Used - $408.17 1 Aug/15/17 Jun/08/20
Description: AMAT Applied Materials 0242-26957 300mm RPG Chamber Foreline Integration Kit New
orapma12012 Used - $7,958.00 0 Aug/17/17 Aug/24/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
j316gallery Used - $6,291.37 0 Aug/21/17 Oct/25/21
Description: 5340 LAM RESEARCH ECHUCK328 SPUTTERING TARGET, 300MM 839-019090-328
j316gallery Used - $650.00 0 Aug/22/17 Mar/11/18
Description: 7793 APPLIED MATERIAL PCB 300MM CENTURA VMICPCI-7325-259 800MHZ 512MB 0090-04405
industry-outlet NEW - $29.99 0 Aug/22/17 Sep/21/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
spsglobal NEW - $10,000.00 0 Aug/24/17 Nov/22/17
Description: 000-0000// AMAT 0010-25799 ASSY GP WCVD GAS PANEL 300MM [NEW]
grandbirdnet NEW - $4,500.00 0 Aug/24/17 May/21/22
Description: AMAT 0041-31335 REV. 04 SHOWER HEAD TXZ CVD 300MM, NEW
keykorea Used - $6,500.00 0 Aug/24/17 Feb/13/18
Description: AMAT 0041-27270 300MM PRODUCER SE, KIT SILANE, USED
svcstore NEW - $20.99 2 Aug/24/17 Aug/29/17
Description: NEW AMAT Applied Materials 300mm Insert L-Shape EMAX NMS Ring 0200-08068
svcstore Used - $499.99 1 Aug/24/17 Sep/21/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
techshop7777 NEW - $649.99 0 Aug/27/17 Sep/26/17
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
kentotech Used - $3,499.99 0 Aug/26/17 Sep/25/17
Description: (25% OFF) NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 FASTSHIP
intek22 Used - $750.00 1 Aug/28/17 Mar/29/18
Description: AMAT Applied Materials 0190-77349 Transducer Megasonic Plate 300MM
testeqe Used - $4,499.99 0 Aug/29/17 Jan/29/18
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
testeqe Used - $3,749.99 1 Aug/29/17 Nov/29/22
Description: Applied Materials AMAT PN: 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
testeqe Refurbished - $199.99 1 Aug/29/17 Feb/08/19
Description: Cleaned AMAT Applied Materials 0021-21065 Al Shield BB Screwless 300mm
testeqe Refurbished - $649.99 1 Aug/29/17 Feb/16/18
Description: Cleaned/Bagged AMAT Applied Materials PN: 0021-22064 Cover Ring 300mm
vizko2017 Refurbished - $700.00 0 Aug/31/17 Feb/27/18
Description: AMAT Applied Materials 0021-25668 300mm HTESC Shutter Disk
vizko2017 Refurbished - $800.00 0 Aug/31/17 Feb/27/18
Description: AMAT APPLIED MATERIALS 0021-16781 SHIEL UPPER 300MM
gemrkim1109 Used - $35,000.00 0 Aug/31/17 Feb/16/23
Description: 300mm AMAT Endura 0010-42030 Pedestal DTESC MT / 0200-02936 DTESC E-Chuck
orapma12012 Used - $795.00 0 Sep/01/17 Sep/08/17
Description: AMAT/Applied Materials 0041-01351 Rev. 02 Bonded Assembly CESC 300mm ESC
orapma12012 Used - $6,995.00 0 Sep/01/17 Sep/08/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
alamedaauction NEW - $2,995.00 0 Sep/05/17 Oct/05/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
sparesllc09 Used - $21,000.00 0 Sep/05/17 Jun/05/19
Description: 0010-41342, 0040-04233 / LCM 300MM TXZ RP CHAMBER /AMAT
svcstore NEW - $3,999.99 0 Sep/05/17 Oct/05/17
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $679.99 0 Sep/05/17 Oct/05/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore Used - $314.99 0 Sep/05/17 Oct/05/17
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $269.99 0 Sep/05/17 Oct/05/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
j316gallery Used - $368.77 1 Sep/06/17 Aug/20/23
Description: 9249 APPLIED MATERIALS BLOCKER PLATE SILANE 300MM 0021-12790
ptb-sales Used - $800.00 0 Sep/06/17 Oct/06/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
kentotech Used - $2,499.99 0 Sep/06/17 Sep/16/17
Description: (50% OFF) NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 FASTSHIP
tommy_chou Used - $1,999.99 0 Sep/07/17 Dec/06/17
Description: NOVELLUS 16-162983-00 REV:A 300mm Heater Assembly
alamedaauction NEW - $75.00 0 Sep/07/17 Oct/07/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
alamedaauction Used - $95.00 0 Sep/07/17 Oct/07/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
esoteric_specialties Used - $375.00 0 Sep/07/17 Oct/07/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
benta09 NEW - $35.00 0 Sep/08/17 Oct/08/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
benta09 NEW - $325.00 0 Sep/08/17 Oct/08/17
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
usedeqsales Used - $1,009.17 1 Sep/12/17 Oct/27/17
Description: AMAT Applied Materials 0010-29563 Mirra 300mm Titan Head Used Working
svcstore Used - $199.99 0 Sep/11/17 Oct/11/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
orapma12012 Used - $795.00 0 Sep/11/17 Sep/18/17
Description: AMAT/Applied Materials 0041-01351 Rev. 02 Bonded Assembly CESC 300mm ESC
noam-tech Used - $785.00 1 Sep/10/17 Apr/03/19
Description: Amat Sensor Box ASM 300mm With 0040-76631 Rev 07 0190-10801
visionsemi Used - $7,800.00 0 Sep/11/17 Nov/17/17
Description: LAM RESEARCH 300MM OXIDE ELECTROSTATIC CHUCK ESC 839-800327-438 2300
bruce135 Used - $249.99 0 Sep/13/17 Oct/13/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Scrap, for parts - $349.99 0 Sep/13/17 Oct/13/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
orapma12012 Used - $6,995.00 0 Sep/16/17 Sep/23/17
Description: AMAT 0040-07033 300mm Producer Ceramic Heater
fujueloctronis NEW - $2,500.00 0 Sep/18/17 Sep/28/17
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Sep/18/17 Sep/28/17
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
sparesllc09 Used - $5,800.00 0 Sep/19/17 Jun/04/19
Description: 0190-14786 /KAWASAKI 3NS001S-L003 A3 300MM FI PREALIGNER/APPLIED MATERIALS
catalystparts Used - $400.00 0 Sep/19/17 Jan/19/22
Description: Applied Materials 0200-01284 Quartz Shadow Ring Stepped Alignment 300mm EMAX
catalystparts Used - $400.00 0 Sep/19/17 Jan/19/22
Description: Applied Materials 0200-02228 Quartz Shadow Ring Stepped Alignment 300mm EMAX
catalystparts Used - $400.00 0 Sep/19/17 Jan/19/22
Description: Applied Materials 0200-02626 Quartz Top Ring 63RA 300mm EMAX
cubit001 NEW - $4,000.00 0 Sep/18/17 Oct/18/17
Description: AMAT 0010-06002 300MM NON-ENP REV 3 SLIT VALVE ASSY NEW
industry-outlet NEW - $29.99 0 Sep/21/17 Oct/21/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
bms-semicon Used - $1,999.90 0 Sep/21/17 Jul/07/22
Description: AMAT 0190-24854 MKS REV2.0 G4 PLUS 4-PORT UPA 300MM REFL
riverstar777 NEW - $2,000.00 0 Sep/21/17 Oct/01/17
Description: NEW!! AMAT 0020-54777 002 Shield Inner 300mm SIP
j316gallery NEW - $474.13 0 Sep/21/17 May/30/23
Description: 9422 APPLIED MATERIALS FIXTURE, ROBOT ALIGNMENT 300MM ENDURA (NEW) 0270-00755
esolutions1 NEW - $449.10 0 Sep/25/17 Oct/25/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
esolutions1 NEW - $247.50 0 Sep/25/17 Oct/25/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
kentotech Used - $2,999.99 0 Sep/26/17 Oct/26/17
Description: (50% OFF) NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 FASTSHIP
kentotech Used - $2,499.99 0 Sep/26/17 Oct/06/17
Description: (70% OFF) NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 FASTSHIP
fujueloctronis NEW - $2,000.00 0 Sep/28/17 Oct/08/17
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Sep/28/17 Oct/08/17
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
j316gallery Used - $174.76 0 Sep/29/17 Aug/09/21
Description: 9723 APPLIED MATERIALS KIT, 300MM EQUIPMENT RACK DC & RF PWR SPLY 0242-30462
semiconductorsolution NEW - $4,500.00 0 Sep/29/17 Oct/29/17
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
alamedaauction NEW - $2,995.00 0 Oct/05/17 Nov/04/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
svcstore Used - $679.99 0 Oct/06/17 Nov/05/17
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $3,999.99 0 Oct/06/17 Nov/05/17
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Used - $269.99 0 Oct/06/17 Nov/05/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
esoteric_specialties Used - $375.00 0 Oct/07/17 Nov/06/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
visionsemi Used - $4,500.00 2 Oct/07/17 Oct/09/17
Description: APPLIED MATERIALS AMAT PRODUCER 200 300MM ROBOT ARM SET 0040-61519
fujueloctronis NEW - $2,000.00 0 Oct/08/17 Oct/18/17
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Oct/08/17 Oct/18/17
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
athomemarket NEW - $149.99 0 Oct/10/17 Oct/17/17
Description: (Lot of 2) Applied Materials 0040-80830 Bellows Finger Lift 300mm For CVD System
asmtk Used - $1,800.00 0 Oct/10/17 Oct/01/21
Description: Applied Materials 0140-08229 ASSY, SWITCH, DIW FLOW, INPUT LDM, 300MM AMAT
sacramento_liquidators NEW - $40.00 0 Oct/09/17 Nov/08/17
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT 0050-80924
alamedaauction NEW - $75.00 0 Oct/09/17 Nov/08/17
Description: NEW AMAT 0041-00689 Cover Mirror Housing IEP 300mm DPS1 Applied Materials
techshop7777 NEW - $649.99 0 Oct/09/17 Nov/08/17
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
alamedaauction Used - $95.00 0 Oct/09/17 Nov/08/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
svcstore Used - $199.99 0 Oct/13/17 Nov/12/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
svcstore Scrap, for parts - $349.99 0 Oct/13/17 Nov/12/17
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
asmtk NEW - $330.00 0 Oct/16/17 Oct/01/21
Description: Applied Materials 0050-61652 TUBING APR300 RGLTR W/2 NUTS, CMP 300MM AMAT
spsglobal Used - $47.00 3 Oct/16/17 Aug/28/24
Description: 342-0102// AMAT APPLIED 0021-22794 PIN, LIFT, 300MM TXZ, SST, ELECTROLIZED NEW
bruce135 Used - $189.99 0 Oct/17/17 Nov/16/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
fujueloctronis NEW - $2,000.00 0 Oct/18/17 Oct/28/17
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
athomemarket NEW - $134.99 1 Oct/18/17 Dec/13/17
Description: (Lot of 2) Applied Materials 0040-80830 Bellows Finger Lift 300mm For CVD System
fujueloctronis NEW - $800.00 0 Oct/18/17 Oct/28/17
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
ptb-sales Used - $800.00 0 Oct/18/17 Nov/17/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
industry-outlet NEW - $29.99 0 Oct/21/17 Nov/19/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
yericomfg NEW - $195.00 0 Oct/24/17 Aug/08/18
Description: Applied Materials; 0195-07795, Heater Shaft Temperature Controller 300mm SE/GT
yericomfg NEW - $225.00 0 Oct/24/17 Aug/08/18
Description: AMAT; 0020-12002, WINDOW,TWIN VIEWPORT LIDE8,300mm,PR;Producer GT Clear lid
sparesllc09 Used - $79,000.00 0 Oct/25/17 Nov/02/17
Description: 0010-32710 / DPS II BMA 300MM CHAMBER / AMAT
yericomfg NEW - $50.00 0 Oct/25/17 Aug/08/18
Description: AMAT; 0140-06487, HARNESS ASSY , WATER LEAK DETECTOR. SEG 1, 300MM HD,
sparesllc09 NEW - $39,000.00 0 Oct/25/17 Oct/29/18
Description: 0040-62258 / CHAMBER,BODY,300MM,SWLL B / AMAT
kentotech Used - $2,499.99 0 Oct/26/17 Nov/05/17
Description: (70% OFF) NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 FASTSHIP
kentotech Used - $2,999.99 0 Oct/26/17 Nov/25/17
Description: (50% OFF) NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 FASTSHIP
sparesllc09 Used - $3,000.00 0 Oct/26/17 May/29/19
Description: 0040-05943 / ADAPTER, SHORT HI COND 300MM PVD / AMAT
sparesllc09 Used - $3,000.00 0 Oct/26/17 May/29/19
Description: 0040-05400 / FRAME, SOURCE FLOWTHRU FEEDTHRU 300MM PV / AMAT
atxdeals4u Used - $350.00 1 Oct/26/17 Oct/31/17
Description: SBS Technologies Rev. A CPMC1 Circuit Board AMAT Centura 300mm 0190-17952 Rev. 3
atxdeals4u Used - $325.00 2 Oct/26/17 Jan/09/18
Description: AMAT 0090-03582 300MM TxZ DLI Heater Controller w/ Interlock Personality Board
intek22 Used - $225.00 0 Oct/27/17 Feb/15/18
Description: AMAT Applied Materials 0020-45766 300mm Particle Ring * Rev 003
fujueloctronis NEW - $2,000.00 0 Oct/28/17 Nov/07/17
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Oct/28/17 Nov/07/17
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
spsglobal Used - $1,300.00 1 Oct/30/17 Dec/18/22
Description: 119-0501// AMAT APPLIED 0020-19258 PEDESTAL TITANIUM 300MM PCII NEW
semiconductorsolution NEW - $4,500.00 0 Oct/30/17 Nov/29/17
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
odysseystudios Used - $1,900.00 0 Oct/30/17 Nov/10/22
Description: Refurb* Applied Materials 0010-16687 Degas/Preheat LLA Assy 300mm Endur warranty
esolutions1 NEW - $220.00 0 Oct/30/17 Nov/29/17
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $399.20 0 Oct/30/17 Nov/29/17
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
spsglobal Used - $2,200.00 0 Oct/31/17 Mar/03/22
Description: 120-0101// AMAT APPLIED 0020-54777 SHIELD, INNER, 300MM SIP NEW
spsglobal NEW - $700.00 0 Oct/31/17 Feb/25/18
Description: 119-0501// AMAT APPLIED 0021-19312 PLATE, BLOCKER TXZ, 300MM NEW
spsglobal NEW - $2,000.00 0 Oct/31/17 Feb/16/21
Description: 119-0401// AMAT APPLIED 0021-24752 SHIELD, UPPER LIFTABLE, ALIGN, AL, 300MM NEW
spsglobal NEW - $1,500.00 1 Oct/31/17 Apr/22/19
Description: 119-0301// AMAT APPLIED 0040-86514 SHIELD, LOWER 300MM PCXT/RPC+ NEW
spsglobal NEW - $1,000.00 1 Oct/31/17 Apr/22/19
Description: 119-0301// AMAT APPLIED 0021-19342 SHIELD INNER 300MM PCII/RPC+ NEW
spsglobal NEW - $1,000.00 0 Oct/31/17 Feb/16/21
Description: 119-0201// AMAT APPLIED 0021-22177 COVER RING, ADV. 101 300MM PVD NEW
spsglobal Used - $1,900.00 0 Oct/31/17 Mar/03/22
Description: 119-0201// AMAT APPLIED 0021-17770 COVER RING, 300MM SST NEW
spsglobal Used - $1,900.00 0 Oct/31/17 Mar/03/22
Description: 104-0101// AMAT APPLIED 0090-02846 OIL, INNER, 300MM, ENABLER NEW
atxdeals4u NEW - $49.99 0 Nov/01/17 Oct/04/18
Description: Applied Materials 0020-62220 Shield Side Top Hot Chamber Low K 300MM New
eisale1535 Refurbished - $14,888.00 0 Nov/02/17 Dec/24/17
Description: AMAT 0100-01349 Rev 001 300mm TCG CONTROLLER DISTRIBUTION ASSY.
intek22 Used - $75.00 1 Nov/02/17 Apr/05/19
Description: AMAT Applied Materials 300MM RPG P5 SPACER HOOP * 0021-25561 Rev 003
usedeqsales Used - $510.17 1 Nov/03/17 Feb/22/22
Description: AMAT Applied Materials 0040-23525 300mm Slit Valve Door Plate New Surplus
alamedaauction NEW - $2,995.00 0 Nov/04/17 Dec/04/17
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
powersell007 Used - $1,299.00 0 Nov/06/17 Dec/06/23
Description: *NEW (SEALED)* AMAT 0140-12931 HARNESS ASSY, HX INTFC 75FT 300MM RTP/XG FEP
powersell007 Used - $2,199.00 0 Nov/06/17 Mar/03/22
Description: APPLIED MATERIALS 0020-83876 UPPER SHIELD DARKSPACE 300MM SIP AMAT w/ CERT *NEW*
powersell007 Used - $599.00 1 Nov/06/17 May/06/23
Description: APPLIED MATERIALS 0021-29364 QUARTZ KIT HOUSING,300mm CuBS CLEAN AMAT ENDURA PVD
powersell007 Used - $499.00 0 Nov/06/17 Dec/06/23
Description: APPLIED MATERIALS 0200-11113 LINER, 300MM APC ENDURA PVD AMAT w/ CERT *UNUSED*
powersell007 Refurbished - $699.00 1 Nov/06/17 Jul/13/18
Description: APPLIED MATERIALS 0020-48628 300MM CVD TXZ EDGE RING (NON-CU) AMAT *RECYCLED*
jens.pens Used - $1,900.00 1 Nov/06/17 Dec/12/17
Description: Applied AMAT 300mm ESC Chuck 0010-33590 0041-08142 0041-08143 11168200-437-0002
benta09 NEW - $35.00 0 Nov/06/17 Dec/06/17
Description: APPLIED MATERIALS 0050-62006 LINE 1.73L EXHAUST SPOOL RP 300MM EPI
visionsemi Used - $3,500.00 0 Nov/06/17 Nov/07/17
Description: APPLIED MATERIALS AMAT PRODUCER 200 300MM ROBOT ARM SET 0040-61519
svcstore Used - $269.99 0 Nov/06/17 Dec/06/17
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore NEW - $3,999.99 0 Nov/06/17 Dec/06/17
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
benta09 NEW - $325.00 0 Nov/06/17 Dec/06/17
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , NEW
fujueloctronis NEW - $2,000.00 0 Nov/07/17 Nov/17/17
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Nov/07/17 Nov/17/17
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
intek22 NEW - $199.00 2 Nov/07/17 Jun/14/18
Description: New (sealed) AMAT Applied Materials FACEPLATE APF 300mm PRODUCER SE 0020-49785
esoteric_specialties Used - $375.00 0 Nov/07/17 Dec/07/17
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
alamedaauction Used - $45.00 0 Nov/08/17 Dec/08/17
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
vizvik16 Used - $450.00 0 Nov/09/17 Oct/28/19
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
j316gallery NEW - $1,000.00 1 Nov/10/17 Feb/05/18
Description: 10107 APPLIED MATERIAL INSULATOR, 300MM SOURCE ADAPTOR, CERAMIC (NEW) 0200-07514
techshop7777 NEW - $649.99 0 Nov/11/17 Dec/11/17
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore Used - $178.99 1 Nov/15/17 Dec/11/17
Description: Applied Materials HDPCVD 0100-01398 300mm Remote Distribution Assembly
powersell007 Refurbished - $4,999.00 1 Nov/15/17 Nov/21/17
Description: AMAT 0040-53718/ 0040-81673 300MM OD 5MM NON-CU ESC/ELECTROSTATIC CHUCK *REFRUB*
grandbirdnet Used - $4,500.00 0 Nov/15/17 Mar/03/22
Description: AMAT 0010-13786 300MM ASSY DOOR HARD ANODIZE , USED
sparesllc09 Used - $55,000.00 0 Nov/13/17 Nov/21/17
Description: 0010-42572 / CENTURA EPSILON 300MM ENABLER CHAMBER / AMAT
fujueloctronis NEW - $800.00 0 Nov/17/17 Nov/27/17
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
bruce135 Used - $189.99 0 Nov/17/17 Dec/17/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
fujueloctronis NEW - $2,000.00 0 Nov/17/17 Nov/27/17
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
sacramento_liquidators NEW - $40.00 0 Nov/16/17 Dec/16/17
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT 0050-80924
j316gallery Used - $200.00 0 Nov/17/17 Sep/26/18
Description: 10000 LAM RESEARCH BROOKS WAFER CALIBRATION, 300MM, NOVA IMM 515-027526-001
sparesllc09 Used - $38,000.00 0 Nov/21/17 Dec/06/17
Description: 0010-42572 / CENTURA EPSILON 300MM ENABLER CHAMBER / AMAT
ptb-sales Used - $800.00 0 Nov/22/17 Dec/22/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
partskorea1 Used - $9,999.00 1 Nov/23/17 Apr/08/19
Description: AMAT 0040-91179 300mm Heater Assy
powersell007 Used - $859.00 0 Nov/23/17 Mar/03/22
Description: APPLIED MATERIALS 0041-04514 ZONE 1 CLAMP, 300MM TITAN CALYPSO AMAT *NEW IN BOX*
esoteric_specialties Used - $375.00 0 Dec/08/17 Jan/07/18
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
fujueloctronis NEW - $800.00 0 Dec/07/17 Dec/17/17
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
fujueloctronis NEW - $2,000.00 0 Dec/07/17 Dec/17/17
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
sparesllc09 Used - $30,000.00 0 Dec/06/17 Jan/09/18
Description: 0010-42572 / CENTURA EPSILON 300MM ENABLER CHAMBER / AMAT
usedeqsales Used - $1,012.17 0 Dec/05/17 Aug/05/20
Description: AMAT Applied Materials 0010-19010 300mm Motorized Lift Assembly 0190-07643 Used
usedeqsales Scrap, for parts - $2,312.17 1 Dec/07/17 Apr/11/18
Description: Newport Kensington 35-3700-1425-16 300mm Wafer Robot SMP AMAT 0190-22250 As-Is
svcstore Used - $314.99 0 Dec/07/17 Jan/06/18
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $242.99 0 Dec/07/17 Jan/06/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Used - $679.99 0 Dec/07/17 Jan/06/18
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
lagerwerk_gmbh NEW - $1,342.34 0 Dec/05/17 Dec/22/17
Description: LAM RESEARCH 839-020965-007 / 839020965007, ASSY,ELCTD,OUTER,FLAT,300mm - NEW
svcstore Scrap, for parts - $349.99 0 Dec/07/17 Jan/06/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
tommy_chou Used - $1,999.99 0 Dec/06/17 Jan/04/18
Description: NOVELLUS 16-162983-00 REV:A 300mm Heater Assembly
alamedaauction NEW - $2,995.00 0 Dec/05/17 Jan/04/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
lagerwerk_gmbh Used - $1,107.69 0 Dec/05/17 Dec/22/17
Description: APPLIED MATERIALS 11028573, 0200-04017, ring 300mm ESC CU - REFURBISHED
jens.pens Used - $750.00 0 Dec/08/17 Oct/12/21
Description: Applied Materials 0100-00580 0100-00581 REV001 300mm Gas Interlock, IGL Config
jens.pens Used - $950.00 1 Dec/08/17 May/17/18
Description: Applied Materials 3200-01201 300mm RTP Controller Distribution 0100-00658 REV002
ab-international NEW - $25.00 0 Dec/08/17 Dec/18/17
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
ab-international NEW - $25.00 0 Dec/08/17 Dec/18/17
Description: New AMAT Applied Materials 0150-08876 Fups Intrf to AC Rack 50 feet 300mm Cable
ab-international NEW - $25.00 0 Dec/08/17 Dec/18/17
Description: New AMAT Applied Materials 0140-06710 300mm Mainframe Cable Assembly
alamedaauction Used - $45.00 0 Dec/10/17 Jan/09/18
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
jens.pens Used - $1,900.00 1 Dec/12/17 Jul/19/18
Description: Applied AMAT 300mm ESC Chuck 0010-33590 0041-08142 0041-08143 11168200-437-0002
techshop7777 NEW - $649.99 0 Dec/14/17 Jan/13/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
starbit11 Used - $2,900.00 0 Dec/14/17 Sep/02/19
Description: AMAT 0041-59059 REV. 02 SHOWER HEAD DUAL CHANNEL 300mm
sacramento_liquidators NEW - $18.88 0 Dec/17/17 Mar/03/22
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT 0050-80924
fujueloctronis NEW - $2,000.00 0 Dec/17/17 Dec/27/17
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Dec/17/17 Dec/27/17
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
bruce135 Used - $149.99 0 Dec/18/17 Jan/17/18
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
yericomfg NEW - $1,000.00 0 Dec/18/17 Aug/08/18
Description: Applied Materials; 0020-60690, Wafer,Modified,Alignment,300mm
phxinn Used - $310,000.00 0 Dec/18/17 Jan/17/18
Description: Applied Materials 300mm PVD Chamber W/ 0010-23677 MCA SNNF LT ESC
powersell007 Used - $3,699.00 1 Dec/18/17 Apr/30/18
Description: APPLIED MATERIALS 0010-29963 CONTROLLER CCM ENABLER CS MKS-TENTA 300mm AMAT
powersell007 Used - $12,000.00 1 Dec/19/17 Jul/30/18
Description: AMAT 0010-46151 WAFER LIFT/ROTATION CENTURA TCG EPI 300MM ROTATION YASKAWA MOTOR
usedeqsales Used - $2,312.17 1 Dec/19/17 Dec/29/17
Description: Yaskawa Electric XU-ACP4870 300mm Wafer Prealigner AMAT 0190-14752 Used As-Is
powersell007 Used - $299.00 0 Dec/20/17 Mar/03/22
Description: APPLIED MATERIALS 0041-04653 SUPPORT PLATE 300MM TITAN N ZONE AMAT - NEW SURPLUS
harot_0 Used - $950.00 0 Dec/23/17 Jan/22/18
Description: Applied materials Faceplate producer 0020-49785 APF AMAT 300mm
semiconusa Used - $699.00 0 Dec/25/17 Mar/03/22
Description: AMAT 0021-76061 REV E2, 300mm Blade Robot Transfer, NDM-270-001
asmtk NEW - $3,000.00 0 Dec/26/17 Oct/01/21
Description: Applied Materials 0242-01308 KIT,300MM NON-ENP SLIT VALVE ACTUATOR RE AMAT
ptb-sales Used - $800.00 0 Dec/26/17 Jan/25/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
lagerwerk_gmbh Used - $1,063.85 0 Dec/27/17 Mar/03/22
Description: APPLIED MATERIALS 11028573, 0200-04017, ring 300mm ESC CU - REFURBISHED
lagerwerk_gmbh NEW - $1,273.43 0 Dec/27/17 Mar/03/22
Description: APPLIED MATERIALS 0200-04017 / 020004017, ring 300mm ESC CU, Rev 2.0 - NEW
lagerwerk_gmbh NEW - $1,220.23 2 Dec/27/17 Jul/21/20
Description: LAM RESEARCH 839-020965-007 / 839020965007, ASSY,ELCTD,OUTER,FLAT,300mm - NEW
fujueloctronis NEW - $2,000.00 0 Dec/27/17 Jan/06/18
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
industry-outlet NEW - $29.99 0 Dec/27/17 Jan/26/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
fujueloctronis NEW - $800.00 0 Dec/27/17 Jan/06/18
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
semiconductorsolution NEW - $4,500.00 0 Jan/02/18 Feb/01/18
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
eastsemi NEW - $17,500.00 0 Jan/03/18 Nov/04/21
Description: AMAT 0010-03487 ENDURA II 300mm Magnet Assy
tommy_chou Used - $1,999.99 0 Jan/04/18 Oct/31/18
Description: NOVELLUS 16-162983-00 REV:A 300mm Heater Assembly
alamedaauction NEW - $2,995.00 0 Jan/04/18 Feb/03/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
fujueloctronis NEW - $2,000.00 0 Jan/06/18 Jan/16/18
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Jan/06/18 Jan/16/18
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
dr.dantom Refurbished - $600.00 1 Jan/07/18 Jan/08/18
Description: Applied Materials / AMAT 0035-00193 REV 002 Shield 1-Piece HP PVD 300MM
starbit11 Used - $25,950.00 0 Jan/07/18 Feb/02/18
Description: AMAT 0010-51269 03 HEATER ASSY 300mm MCA SNNF Low Temp Heater SOLS AS-IS
starbit11 Used - $25,950.00 0 Jan/07/18 Feb/02/18
Description: AMAT 0010-16858 003 HEATER ASSY 300mm MCA SNNF Low Temp Heater SOLS AS-IS
esoteric_specialties Used - $375.00 0 Jan/07/18 Feb/06/18
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
starbit11 Used - $3,900.00 4 Jan/07/18 Aug/22/18
Description: NSK ELC ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536
grandbirdnet NEW - $2,400.00 0 Jan/07/18 Mar/03/22
Description: AMAT 0242-33245 KIT, 300MM PNEUMATIC RGA VALVES, NEW
phxinn NEW - $3,100.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials 0010-27929 300mm Chiller, Siconiclean
spsglobal Used - $1,200.00 0 Jan/08/18 Mar/03/22
Description: 102-0601// AMAT APPLIED 0200-02112 LINER, LID, 300MM TICL4 TIN NEW
alamedaauction Used - $45.00 0 Jan/09/18 Feb/08/18
Description: Novellus AP5100-4999-002 Chuck 300mm Heater Assy 16-127100-00 PVD Chamber
sparesllc09 Used - $20,000.00 0 Jan/09/18 Jan/18/18
Description: 0010-42572 / CENTURA AP 300MM ENABLER CHAMBER / AMAT
svcstore Used - $242.99 0 Jan/09/18 Feb/08/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
j316gallery Used - $2,000.00 1 Jan/10/18 Dec/25/18
Description: 1135 APPLIED MATERIAL 300MM HEATER 0040-53558 REV001
asmtk Used - $30,000.00 0 Jan/10/18 Oct/01/21
Description: Applied Materials 0010-03485 MAGNET ASSY, WP AL 300MM PVD AMAT
asmtk Used - $30,000.00 0 Jan/10/18 Oct/01/21
Description: Applied Materials 0020-01075& 0020-28491 300mm ENDURA 2 Magnet AMAT
asmtk Used - $30,000.00 0 Jan/10/18 Oct/01/21
Description: Applied Materials 0010-19075 MAGNET ASSY, WP AL 300MM PVD AMAT
asmtk Used - $30,000.00 0 Jan/10/18 Oct/01/21
Description: Applied Materials 0010-25739 MAGNET ASSY, WP AL 300MM PVD AMAT
vizvik16 NEW - $550.00 0 Jan/12/18 Oct/28/19
Description: AMAT APPLIED MATERIALS 0010-28233 COVER TOP, SHIPPING MCA HEATER 300MM TXZ
vizvik16 NEW - $250.00 0 Jan/12/18 Oct/28/19
Description: APPLIED MATERIALS 0041-24966 CEILING TRIM CHAMBER Y, UPPER FRAME EPI 300MM
grandbirdnet NEW - $500.00 0 Jan/11/18 Mar/03/22
Description: AMAT 0021-81369 MEMBRANE, 300mm TITAN PROFILER 5 - ZONE, NEW
grandbirdnet NEW - $3,000.00 0 Jan/11/18 Mar/03/22
Description: AMAT 0010-34796 300MM TITAN CALYPSO ASSEMBLY, NEW
grandbirdnet NEW - $1,500.00 0 Jan/11/18 Mar/03/22
Description: AMAT 0041-04653 SUPPORT PLATE 300MM TITANN ZONE, NEW
grandbirdnet NEW - $1,500.00 0 Jan/11/18 Mar/03/22
Description: AMAT 0041-04514 ZONE 1CLAMP, 300MM TITAN CALYPSO, NEW
grandbirdnet NEW - $1,500.00 0 Jan/11/18 Mar/03/22
Description: AMAT 0020-87982 OUTER ROLLTIG SEAL CLAMP 300MM, NEW
esolutions1 NEW - $206.25 0 Jan/13/18 Feb/12/18
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $374.25 0 Jan/13/18 Feb/12/18
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
techshop7777 NEW - $649.99 0 Jan/14/18 Feb/13/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
fujueloctronis NEW - $2,000.00 0 Jan/16/18 Jan/26/18
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Jan/16/18 Jan/26/18
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
bruce135 Used - $149.99 0 Jan/17/18 Feb/16/18
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
asmtk Used - $2,500.00 0 Jan/18/18 Oct/01/21
Description: Applied Materials 0190-01965 DC POWER SUPPLY, 300MM CHAMBER AMAT
phxinn Used - $310,000.00 0 Jan/18/18 Feb/17/18
Description: Applied Materials Endura 300mm PVD Chamber W/ 0010-23677 MCA SNNF LTE ESC
sparesllc09 Used - $9,500.00 0 Jan/18/18 Oct/02/19
Description: 02-293421-01 / C3 RF MATCH 300MM REV-A 0806 103006 / NOVELLUS
athomemarket NEW - $39.99 0 Jan/20/18 Apr/14/19
Description: NEW Applied Materials/AMAT 0020-46291 Chamber Mounting 300mm Left Bracket MF
orcom2011 Used - $400.00 0 Jan/22/18 Mar/03/22
Description: Amat backplane 300mm linear platfrom Applied Materials 0100-02292 0110-02336
allforsale555 Used - $300.00 1 Jan/24/18 Apr/07/18
Description: 2x AMAT 0190-04736 (1) 0190-14284 (1) EPI 300MM SYSTEM ANALOG CARD CDN496, CON
svcstore Used - $679.99 0 Jan/24/18 Feb/23/18
Description: Lam Research 716-082039-001 300mm Electrode Outer 18-Step Semiconductor Part
svcstore NEW - $3,999.99 0 Jan/24/18 Feb/23/18
Description: NEW SEALED Lam Research 839-020965-005 300MM Electrode Outer Semiconductor Part
svcstore Scrap, for parts - $349.99 0 Jan/24/18 Feb/23/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $314.99 0 Jan/24/18 Feb/23/18
Description: SEALED Lam Research 716-011969-100 Semiconductor 300mm HI-Edge QTZ Ring Coupling
usedeqsales Used - $16,001.20 1 Jan/25/18 Jan/29/18
Description: Kawasaki 3NS411B-F004 300mm Interface Robot Set 30C61E-B019 AMAT 0190-29005 Used
usedeqsales Used - $16,001.20 3 Jan/25/18 Jan/29/18
Description: Kawasaki 3NS411B-F003 300mm Interface Robot Set 30C61E-B014 AMAT 0190-16621 Used
fujueloctronis NEW - $2,000.00 0 Jan/26/18 Feb/05/18
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Jan/26/18 Feb/05/18
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
ptb-sales Used - $800.00 0 Jan/26/18 Feb/25/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $65.00 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-02763 NOZZLE SLEEVE, ULTIMA X HDP CVD 300MM
levmucciacciar0 Used - $645.00 0 Jan/27/18 Mar/15/20
Description: 0100-01781 Rev 001 Applied Materials 300mm HDPCVD Chamber Dist. Bd.
athomemarket NEW - $159.99 0 Jan/28/18 Apr/22/19
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing Hose Assy. Endura 300mm
athomemarket NEW - $299.99 1 Jan/28/18 Mar/02/18
Description: NEW Applied Materials/AMAT 0010-08581 Gen Rack DeviceNet I/O Block 300mm
vizvik16 NEW - $800.00 0 Jan/29/18 Oct/28/19
Description: AMAT APPLIED MATERIALS HSR, SHIELD TOP CERAMIC, 0200-06508 300MM TXZ
eisale1535 Used - $5,400.00 0 Jan/29/18 May/29/18
Description: AMAT 0190-24854 MKS G4 PLUS 4-PORT UPA 300MM REFL, AMAT 0190-15840 Rev 2
industry-outlet NEW - $29.99 0 Jan/30/18 Mar/01/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
athomemarket Used - $849.97 0 Jan/30/18 Apr/24/19
Description: AMAT/Applied Materials 0041-26723 Rev. 02 Bonded Assembly CESC 300mm ESC
orcom2011 Used - $300.00 0 Feb/01/18 Mar/03/22
Description: AMAT 0090-02290 0100-01350 TCG 300mm FUSE STATUS CARD Applied Materials
allforsale555 Used - $350.00 1 Feb/01/18 Feb/05/18
Description: AMAT/Applied Materials 0100-00580 300mm IGL Card Gas Interlock PCB Board
semiconductorsolution NEW - $4,500.00 0 Feb/01/18 Mar/03/18
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
starbit11 Used - $19,500.00 0 Feb/02/18 Oct/03/18
Description: AMAT 0010-16858 003 HEATER ASSY 300mm MCA SNNF Low Temp Heater SOLS AS-IS
starbit11 Used - $13,557.50 0 Feb/02/18 May/02/19
Description: AMAT 0010-51269 03 HEATER ASSY 300mm MCA SNNF Low Temp Heater SOLS AS-IS
allforsale555 Used - $99.00 0 Feb/02/18 Jul/29/21
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 DIP-280-015
allforsale555 Used - $749.00 1 Feb/02/18 Apr/23/18
Description: APPLIED MATERIALS 0100-03420 300mm RTP Controller Distribution Board
allforsale555 Used - $599.00 0 Feb/03/18 Jul/29/21
Description: Amat backplane 300mm linear platfrom Applied Materials 0100-02292
harot_0 Used - $950.00 0 Feb/04/18 Mar/06/18
Description: Applied materials Faceplate producer 0020-49785 APF AMAT 300mm
alamedaauction NEW - $2,995.00 0 Feb/03/18 Mar/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
noam-tech Used - $1,500.00 0 Feb/05/18 Jul/23/20
Description: Applied Materials Module, Valve, 0040-23319 / Rev 004 / from 300mm Chamber Lid
noam-tech Used - $1,800.00 0 Feb/05/18 Jul/23/20
Description: Applied Materials Module, Valve, 0021-19896 / Rev 003 / LTP / from 300mm Chambe
fujueloctronis NEW - $2,000.00 0 Feb/05/18 Feb/15/18
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium, Blade Robo
fujueloctronis NEW - $800.00 0 Feb/05/18 Feb/15/18
Description: BRACKET TITANIUM 300MM PCII/RPC 0040-51913
orcom2011 Used - $100.00 0 Feb/06/18 Mar/03/22
Description: NEW AMAT SICONI CHAMBER INTERLOCK 300MM CENTURA ACP APPLIED MATERIALS 0100-02493
asmtk Used - $1,000.00 0 Feb/06/18 Feb/06/20
Description: Appiled Materials 0010-24625 INTERFACE BOX ASSY 300mm EMAX CTS AMAT
esoteric_specialties Used - $375.00 0 Feb/06/18 Mar/08/18
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
yericomfg NEW - $155.00 0 Feb/07/18 Aug/08/18
Description: AMAT; 0020-53080, CAP sensor LD PAD Conditioner LK 300mm polisher
phxinn NEW - $3,100.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials 0010-27929 300mm Chiller, Siconiclean
yericomfg NEW - $1,000.00 0 Feb/07/18 Aug/08/18
Description: AMAT 0021-46364, 300MM BLOCKER PLATE, HPD -0.2 BP STAND *US Seller*
powersell007 Used - $2,999.00 0 Feb/08/18 Dec/08/23
Description: APPLIED MATERIALS 0040-63694 REFLECTOR PLATE PROBE INJECT 300MM RADIA HAM139259
powersell007 Used - $1,299.00 0 Feb/09/18 Mar/03/22
Description: APPLIED MATERIALS 0021-45617 TITANIUM PEDESTAL 300MM AMAT
csi.usa NEW - $2,000.00 0 Feb/09/18 Aug/08/18
Description: APPLIED MATERIALS P/N 9010-02212 UPPER 300MM GRIPPER
ab-international NEW - $602.51 0 Feb/10/18 Jul/15/22
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
noam-tech Used - $2,000.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Plate, Source Frame / 0040-47830 / Rev 004 / BLF / from 300mm
noam-tech Used - $2,500.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Frame, 0040-60456 / Rev 006 / BLF 0908 / V420 / from 300mm Cha
noam-tech Used - $2,500.00 1 Feb/11/18 May/07/19
Description: Applied Materials Rrame, 0040-60456 / Rev 006 / BLF 3108 / V496 / from 300mm Cha
noam-tech Used - $2,500.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Frame, 0040-60456 / Rev 006 / BLF 0207 / V357 / from 300mm Cha
noam-tech Used - $750.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Cover, Gear Head / 0030-00082 / Rev 004 / Black / from 300mm
noam-tech Used - $750.00 1 Feb/11/18 Jul/23/20
Description: Applied Materials Cover, Gear Head / 0035-20023 / Rev 002 / Black / from 300mm
noam-tech Used - $500.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Hinge, Lid / 0040-81497 / Rev 005 / from 300mm Chamber Lid Top
noam-tech Used - $2,500.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Gear Head / 0020-26089 / Rev 001 / BLF / from 300mm Chamber Li
noam-tech Used - $2,300.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Bracket, Magnet Assy 0020-01999 / Rev 005 / from 300mm Chamber
noam-tech Used - $2,500.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Bracket, Magnet Assy 0020-01999 / Rev 006 / from 300mm Chamber
noam-tech Used - $500.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Spacer, 0021-19032 / Rev 004 / 0.25 MM / BLF / from 300mm Cham
noam-tech Used - $500.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Spacer, 0021-19035 / Rev 004 / 1 MM / BLF / from 300mm Chamber
noam-tech Used - $200.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Fitting, 0020-17533 / Rev 001 / from 300mm Chamber Lid Top / A
noam-tech Used - $1,500.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Lid Look Bracket, 0021-11880 / Rev 002 / 1 Set / from 300mm Ch
noam-tech Used - $750.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Handel, 0040-24280 / Rev 003 / LTP / from 300mm Chamber Lid T
noam-tech Used - $750.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Lift Bracket, 0040-51790 / Rev 001 / LTP / from 300mm Chamber
noam-tech Used - $750.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Cover Panel, 0010-22567 / Rev 003 / BLF / from 300mm Chamber
noam-tech Used - $99.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Bracket, 0040-23349 / Rev 001 / BLF / from 300mm Chamber Lid
noam-tech Used - $750.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Cover Panel, 0010-22568 / Rev 003 / BLF / from 300mm Chamber
noam-tech Used - $100.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Bracket, 0040-04550 / Rev 002 / from 300mm Chamber Lid Top /
esolutions1 NEW - $275.00 0 Feb/12/18 Mar/14/18
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $499.00 0 Feb/12/18 Mar/14/18
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
asmtk Used - $10,000.00 0 Feb/12/18 Oct/01/21
Description: Applied Materials 0040-99333 ENDURA 300MM Adaptor AMAT
levmucciacciar0 Used - $680.00 0 Feb/15/18 Mar/15/20
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM
yericomfg NEW - $1,200.00 0 Feb/15/18 Aug/08/18
Description: Applied Materials 0270-04068 Calibration Tool 300mm
levmucciacciar0 Used - $195.00 0 Feb/15/18 Oct/14/21
Description: [0100-01629 Rev. 004] - Applied Materials | Star 300mm TXZ DLI
starbit11 Used - $2,900.00 1 Feb/15/18 Mar/21/18
Description: NSK ELA ELA-B014CFT-03 300MM Robot Controller AMAT 0190-19865 REV 001 5073
starbit11 Used - $2,900.00 1 Feb/15/18 Feb/21/18
Description: NSK M-CLR ELA ELA-B014CG6-04 300MM Robot Controller AMAT 0190-24960 REV 001 500E
starbit11 Used - $2,900.00 3 Feb/15/18 Feb/21/18
Description: NSK M-CLR ELA ELA-B014CFU-03 300MM Robot Controller AMAT 0190-23079 REV 001 500B
techshop7777 NEW - $649.99 0 Feb/15/18 Mar/17/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore Used - $242.99 0 Feb/15/18 Mar/17/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
phxinn Used - $310,000.00 0 Feb/17/18 Mar/19/18
Description: Applied Materials Endura 300mm PVD Chamber W/ 0010-23677 MCA SNNF LTE ESC
sparesllc09 Used - $29,000.00 0 Feb/19/18 Oct/01/19
Description: 0010-17530 / ASSY, ENDURA 300MM DB, VHP ROBOT / APPLIED MATERIALS
asmtk Used - $900.00 0 Feb/20/18 Oct/01/21
Description: Applied Materials 0200-01258 WINDOW QRTZ, DOOR, ECP ANNEAL 300MM AMAT
bruce135 Used - $149.99 0 Feb/20/18 Mar/22/18
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
semiorg Used - $29,630.00 2 Feb/20/18 Jan/22/20
Description: AMAT VHP Robot 300mm Corrosion Resistant 0021-19038 tested, excellent condition
semiorg Used - $3,330.00 1 Feb/20/18 Feb/21/18
Description: AMAT Soup Bowl VHP 300mm Robot PN: 0010-22938 Applied Materials
semiorg Used - $3,690.00 1 Feb/20/18 Feb/21/18
Description: AMAT Soup Bowl Dual Robot for VHP 300mm Robot PN: 0020-26383 tested excellent 
powersell007 Used - $499.00 1 Feb/21/18 Dec/05/19
Description: APPLIED MATERIALS 0021-41429 AISi TRANSFERABLE SHUTTER 300MM AMAT
capitolareatech NEW - $1,995.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0090-35694 ESC ASSY, 300MM, TOPOG, NOTCH(2), EMXP+
athomemarket NEW - $107.99 3 Feb/21/18 Nov/19/19
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
powersell007 Used - $499.00 0 Feb/22/18 Mar/03/22
Description: APPLIED MATERIALS 0021-41429 AI SILICON ALLOY SHUTTER 300MM AMAT *CLEANED*
usedeqsales Used - $3,502.18 1 Feb/22/18 Feb/27/18
Description: AMAT Applied Materials 0040-91179 300mm Heater Assembly 0020-83936 Used Working
capitolareatech Used - $995.00 0 Feb/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0200-00873 Clamp, Upper, Gas Inlet, 300mm. Needs Cleani
capitolareatech NEW - $345.00 0 Feb/24/18 Jun/26/18
Description: Applied Materials (AMAT) 0021-39718 CYLINDER, LIFTER, 300MM ETCH CHAMBER
vizko2017 NEW - $560.00 0 Feb/27/18 Dec/09/18
Description: AMAT APPLIED MATERIALS HSR, SHIELD TOP CERAMIC, 0200-06508 300MM TXZ
vizvik16 Used - $2,000.00 0 Feb/27/18 Oct/28/19
Description: AMAT APPLIED MATERIALS 0041-31335 REV. 04 SHOWER HEAD TXZ CVD 300MM
capitolareatech NEW - $29.95 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-41046 STANDOFF, FLOW SWITCH SUPPORT, 300MM HDP
capitolareatech NEW - $59.95 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21791 INSERT, GROUND HEATER LIFT 300MM, VECTRA
capitolareatech NEW - $365.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-15807 SHAFT, ELBOW, XP ROBOT, 300MM
testeqe Used - $499.99 1 Feb/28/18 Mar/16/21
Description: Cleaned/Bagged AMAT Applied Materials PN: 0021-22064 Cover Ring 300mm
bobsgoodies2 Used - $145.00 4 Mar/01/18 Feb/11/22
Description: AMAT 0190-01170 Valve 1/2" Supply, 300mm Centura 8A-PR8-EPRT-SS-2822 W/Test port
spsglobal Used - $5,000.00 0 Mar/01/18 Nov/13/22
Description: 324-0501// AMAT APPLIED 0190-11913 RF MATCH ASSY 300MM TXZ COMDEL USED
svcstore Scrap, for parts - $199.99 0 Mar/04/18 Apr/03/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
partsmant6 Refurbished - $5,300.00 0 Mar/04/18 May/04/18
Description: Novellus/Lam 02-259925-00 Speed Dome 300mm Factory Refurbished
weihehuan Used - $12,000.90 0 Mar/05/18 Apr/04/18
Description: LAM NOVELLUS 300mm robot 02-369997-00 SGMCS-05B3C11
powersell007 Used - $27,999.00 1 Mar/05/18 Dec/05/20
Description: APPLIED MATERIALS 0010-26264, 0040-88097 HEATER ASSEMBLY HA12 VACUUM CHUCK 300MM
systasemi NEW - $300.00 2 Mar/05/18 Jul/27/20
Description: Novellus PADDLE,300mm, Ceramic, WTS TM ROBOT, RAISED BU, 15-250449-00
j316gallery NEW - $395.23 0 Mar/06/18 Sep/01/21
Description: 4552 APPLIED MATERIALS 300MM MCA HEATER SST COVER KIT (NEW) 0010-28224
semiconductorsolution NEW - $4,500.00 0 Mar/06/18 Apr/05/18
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
alamedaauction NEW - $2,995.00 0 Mar/06/18 Apr/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
capitolareatech NEW - $1,695.00 0 Mar/06/18 Mar/06/18
Description: Applied Materials (AMAT) 0040-03641 BLADE, RIGHT 300MM FI ECP
capitolareatech NEW - $1,695.00 0 Mar/06/18 Sep/18/19
Description: Applied Materials (AMAT) 0040-03641 BLADE, RIGHT 300MM FI ECP
capitolareatech NEW - $425.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-13872 ARM,RIGHT,300MM ROBOT REV 3
capitolareatech NEW - $695.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-43384 SHAFT, RINSE ARM, 300MM HVM
noam-tech NEW - $750.00 0 Mar/07/18 Jul/23/20
Description: 300MM 5ZONE Profiler / 0040-76577 / Rev 002 / ( NEW) AMAT / With Kit ( 3880-0017
midwestsemi NEW - $618.00 2 Mar/07/18 Mar/11/18
Description: NEW AMAT 0190-01401 SMC D-276-990020B PNEUMATIC MANIFOLD ASSY 300MM SHIPSAMEDAY
ptb-sales Used - $800.00 0 Mar/07/18 Apr/06/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
excessdeal NEW - $3,500.00 1 Mar/07/18 Apr/24/18
Description: AMAT 0190-11332 SPINDLE MOTOR 300MM LK REFLEXION D101B-93-1210-014 (NEW)
powersell007 Used - $1,999.00 0 Mar/08/18 Feb/11/22
Description: APPLIED MATERIALS 0010-34796 300MM TITAN CALYPSO ASSEMBLY AMAT *NEW*
esoteric_specialties Used - $375.00 0 Mar/08/18 Apr/07/18
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
farmoninc NEW - $350.00 0 Mar/08/18 Oct/19/18
Description: AMAT 0021-07586 Ring, Middle 300MM 424345
phxinn NEW - $3,100.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials 0010-27929 300mm Chiller, Siconiclean
ab-international NEW - $650.00 0 Mar/10/18 Mar/20/18
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
harot_0 Used - $449.00 0 Mar/11/18 Apr/10/18
Description: Applied materials Faceplate producer 0020-49785 APF AMAT 300mm
industry-outlet NEW - $29.99 0 Mar/11/18 Apr/10/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
j316gallery NEW - $1,000.00 1 Mar/11/18 Apr/23/18
Description: 10107 APPLIED MATERIAL INSULATOR, 300MM SOURCE ADAPTOR, CERAMIC (NEW) 0200-07514
asmtk NEW - $30,000.00 0 Mar/13/18 Oct/01/21
Description: Applied Materials 0010-16688 Degas/Preheat LLB Assy,300MM Endura AMAT
tm_semi NEW - $1,950.00 0 Mar/13/18 Apr/12/18
Description: AMAT 0200-01080 Rev 001 DEP RING, PVD PROCESS, 300MM, NEW
capitolareatech NEW - $1,995.00 0 Mar/14/18 Sep/19/19
Description: Applied Materials (AMAT) 0010-04301 ASSY, MANIFOLD, 300MM, EMAX
capitolareatech NEW - $1,995.00 0 Mar/14/18 Mar/14/18
Description: Applied Materials (AMAT) 0010-04301 ASSY, MANIFOLD, 300MM, EMAX
powersell007 Used - $459.00 1 Mar/15/18 Sep/15/21
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
usedeqsales Used - $1,512.13 0 Mar/15/18 Mar/17/21
Description: AMAT Applied Materials 0040-84444 Gripper Claw 300mm 0040-80144 Used Working
usedeqsales Used - $1,512.13 0 Mar/15/18 Feb/22/21
Description: AMAT Applied Materials 0040-84443 Gripper Claw 300mm 0040-80142 0040-03857 Used
usedeqsales Used - $1,011.13 0 Mar/15/18 Oct/11/21
Description: AMAT Applied Materials 0100-00629 Interlock Select 300mm GEN RACK Board PCB Used
tm_semi Used - $295.00 0 Mar/16/18 Apr/15/18
Description: AMAT 0040-04586 INNER SHIELD TxZ 300MM, SLIGHTLY USED, CLEAN ROOM READY
tm_semi Used - $595.00 0 Mar/16/18 Apr/15/18
Description: AMAT 0200-00531 CHAMBER INSERT, TxZ 300MM, ENDURA, USED, GOOD CONDITION.
tm_semi Used - $595.00 0 Mar/16/18 Apr/15/18
Description: AMAT 0200-02421 ENDURA TxZ STD-LID ISOLATOR 300MM; SLIGHTLY USED, OEM
usedeqsales NEW - $803.13 1 Mar/16/18 Feb/05/19
Description: AMAT Applied Materials 0040-13509 300mm Preclean 21 OD Belljar Used Working
capitolareatech NEW - $245.00 0 Mar/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-11733 PROCESS LID, EXHAUST, 300MM MIRRA
usedeqsales Used - $705.15 2 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 0100-00546 Circuit Board PCB Endura 300mm Used Working
usedeqsales Used - $2,004.14 0 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 0200-08569 Insulator Quartz 300mm PCII 2.0 New Surplus
usedeqsales Used - $1,752.07 1 Mar/16/18 Oct/14/21
Description: AMAT Applied Materials 0021-41520 PVD Chamber SOURCE CPI-AMO Endura 300mm As-Is
usedeqsales Used - $305.15 2 Mar/16/18 Feb/24/22
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Endura 300mm Used
mcclainsvein Scrap, for parts - $2,200.00 0 Mar/17/18 Mar/27/18
Description: 0040-08137 / SUPPORT, LIFT CYLINDER ,300MM CATHODE APPLIED MATERIALS 0010-42268
tm_semi NEW - $195.00 0 Mar/17/18 Apr/16/18
Description: APPLIED MATERIALS 0200-36003 WINDOW DOOR 300MM ASP CHAMBER, QUARTZ, OEM NEW
techshop7777 NEW - $649.99 0 Mar/18/18 Apr/17/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
usedeqsales Used - $2,006.14 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0010-11228 PVD Magnet LP-3.7.3 300mm Endura New
usedeqsales NEW - $1,006.14 0 Mar/19/18 Mar/27/18
Description: AMAT Applied Materials 0021-21519 Gasket RF 300MM B101 Heater IMP Used Working
usedeqsales Used - $802.06 4 Mar/19/18 Feb/19/23
Description: Novellus Systems 15-311164-00 Ring Wafer Lift 300mm STD ID EC VCTR Vector New
usedeqsales NEW - $2,005.14 2 Mar/19/18 Nov/25/20
Description: Novellus 03-417236-00 PCA GEN II FE INTF PCB C3VCTR Vector 300mm Used Working
usedeqsales NEW - $2,006.14 1 Mar/19/18 Jul/22/20
Description: AMAT Applied Materials 0200-08301 Deposition Ring 300mm BESC Ceramic New
phxinn Used - $310,000.00 0 Mar/19/18 Apr/18/18
Description: Amat Applied Materials Endura 300mm PVD Chamber W/ 0010-23677 MCA SNNF LTE ESC
usedeqsales Used - $607.16 0 Mar/19/18 Mar/20/18
Description: DIP 15049105 PCB Card CDN491(c) AMAT 0190-08860 Rev 003 Endura 300mm Used
svcstore Used - $242.99 0 Mar/19/18 Apr/18/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
powersell007 Refurbished - $7,999.00 1 Mar/20/18 Nov/20/20
Description: LAM RESEARCH 839-019090-374 300MM ESC TUNABLE COUL,CNTR RF FOR LAM 2300 *REFRUB*
usedeqsales Used - $2,001.13 1 Mar/20/18 Sep/24/21
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
usedeqsales Used - $603.18 0 Mar/20/18 Mar/03/22
Description: AMAT Applied Materials 0041-12354 300mm Slit Valve Door New Surplus
usedeqsales Used - $1,203.15 1 Mar/20/18 Feb/21/19
Description: AMAT Applied Materials 0200-76058 Quartz Pedestal Large Pin Diameter 300mm Used
tm_semi Used - $175.00 0 Mar/20/18 Apr/19/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $650.00 0 Mar/20/18 Apr/19/18
Description: AMAT 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, OEM, USED, EXCELLENT COND.
esolutions1 NEW - $275.00 0 Mar/20/18 Apr/19/18
Description: NEW AMAT 1310-00084 TC,DUAL CIRCUIT SDSM -1603,300MM HDPCVD
esolutions1 NEW - $499.00 0 Mar/20/18 Apr/19/18
Description: NEW AMAT Applied Materials 0020-03443 RING QUARTZ HART 300mm
tm_semi Used - $295.00 0 Mar/20/18 Apr/19/18
Description: LAM RESEARCH 716-026652-002 RING EDGE BOTTOM 300MM
capitolareatech Refurbished - $295.00 0 Mar/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0050-48279 WELDMENT, CENTER GAS FEED, 300MM DPS2
capitolareatech NEW - $24.95 0 Mar/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0045-00071 300mm PVD Bellows Heater Clamp
bruce135 Used - $149.99 0 Mar/22/18 Apr/21/18
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
capitolareatech NEW - $49.95 0 Mar/24/18 Mar/09/19
Description: Applied Materials (AMAT) 0021-38106 BRKT, TEOS LINE, 300MM, DCVD
capitolareatech NEW - $125.00 0 Mar/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-75846 CLAMP, BLADE 300MM ROBOT
2016rocky Used - $1.88 0 Mar/25/18 Nov/20/18
Description: SATCON P.S CONTROLLER 300MM AMAT 0190-12925
kingstondeals1 Used - $500.00 0 Mar/25/18 Nov/07/19
Description: AMAT RF Match 0010-29210 PEALD 300MM
intek22 Used - $199.99 0 Mar/27/18 May/14/20
Description: Novellus 300MM Wafer Transport Plane * 15-054247-00 Rev A Chamber Gate Door Assy
intek22 Used - $250.00 0 Mar/27/18 May/12/20
Description: AMAT Applied Materials 0040-03490 300MM Producer Left Output Manifold 004003490
tm_semi NEW - $950.00 0 Mar/28/18 Apr/27/18
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
tm_semi NEW - $950.00 0 Mar/28/18 Apr/27/18
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
2016rocky Used - $100.88 1 Mar/28/18 Jul/26/18
Description: APPLIED MATERIALS 300mm 0010-27983-06 0020-08825 ESC CHECK
tm_semi NEW - $495.00 0 Mar/28/18 Apr/27/18
Description: AMAT 0200-01057 LID LINER 300MM, OEM NEW
mcclainsvein Scrap, for parts - $1,650.00 0 Mar/28/18 Apr/07/18
Description: 0040-08137 / SUPPORT, LIFT CYLINDER ,300MM CATHODE APPLIED MATERIALS 0010-42268
capitolareatech NEW - $185.00 0 Mar/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-03742 FEEDTHRU CENTER,GAS FEED, 5RA, 300MM DPS-P
capitolareatech NEW - $995.00 0 Mar/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-31454 BRACKET MANOMETER, 300MM,DPS
capitolareatech NEW - $550.00 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-02591 TOOL,PRE-ALIGNER 300MM FI CALIBRATION
capitolareatech NEW - $105.00 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-27975 ADAPTER, 300MM WXZ CHAMBER VENT LINE
capitolareatech Used - $275.00 0 Mar/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-03663 ARM,LEFT,300MM ROBOT NON-PLT
eastsemi NEW - $18,000.00 0 Mar/30/18 Oct/13/20
Description: AMAT 0010-03487 300mm Magnet Assy
riverstar777 NEW - $5,000.00 0 Apr/01/18 Apr/11/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
jfplcs Used - $799.00 0 Apr/01/18 May/01/18
Description: Applied Materials FACE PLATE TEOS REMOTE CLEAN 300MM 0040-95463
powersell007 Used - $29,999.00 0 Apr/03/18 Jul/03/24
Description: APPLIED MATERIALS 0010-07815 ASSY 300MM SLT ESC MCA HEATER AMAT
svcstore Scrap, for parts - $199.99 0 Apr/04/18 May/04/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
csi.usa NEW - $1,899.00 0 Apr/05/18 Aug/03/18
Description: APPLIED MATERIALS P/N 0240-47624 RETROFIT KIT GAP WASH MANIFOLD 300MM LCWE
alamedaauction NEW - $1,495.00 0 Apr/06/18 May/06/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
vizvik16 Used - $420.00 0 Apr/06/18 Nov/29/18
Description: AMAT APPLIED MATERIALS 0021-22177 COVER RING, ADV. 101 300MM PVD
vizvik16 Used - $840.00 0 Apr/06/18 Nov/29/18
Description: AMAT APPLIED MATERIALS 0020-29711 REV 007, REV 006 SHIELD LOWER Hi-C SHORT 300MM
vizvik16 Used - $560.00 0 Apr/06/18 Nov/29/18
Description: AMAT APPLIED MATERIALS 0021-07406 SHIELD, LOWER 300MM CLEANED
vizvik16 Used - $950.00 2 Apr/06/18 Jul/13/21
Description: AMAT APPLIED MATERIALS 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, CLEANED
semiconductorsolution NEW - $4,500.00 0 Apr/06/18 May/06/18
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
mcclainsvein Scrap, for parts - $885.00 0 Apr/07/18 Apr/17/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
esoteric_specialties Used - $375.00 0 Apr/07/18 May/07/18
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
kenjap Scrap, for parts - $99.00 1 Apr/08/18 Apr/15/18
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652
kenjap Scrap, for parts - $199.00 0 Apr/08/18 Apr/15/18
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652
kingstondeals1 Used - $950.00 1 Apr/10/18 May/28/18
Description: AMAT 300mm Magnet assembly P/N 0010-03488
j316gallery Used - $1,000.00 1 Apr/11/18 Aug/30/18
Description: 10630 LAM RESEARCH ETCH FLEX TALON ECT LAM 2300 ESC 300MM 839-800327-315
j316gallery Used - $3,916.75 0 Apr/11/18 Feb/20/24
Description: 10639 LAM RESEARCH 300MM ETCH THERMAL CONTROL PLATE 839-017892-005
phxinn NEW - $3,100.00 0 Apr/12/18 May/12/18
Description: Applied Materials 0010-27929 300mm Chiller, Siconiclean
industry-outlet NEW - $29.99 0 Apr/12/18 May/12/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
sparesllc09 Used - $50,000.00 0 Apr/12/18 Mar/21/19
Description: 0010-43036 / DPS II BMA 300MM CHAMBER / AMAT
ptb-sales Used - $800.00 0 Apr/12/18 May/12/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $595.00 0 Apr/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-03738 Gas Block, Right Side, 300MM (5 In)
capitolareatech NEW - $595.00 0 Apr/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-03739 Gas Block, Left Side, 300MM DPS (5 In)
capitolareatech NEW - $499.95 0 Apr/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-14575 WELDMENT, DUAL BARATRON, VCR 300MM
j316gallery Used - $1,036.96 0 Apr/16/18 Oct/18/22
Description: 10392 APPLIED MATERIALS XP ROBOT PARTS PIVOT 300MM BELT TYPE 0020-75889
asmtk Used - $25,000.00 0 Apr/17/18 Oct/01/21
Description: APPLIED MATERIALS 0190-19092 PEDESTAL, BIPOLAR ESC, 300MM DPN PLUS AMAT
tm_semi NEW - $175.00 0 Apr/17/18 May/17/18
Description: APPLIED MATERIALS 0200-36003 WINDOW DOOR 300MM ASP CHAMBER, QUARTZ, OEM NEW
mcclainsvein Scrap, for parts - $495.00 0 Apr/17/18 Apr/27/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
sales_eparts NEW - $24,500.00 1 Apr/17/18 May/27/20
Description: Brand NEW Novellus 300mm Bell Jar Dome - P/N: 02-259925-00 Rev. B
techshop7777 NEW - $649.99 0 Apr/18/18 May/18/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
kenjap Scrap, for parts - $199.00 0 Apr/18/18 Apr/25/18
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652
atxdeals4u Used - $1,700.00 0 Apr/18/18 Apr/27/18
Description: AMAT NSK-M-CLR Type ELA-B014CFL-03 Dual Axis 300mm Robot Driver 0190-17853
bobsgoodies2 Used - $42.00 0 Apr/18/18 Mar/31/22
Description: AMAT 0200-76046, COVER, CAPTIVE SCREW, VECTRA IMP, 300MM
sparesllc09 Used - $6,930.00 0 Apr/18/18 Aug/29/18
Description: 02-159684-00 /SASSY, PED,300MM WCVD,PREHEAT/ NOVELLUS SYSTEMS INC
sparesllc09 NEW - $930.00 0 Apr/19/18 Apr/04/19
Description: 0021-22177 / COVER RING, ADV. 101 300MM PVD / APPLIED MATERIALS
sparesllc09 NEW - $712.00 0 Apr/19/18 Sep/27/19
Description: 0021-27290 / ISOLATOR TEFLON, 300MM PRODUCER SE/ APPLIED MATERIALS
riverstar777 NEW - $5,000.00 0 Apr/19/18 May/19/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
svcstore Used - $242.99 0 Apr/19/18 May/19/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
tamr70 Used - $3,900.00 0 Apr/22/18 May/22/18
Description: 0190-24854 /MKS REV1.8 G4 PLUS 4-PORT UPA 300MM REFL /APPLIED MATERIALS
harot_0 Used - $449.00 0 Apr/22/18 May/22/18
Description: Applied materials Faceplate producer 0020-49785 APF AMAT 300mm
gigabitpartsolutions Used - $137.50 0 Apr/23/18 Jan/03/19
Description: Sensor (AMAT) 0010-15632 PYROMETER, 2MM PROBE, 300MM RADIANCE, IN
gigabitpartsolutions Used - $1,430.00 0 Apr/23/18 Nov/13/18
Description: Sensor (AMAT) 0010-18024 PYROMETER, 2MM PROBE, 300MM RADIANCE RTP
partsmant6 Refurbished - $2,600.00 1 Apr/23/18 Apr/24/18
Description: Novellus/Lam 02-259925-00 Speed Dome 300mm Factory Refurbished
dr.dantom Used - $16,000.00 1 Apr/24/18 Sep/14/22
Description: Applied Materials AMAT 0010-13703 Heater Assy 300MM ST 0010-23677-001 0020-23741
bruce135 Used - $129.99 0 Apr/24/18 May/24/18
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
phxinn Used - $305,000.00 0 Apr/24/18 May/24/18
Description: Amat Applied Materials Endura 300mm PVD Chamber W/ 0010-23677 MCA SNNF LTE ESC
ytyc2014 Used - $250.00 0 Apr/25/18 Aug/25/20
Description: AMAT 0010-27689 REV 002 300MM TARGET GRAVITY SAFE LOCK RIGHT ASSY
kenjap Scrap, for parts - $99.00 0 Apr/25/18 May/02/18
Description: AMAT HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652
mcclainsvein Scrap, for parts - $275.00 0 Apr/27/18 May/07/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
visionsemi Used - $222.02 0 Apr/27/18 Apr/27/18
Description: APPLIED MATERIALS AMAT 0040-61514 PRODUCER ROBOT HUB ADAPTER 200MM 300MM
nps NEW - $99.99 0 Apr/27/18 May/04/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
ab-international NEW - $350.00 0 Apr/27/18 May/07/18
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
katiil3 Used - $1,199.00 0 Apr/28/18 Oct/23/21
Description: Applied materials/ AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM
techknowledge-e Scrap, for parts - $500.00 0 Apr/29/18 May/06/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
gordonca Used - $555.00 0 Apr/30/18 Mar/09/23
Description: Applied Materials 0100-02139 Gas Box Distribution Bd HP+AxZ 300mm
automotiveapple Used - $595.24 0 May/02/18 Aug/02/22
Description: As-Is Lam 810-800031-300 SYSTEM INTERLOCK 300mm
visionsemi Used - $2,100.00 1 May/03/18 May/03/18
Description: AMAT 300MM NSK SERVO ROBOT DRIVER 0190-17853 ELA-B014CFL-03 APPLIED MATERIALS
riverstar777 NEW - $2,100.00 0 May/03/18 Jun/02/18
Description: AMAT 0020-54777 002 Shield Inner 300mm SIP, NEW
catalystparts NEW - $650.00 1 May/04/18 Dec/03/20
Description: AMAT Applied Materials 0010-06645 Service Plate 300MM 416247-R3
nps NEW - $99.99 0 May/04/18 May/11/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
techknowledge-e Scrap, for parts - $500.00 0 May/06/18 May/13/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
svcstore Scrap, for parts - $199.99 0 May/06/18 Jun/05/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
alamedaauction NEW - $1,495.00 0 May/07/18 Jun/06/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
semiconductorsolution NEW - $4,500.00 0 May/07/18 Jun/06/18
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
mcclainsvein Scrap, for parts - $245.00 0 May/07/18 May/17/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
esoteric_specialties Used - $375.00 0 May/07/18 Jun/06/18
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
tm_semi NEW - $495.00 0 May/08/18 Jun/07/18
Description: AMAT 0200-01057 LID LINER 300MM, OEM NEW
tm_semi Used - $595.00 0 May/08/18 Jun/07/18
Description: AMAT 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 May/08/18 Jun/07/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $295.00 0 May/08/18 Jun/07/18
Description: AMAT 0040-04586 INNER SHIELD TxZ 300MM, SLIGHTLY USED, CLEAN ROOM READY
tm_semi Used - $595.00 0 May/08/18 Jun/07/18
Description: AMAT 0200-00531 CHAMBER INSERT, TxZ 300MM, ENDURA, USED, GOOD CONDITION.
tm_semi NEW - $855.00 0 May/08/18 Jun/07/18
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
tm_semi NEW - $855.00 0 May/08/18 Jun/07/18
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
tm_semi Used - $275.00 0 May/08/18 Jun/07/18
Description: LAM RESEARCH 716-026652-002 RING EDGE BOTTOM 300MM
j316gallery NEW - $4,502.46 0 May/08/18 Jun/27/24
Description: 9027 APPLIED MATERIALS MOUNT ASSY-DUAL-W/PASS-THROUGH 300MM REFLEXION 0010-47364
j316gallery NEW - $4,449.86 0 May/08/18 Jun/27/24
Description: 8986 APPLIED MATERIALS MOUNT ASSY-RH 300MM REFLEXION 0040-83363 NEW 0010-47366
j316gallery NEW - $4,449.86 0 May/08/18 Jun/27/24
Description: 8680 APPLIED MATERIALS MOUNT ASSY-LH 300MM REFLEXION 0040-83362 NEW 0010-47365
j316gallery Scrap, for parts - $800.00 0 May/08/18 May/09/18
Description: 10860 APPLIED MATERIAL NSK ELC 300MM ROBOT SERVO DRIVER (PARTS) 0190-28822
j316gallery Scrap, for parts - $200.00 1 May/08/18 May/09/18
Description: 10862 APPLIED MATERIAL SERVO DRIVER,300MM ROBOT (PARTS) 0190-17853
starbit11 Used - $25,000.00 0 May/09/18 Jun/27/18
Description: AMAT 0010-24076 06 HEATER ASSY 300mm MCA SNNF Low Temp Heater
starbit11 Used - $25,000.00 0 May/09/18 Jun/27/18
Description: AMAT 0010-24076 03 HEATER ASSY 300mm MCA SNNF Low Temp Heater
jfplcs Used - $719.00 0 May/10/18 Jun/09/18
Description: Applied Materials FACE PLATE TEOS REMOTE CLEAN 300MM 0040-95463
j316gallery Used - $500.00 2 May/10/18 Dec/10/18
Description: 10933 APPLIED MATERIAL GATE VALVE SLIT,300MM SPD SLITVALVE,0021-25799 0040-84390
j316gallery Used - $200.00 1 May/10/18 Feb/10/19
Description: 10881 APPLIED MATERIAL DOOR SPD SLIT VALVE VITON PROCESS 300MM 0040-84391
berg_korea NEW - $800.00 0 May/11/18 Jul/10/18
Description: AMAT (Applied Materials) 0200-03313 LIFT RING 120 FIXED FLOATIONG 300MM PRODUSER
berg_korea NEW - $800.00 0 May/11/18 Jul/01/18
Description: AMAT (Applied Materials) 0021-60047 BLOCKER PLATE 300MM
nps NEW - $99.99 0 May/11/18 May/18/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
techknowledge-e Scrap, for parts - $500.00 0 May/13/18 May/20/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
lrmsemisupply Used - $615.00 0 May/14/18 Jul/06/18
Description: Applied Materials 300MM HDPCVD CHAMBER DISTRIBUTION BD. Assy: 0100-01781 REV 001
phxinn NEW - $3,100.00 0 May/14/18 Jun/13/18
Description: Applied Materials 0010-27929 300mm Chiller, Siconiclean
industry-outlet NEW - $29.99 0 May/14/18 Jun/13/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
powersell007 Used - $3,699.00 0 May/16/18 Aug/17/21
Description: APPLIED MATERIALS 0010-29963 CONTROLLER CCM ENABLER CS MKS-TENTA 300mm AMAT
capitolareatech NEW - $375.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-42161 WELDMENT, SHORT LIQUID SPOOL, 300MM TICL
capitolareatech NEW - $99.95 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-02122 LCF WINDOW, TRANSFER CHAMBER, 300MM SL
tm_semi NEW - $155.00 0 May/17/18 Jun/16/18
Description: APPLIED MATERIALS 0200-36003 WINDOW DOOR 300MM ASP CHAMBER, QUARTZ, OEM NEW
mcclainsvein Scrap, for parts - $220.00 0 May/17/18 May/27/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
usedeqsales Used - $4,005.18 1 May/18/18 Dec/18/20
Description: AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper Used Working
farmoninc Used - $2,750.00 0 May/18/18 Mar/09/23
Description: AMAT 0190-36041 Controller, Phase Angle, 300mm, Aluminum, 328053
ptb-sales Used - $800.00 0 May/18/18 Jun/17/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcstore Used - $242.99 0 May/19/18 Jun/18/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
capitolareatech NEW - $235.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0050-42406 LINE, N/O MANIFOLD OUT, 300MM RADIANCE
capitolareatech NEW - $245.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0020-01469 ORIFICE, ASTRON, 300MM ULTIMA
capitolareatech NEW - $245.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0021-08974 Flange, Drain, CMP 300MM (Alpha &)
capitolareatech NEW - $159.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0050-05256 GAS FEED 5RA, WALL, 300MM, DPS
riverstar777 NEW - $5,000.00 0 May/20/18 Jun/19/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
techknowledge-e Scrap, for parts - $500.00 0 May/20/18 May/27/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
sparesllc09 NEW - $810.00 0 May/21/18 Sep/27/19
Description: 0021-24183 / LINER, BOTTOM SIDE 1, 300MM SE / AMAT
tamr70 Used - $3,900.00 0 May/23/18 Jun/22/18
Description: 0190-24854 /MKS REV1.8 G4 PLUS 4-PORT UPA 300MM REFL /APPLIED MATERIALS
techshop7777 NEW - $649.99 0 May/23/18 Jun/22/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
bruce135 Used - $99.99 1 May/24/18 Jun/19/18
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
katiil3 NEW - $249.00 1 May/25/18 Feb/22/19
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 0190-14285 DIP-210-010
jexters-auctions NEW - $999.99 0 May/25/18 May/30/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
tdindustrial Used - $37.50 0 May/27/18 Nov/19/21
Description: AMAT 300mm Particle Ring, 0020-45766 Rev 003, MII-USA-374
mcclainsvein Scrap, for parts - $200.00 0 Jun/08/18 Jun/18/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
j316gallery Used - $164.43 0 Jun/08/18 Jun/27/24
Description: 4380 APPLIED MATERIALS BRKT LEFT MOUNTING CHBR-MF RPG CHBR 300MM 0020-46291
j316gallery Used - $650.00 0 Jun/08/18 Dec/25/18
Description: 7793 APPLIED MATERIAL 300MM CENTURA VMICPCI-7325-259 800MHZ 512MB 0090-04405
esoteric_specialties Used - $375.00 0 Jun/07/18 Jul/07/18
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
j316gallery Used - $885.41 1 Jun/08/18 Jun/27/24
Description: 5069 LAM RESEARCH 300MM CASE ALIGNMENT PLATE 518-810617-001
svcstore Scrap, for parts - $199.99 0 Jun/06/18 Jul/06/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
alamedaauction NEW - $1,295.00 0 Jun/06/18 Jul/06/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
phxinn NEW - $3,100.00 0 Jun/15/18 Jul/15/18
Description: Applied Materials 0010-27929 300mm Chiller, Siconiclean
industry-outlet NEW - $29.99 0 Jun/14/18 Jul/14/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
j316gallery Used - $497.65 0 Jun/12/18 Aug/12/24
Description: 110 NOVELLUS TOOL WAFER CENTERING FEI, 300MM, 20-186598C01 04-141677-01
kenjap Scrap, for parts - $99.00 0 Jun/17/18 Jun/24/18
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY
j316gallery Scrap, for parts - $2,000.00 0 Jun/18/18 Jun/18/18
Description: 10862 NSK SERVO DRIVER,300MM ROBOT, AMAT 0190-17853 (PARTS) ELA-B014CFL-03
jexters-auctions NEW - $999.99 0 Jun/18/18 Jun/23/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
techknowledge-e Scrap, for parts - $500.00 0 Jun/18/18 Jun/25/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
cosplity NEW - $5,800.00 0 Jun/18/18 May/25/20
Description: AMAT 0190-77349 TRANSDUCER PLATE, 300MM REFLEXION CMP , NEW
cosplity NEW - $1,100.00 1 Jun/18/18 Feb/15/20
Description: AMAT 0190-10187 HEAD SWEEP SERVO MOTOR, 300MM REFLEXION
jexters-auctions NEW - $19.98 0 Jun/18/18 Jun/23/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
mcclainsvein Scrap, for parts - $180.00 0 Jun/18/18 Jun/28/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
global-trade-tech8 Used - $200.00 1 Jun/19/18 Apr/19/22
Description: AMAT APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
gigabitpartsolutions NEW - $22.00 0 Jun/19/18 Apr/22/20
Description: OEM Part Applied Materials (AMAT) 0050-82191 Gasline BP Heater 300mm
nps NEW - $49.99 0 Jun/20/18 Jun/27/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
ptb-sales Used - $800.00 0 Jun/20/18 Jul/20/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
riverstar777 NEW - $5,000.00 0 Jun/20/18 Jul/20/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
spsglobal Used - $30.00 0 Jun/22/18 Jun/30/22
Description: 341-0501// AMAT APPLIED 0021-18313 BRACKET TOP FIBER OPTIC 300MM DPS2 NEW
spsglobal Used - $40.00 0 Jun/22/18 Jun/30/22
Description: 341-0501// AMAT APPLIED 0021-18312 BRACKET BOTTOM FIBER OPTIC 300MM DPS2 NEW
powersell007 Used - $399.00 0 Jun/22/18 Nov/22/23
Description: APPLIED MATERIALS 0200-35161 300MM EPI LOWER CHAMBER LINER AMAT
tamr70 Used - $3,900.00 0 Jun/24/18 Jul/24/18
Description: 0190-24854 /MKS REV1.8 G4 PLUS 4-PORT UPA 300MM REFL /APPLIED MATERIALS
jexters-auctions NEW - $999.99 0 Jun/23/18 Jun/28/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
sparesllc09 NEW - $1,300.00 0 Jun/22/18 Nov/26/19
Description: 0020-28944 / WEIGHT RING, 300MM PROFILER / APPLIED MATERIALS AMAT
catalystparts Used - $1,500.00 1 Jun/22/18 Jul/09/18
Description: Applied Materials 0200-02421 Isolator Lid - TXZ 300MM
powersell007 Used - $399.00 2 Jun/22/18 Jan/11/20
Description: APPLIED MATERIALS 0200-35162 300MM EPI UPPER CHAMBER LINER AMAT
techshop7777 NEW - $649.99 0 Jun/24/18 Jul/24/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
svcstore Used - $242.99 0 Jun/22/18 Jul/22/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
kenjap Scrap, for parts - $90.00 0 Jun/24/18 Jul/24/18
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY
techknowledge-e Scrap, for parts - $500.00 0 Jun/25/18 Jul/02/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
intek22 Used - $499.99 0 Jun/25/18 Oct/10/18
Description: LAM Research SILICON HOT EDGE RING 300MM 715-443178-300
jexters-auctions NEW - $19.98 0 Jun/26/18 Jul/03/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
starbit11 Used - $25,000.00 0 Jun/27/18 Sep/14/18
Description: APPLIED MATERIALS 0010-24076 007 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL AMAT
starbit11 Used - $21,250.00 0 Jun/27/18 May/02/19
Description: APPLIED MATERIALS 0010-24076 003 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL AMAT
starbit11 Used - $21,250.00 0 Jun/27/18 May/02/19
Description: APPLIED MATERIALS 0010-24076 006 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL AMAT
global-trade-tech8 Used - $600.00 0 Jun/27/18 Jan/04/24
Description: AMAT 0021-64485 PEDESTAL, TITANIUM 300MM PCII 2.0 New
powersell007 Used - $399.00 0 Jun/27/18 Jun/30/22
Description: APPLIED MATERIALS 0040-43456 SHIELD, SAFETY, POLYCARBONATE 300MM RTP, RADIANCE
ezsurplus15 Used - $200.00 1 Jun/27/18 Feb/11/19
Description: NEW Applied Materials/AMAT 0190-10208 Mainframe Interface 300mm PCB Board Assy.
ezsurplus15 Used - $170.00 1 Jun/27/18 Aug/29/18
Description: Applied Materials/AMAT 0190-10208 Mainframe Interface 300mm PCB Board Assy.
nps NEW - $49.99 0 Jun/27/18 Jul/04/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
tm_semi Used - $550.00 0 Jun/27/18 Jul/27/18
Description: AMAT 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, OEM, USED, EXCELLENT COND.
tm_semi NEW - $750.00 0 Jun/27/18 Jul/27/18
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
tm_semi NEW - $750.00 0 Jun/27/18 Jul/27/18
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
tm_semi Used - $295.00 1 Jun/27/18 Jul/12/18
Description: AMAT 0040-04586 INNER SHIELD TxZ 300MM, SLIGHTLY USED, CLEAN ROOM READY
tm_semi Used - $595.00 1 Jun/27/18 Jul/12/18
Description: AMAT 0200-00531 CHAMBER INSERT, TxZ 300MM, ENDURA, USED, GOOD CONDITION.
tm_semi NEW - $155.00 0 Jun/27/18 Jul/27/18
Description: APPLIED MATERIALS 0200-36003 WINDOW DOOR 300MM ASP CHAMBER, QUARTZ, OEM NEW
tm_semi Used - $175.00 0 Jun/27/18 Jul/27/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $275.00 0 Jun/27/18 Jul/27/18
Description: LAM RESEARCH 716-026652-002 RING EDGE BOTTOM 300MM
intek22 Used - $2,200.00 0 Jun/28/18 May/11/20
Description: Mico AMAT Applied Materials 0190-23942 300mm Ultima DPS ESC Chuck 4716635-001
mcclainsvein Scrap, for parts - $130.00 0 Jun/28/18 Jul/08/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
jexters-auctions NEW - $999.99 0 Jun/30/18 Jul/05/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
techknowledge-e Scrap, for parts - $500.00 0 Jul/02/18 Jul/09/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
jexters-auctions NEW - $19.98 0 Jul/03/18 Jul/10/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
powersell007 Used - $1,499.00 0 Jul/05/18 Jun/30/22
Description: APPLIED MATERIALS 0021-81461 UPPER SHIELD, CLEAN CHAMBER, 300MM AMAT RECYCLED
nps NEW - $49.99 0 Jul/05/18 Jul/12/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
sparesllc09 Used - $12,000.00 0 Jul/05/18 Feb/17/20
Description: 02-259457-00 / SPINDLE ASSY,300MM,C3VCTR/ NOVELLUS SYSTEMS INC
sparesllc09 NEW - $1,500.00 0 Jul/05/18 Feb/17/20
Description: 0040-48770 /BLOCK,ESC WATER MANIFOLD, 300MM HDPCVDI /APPLIED MATERIALS
alamedaauction NEW - $1,295.00 0 Jul/06/18 Aug/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
jexters-auctions NEW - $999.99 0 Jul/06/18 Jul/13/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
svcstore Scrap, for parts - $199.99 0 Jul/07/18 Aug/06/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
ciscorpor Used - $4,500.00 0 Jul/09/18 Oct/23/21
Description: P/N 0040-03661 / 0040-03662 AMAT Emax 300mm VHP Robot Arm Set
mcclainsvein Scrap, for parts - $110.00 0 Jul/09/18 Jul/19/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
techknowledge-e Scrap, for parts - $500.00 0 Jul/09/18 Jul/16/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
semiconductorsolution NEW - $4,500.00 0 Jul/09/18 Aug/08/18
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
ciscorpor Used - $5,000.00 0 Jul/09/18 Oct/23/21
Description: P/N 0040-50657 AMAT Emax VHP 300mm Robot Pivot Set
novusferro NEW - $499.00 0 Jul/09/18 Jan/06/21
Description: Novellus Anode, Bolt Down, Grooved, 300mm 15-259750-02
novusferro Used - $900.00 0 Jul/05/18 Jul/16/18
Description: Novellus Anode, Bolt Down, Grooved, 300mm 15-259750-02
asmtk NEW - $1,500.00 0 Jul/10/18 Oct/01/21
Description: Applied Materials 0200-05495 CERAMIC INSERT, CIP2 LINER ,HART 300MM AMAT
bridge-stone NEW - $52,361.00 0 Jul/10/18 Oct/09/18
Description: AMAT Applied Material 0010-27430 MCA E-Chuck Heater 300mm Refurbished
spsglobal Used - $1,000.00 0 Jul/11/18 Jun/30/22
Description: 342-0403// AMAT APPLIED 0040-07229 BASE, WRIST 300MM ROBOT ENP LOW CONDUCTI NEW
jexters-auctions NEW - $19.98 0 Jul/11/18 Jul/18/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
adelrick123 NEW - $205.00 0 Jul/11/18 Jun/30/22
Description: Amat # 0021-15734 Lift Pin Alumina 300mm
j316gallery Scrap, for parts - $220.00 1 Jul/12/18 Sep/26/19
Description: 11067 APPLIED MATERIAL CNTRL TEMP 8-ZONE,300MM PRODUCER SE,SA (PARTS) 3930-00092
yericomfg NEW - $160.00 0 Jul/12/18 Aug/08/18
Description: AMAT 0020-99758 Pad PBI Rear Ht 3,8 Mm Modular End Effector 300mm
industry-outlet NEW - $29.99 0 Jul/17/18 Aug/16/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
harot_0 Used - $349.00 0 Jul/16/18 Aug/15/18
Description: Applied materials Faceplate producer 0020-49785 APF AMAT 300mm
nps NEW - $24.99 1 Jul/16/18 Jul/23/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
techknowledge-e Scrap, for parts - $500.00 0 Jul/16/18 Jul/23/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
jfplcs Used - $649.00 0 Jul/16/18 Aug/15/18
Description: Applied Materials FACE PLATE TEOS REMOTE CLEAN 300MM 0040-95463
maxisemi1349 NEW - $1,500.00 0 Jul/17/18 Aug/16/18
Description: 02-288359-00 ASSY, DIFFUSER, EASY WET, 11.75 ID, 300MM NEX
maxisemi1349 NEW - $7,500.00 0 Jul/16/18 Aug/15/18
Description: 02-159684-00 ASSY.PED,300MM WCVD,PREHEAT
adelrick123 NEW - $225.00 1 Jul/18/18 Jun/09/21
Description: AMAT 0200-20434 Insulator Tube RF Return 300MM B101
jexters-auctions NEW - $19.98 0 Jul/20/18 Jul/27/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
jexters-auctions NEW - $999.99 0 Jul/22/18 Jul/29/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
ab-international NEW - $300.00 0 Jul/22/18 Aug/01/18
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
svcstore Used - $242.99 0 Jul/23/18 Aug/22/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
powersell007 Used - $1,899.00 0 Jul/23/18 Jun/30/22
Description: APPLIED MATERIALS 0041-13898 FACEPLATE, WC, 23MIL CH, SACVD 300MM AMAT *CLEANED*
techknowledge-e Scrap, for parts - $500.00 0 Jul/23/18 Jul/30/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
mcclainsvein Scrap, for parts - $90.00 0 Jul/23/18 Aug/02/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
powersell007 Used - $799.00 0 Jul/23/18 Jun/30/22
Description: APPLIED MATERIALS 0020-44453 BLOCKER PLATE, TEOS 300MM AMAT *UNUSED, SEALED*
powersell007 Used - $699.00 0 Jul/23/18 Jun/30/22
Description: APPLIED MATERIALS 0200-05044 300MM CHARGER TiW DEPOSITION RING (NON Cu) AMAT
yericomfg Used - $4,000.00 0 Jul/23/18 Aug/08/18
Description: AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper "USED"
powersell007 Used - $799.00 0 Jul/23/18 Jun/30/22
Description: APPLIED MATERIALS 0021-61445 300MM RING, DEPOSITION, CU SPUTTER, BCP (Cu) AMAT
sparesllc09 Used - $9,800.00 0 Jul/23/18 Feb/14/20
Description: 0041-08938 / ESC,TEST 300MM,DUAL HE,CVD / AMAT
nps NEW - $24.99 1 Jul/23/18 Jul/30/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
ptb-sales Used - $800.00 0 Jul/23/18 Aug/22/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
j316gallery Used - $550.00 1 Jul/24/18 Dec/05/19
Description: 11228 APPLIED MATERIAL AI SILICON ALLOY SHUTTER 300MM 0021-41491
j316gallery Used - $1,976.14 1 Jul/24/18 Apr/12/21
Description: 11241 APPLIED MATERIAL SHIELD INNER 300MM PCII/RPC+, 0040-78872 0021-19342
riverstar777 NEW - $4,500.00 0 Jul/24/18 Aug/23/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
tamr70 Used - $3,900.00 0 Jul/24/18 Aug/23/18
Description: 0190-24854 /MKS REV1.8 G4 PLUS 4-PORT UPA 300MM REFL /APPLIED MATERIALS
techshop7777 NEW - $649.99 0 Jul/24/18 Aug/23/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
eisale1535 Used - $5,400.00 0 Jul/24/18 Sep/22/18
Description: AMAT 0190-24854 MKS G4 PLUS 4-PORT UPA 300MM REFL, AMAT 0190-15840 Rev 2
kenjap Scrap, for parts - $90.00 0 Jul/24/18 Aug/23/18
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY
maxisemi1349 NEW - $4,500.00 0 Jul/25/18 Aug/24/18
Description: 02-288189-00 ASSY, 300MM, LIFT PIN ACTR
tanya.kub Used - $10,000.00 1 Jul/27/18 Oct/23/18
Description: APPLIED MATERIALS 0040-91179 HEATER PURGE RING ASSY 300MM
farmoninc NEW - $3,500.00 0 Jul/27/18 Aug/04/18
Description: AMAT 0010-37858 Process Lid Assembly HSR 300MM TXZ, 424690
ab-international NEW - $232.96 3 Jul/29/18 Sep/10/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
jexters-auctions NEW - $999.99 0 Jul/29/18 Aug/05/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $19.98 0 Jul/29/18 Aug/05/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
tamr70 Used - $6,200.00 0 Jul/30/18 Aug/09/18
Description: AMAT 0040-03667 Robot ARM 300mm
jens.pens Used - $1,900.00 1 Jul/30/18 Jun/07/19
Description: Applied AMAT 300mm ESC Chuck 0010-33590 0041-08142 0041-08143 11168200-437-0002
nps NEW - $24.99 1 Jul/30/18 Aug/06/18
Description: Lot of 10 Applied Materials 0190-38516 Lamp for AMAT 300mm RTP Radiance
yericomfg NEW - $200.00 0 Jul/31/18 Aug/08/18
Description: AMAT 0021-83449 MEMBRANE 300MM TITAN N ZONE
mcclainsvein Scrap, for parts - $90.00 1 Aug/02/18 Aug/07/18
Description: AMAT 0040-08137 SUPPORT LIFT CYLINDER 300MM CATHODE APPLIED MATERIALS 0010-42268
alamedaauction NEW - $1,295.00 0 Aug/05/18 Sep/04/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
jexters-auctions NEW - $999.99 0 Aug/05/18 Aug/12/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $19.98 0 Aug/05/18 Aug/12/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
riverstar777 NEW - $1,995.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0020-54777 002 Shield Inner 300mm SIP, NEW
phxinn Used - $305,000.00 0 Aug/06/18 Sep/05/18
Description: Amat Applied Materials Endura 300mm PVD Chamber W/ 0010-23677 MCA SNNF LTE ESC
phxinn NEW - $3,100.00 0 Aug/06/18 Sep/05/18
Description: Applied Materials 0010-27929 300mm Chiller, Siconiclean
metrology123 Used - $379.00 1 Aug/07/18 Jul/06/21
Description: Applied materials 0100-02955 rev 01 Dual TC AMP 300mm PVD PRE-CLEAN PCB
yericomfg NEW - $85.00 0 Aug/07/18 Aug/08/18
Description: APPLIED MATERIALS 0020-75784 PLUG LIFT POINT 300MM Lot of 6
svcstore Scrap, for parts - $199.99 0 Aug/07/18 Sep/06/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
tm_semi Used - $175.00 0 Aug/07/18 Sep/06/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $595.00 1 Aug/07/18 Aug/23/18
Description: AMAT 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, OEM, USED, EXCELLENT COND.
mcclainsvein Scrap, for parts - $2,500.00 0 Aug/07/18 Sep/06/18
Description: APPLIED MATERIALS 0010-22985 PEDESTAL PIB 300MM FDR SLTESC DYLYN AS AMAT 2015
tm_semi NEW - $155.00 0 Aug/08/18 Sep/07/18
Description: APPLIED MATERIALS 0200-36003 WINDOW DOOR 300MM ASP CHAMBER, QUARTZ, OEM NEW
usedeqsales Used - $3,510.17 10 Aug/08/18 Feb/01/19
Description: TDK Corporation TAS300 300mm Wafer Load Port Type E4 AMAT 0190-17837 Used
usedeqsales Used - $3,510.17 1 Aug/08/18 Nov/13/19
Description: TDK Corporation TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used
tm_semi Used - $950.00 0 Aug/08/18 Sep/07/18
Description: AMAT 0200-02421 ISOLATOR LID, CERAMIC, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $425.00 0 Aug/08/18 Sep/07/18
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Aug/08/18 Sep/07/18
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
yericomfg NEW - $200.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; 0242-32942, KIT, PVD Chamber Clear Lid, 300mm Endura2
yericomfg Used - $4,000.00 0 Aug/08/18 Aug/27/19
Description: AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper "USED"
yericomfg Used - $4,000.00 0 Aug/08/18 Aug/27/19
Description: AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper "USED"
yericomfg NEW - $200.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; Remote AC CB Kit, DPN Chamber A, 300mm 0190-08307
yericomfg NEW - $14,000.00 0 Aug/08/18 Aug/27/19
Description: AMAT Shower head, SiC Top electrode 12' 300MM', 4728; 0041-61511, New
yericomfg Used - $6,200.00 0 Aug/08/18 Aug/27/19
Description: AMAT Applied Materials, 0190-77349, Transducer Plate, 300MM
yericomfg NEW - $195.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; 0195-07795, Heater Shaft Temperature Controller 300mm SE/GT
yericomfg NEW - $110.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; Kit, Gas Delivery, Integration, 300mm 0242-29469
yericomfg Used - $3,950.00 0 Aug/08/18 Aug/27/19
Description: 0190-24854 /MKS REV1.8 G4 PLUS 4-PORT UPA 300MM REFL /APPLIED MATERIALS
yericomfg NEW - $2,800.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials;Faceplate,300mm Silane Flow, 0200-84596
yericomfg NEW - $700.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials 0100-02139 Gas Box Distribution Board HP+AxZ 300mm
yericomfg NEW - $150.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials; Kit, Chamber Mounting, ACP II, 300mm DPN/+ 0242-47348
yericomfg NEW - $3,100.00 0 Aug/08/18 Aug/27/19
Description: Applied Materials ; Mount, Platen Drive Motor, 300mm LK Poli; 0040-80083
yericomfg NEW - $50.00 0 Aug/08/18 Aug/27/19
Description: AMAT; 0140-06487, HARNESS ASSY , WATER LEAK DETECTOR. SEG 1, 300MM HD,
yericomfg NEW - $1,000.00 0 Aug/08/18 Aug/27/19
Description: AMAT 0021-46364, 300MM BLOCKER PLATE, HPD -0.2 BP STAND *US Seller*
yericomfg NEW - $200.00 8 Aug/08/18 Nov/01/18
Description: AMAT 0021-83449 MEMBRANE 300MM TITAN N ZONE
yericomfg NEW - $1,650.00 0 Aug/08/18 Aug/27/19
Description: OEM Part Novellus 17-271438-00 ADAPTER SHIELD 300mm
yericomfg NEW - $225.00 0 Aug/08/18 Aug/27/19
Description: AMAT; 0020-12002, WINDOW,TWIN VIEWPORT LIDE8,300mm,PR;Producer GT Clear lid
yericomfg NEW - $2,000.00 0 Aug/08/18 Aug/27/19
Description: Sentran; 91155, ASSY, Scale, 30lb., Desica 300mm, AMAT 1040-00229
yericomfg NEW - $155.00 0 Aug/08/18 Aug/27/19
Description: AMAT; 0020-53080, CAP sensor LD PAD Conditioner LK 300mm polisher
yericomfg NEW - $160.00 0 Aug/08/18 Aug/27/19
Description: AMAT 0020-99758 Pad PBI Rear Ht 3,8 Mm Modular End Effector 300mm
maxisemi1349 NEW - $7,250.00 0 Aug/10/18 Sep/09/18
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
tm_semi NEW - $750.00 0 Aug/12/18 Sep/11/18
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
tm_semi NEW - $750.00 0 Aug/12/18 Sep/11/18
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
tamr70 Used - $6,200.00 0 Aug/12/18 Sep/20/18
Description: AMAT 0040-03667 Robot pivot+Buffer Blade 300mm
esoteric_specialties Used - $375.00 0 Aug/12/18 Sep/11/18
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
alvin1462 Used - $27,888.00 0 Aug/13/18 Oct/31/18
Description: Applied Materials AMAT 0010-27431 - 001 Heater , 300mm Hi Temp ,
jexters-auctions NEW - $999.99 0 Aug/13/18 Aug/20/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $19.98 0 Aug/13/18 Aug/20/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
semiconductorsolution NEW - $4,500.00 0 Aug/13/18 Sep/12/18
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
j316gallery Scrap, for parts - $2,634.85 0 Aug/14/18 Jan/28/21
Description: 11492 LAM RESEARCH HSG,BIAS ELCTD 300MM,ASSY (PARTS) 715-800326-004
j316gallery Scrap, for parts - $2,444.20 2 Aug/14/18 Dec/01/20
Description: 11491 LAM RESEARCH HSG,BIAS ELCTD 300MM,ASSY (PARTS) 715-800326-004
alvin1462 Used - $24,888.00 1 Aug/15/18 Feb/18/21
Description: Applied Materials AMAT 0010-27430 -001 , Heater, 300mm Hi Temp, Used, Cleaned
csi.usa NEW - $1,899.00 0 Aug/15/18 Nov/02/18
Description: APPLIED MATERIALS P/N 0240-47624 RETROFIT KIT GAP WASH MANIFOLD 300MM LCWE
maxisemi1349 NEW - $7,250.00 0 Aug/15/18 Sep/14/18
Description: 02-159684-00 ASSY.PED,300MM WCVD,PREHEAT
powersell007 Used - $1,499.00 1 Aug/16/18 Apr/16/22
Description: APPLIED MATERIALS 0020-45231 300MM ENCORE TaN SHUTTER DISK AMAT *UNUSED, SEALED*
maxisemi1349 NEW - $1,500.00 0 Aug/17/18 Sep/16/18
Description: 02-288359-00 ASSY, DIFFUSER, EASY WET, 11.75 ID, 300MM NEX
tm_semi NEW - $3,250.00 0 Aug/19/18 Sep/18/18
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, OEM, NEW
industry-outlet NEW - $29.99 0 Aug/21/18 Sep/20/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
asmtk Used - $2,000.00 1 Aug/23/18 Sep/09/20
Description: Applied Materials 0021-13612 LINER, CATHODE W/ LWR LINER, 300MM DPS I AMAT
capitolareatech NEW - $99.95 0 Aug/23/18 Jun/23/20
Description: NOVELLUS 71-052552-00 ALIGNING TOOL, VAT VLV, SPD 300MM
jexters-auctions NEW - $19.98 0 Aug/23/18 Sep/02/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
jexters-auctions NEW - $999.99 0 Aug/23/18 Sep/02/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
svcstore Used - $242.99 0 Aug/23/18 Sep/22/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
comwaysind NEW - $249.00 0 Aug/24/18 Apr/21/19
Description: AMAT 0140-24168 Harness assy adpter sys mdl to GP epi 300mm
jsban11 Refurbished - $25,000.00 0 Aug/24/18 Sep/23/18
Description: 0010-59789 AMAT 300MM Producer Heater refurbished
techshop7777 NEW - $649.99 0 Aug/24/18 Sep/23/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
capitolareatech NEW - $299.95 0 Aug/25/18 Aug/13/20
Description: LAM RESEARCH (LAM) 715-075951-001 RING, CLAMP, ELCTD, 300MM
maxisemi1349 NEW - $4,500.00 0 Aug/25/18 Sep/24/18
Description: 02-288189-00 ASSY, 300MM, LIFT PIN ACTR
powersell007 Used - $8,999.00 1 Aug/27/18 Feb/27/21
Description: APPLIED MATERIALS 0040-07033 300MM PRODUCER CERAMIC HEATER 0040-85475 AMAT
ab-international NEW - $300.00 0 Aug/26/18 Sep/05/18
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
harot_0 Used - $349.00 0 Aug/26/18 Sep/25/18
Description: Applied materials Faceplate producer 0020-49785 APF AMAT 300mm
ptb-sales Used - $800.00 0 Aug/27/18 Sep/26/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
sparesllc09 Used - $5,000.00 0 Aug/28/18 Aug/28/18
Description: 0010-76385 / CHAMBER LID 300MM / APPLIED MATERIALS
atxdeals4u Used - $1,500.00 0 Aug/28/18 Oct/17/18
Description: AMAT 0190-41393 REV.02 NSK TYPE ELE-JSG014CB5F2 DRIVER 300mm XP ROBOT
novusferro NEW - $179.00 1 Aug/28/18 Jun/30/20
Description: Lam Research 715-075951-001 Ring, Clamp, Elctd, 300mm
novusferro NEW - $149.00 4 Aug/28/18 Dec/29/20
Description: Lam Research 715-801021-012 (Rev G) Upper Chamber Liner 300mm
atxdeals4u Used - $2,000.00 0 Aug/29/18 Sep/26/18
Description: Applied Materials AMAT 0010-23684 TOP MATCH ASSY FAN W/INTLK 300mm
falcor88 NEW - $9.99 0 Aug/29/18 Sep/28/18
Description: AMAT Applied Materials 0015-03411 BRECOFLEX 300mm Small Puller Pulley BP12X00049
intek22 NEW - $250.00 3 Aug/31/18 Feb/28/19
Description: New Applied Materials AMAT 0020-48628 300mm Edge Ring
intek22 NEW - $50.00 0 Aug/31/18 May/12/20
Description: New Sealed Applied Materials AMAT 0020-41900 Liner Cover 300mm Producer
intek22 NEW - $650.00 0 Aug/31/18 May/12/20
Description: New Applied Materials AMAT 0021-12790 Blocker Plate SILANE 300mm TWIN CHAMBER
intek22 NEW - $650.00 0 Aug/31/18 May/12/20
Description: New Applied Materials AMAT 0021-14589 Chamber Blocker DCVD Plate 300mm XGEN REV6
intek22 NEW - $650.00 0 Aug/31/18 May/12/20
Description: New Applied Materials AMAT 0021-14589 Chamber Blocker DCVD Plate 300mm XGEN REV7
intek22 NEW - $650.00 0 Aug/31/18 May/12/20
Description: New Applied Materials AMAT 0020-47008 Chamber Blocker Plate CVD 300mm Producer
atxdeals4u Used - $2,100.00 0 Aug/31/18 Sep/19/19
Description: APPLIED MATERIALS CHILLER, ASSY, 300MM, SICONI CLEAN AMAT 0010-27929
atxdeals4u Scrap, for parts - $500.00 0 Aug/31/18 Oct/04/18
Description: AMAT 300MM Slit Valve 0040-75863
intek22 NEW - $4,500.00 1 Aug/31/18 Jun/28/19
Description: New Sealed LAM Research 715-801021-838 300mm Upper Chamber Liner 2300
capitolareatech NEW - $145.18 0 Aug/31/18 Aug/13/20
Description: AMAT 0010-06389 NOVA A.C. POWER BOX ASSEMBLY 300MM REFLE
capitolareatech NEW - $349.95 0 Sep/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-35788 MOTOR,5PHASE STEPPER W/ CABLE 300MM UNIV
jexters-auctions NEW - $839.99 0 Sep/02/18 Sep/07/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $16.78 0 Sep/02/18 Sep/07/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
systasemi NEW - $220.00 1 Sep/03/18 Apr/14/21
Description: AMAT 0200-06948, PIN WEIGHT, 300MM ULTIMA X, HDP CVD, WEIGHT LIFT
alamedaauction NEW - $1,295.00 0 Sep/05/18 Oct/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
techknowledge-e Scrap, for parts - $450.00 0 Sep/05/18 Sep/12/18
Description: AMAT DPCVD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-02134 REV 01
riverstar777 NEW - $1,995.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0020-54777 002 Shield Inner 300mm SIP, NEW
intek22 Scrap, for parts - $799.99 0 Sep/06/18 May/14/20
Description: Applied Materials AMAT 0040-87046 Water Cooled Adapter STD PVD 300MM * Untested
kakkisung-6 NEW - $2,000.00 0 Sep/06/18 May/04/19
Description: AMAT 0021-22627 BLADE ROBOT 300mm
spsglobal NEW - $230.00 1 Sep/07/18 Aug/22/19
Description: 203-0102// AMAT APPLIED 0270-00742 PUSHROD, 300MM HDP-CVD, ULTIMA NEW
mcclainsvein Scrap, for parts - $899.00 0 Sep/07/18 Oct/07/18
Description: APPLIED MATERIALS 0010-22985 PEDESTAL PIB 300MM FDR SLTESC DYLYN AS AMAT 2015
tm_semi Used - $950.00 0 Sep/07/18 Sep/10/18
Description: AMAT 0200-02421 ISOLATOR LID, CERAMIC, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $425.00 0 Sep/07/18 Oct/07/18
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Sep/07/18 Oct/07/18
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
svcstore Scrap, for parts - $199.99 0 Sep/07/18 Oct/04/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
tm_semi NEW - $155.00 0 Sep/07/18 Oct/07/18
Description: APPLIED MATERIALS 0200-36003 WINDOW DOOR 300MM ASP CHAMBER, QUARTZ, OEM NEW
tm_semi Used - $175.00 0 Sep/07/18 Oct/07/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
jexters-auctions NEW - $839.99 0 Sep/08/18 Sep/15/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $16.78 0 Sep/08/18 Sep/15/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
mcclainsvein Used - $425.00 7 Sep/09/18 Feb/13/19
Description: AMAT Applied Materials 0010-29842 Assembly 300mm DBR Belt Wrist Titanium 420712
maxisemi1349 NEW - $7,250.00 0 Sep/10/18 Oct/10/18
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
capitolareatech NEW - $1,195.00 0 Sep/10/18 Jan/30/20
Description: Applied Materials (AMAT) 0010-04301 ASSY, MANIFOLD, 300MM, EMAX
tm_semi NEW - $750.00 0 Sep/11/18 Oct/11/18
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
tanya.kub Used - $200.00 0 Sep/11/18 Dec/10/18
Description: AMAT APPLIED MATERIALS 0041-78374 DUAL FT MANIFOLD METAL ALD 300MM
tanya.kub Refurbished - $200.00 0 Sep/11/18 Dec/10/18
Description: APPLIED MATERIALS 0022-10188 CL-MIXER, GAS, 300MM CVD
capitolareatech NEW - $79.95 0 Sep/11/18 Aug/13/20
Description: Applied Materials (AMAT) 0150-04066 CABLE ASSY GROUND WIFE, 4" 300MM ENDURA
tm_semi NEW - $750.00 0 Sep/11/18 Oct/11/18
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
capitolareatech NEW - $39.95 0 Sep/11/18 Sep/10/19
Description: Applied Materials (AMAT) 0021-83422 TENSIONER ARM, DSS UV, 300MM PRODUCER SE
capitolareatech NEW - $2,995.95 0 Sep/11/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-01288 COVER, SCREW QUARTZ CATHODE LINER, 300MM
maxisemi1349 Used - $1,050.00 1 Sep/18/18 Oct/20/22
Description: 0040-52063 WELDMENT,PYROMETER TUBE SHIELD,EPI 300MM
testequipmentparts Used - $89.99 0 Sep/18/18 Apr/04/19
Description: Applied Materials 0500-01065 0110-00327 300mm Loadlock Interlock Board Ay AS IS
tm_semi NEW - $3,250.00 0 Sep/18/18 Oct/18/18
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, OEM, NEW
dgold32 Used - $799.99 1 Sep/17/18 Jun/21/21
Description: Lam Research Novellus Shower Head 300mm TC Tapered Ebeam AHMn 16-435080-00 D
dgold32 Used - $1,999.99 0 Sep/17/18 Jul/30/24
Description: LAM RESEARCH 839-274673-001 REV B LAM 2300 ESC 300MM 4717-7211
capitolareatech NEW - $795.00 0 Sep/16/18 Aug/13/20
Description: Novellus 15-327152-00 CUP, VACUUM, 300MM ANNEAL, VESPEL CR4638
jexters-auctions NEW - $16.78 0 Sep/15/18 Sep/25/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
maxisemi1349 NEW - $6,950.00 1 Sep/15/18 Aug/04/19
Description: 02-159684-00 ASSY.PED,300MM WCVD,PREHEAT
powersell007 Used - $1,899.00 1 Sep/19/18 Jul/19/22
Description: APPLIED MATERIALS 0041-13896 FACEPLATE 26MIL CENTERHOLE RF CAP 300MM SA CVD AMAT
farmoninc Used - $395.00 1 Sep/19/18 Apr/26/23
Description: AMAT 0010-21740 Assy TC Amp 300mm, PCB, 450097
farmoninc Used - $395.00 0 Sep/19/18 Jun/10/21
Description: AMAT 0010-21740 Assy TC Amp 300mm, PCB, 450098
maxisemi1349 Used - $1,500.00 0 Sep/20/18 Apr/14/21
Description: 02-288359-00 ASSY, DIFFUSER, EASY WET, 11.75 ID, 300MM NEX
intek22 NEW - $550.00 0 Sep/20/18 May/12/20
Description: New Sealed * AMAT Applied Materials 0020-41269 PLATE PUMPING LPCVD 300MM POLYGEN
prism_electronics8 Used - $1,224.99 0 Sep/20/18 Aug/26/22
Description: APPLIED MATERIALS 0040-75819 300mm SBR Corrosion Resistant Link 2 Arm
industry-outlet NEW - $29.99 0 Sep/20/18 Oct/20/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
partskorea1 Used - $1,499.00 1 Sep/21/18 Sep/02/19
Description: AMAT 0200-00991 CERAMIC BLADE 300MM
visionsemi NEW - $600.00 0 Sep/21/18 Dec/18/18
Description: APPLIED MATERIALS AMAT ENDURA CRYO PUMP LIFT ALUM-A-LIFT 300MM 0190-14995
svcstore Used - $242.99 0 Sep/23/18 Oct/04/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
powersell007 Used - $499.00 0 Sep/25/18 Nov/25/23
Description: APPLIED MATERIALS 0010-29917 ASSY PARTICLE SCREEN RING 300MM PC AL AMAT *UNUSED*
techshop7777 NEW - $649.99 0 Sep/25/18 Oct/25/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
jexters-auctions NEW - $839.99 1 Sep/25/18 Sep/28/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
jexters-auctions NEW - $16.78 0 Sep/25/18 Oct/05/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
j316gallery Used - $3,080.00 0 Sep/25/18 Nov/25/19
Description: 11826 APPLIED MATERIAL CNTRL MAGLEV ROTATION W/NTC 300MM R 0190-11669
maxisemi1349 Used - $3,250.00 1 Sep/26/18 Oct/03/22
Description: 02-288189-00 ASSY, 300MM, LIFT PIN ACTR
usedeqsales Used - $1,027.80 0 Sep/26/18 May/11/23
Description: AMAT Applied Materials Lower 300mm Gripper Claw 0040-80144 0040-84444 Spare
katiil3 Used - $199.00 0 Sep/27/18 Oct/23/21
Description: AMAT Applied Materials 0040-23525 300mm Slit Valve Door Plate
systasemi Used - $21,000.00 0 Sep/27/18 Nov/14/23
Description: AMAT 0040-48594, CHUCK-ESC, ESC-263, ESC ULTIMA 300MM
capitolareatech NEW - $345.00 0 Sep/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-39718 CYLINDER, LIFTER, 300MM ETCH CHAMBER
falcor88 NEW - $9.99 0 Sep/30/18 Oct/30/18
Description: AMAT Applied Materials 0015-03411 BRECOFLEX 300mm Small Puller Pulley BP12X00049
ab-international NEW - $300.00 0 Sep/30/18 Oct/10/18
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
kenjap Scrap, for parts - $30.00 0 Sep/30/18 Oct/30/18
Description: AMAT APPLIED MATERIALS 0100-01652 HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY
powersell007 Used - $4,499.00 1 Oct/02/18 Nov/29/18
Description: LAM RESEARCH 839-019080-611 300MM ESC ELECTROSTATIC CHUCK TUNABLE COUL RF
phxinn NEW - $3,100.00 0 Oct/02/18 Nov/01/18
Description: Applied Materials 0010-27929 300mm Chiller, Siconiclean
eisale1535 Used - $5,400.00 0 Oct/03/18 Dec/02/18
Description: AMAT 0190-24854 MKS G4 PLUS 4-PORT UPA 300MM REFL, AMAT 0190-15840 Rev 2
sparesllc09 Used - $1,500.00 0 Oct/03/18 Feb/10/20
Description: 02-288189-00 / 2ND GEN 300MM LIFT PIN ACUATOR / NOVELLUS SYSTEMS INC
farmoninc NEW - $150.00 0 Oct/03/18 Jun/15/23
Description: AMAT 0021-12493 PIN, Interlock, Upper Can, 300MM DTCU, 450303
prism_electronics8 Used - $99.99 0 Oct/04/18 Aug/30/22
Description: APPLIED MATERIALS 0200-35771 300MM Gas Feed Ceramic Resistor
svcstore Used - $188.99 0 Oct/04/18 Nov/03/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Scrap, for parts - $104.99 1 Oct/04/18 Nov/03/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
alamedaauction NEW - $1,295.00 0 Oct/05/18 Nov/04/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
prism_electronics8 Used - $99.99 0 Oct/05/18 Aug/30/22
Description: APPLIED MATERIALS 0021-07859 300mm Stainless Steel Heater RF Contact Ring
jexters-auctions NEW - $16.78 0 Oct/06/18 Oct/16/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
athomemarket NEW - $129.99 0 Oct/06/18 Jan/04/20
Description: NEW Applied Materials 0195-07795 Heater Shaft Temperature Controller 300mm SE/GT
tm_semi Used - $425.00 0 Oct/07/18 Nov/06/18
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Oct/07/18 Nov/06/18
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
mcclainsvein Scrap, for parts - $399.00 0 Oct/07/18 Nov/06/18
Description: APPLIED MATERIALS 0010-22985 PEDESTAL PIB 300MM FDR SLTESC DYLYN AS AMAT 2015
tm_semi NEW - $155.00 0 Oct/07/18 Nov/06/18
Description: APPLIED MATERIALS 0200-36003 WINDOW DOOR 300MM ASP CHAMBER, QUARTZ, OEM NEW
tm_semi Used - $175.00 0 Oct/07/18 Nov/06/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
athomemarket Used - $237.29 0 Oct/08/18 Jan/06/20
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $43.99 0 Oct/08/18 Jan/06/20
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $85.19 0 Oct/08/18 Jan/06/20
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
mcclainsvein Used - $100.00 0 Oct/09/18 Oct/16/18
Description: AMAT Applied Materials 0010-29842 300mm ENDURA XP Belt Wrist Titanium 420712
koreastagram Refurbished - $52,361.00 0 Oct/09/18 Jan/24/19
Description: AMAT Applied Material 0010-27430 MCA E-Chuck Heater 300mm Refurbished
harot_0 Used - $349.00 0 Oct/09/18 Nov/08/18
Description: Applied materials Faceplate producer 0020-49785 APF AMAT 300mm
maxisemi1349 NEW - $7,250.00 0 Oct/10/18 Nov/09/18
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
intek22 NEW - $200.00 0 Oct/10/18 May/11/20
Description: Lot of 5 * New LAM Research SILICON Hot Edge Rings 300MM * 715-443178-300
aplussports Refurbished - $52,361.00 0 Oct/10/18 Dec/20/18
Description: AMAT Applied Material 0010-27430 MCA E-Chuck Heater 300mm Refurbished
tm_semi NEW - $750.00 0 Oct/11/18 Nov/10/18
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
tm_semi NEW - $750.00 0 Oct/11/18 Nov/10/18
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
athomemarket Used - $360.00 0 Oct/11/18 Jan/09/20
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $424.99 0 Oct/12/18 Oct/19/18
Description: NEW Applied Materials AMAT 0200-01364 Window Quartz 5mm Thick 300mm 12"
tanya.kub Used - $1,950.00 0 Oct/13/18 Dec/10/18
Description: APPLIED MATERIALS 0041-00187 GAS RING SYM 36 PORT GAS DIST 300MM HDP
tanya.kub Used - $1,950.00 0 Oct/13/18 Dec/10/18
Description: APPLIED MATERIALS 0040-48767 REV 003 CATHODE BASE 300MM 0010-10462
esoteric_specialties Used - $375.00 0 Oct/13/18 Nov/12/18
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
athomemarket NEW - $1,399.99 1 Oct/13/18 Dec/20/18
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
tchaban88 NEW - $600.00 0 Oct/14/18 Oct/19/18
Description: APPLIED MATERIAL LINEAR LIFT MODULE ASSEMBLY , CH-A ULM, EPI , 300mm 0010-25578
riverstar777 NEW - $1,795.00 0 Oct/15/18 Nov/13/18
Description: AMAT 0020-54777 002 Shield Inner 300mm SIP, NEW
tanya.kub Used - $310.00 0 Oct/15/18 Dec/10/18
Description: Applied Materials 0200-03984 GAS DISTRIBUTION PLATE, 300MM APC
mcclainsvein Used - $100.00 1 Oct/16/18 Oct/23/18
Description: AMAT Applied Materials 0010-29842 300mm ENDURA XP Belt Wrist Titanium 420712
semiconductorsolution NEW - $4,500.00 0 Oct/16/18 Nov/15/18
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
athomemarket NEW - $60.19 0 Oct/16/18 Jan/14/20
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $38.99 0 Oct/16/18 Oct/02/19
Description: NEW AMAT 0040-06299 Male IPM 300mm Producer P5000
athomemarket NEW - $41.29 0 Oct/16/18 Jan/14/20
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $40.59 0 Oct/16/18 Jan/14/20
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
jexters-auctions NEW - $14.10 0 Oct/17/18 Oct/22/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
tanya.kub NEW - $550.00 0 Oct/17/18 Dec/10/18
Description: AMAT Applied Materials 0021-15657 Shutter Disk MDP 300mm
tanya.kub NEW - $1,450.00 0 Oct/17/18 Dec/10/18
Description: AMAT Applied Materials 0021-22064 COVER RING TTN 300MM PVD
tanya.kub NEW - $550.00 0 Oct/17/18 Dec/10/18
Description: AMAT Applied Materials 0021-22177 COVER RING ADV 101 300MM PVD
tanya.kub NEW - $550.00 0 Oct/17/18 Dec/10/18
Description: AMAT Applied Materials 0021-81435 SHUTTER DISC MDP 300MM
tanya.kub NEW - $550.00 0 Oct/17/18 Dec/10/18
Description: APPLIED MATERIALS 0020-61186 COVER PLATE A101 HEATER 300MM PVD
tm_semi NEW - $3,250.00 0 Oct/18/18 Nov/16/18
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, OEM, NEW
csi.usa Used - $499.99 0 Oct/18/18 Jan/16/19
Description: AMAT APPLIED MATERIALS 0041-03276 GAS FEED ASSY, TOP CLEAN, Aln 300mm
csi.usa NEW - $1,499.99 0 Oct/18/18 Jan/16/19
Description: AMAT APPLIED MATERIALS 0270-00735 ROBOT CALIBRATION TOOL 300MM
jexters-auctions NEW - $599.99 0 Oct/18/18 Oct/23/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
ptb-sales Used - $800.00 0 Oct/18/18 Nov/17/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $272.29 0 Oct/18/18 Jan/16/20
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
tanya.kub Used - $800.00 1 Oct/18/18 Jul/30/19
Description: Lam Research 02-287781-00 300MM Heater Pedestal PED Assembly Rev. B Novellus
tanya.kub NEW - $320.00 0 Oct/18/18 Dec/10/18
Description: APPLIED MATERIALS 0010-46210 CHAMBER MISC ASSY GROUND STRAP 300MM 12PCS
usedeqsales Used - $2,255.09 3 Oct/19/18 Jan/28/22
Description: NSK ELC-JG014VF3-01 300mm XP Robot Servo Drive ELC AMAT 0190-19536 Used Working
athomemarket NEW - $499.99 0 Oct/19/18 Oct/26/18
Description: NEW Applied Materials 0021-81437 Stainless Steel Middle Shield 300MM 12.46LG
tchaban88 NEW - $750.00 0 Oct/19/18 Feb/16/19
Description: APPLIED MATERIAL LINEAR LIFT MODULE ASSEMBLY , CH-A ULM, EPI , 300mm 0010-25578
athomemarket Used - $34.39 0 Oct/19/18 Jan/17/20
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
athomemarket Used - $524.98 0 Oct/20/18 Jan/18/20
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
ravishafi0 Used - $100.00 0 Oct/21/18 Jul/01/20
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 0190-14285 DIP-021-010
athomemarket NEW - $382.49 0 Oct/22/18 Jan/19/20
Description: NEW Applied Materials AMAT 0200-01364 Window Quartz 5mm Thick 300mm 12"
jexters-auctions NEW - $14.10 0 Oct/22/18 Oct/27/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
athomemarket NEW - $93.79 0 Oct/22/18 Jan/20/20
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
athomemarket NEW - $23.99 1 Oct/22/18 Dec/06/18
Description: NEW SMC VLV Lockout Pavo 3-NKL 300mm GP AMAT 0010-23724
usedeqsales Used - $308.12 1 Oct/23/18 Jun/29/21
Description: AMAT Applied Materials 0200-01904 300mm PCII Ceramic Pin Pedestal Lot of 6 New
csi.usa NEW - $499.99 0 Oct/23/18 Jan/21/19
Description: NEW AMAT APPLIED MATERIALS 0190-14995 CRYO PUMP SPECIFICATION GRIPPER 300mm
jexters-auctions NEW - $599.99 2 Oct/24/18 Oct/25/18
Description: AMAT Applied Materials 0040-13509 Quartz Bell Jar ZCoat Preclean 300mm NEW
usedeqsales NEW - $5,011.18 1 Nov/02/18 Apr/01/19
Description: AMAT Applied Materials 9240-06990 300mm DLC A1 E-Chuck Retrofit Kit New
csi.usa NEW - $1,199.99 0 Nov/02/18 Jan/31/19
Description: NEW AMAT APPLIED MATERIALS 0240-47624 RETROFIT KIT GAP WASH MANIFOLD 300mm
vizvik16 Used - $200.00 1 Nov/02/18 May/25/21
Description: Applied Materials 1310-00050 TC assy dual circuit gas feed blk 300mm Working
csi.usa NEW - $199.99 0 Nov/01/18 Jan/30/19
Description: NEW AMAT APPLIED MATERIALS 0270-02202 FIXTURE ADAPTER ALIGNMENT 300MM
tanya.kub Used - $10,000.00 0 Nov/01/18 Dec/10/18
Description: APPLIED MATERIALS 0040-91179 HEATER PURGE RING ASSY 300MM
powersell007 Used - $1,999.00 0 Nov/01/18 Jun/21/21
Description: APPLIED MATERIALS 0040-82011 PUMPING PLATE, 300MM AMAT w CERT *UNUSED, SEALED*
csi.usa NEW - $999.99 0 Nov/01/18 Jan/30/19
Description: NEW LAM RESEARCH NOVELLUS 16-262201-00 ANODE RING 300mm
jexters-auctions NEW - $14.10 0 Nov/03/18 Nov/13/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
tommy_chou Used - $1,999.99 0 Oct/31/18 Dec/30/19
Description: NOVELLUS 16-162983-00 REV:A 300mm Heater Assembly
svcstore Used - $160.99 0 Nov/04/18 Dec/04/18
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Scrap, for parts - $89.99 0 Nov/04/18 Dec/04/18
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
alamedaauction NEW - $1,295.00 0 Nov/05/18 Dec/05/18
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
asmtk Used - $9,999.00 0 Nov/05/18 Feb/05/20
Description: Applied Materials 0040-98283, 0040-82515 SHOWERHEAD, EHA, 300MM EMAX AMAT
tm_semi NEW - $155.00 1 Nov/06/18 Nov/07/18
Description: APPLIED MATERIALS 0200-36003 WINDOW DOOR 300MM ASP CHAMBER, QUARTZ, OEM NEW
tm_semi Used - $425.00 0 Nov/06/18 Dec/06/18
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Nov/06/18 Dec/06/18
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
tm_semi Used - $175.00 0 Nov/06/18 Dec/06/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
usedeqsales Used - $6,511.18 1 Nov/07/18 Nov/12/18
Description: NSK MELA-B014CF6-03 300mm Single Blade Robot Servo Drive AMAT 0190-03544 Used
ja-8328 NEW - $80.00 0 Nov/07/18 Dec/07/18
Description: AMAT 0020-70525 SCREW LIFT HOOP HAYNES / PRODUCER 200 & 300mm
novusferro Used - $999.00 0 Nov/08/18 Aug/01/22
Description: Lam Research 715-052448-002 Liner, 300MM, Bevel
farmoninc NEW - $150.00 0 Nov/08/18 Jun/15/23
Description: AMAT 0190-08530 Hose Assembly Extension Oxide 300MM, 327424
farmoninc NEW - $150.00 0 Nov/08/18 Nov/10/18
Description: AMAT 0190-12567 Photohelic Switch 0-125 PA, 300MM Gas PA, 417735
novusferro Used - $299.00 0 Nov/08/18 Jan/23/22
Description: Novellus 16-262201-00 Rev B ANODE RING 300mm
maxisemi1349 NEW - $6,950.00 0 Nov/09/18 Dec/09/18
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
allforsale555 NEW - $199.00 0 Nov/09/18 Jul/29/21
Description: Applied Materials/AMAT 0270-03775 Single Air Bearing Hose Assy. Endura 300mm
vizvik16 Used - $12,000.00 0 Nov/09/18 Oct/03/19
Description: APPLIED MATERIALS 0190-08993 REV002 300MM HPDCVD, DSM HDP-CVD
allforsale555 NEW - $499.00 1 Nov/09/18 Jan/09/19
Description: Applied Materials/AMAT 0010-08581 Gen Rack DeviceNet I/O Block 300mm
allforsale555 Used - $699.00 0 Nov/09/18 Jul/29/21
Description: Applied materials/ AMAT 0040-92503 REV.03 RF ELECTRODE FEOL SMALL RF 300MM
sparesllc09 Used - $4,200.77 0 Nov/09/18 Mar/17/20
Description: 15-256677-00 /END EFFECTOR,300MM ,/ NOVELLUS SYSTEMS INC
sparesllc09 Used - $3,500.77 0 Nov/09/18 Nov/17/20
Description: 15-256677-00 /END EFFECTOR,300MM ,/ NOVELLUS SYSTEMS INC
mcclainsvein Scrap, for parts - $299.99 0 Nov/09/18 Dec/09/18
Description: APPLIED MATERIALS 0010-22985 PEDESTAL PIB 300MM FDR SLTESC DYLYN AS AMAT 2015
mcclainsvein Used - $150.00 0 Nov/09/18 Nov/19/18
Description: AMAT Applied Materials 0010-29842 300mm ENDURA XP Belt Wrist Titanium 420712
allforsale555 Used - $449.00 0 Nov/10/18 Jul/29/21
Description: Applied materials / AMAT JACKING PLATE, AIR BEARING, RPG CHBR, 300MM 0270-03384
tm_semi NEW - $750.00 0 Nov/10/18 Dec/10/18
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
allforsale555 Used - $299.00 0 Nov/10/18 Jul/29/21
Description: Applied materials/ AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM
tanya.kub Used - $3,950.00 0 Nov/10/18 Dec/10/18
Description: Applied Materials 0040-48594EB, HDPCVD ESC, 300MM DUAL HE 0410-13785
vizvik16 Used - $2,050.00 0 Nov/10/18 Nov/01/19
Description: APPLIED MATERIALS 0040-48767 REV 003 CATHODE BASE 300MM 0010-26686-002
tanya.kub NEW - $1,950.00 0 Nov/10/18 Dec/10/18
Description: Applied Materials 0200-05710 ALUMINIUM NITRIDE COVER WAFER 300MM
vizvik16 NEW - $1,950.00 0 Nov/10/18 Nov/01/19
Description: NEW Applied Materials 0200-05710 ALUMINIUM NITRIDE COVER WAFER 300MM
tanya.kub NEW - $950.00 1 Nov/10/18 Dec/10/18
Description: NEW Applied Materials 0200-05710 ALUMINIUM NITRIDE COVER WAFER 300MM
tm_semi NEW - $750.00 0 Nov/10/18 Dec/10/18
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
j316gallery Used - $1,000.00 0 Nov/14/18 Aug/25/24
Description: C0600 APPLIED MATERIALS NO POCKET 300MM ROBOT BLADE 0021-22627
grandbirdnet NEW - $1,700.00 0 Nov/15/18 Feb/25/19
Description: AMAT 0200-36399 SHIELD, TOP CERAMIC, TXZ 300MM , NEW
jexters-auctions NEW - $14.10 0 Nov/14/18 Nov/24/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
semiconductorsolution NEW - $4,500.00 0 Nov/16/18 Dec/16/18
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
tm_semi NEW - $3,250.00 0 Nov/16/18 Dec/16/18
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, OEM, NEW
powersell007 Used - $4,999.00 0 Nov/19/18 Dec/19/23
Description: APPLIED MATERIALS 0010-30804 CRESCENT ASSY VD, DESICA 300MM *UNUSED, SEALED*
usedeqsales NEW - $6,511.18 1 Nov/19/18 May/01/20
Description: TDK Corporation TAS300 300mm Wafer Load Port Type E4A Lam 799-073807-450 New
athomemarket NEW - $198.29 25 Nov/19/18 Feb/18/21
Description: NEW Applied Materials/AMAT 0090-00617 Mainframe Interface 300mm PCB Board Assy.
mcclainsvein Used - $125.00 0 Nov/20/18 Nov/30/18
Description: AMAT Applied Materials 0010-29842 300mm ENDURA XP Belt Wrist Titanium 420712
alvin1462 NEW - $888.00 1 Nov/20/18 Feb/14/21
Description: Applied Materials AMAT 0020-70842 BLOCKER PLATE, SIH4, BP GB, 300MM PRODUC
asmtk NEW - $10,000.00 1 Nov/22/18 Jan/21/21
Description: Applied Materials 0041-05536 LINER,CHAMBER,Y203-OXALIC 300MM EMAX AMAT
grandbirdnet Used - $2,000.00 1 Nov/22/18 Oct/06/21
Description: AMAT 0040-50893 LLB DEGAS/PREHEAT REFLECTOR, 300MM ENDUR, USED
grandbirdnet NEW - $7,000.00 1 Nov/22/18 Mar/10/20
Description: AMAT 0190-11332 SPINDLE MOTOR 300MM LK REFLEXION D101B-93-1210-014, NEW
jexters-auctions NEW - $14.10 0 Nov/24/18 Dec/04/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
allforsale555 NEW - $99.00 0 Nov/25/18 Jul/29/21
Description: Applied materials 0021-00353 Interposer Elbow 300MM Producer, Robot
grandbirdnet Used - $7,800.00 1 Nov/26/18 Dec/10/20
Description: AMAT 0010-14612 ASSEMBLY 200MM CATHODE 300MM DPS2, USED
atxdeals4u Used - $2,200.00 0 Nov/28/18 Mar/22/19
Description: Applied Materials Heater Assy 300mm Endura Degas with TR AMAT 0010-19706
industry-outlet NEW - $29.99 0 Nov/28/18 Dec/28/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
ptb-sales Used - $800.00 0 Nov/28/18 Dec/28/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
vizvik16 Refurbished - $400.00 0 Nov/29/18 Oct/28/19
Description: AMAT Applied Materials 0021-25668 300mm HTESC Shutter Disk Rev.003
techshop7777 NEW - $649.99 0 Nov/30/18 Dec/30/18
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
riverstar777 NEW - $1,795.00 0 Nov/30/18 Dec/30/18
Description: AMAT 0020-54777 002 Shield Inner 300mm SIP, NEW
mcclainsvein Used - $125.00 0 Nov/30/18 Dec/10/18
Description: AMAT Applied Materials 0010-29842 300mm ENDURA XP Belt Wrist Titanium 420712
riverstar777 Used - $2,900.00 2 Dec/03/18 Dec/04/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
tanya.kub NEW - $1,270.00 0 Dec/04/18 Dec/10/18
Description: APPLIED MATERIALS 0240-86362 KIT ELECTRICAL INTEGRATION CONTOUR REFLEXION 300MM
falcor88 NEW - $9.99 0 Dec/04/18 Jan/03/19
Description: AMAT Applied Materials 0015-03411 BRECOFLEX 300mm Small Puller Pulley BP12X00049
jexters-auctions NEW - $14.10 0 Dec/04/18 Dec/14/18
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
svcstore Used - $143.99 0 Dec/05/18 Jan/04/19
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Scrap, for parts - $79.99 0 Dec/05/18 Jan/04/19
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
alamedaauction NEW - $995.00 0 Dec/06/18 Jan/05/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
tm_semi Used - $1,500.00 0 Dec/06/18 Jan/05/19
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
tm_semi Used - $425.00 0 Dec/06/18 Jan/05/19
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Dec/06/18 Jan/05/19
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
maxisemi1349 NEW - $6,950.00 0 Dec/09/18 Jan/08/19
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
eisale1535 Used - $5,400.00 0 Dec/09/18 Jun/09/20
Description: AMAT 0190-24854 MKS G4 PLUS 4-PORT UPA 300MM REFL, AMAT 0190-15840 Rev 2
tm_semi NEW - $750.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
tm_semi NEW - $750.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
j316gallery Used - $2,500.00 0 Dec/11/18 Jul/07/21
Description: 12938 APPLIED MATERIALS 300MM DEGAS HEATER W/TR 0010-19706
mcclainsvein Scrap, for parts - $210.00 0 Dec/11/18 Jan/10/19
Description: APPLIED MATERIALS 0010-22985 PEDESTAL PIB 300MM FDR SLTESC DYLYN AS AMAT 2015
mcclainsvein Used - $115.00 0 Dec/11/18 Dec/21/18
Description: AMAT Applied Materials 0010-29842 300mm ENDURA XP Belt Wrist Titanium 420712
zindchau15 Used - $7,700.00 1 Dec/13/18 Jan/28/19
Description: APPLIED MATERIALS 0040-91179 HEATER PURGE RING ASSY 300MM
j316gallery Used - $1,580.91 1 Dec/13/18 Nov/17/21
Description: 12399 LAM RESEARCH 300MM ETCH THERMAL CONTROL PLATE (PARTS) 839-017892-005
jexters-auctions NEW - $11.84 0 Dec/15/18 Jan/14/19
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
esoteric_specialties Used - $375.00 0 Dec/16/18 Jan/15/19
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
tm_semi NEW - $3,250.00 0 Dec/16/18 Jan/15/19
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, OEM, NEW
semiconductorsolution NEW - $4,500.00 0 Dec/17/18 Jan/16/19
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
maxisemi1349 Used - $1,750.00 1 Dec/17/18 May/15/21
Description: 16-289070-00 SHOWERHEAD 300MM WCVD
jsban11 Refurbished - $30,000.00 0 Dec/21/18 Mar/21/20
Description: 0010-59789 0040-82421 AMAT 300MM Producer Heater refurbished
maxisemi1349 NEW - $1,100.00 0 Dec/21/18 Jan/20/19
Description: 16-271392-00 SHWRHD,300MM,13 IN,3292 HOLES,CLASSIC,60
usedeqsales Used - $1,012.18 1 Dec/21/18 Oct/16/21
Description: Novellus Systems 16-270993-00 300mm Wafer O-Ring Sabre PEM Copper Exposed Spare
powersell007 Used - $4,499.00 1 Dec/23/18 Feb/23/22
Description: LAM RESEARCH 839-019080-611 300MM ESC ELECTROSTATIC CHUCK TUNABLE COUL RF
mcclainsvein Used - $100.00 0 Dec/24/18 Jan/03/19
Description: AMAT Applied Materials 0010-29842 300mm ENDURA XP Belt Wrist Titanium 420712
athomemarket NEW - $1,199.99 0 Dec/27/18 Mar/24/20
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
industry-outlet NEW - $29.99 0 Dec/28/18 Jan/27/19
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
phxinn NEW - $2,950.00 0 Dec/31/18 Jan/30/19
Description: Applied Materials 0010-27929 300mm Chiller, Siconiclean
techshop7777 NEW - $649.99 0 Jan/02/19 Feb/01/19
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
novusferro Used - $599.00 3 Jan/02/19 Jan/23/22
Description: Applied Materials AMAT 0040-79170 Carrier 300MM Titan N Zone
novusferro Used - $599.00 2 Jan/02/19 Dec/29/20
Description: Applied Materials 0021-26544 Blocker TEOS, Hi Dep Rate 300MM Producer
novusferro Used - $499.00 1 Jan/02/19 Feb/17/19
Description: Applied Materials 0020-75889 Rev.A KLC-354 Pivot 300mm Belt
novusferro Used - $2,499.00 1 Jan/03/19 Jan/23/22
Description: Applied Materials AMAT 0010-26898 300mm Enabler Cathode Centura Rev 001
novusferro Used - $199.00 2 Jan/03/19 Jan/25/24
Description: Applied Materials AMAT 0041-13114 Rev. 03 300mm Electrostatic Chuck ESC
zindchau15 Used - $100.00 0 Jan/03/19 Oct/23/21
Description: APPLIED MATERIALS 0090-06267 01 ASSY FULLVISION SWITCH POWER 300MM REF
ptb-sales Used - $800.00 0 Jan/04/19 Feb/03/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
alamedaauction NEW - $895.00 0 Jan/05/19 Feb/04/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
tm_semi Used - $425.00 0 Jan/05/19 Feb/04/19
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Jan/05/19 Feb/04/19
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
tm_semi Used - $175.00 0 Jan/05/19 Feb/04/19
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
zindchau15 Used - $950.00 0 Jan/06/19 Oct/23/21
Description: Applied Materials 0200-05710 ALUMINIUM NITRIDE COVER WAFER 300MM
dieseltdiguy Used - $87.99 0 Jan/06/19 Feb/05/19
Description: Applied Materials 300MM Filter Besc Assy 0010-02477
zindchau15 NEW - $250.00 0 Jan/07/19 Oct/23/21
Description: APPLIED MATERIALS 0140-18305 HARN ASSY, ISPC RESET ADAPTOR 300MM RE
zindchau15 NEW - $150.00 0 Jan/07/19 Oct/23/21
Description: APPLIED MATERIALS 0140-09416 PROX SENSOR IN POSITION REFLEXION 300MM PLATEN
powersell007 Used - $649.00 1 Jan/07/19 Sep/01/21
Description: APPLIED MATERIALS 0040-63742 PEDESTAL, 300MM PVD, MOTORIZED LIFT COOL AMAT
falcor88 NEW - $9.99 0 Jan/07/19 Feb/06/19
Description: AMAT Applied Materials 0015-03411 BRECOFLEX 300mm Small Puller Pulley BP12X00049
dieseltdiguy NEW - $54.33 1 Jan/07/19 Jul/05/22
Description: Applied Materials Chamber Blankoff duct Floor Exhaust Feol 300MM 0040-78135
sparesllc09 Used - $130.00 0 Jan/07/19 Apr/07/20
Description: 0050-62003 / ADAPTER 90DEG EL KF40-KF16 300MM IA /APPLIED MATERIALS
maxisemi1349 NEW - $6,950.00 0 Jan/08/19 Feb/07/19
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
gigabitpartsolutions Used - $1,540.00 0 Jan/08/19 Jan/21/19
Description: Sensor (AMAT) 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe CENTURA
svcstore Used - $122.99 0 Jan/08/19 Feb/07/19
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Scrap, for parts - $67.99 0 Jan/08/19 Feb/07/19
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
tm_semi NEW - $750.00 0 Jan/09/19 Feb/08/19
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
visionsemi Used - $750.00 1 Jan/09/19 Jan/09/21
Description: APPLIED MATERIALS AMAT PRECLEAN BELL JAR 0040-13509 NEW AMAT ENDURA 300MM
visionsemi NEW - $1,250.00 2 Jan/09/19 Feb/06/20
Description: APPLIED MATERIALS AMAT PRECLEAN BELL JAR 0040-13509 NEW AMAT ENDURA 300MM
tm_semi NEW - $750.00 0 Jan/09/19 Feb/08/19
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
j316gallery Used - $1,639.40 0 Jan/11/19 Oct/12/22
Description: 12698 APPLIED MATERIALS PYROMETER 2MM PROBE 300MM RADIANCE RTP PARTS 0010-18024
riverstar777 NEW - $1,795.00 0 Jan/11/19 Feb/10/19
Description: AMAT 0020-54777 002 Shield Inner 300mm SIP, NEW
mcclainsvein Scrap, for parts - $180.00 0 Jan/11/19 Feb/10/19
Description: APPLIED MATERIALS 0010-22985 PEDESTAL PIB 300MM FDR SLTESC DYLYN AS AMAT 2015
zindchau15 NEW - $70.00 0 Jan/13/19 Oct/23/21
Description: Applied Materials 0020-43681 SPACER SHORT LK REFLEXION 300MM LOT OF 12
zindchau15 Used - $850.00 3 Jan/13/19 Jan/16/19
Description: APPLIED MATERIALS 0040-64993, 0040-50851,0020-24098, 300MM SDS ARM 0040-70121
zindchau15 Used - $640.00 0 Jan/13/19 Oct/23/21
Description: NOVELLUS SPEED 300MM FOUP WAFER CARRIER 71-253695-00 LAM RESEARCH
j316gallery Used - $1,099.00 0 Jan/15/19 May/23/19
Description: 7793 APPLIED MATERIAL 300MM CENTURA VMICPCI-7325-259 800MHZ 512MB 0090-04405
jexters-auctions NEW - $11.84 0 Jan/15/19 Feb/14/19
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
tm_semi NEW - $3,250.00 0 Jan/15/19 Feb/14/19
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, OEM, NEW
esoteric_specialties Used - $375.00 0 Jan/16/19 Feb/15/19
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
semiconductorsolution NEW - $4,500.00 0 Jan/16/19 Feb/15/19
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
partskorea1 Used - $9,999.00 0 Jan/18/19 Jun/23/21
Description: AMAT 0040-07033 300mm Ceramic heater
comwaysind NEW - $497.00 0 Jan/19/19 Apr/19/19
Description: AMAT 0041-03661 wing, upper 300mm robot NON-PLT 0040-03661 Rev. 002 301319-4517
comwaysind NEW - $1,437.00 0 Jan/19/19 Apr/19/19
Description: New AMAT 0041-48889 Rev. 05 Bracket susceptor, motorized lift epi 300mm 301354-2
vizko2017 NEW - $70.00 1 Jan/19/19 Dec/19/21
Description: APPLIED MATERIALS 0021-83650 CURVE PUSHER TIP VDPM DESICA 300MM
zindchau15 NEW - $90.00 0 Jan/20/19 Oct/23/21
Description: Applied Materials 0242-33091 KIT CIRCUIT BREAKERS 15A GDB FEEDER 300MM ENDURA
zindchau15 NEW - $2,800.00 1 Jan/20/19 Mar/13/20
Description: NEW APPLIED MATERIALS 0010-34370 ASSY ACTUATOR SPD SLIT VALVE 300MM
zindchau15 NEW - $270.00 1 Jan/20/19 Sep/23/21
Description: APPLIED MATERIALS 0015-01573 SPRING 300MM PC XT 2-3011602-4316
ab-international NEW - $394.98 0 Jan/20/19 Jan/30/19
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
gigabitpartsolutions Used - $1,540.00 0 Jan/22/19 Jan/31/19
Description: Sensor AMAT 0010-06700 / 0010-18024 300mm Radiance Pyrometer RTP Probe CENTURA
powersell007 NEW - $799.00 1 Jan/22/19 Feb/11/19
Description: APPLIED MATERIALS 0040-55456 300MM QUARTZ BELLJAR PC XT/XTE AMAT *UNUSED*
powersell007 Used - $249.00 1 Jan/22/19 Nov/22/20
Description: APPLIED MATERIALS 0100-02126 PCB ASSY ENDURA 300MM AMAT
vizvik16 NEW - $70.00 1 Jan/23/19 Mar/22/22
Description: Applied Materials 0020-16725 POST ADO ALIGNMENT 300MM VANTAGE FI LOT OF 4
maxisemi1349 NEW - $1,100.00 0 Jan/24/19 Feb/23/19
Description: 16-271392-00 SHWRHD,300MM,13 IN,3292 HOLES,CLASSIC,60
usedeqsales Refurbished - $2,001.21 0 Jan/25/19 Jan/08/21
Description: AMAT Applied Materials 0020-48303 300mm Cleancoat Lower Shield Cu Refurbished
eisale1535 Refurbished - $15,589.00 0 Jan/26/19 Mar/27/19
Description: Applied Material 300mm AMAT ESC Assy 0010-33416 rev 5
zindchau15 Used - $2,100.00 0 Jan/27/19 Oct/23/21
Description: APPLIED MATERIALS 0040-46381 STD CENTURA CHAMBER 0010-26655 300MM
katiil3 NEW - $189.00 0 Jan/29/19 Oct/23/21
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 0190-14285 DIP-460-010
vizvik16 Used - $760.00 0 Jan/29/19 Oct/28/19
Description: Applied Materials 300mm cPCI MAINFRAME BACK PLANE 0110-00287, 0100-00287
asmtk Used - $1,000.00 0 Jan/31/19 Oct/01/21
Description: Applied Materials 0021-07052 300mm Endura Shield AMAT
asmtk Used - $450.00 0 Jan/31/19 Oct/01/21
Description: Applied Materials 0020-41709 SHIELD, DARK SPACE, ALPS AL, 300MM PVD AMAT
techshop7777 NEW - $649.99 0 Feb/01/19 Mar/03/19
Description: NEW AMAT 0010-17602 DETENT Assy TSDA W/ Home Sensor 300mm, 0020-24103 Cam Arm
industry-outlet NEW - $29.99 0 Jan/30/19 Mar/01/19
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
vizvik16 Used - $130.00 0 Feb/03/19 Dec/17/23
Description: APPLIED MATERIALS 0010-03674 CFW SUPPLY/RETURN DUAL IPUP 300MM CENTURA 4.0 AMAT
ptb-sales Used - $800.00 0 Feb/04/19 Mar/06/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
tm_semi Used - $425.00 0 Feb/04/19 Mar/06/19
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Feb/04/19 Mar/06/19
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
sparesllc09 Used - $1,358.45 0 Feb/04/19 Feb/05/19
Description: 0040-08137 / DPS2 ETCH CHAMBER 300MM ESC CATHODE RF INNER FILTER ASSEMBLY / AMAT
alamedaauction NEW - $895.00 0 Feb/04/19 Mar/06/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
tm_semi Used - $175.00 0 Feb/04/19 Mar/06/19
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi NEW - $750.00 0 Feb/08/19 Mar/10/19
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
getspares.com_sparesllc09 Used - $20,000.00 0 Feb/07/19 Aug/19/22
Description: 0246-08709 / DPS2 ETCH CHAMBER 300MM ESC CATHODE RF HEATED DPS2/ AMAT
getspares.com_sparesllc09 NEW - $2,500.26 0 Feb/07/19 Aug/19/22
Description: 0020-75122 / MEMBRANE, NEOPRENE, 300MM TITAN HEAD / APPLIED MATERIALS / AMAT
sparesllc09 Used - $888,889.00 1 Feb/07/19 Apr/10/19
Description: 0020-75465 / HOOP UNIVERSAL, 300MM ENDURA 2 P5 / APPLIED MATERIALS / AMAT
getspares.com_sparesllc09 NEW - $12,500.80 0 Feb/07/19 May/27/22
Description: 0041-48065 / CHAMBER, 300MM, DEGAS, POSITION C / APPLIED MATERIALS / AMAT
intek22 Used - $450.00 3 Feb/06/19 Jun/14/22
Description: AMAT Applied Materials 0200-04220 Outer Ring Quartz * for Centura EMAX CT 300mm
intek22 NEW - $450.00 2 Feb/06/19 Feb/19/19
Description: New AMAT Applied Materials 0040-04586 Shield Inner TXZ CVD 300mm * for ENDURA 2
alamedaauction NEW - $1,950.00 0 Feb/07/19 Mar/09/19
Description: Novellus 300mm WCVD Showerhead 16-289070-00 Shower Head Lam Research / SEALED
maxisemi1349 NEW - $6,950.00 0 Feb/07/19 Mar/09/19
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
tm_semi NEW - $750.00 0 Feb/08/19 Mar/10/19
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
csi.usa NEW - $499.99 1 Feb/08/19 Nov/16/20
Description: NEW AMAT APPLIED MATERIALS 0190-14995 CRYO PUMP SPECIFICATION GRIPPER 300mm
csi.usa Used - $499.99 1 Feb/08/19 Mar/10/19
Description: AMAT APPLIED MATERIALS 0041-03276 GAS FEED ASSY, TOP CLEAN, Aln 300mm
csi.usa NEW - $1,499.99 1 Feb/08/19 Oct/14/23
Description: AMAT APPLIED MATERIALS 0270-00735 ROBOT CALIBRATION TOOL 300MM
getspares.com_sparesllc09 NEW - $950.26 0 Feb/08/19 Aug/19/22
Description: 0040-88004 / BELLOWS PEDESTAL LIFT 300MM CVD ENDURA II / APPLIED MATERIALS
csi.usa NEW - $499.99 1 Feb/08/19 Jul/22/21
Description: NEW AMAT APPLIED MATERIALS 0021-26969 SHUTTER DISK A101 AL A RC SPRAY 300mm
csi.usa NEW - $424.99 1 Feb/08/19 Aug/21/19
Description: NEW AMAT APPLIED MATERIALS 0020-61186 COVER HEATER A101 300mm
csi.usa Used - $2,499.99 1 Feb/08/19 Apr/26/21
Description: AMAT APPLIED MATERIALS 0040-48767 CATHODE BASE E-CHUCK 300mm ULTIMA X
csi.usa NEW - $1,499.99 1 Feb/08/19 Nov/15/21
Description: NEW AMAT APPLIED MATERIALS 9010-02212 UPPER 300mm GRIPPER
svcstore Used - $104.99 0 Feb/08/19 Mar/10/19
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Scrap, for parts - $57.99 0 Feb/08/19 Mar/10/19
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
mcclainsvein Scrap, for parts - $125.00 0 Feb/10/19 Mar/12/19
Description: APPLIED MATERIALS 0010-22985 PEDESTAL PIB 300MM FDR SLTESC DYLYN AS AMAT 2015
dr.dantom Used - $449.99 0 Feb/12/19 Mar/30/23
Description: Applied Materials 0021-40241 633005716 Cover Ring 300mm CL
dr.dantom Used - $450.00 1 Feb/14/19 Apr/13/21
Description: AMAT / APPLIED MATERIALS 0021-22177 REV 007 XDK COVER RING 300MM REF
dr.dantom Used - $400.00 2 Feb/14/19 Dec/12/20
Description: APPLIED MATERIALS 0020-08299 CLAMP LNNER SHIELD 300MM REF
dr.dantom Used - $1,800.00 2 Feb/14/19 Dec/12/20
Description: AMAT 0020-02344 SHIELD LOWER 300MM SIP
dr.dantom Used - $450.00 0 Feb/14/19 Dec/21/20
Description: APPLIED MATERIALS 0040-55456 BELL JAR 300MM PC XT/XTE
adelrick123 NEW - $235.00 0 Feb/14/19 Sep/01/22
Description: AMAT 0020-70511 Bracket Pin Guide 300MM
adelrick123 NEW - $425.00 0 Feb/14/19 Sep/01/22
Description: AMAT 0020-99928 Sensor Plunger 300mm Titan Contour
jexters-auctions NEW - $11.84 1 Feb/14/19 Mar/06/19
Description: Novellus System 300MM Calibration Wafer PN: 71-254069-00
visionsemi Used - $4,000.00 0 Feb/14/19 Oct/04/23
Description: APPLIED MATERIALS AMAT HART CHAMBER LINER 0022-11420 300MM
powersell007 NEW - $799.00 0 Feb/14/19 Dec/25/20
Description: APPLIED MATERIALS 0040-55456 300MM QUARTZ BELLJAR PC XT/XTE AMAT *UNUSED*
tm_semi NEW - $3,250.00 0 Feb/14/19 Mar/17/19
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, OEM, NEW
esoteric_specialties Used - $375.00 0 Feb/15/19 Mar/17/19
Description: AMAT 0040-88004 Rev. 004 Bellows, Pedestal Lift, 300mm CVD ENDURA KSM-2810-8764
semiconductorsolution NEW - $4,500.00 0 Feb/15/19 Mar/17/19
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
vizvik16 NEW - $90.00 1 Feb/18/19 Dec/06/23
Description: APPLIED MATERIALS 0010-46718 ASSY GAS PLUG 300MM PVD
getspares.com_sparesllc09 NEW - $1,900.00 0 Feb/18/19 Feb/16/22
Description: 0020-75564 / CHAMBER LID, 300MM DEGAS / APPLIED MATERIALS AMAT
semiconusa Refurbished - $40,000.00 0 Feb/18/19 Oct/18/19
Description: AMAT VHP 200mm 300mm Robot ASSY, AMAT 0040-76197
getspares.com_sparesllc09 Used - $1,850.00 0 Feb/20/19 Aug/30/22
Description: 0200-01427 / ISOLATOR, CERAMIC, CVD LOW K, 300MM PROD / APPLIED MATERIALS AMAT
riverstar777 NEW - $1,795.00 0 Feb/21/19 Mar/23/19
Description: AMAT 0020-54777 002 Shield Inner 300mm SIP, NEW
semiconusa NEW - $42,000.00 0 Feb/21/19 Jan/21/21
Description: Applied Materials AMAT 300mm VHP Robot, AMAT 0040-75989, Type: SSB014FN517
alamedaauction NEW - $895.00 0 Mar/07/19 Apr/06/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
maxisemi1349 NEW - $6,950.00 0 Mar/09/19 Apr/08/19
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
alamedaauction NEW - $1,950.00 0 Mar/09/19 Apr/08/19
Description: Novellus 300mm WCVD Showerhead 16-289070-00 Shower Head Lam Research / SEALED
svcstore Scrap, for parts - $49.99 0 Mar/11/19 Apr/10/19
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
maxisemi1349 NEW - $275.00 2 Mar/13/19 Mar/30/20
Description: 03-106078-00 ASSY,TC,300MM,SPRING LOADED
svcstore Used - $89.99 0 Mar/11/19 Apr/10/19
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
tm_semi NEW - $3,250.00 0 Mar/17/19 Mar/18/19
Description: AMAT 0200-01080 DEP RING, PVD PROCESS, 300MM ESC, OEM, NEW
powersell007 NEW - $1,099.00 1 Mar/18/19 Feb/18/21
Description: APPLIED MATERIALS 0200-36399 SHIELD, TOP CERAMIC, TXZ 300MM AMAT w CERT *UNUSED*
powersell007 Used - $899.00 1 Mar/18/19 Jan/17/23
Description: APPLIED MATERIALS 0200-07970 GAS MANIFOLD, LID, CERAMIC, MIXING, 300MM CVD-Co
semiconductorsolution NEW - $4,500.00 0 Mar/18/19 Apr/17/19
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
odysseystudios NEW - $1,500.00 0 Mar/18/19 Jun/11/23
Description: Applied Materials 0200-01838 Deposition Ring 300mm SIP TA
powersell007 Used - $999.00 1 Mar/19/19 Jun/19/23
Description: NOVELLUS 02-306362-00 300MM PEDESTAL CYLINDER, ANIMATICS SM2315D-BRK SMART MOTOR
powersell007 Used - $2,499.00 0 Mar/19/19 Dec/19/23
Description: APPLIED MATERIALS 0041-11282 ALUMINUM, PUMPING PLATE, ALD-TIN, 300MM, BEADBLAST
asmtk NEW - $3,000.00 0 Mar/21/19 Oct/01/21
Description: Applied Materials 0040-97349 PORT TERMINATOR ASSEMBLY 300MM P3I AMAT
asmtk NEW - $200.00 0 Mar/21/19 Oct/01/21
Description: Applied Materials 0020-07634 CLAMP SIDE COIL 300MM ULTIMA AMAT
asmtk NEW - $250.00 0 Mar/21/19 Oct/01/21
Description: Applied Materials 0020-29527 CUP, OUTER, .125 THK EB COIL, 300MM SIP AMAT
getspares.com_sparesllc09 Used - $50,000.00 0 Mar/21/19 Nov/17/21
Description: 0010-43036 / DPS II BMA 300MM CHAMBER / APPLIED MATERIALS AMAT
ptb-sales Used - $800.00 0 Mar/22/19 Oct/25/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
usedeqsales Used - $3,576.75 1 Mar/22/19 Jun/30/21
Description: AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC New Surplus
gemrkim1103 Used - $399.00 0 Mar/27/19 Jun/15/22
Description: Lam SYSTEM INTERLOCK 300MM ASSY. 810-800031-300 REV B /Free Expedited Shipping
asmtk NEW - $300.00 0 Mar/28/19 Oct/01/21
Description: Applied Materials 0020-54763 COVER LCF FIBER OPTICS ENDURA2 300MM AMAT
asmtk NEW - $500.00 0 Mar/28/19 Oct/01/21
Description: Applied Materials 0040-13881 DOOR,BACKING 300MM SLITVALVE PROCESS AL AMAT
getspares.com_sparesllc09 Used - $560.00 0 Mar/28/19 Nov/21/22
Description: 0100-03320 / PCBA, HDPCVD 300MM AP GAS PANEL DISTRIBUTION / APPLIED MATERIALS
sparesllc09 Refurbished - $1,600.00 0 Mar/28/19 Mar/04/20
Description: 0021-22064 / COVER RING, TTN, 300MM / APPLIED MATERIALS AMAT
riverstar777 NEW - $2,000.00 0 Mar/29/19 Oct/12/20
Description: AMAT 0020-54777 002 Shield Inner 300mm SIP, NEW
getspares.com_sparesllc09 Used - $2,500.99 0 Mar/29/19 Aug/17/21
Description: 0010-18024 / PYROMETER, 2MM PROBE, 300MM RADIANCE RTP / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $500.99 0 Mar/29/19 Nov/22/22
Description: 0020-28514 / BRACKET, PILLOW BLOCK 300MM PVD / APPLIED MATERIALS AMAT
wilus_v3zx7z Used - $3,500.00 1 Mar/31/19 Feb/16/21
Description: 0040-50657 APPLIED MATERIALS VHP 300MM ROBOT ARM COMPLETE SET
getspares.com_sparesllc09 Used - $1,423.64 0 Apr/01/19 Nov/30/22
Description: 0190-07917 / FLOW METER, 0.4 GPM, 300MM TXZ / APPLIED MATERIALS AMAT
nps NEW - $39.99 0 Apr/03/19 Apr/10/19
Description: Applied Materials 0190-25970 Heater Ampoule Side Strap 1.2L 300mm Metal ALD
alamedaauction NEW - $895.00 2 Apr/06/19 May/01/19
Description: NEW Novellus C3-Vector 300mm Spindle 02-130617-00 Rev-G & Motor Trust Automation
tm_semi Used - $175.00 2 Apr/05/19 Mar/19/21
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
getspares.com_sparesllc09 Used - $930.00 0 Apr/05/19 Dec/15/22
Description: 0021-22177 / COVER RING, ADV. 101 300MM PVD / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $5,513.88 1 Apr/05/19 Aug/18/21
Description: 0041-12156 / REFLECTOR PLATE INJECT 300MM RADIANCE PLUS / APPLIED MATERIALS AMAT
sparesllc09 Used - $13,004.70 0 Apr/05/19 Jul/16/19
Description: 0190-14175 / STATOR, MAG LEV, WRLD 300MM RADIAN / APPLIED MATERIALS AMAT
tm_semi Used - $425.00 0 Apr/05/19 Oct/07/21
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
getspares.com_sparesllc09 Used - $2,500.88 0 Apr/03/19 Dec/12/22
Description: 0190-26721 / AC DIST BOX ETCH 300MM DPN CHAMBER / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 NEW - $950.62 0 Apr/03/19 Dec/12/22
Description: 0050-90526 / MANIFOLD DUAL IHC ASSY 300MM HDPCVD / APPLIED MATERIALS AMAT
nps NEW - $39.99 0 Apr/10/19 Apr/17/19
Description: Applied Materials 0190-25970 Heater Ampoule Side Strap 1.2L 300mm Metal ALD
getspares.com_sparesllc09 Used - $2,300.99 0 Apr/10/19 Dec/21/22
Description: 0200-07442 / INSULATOR, 300MM SOURCE ADAPTOR, CERAMIC / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $3,350.82 0 Apr/10/19 Dec/21/22
Description: 0190-76276 / ASSY. FEEDTHRU 300MM SOURCE / APPLIED MATERIALS AMAT / DEUBLIN
cosplity Used - $7,500.00 0 Apr/09/19 Sep/09/22
Description: AMAT 0010-26979 TSDA ASSY, STANDARD MANIFOLD, 300MM REFLEXION
tm_semi NEW - $750.00 0 Apr/09/19 Oct/07/21
Description: AMAT 0200-01807 LID ISOLATOR BOTTOM 300MM,TIC14, OEM NEW
alamedaauction NEW - $950.00 1 Apr/09/19 Jun/13/20
Description: Novellus 300mm WCVD Showerhead 16-289070-00 Shower Head Lam Research / SEALED
tm_semi NEW - $750.00 0 Apr/09/19 Oct/07/21
Description: AMAT 0200-01746 LID ISOLATOR BOTTOM 300MM TIC14, OEM NEW
getspares.com_sparesllc09 NEW - $12,000.80 0 Apr/08/19 Dec/20/22
Description: 0270-02530 / ASSY 300MM TOOL VIEW LID 0040-02290 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $2,750.99 1 Apr/08/19 Nov/28/22
Description: 0010-18024 0150-00743 / PYROMETER, 2MM PROBE, 300MM RADIANCE RTP / AMAT
eisale1535 Used - $4,500.00 1 Apr/08/19 Apr/09/19
Description: NSK SERVO DRIVER, ELA-B014CG2-04, AMAT 0190-25916 Rev 001 500C, for 300MM Robot
maxisemi1349 Used - $5,000.00 3 Apr/08/19 Jun/18/24
Description: 02-162452-00 ASSY, PED, STA 2 300MM VECTOR
eisale1535 Refurbished - $15,589.00 0 Apr/07/19 Apr/17/20
Description: Applied Material 300mm AMAT ESC Assy 0010-33416 rev 5
athomemarket NEW - $198.29 9 Apr/17/19 Jul/15/22
Description: NEW Applied Materials/AMAT 0090-00618 Loadlock Interface 300mm PCB Board Assy.
powersell007 Used - $799.00 0 Apr/18/19 Dec/18/23
Description: APPLIED MATERIALS 0022-12502 BLOCKER TEOS HI DEP RATE 300MM PRODUCER AMAT
powersell007 Used - $799.00 0 Apr/18/19 Dec/18/23
Description: APPLIED MATERIALS 0022-16561 BLOCKER PLATE, SiH4, BP GB, 300MM PRODUCER SE AMAT
spsglobal Used - $200.00 1 Apr/12/19 Jun/16/21
Description: 344-0401// AMAT APPLIED 0021-20848 NUT, INSERT INSULATOR 300MM IM NEW
svcstore Scrap, for parts - $49.99 0 Apr/11/19 Sep/16/19
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
svcstore Used - $76.99 0 Apr/11/19 Sep/16/19
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
sparesllc09 NEW - $65,000.00 0 Apr/11/19 Feb/20/20
Description: 0010-23247 / VHP ROBOT DIRECT DRIVE 300MM ENDURA APPLIED MATERIALS AMAT
usedeqsales Used - $4,002.19 1 Apr/11/19 Feb/04/21
Description: AMAT Applied Materials 0040-81156 Chamber Upper Liner 300mm DPS2 Cleaned Used
maxisemi1349 NEW - $1,100.00 2 Apr/17/19 Jan/07/21
Description: 16-271392-00 SHWRHD,300MM,13 IN,3292 HOLES,CLASSIC,60
getspares.com_sparesllc09 Used - $16,500.80 0 Apr/22/19 Mar/25/22
Description: 0010-23677 / 300MM MCA SNNF LOW TEMP ESC 0010-23677-002 /APPLIED MATERIALS AMAT
powersell007 NEW - $899.00 0 Apr/22/19 Dec/25/20
Description: APPLIED MATERIALS 0040-55456 BELLJAR 21 OD 300MM PC XT/XTE AMAT *UNUSED, SEALED*
j316gallery Used - $403.24 0 Apr/22/19 Jul/22/21
Description: 7683 APPLIED MATERIALS SHIELD OUTER, TXZ CVD 300MM 0021-07984
athomemarket NEW - $139.99 0 Apr/22/19 Jul/20/20
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing Hose Assy. Endura 300mm
j316gallery Used - $2,000.00 0 Apr/23/19 Aug/08/19
Description: C0523 APPLIED MATERIALS PYROMETER, 2MM PROBE, 300MM RADIANCE RTP 0150-00743
athomemarket Used - $799.98 0 Apr/24/19 Jul/22/20
Description: AMAT/Applied Materials 0041-26723 Rev. 02 Bonded Assembly CESC 300mm ESC
cosplity NEW - $2,300.00 0 Apr/25/19 Aug/25/22
Description: AMAT 0041-03706 CARRIER 300MM TITAN CONTOUR
j316gallery Used - $6,481.25 0 May/02/19 Jun/15/20
Description: 14209 APPLIED MATERIALS ASSEMBLY, GROUND SHIELD, 300MM ULTIMA X 0010-26314
j316gallery Used - $4,346.89 0 May/02/19 Dec/13/22
Description: 14210 APPLIED MATERIALS HEATER PLATE, 300MM W/ 0040-45515 0035-00110
starbit11 Used - $9,900.00 0 May/02/19 Jun/23/19
Description: AMAT 0010-51269 03 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL SOLD AS-IS
starbit11 Used - $13,500.00 0 May/02/19 Jun/23/19
Description: APPLIED MATERIALS 0010-24076 003 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL AMAT
starbit11 Used - $13,500.00 0 May/02/19 Jun/23/19
Description: APPLIED MATERIALS 0010-24076 006 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL AMAT
cosplity NEW - $2,200.00 3 May/02/19 Dec/03/19
Description: AMAT 0010-17633 ASSY, ONE PCB, MOTOR TORQUE MONITORING, REFLEXION 300MM
nps NEW - $19.99 1 May/01/19 May/08/19
Description: Applied Materials 0190-25970 Heater Ampoule Side Strap 1.2L 300mm Metal ALD
comwaysind Used - $1,999.00 0 Apr/30/19 Aug/30/24
Description: Applied Materials 0040-03661 Rev. 002 Wing, Upper 300MM Robot Non-Plt AMAT SMT
comwaysind Used - $286.35 0 Apr/30/19 Aug/30/24
Description: AMAT 0140-24168 Harness assy adpter sys mdl to GP epi 300mm
comwaysind Used - $1,652.55 0 Apr/30/19 Aug/30/24
Description: AMAT 0041-48889 Rev. 05 Bracket susceptor, motorized lift epi 300mm 301354-2 New
atxdeals4u Used - $299.99 0 Apr/30/19 Oct/26/21
Description: AMAT 0040-92503 Rev 09 Small RF 300MM FEOL Electrode
asmtk NEW - $300.00 0 Apr/30/19 Oct/01/21
Description: Applied Materials 0040-18174 BLOCK,WATER FEED,CATHODE,ULTIMA 300MM HD AMAT
sfwish NEW - $2,490.00 0 Apr/30/19 Sep/30/19
Description: NEW Applied Material/AMAT 0040-38975 Isolator Teflon with Support Ring 300MM
getspares.com_sparesllc09 Used - $9,550.82 0 Apr/29/19 Mar/27/23
Description: 0041-05925 / ESC , CERAMIC PLATEN 300MM / APPLIED MATERIALS AMAT
partskorea1 Used - $1,999.00 1 Apr/29/19 Aug/21/19
Description: AMAT 300MM TxZ DLI HEATER CONTROLLER P/N 0090-03582 REV 001
hommertechnology Used - $900.00 0 Apr/29/19 Dec/28/22
Description: Used AMAT 0010-17814 ASSY Endpoint Detector WXZ 300mm For Sale
ab-international NEW - $350.00 0 Apr/27/19 May/07/19
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
getspares.com_sparesllc09 NEW - $3,580.82 0 Apr/26/19 Feb/20/23
Description: 02-381649-02 / ASSY,PEM 2,300MM,POR,SR,SBR-XT(SABRE NON 3D) / LAM / NOVELLUS
zindchau15 Used - $1,200.00 0 May/05/19 Oct/23/21
Description: APPLIED MATERIALS 0041-60394 LIFT HOOP RING DEGAS CH 300MM ENDURA
zindchau15 Used - $770.00 0 May/05/19 Sep/13/20
Description: AMAT APPLIED MATERIALS 0040-08494 WATER BOX TxZ 300MM
zindchau15 Used - $300.00 0 May/05/19 Oct/23/21
Description: AMAT APPLIED MATERIALS 0041-78374 DUAL FT MANIFOLD METAL ALD 300MM CLEANED
ksbsales3 NEW - $169.99 0 May/09/19 Oct/16/21
Description: APPLIED MATERIALS 300mm Mixer Outlet Manifold 0041-80268
lpriverhouse-2 NEW - $399.00 0 May/09/19 May/16/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
nps NEW - $19.99 1 May/08/19 May/15/19
Description: Applied Materials 0190-25970 Heater Ampoule Side Strap 1.2L 300mm Metal ALD
zindchau15 Used - $1,350.00 0 May/08/19 Oct/23/21
Description: SMC US13394 APPLIED MATERIALS 3020-00077 SLIT VALVE 300MM 0010-26749
atxdeals4u Used - $3,000.00 0 May/07/19 Oct/28/19
Description: AMAT / MKS 0190-24854 G4 Plus 4-Port UPA 300MM REFL Rev 3.0
atxdeals4u Used - $3,000.00 0 May/07/19 May/07/19
Description: AMAT / MKS 0190-24854 G4 Plus 4-Port UPA 300MM REFL Rev 3.0 A
systasemi Used - $2,000.00 0 May/07/19 Jul/30/23
Description: AMAT 0190-23441, Board, INTERLOCK MODULE ENABLER 300mm, working
getspares.com_sparesllc09 Used - $3,612.66 0 May/13/19 Mar/28/23
Description: 0010-16575 / ASSEMBLY SOURCE FILTER MODULE 300MM ENAB / APPLIED MATERIALS AMAT
adelrick123 NEW - $290.00 0 May/13/19 Mar/16/22
Description: Wafer (AMAT) 0200-01942 LIFT PIN TIP, MAG LIFT, GROUND, 300MM RA
semiconductorsolution NEW - $4,500.00 0 May/13/19 Sep/23/21
Description: AMAT, Applied Materials, 0040-41776, Face Plate 300mm, Producer TEOS
adelrick123 NEW - $650.00 3 May/13/19 Nov/06/22
Description: AMAT 0200-03201 Pin Wafer Lift 300mm
adelrick123 NEW - $150.00 2 May/13/19 Jan/03/20
Description: AMAT 0020-46828 Lift Pin Grounded 300mm
adelrick123 NEW - $295.00 1 May/13/19 Mar/03/21
Description: AMAT 0140-03969 Cable LCWE Arm Rotation Sensors,300MM
atxdeals4u Scrap, for parts - $1,500.00 0 May/14/19 Aug/15/19
Description: Applied Materials AMAT 0040-86015 LID PLATE AFEOL CLEAN CHMBR 300MM END
nps NEW - $19.99 1 May/15/19 May/22/19
Description: Applied Materials 0190-25970 Heater Ampoule Side Strap 1.2L 300mm Metal ALD
lpriverhouse-2 NEW - $369.47 0 May/16/19 May/23/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
asmtk NEW - $350.00 0 May/21/19 Oct/01/21
Description: Applied Materials 0020-64355 ESC,INTERFACE 300MM AMAT
asmtk NEW - $200.00 0 May/21/19 Oct/01/21
Description: Applied Materials 0021-19033 shim,50MM(019)SST 300MM PVD AMAT
asmtk NEW - $60.00 0 May/21/19 Oct/01/21
Description: Applied Materials 0021-55674 LOT OF 20 FRONT PANEL,CH INTKS 300MM AMAT
asmtk NEW - $100.00 0 May/21/19 Oct/01/21
Description: Applied Materials 0040-07054 BASIN,CHAMBER 300MM HDP -CVD,ULTIMA AMAT
asmtk NEW - $300.00 0 May/21/19 Oct/01/21
Description: Applied Materials 0040-80301 BLOCK SUPPORT BARATRON 300MM AMAT
getspares.com_sparesllc09 Used - $1,985.82 0 May/22/19 Mar/27/23
Description: 0270-04243 / CLEAR WAFER 302MM ROBOT PIN CALIBRATION 300MM 5.3FI / AMAT
techshop7777 NEW - $969.99 1 May/20/19 May/23/19
Description: NEW AMAT 0010-28024 Applied Materials Head Sweep Assembly 300mm CMP LK
novusferro NEW - $599.00 1 May/29/19 Jun/30/20
Description: Applied Materials AMAT 0010-24792 Assembly SPD Slit Valve VITON Process 300MM
novusferro Used - $299.00 0 May/29/19 Aug/01/22
Description: Applied Materials AMAT 0041-36356 Carrier, 300MM Titan, Edge VR Univ
powersell007 Used - $5,499.00 1 May/28/19 Nov/28/21
Description: LAM RESEARCH 839-019090-374 300MM ESC TUNABLE COUL,CNTR RF FOR LAM 2300
ab-international NEW - $345.80 0 May/24/19 Jun/03/19
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
lpriverhouse-2 NEW - $369.47 0 May/23/19 May/30/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
nps NEW - $19.99 1 May/23/19 May/30/19
Description: Applied Materials 0190-25970 Heater Ampoule Side Strap 1.2L 300mm Metal ALD
tombarbpatch NEW - $1,250.00 1 May/30/19 Sep/05/19
Description: AMAT 0090-04405 300mm Centura VMIC PCB 800MHZ 512MB SDRAM **NEW**
lpriverhouse-2 NEW - $329.47 0 May/30/19 Jun/06/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
getspares.com_sparesllc09 Used - $2,100.97 0 May/29/19 May/08/23
Description: 0040-05400 / FRAME, SOURCE FLOWTHRU FEEDTHRU 300MM PV / AMAT
getspares.com_sparesllc09 Used - $2,100.97 0 May/29/19 May/08/23
Description: 0040-05943 / ADAPTER, SHORT HI COND 300MM PVD / AMAT
bt_store1 Used - $1,500.00 0 Jun/03/19 Jul/15/21
Description: AMAT 0040-03489 MANIFOLD OUTPUT RIGHT 300MM PRODUCER
novusferro Used - $549.00 1 May/31/19 Jul/08/24
Description: Applied Materials AMAT 0041-11282 Pumping Plate 300MM ALD- TIN
novusferro Used - $399.00 0 May/31/19 Dec/29/20
Description: Applied Materials AMAT 0040-63742 Pedestal, 300MM PVD, Motorized Lift
getspares.com_sparesllc09 Used - $10,001.00 0 Jun/05/19 May/22/23
Description: 0010-41342, 0040-04233 / LCM 300MM TXZ RP CHAMBER /AMAT
sparesllc09 Used - $5,500.97 1 Jun/04/19 Aug/29/19
Description: 0190-14786 / KAWASAKI 3NS001S-L003 A3 300MM FI PREALIGNER / APPLIED MATERIALS
powersell007 NEW - $2,499.00 1 Jun/04/19 Sep/23/19
Description: APPLIED MATERIALS 0020-84409 COVER ROTATION SIMPLIFIED 300MM RADIANCE AMAT
lpriverhouse-2 NEW - $329.47 0 Jun/06/19 Jun/13/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
bt_store22 Used - $26,100.00 0 Jun/06/19 Jun/06/20
Description: AMAT 0010-27432 300MM A101 Heater
vizvik16 Used - $2,000.00 0 Jun/05/19 Aug/04/19
Description: TDK Corporation TAS300 300mm Wafer Load Port Type F1 AMAT 0190-17837
getspares.com_sparesllc09 Used - $15,000.30 0 Jun/10/19 Apr/17/23
Description: 15-046348-01 / CVD, DOME, LOW POWER, 300MM / NOVELLUS SYSTEMS INC
bt_store1 Used - $6,800.00 0 Jun/10/19 Jul/15/21
Description: AMAT 0190-01769 CABLE ASSY COAXIAL 75 FT 300MM PRECLEAN
surplustechmart Used - $269.10 0 Jun/13/19 Dec/08/21
Description: AMAT APPLIED MATERIALS 0020-61186 COVER PLATE A101 HEATER 300mm PVD
surplustechmart Used - $202.50 1 Jun/13/19 Jan/29/21
Description: AMAT P/N 0010-21740 REV.04 ASSY TC AMP 300MM
surplustechmart Used - $359.10 1 Jun/13/19 Sep/10/20
Description: MKS CDN391R Digital I/O Card AS01391-21 AMAT 0190-24115 REV 001,300MM ENDURA F28
surplustechmart Used - $359.10 0 Jun/13/19 Dec/08/21
Description: APPLIED MATERIALS PEDESTAL TITANIUM 300MM PCII 0020-19258
surplustechmart NEW - $225.00 0 Jun/13/19 Dec/15/20
Description: Applied Materials 0190-13312 AMAT CABLE ASSY 300MM CHM ION GAUGE (3FT)
liquiditech Used - $153.00 0 Jun/13/19 Dec/08/21
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-02916
atxdeals4u Used - $1,500.00 0 Jun/13/19 Aug/15/19
Description: AMAT Applied Materials 0040-86514 Shield, Lower 300MM PCXT/RPC+
usedeqsales Used - $2,506.19 1 Jun/13/19 Oct/10/22
Description: AMAT Applied Materials 0041-05925 300mm Ceramic Platen DLC ESC Working Spare
getspares.com_sparesllc09 NEW - $5,100.97 1 Jun/13/19 Feb/23/22
Description: 0040-82516 / SGD LOWER SHOWER HEAD,HEA,300MM EMAX/ APPLIED MATERIALS
lpriverhouse-2 NEW - $299.47 0 Jun/13/19 Jun/20/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
getspares.com_sparesllc09 NEW - $8,700.97 0 Jun/14/19 Jun/06/23
Description: 0040-41940 /CHAMBER WELDMENT, 300MM PVD / AMAT
asmtk Used - $20,000.00 0 Jun/18/19 Oct/01/21
Description: APPLIED MATERIALS 0010-23840 300mm MAGNET ASSY AMAT
jake_russell Used - $990.00 0 Jun/17/19 Jan/13/21
Description: [AMAT] 0100-01398 REV, PCB ASSY, HDP 300MM REMOTE DIST. BD. (OLD P/N 0100-00444)
getspares.com_sparesllc09 Used - $2,950.97 0 Jun/19/19 Oct/19/22
Description: 0190-24854 /MKS REV1.8 G4 PLUS 4-PORT UPA 300MM REFL /APPLIED MATERIALS
zindchau15 NEW - $540.00 0 Jun/19/19 Oct/23/21
Description: APPLIED MATERIALS 0021-52192 BLOCKER DD4927 SACVD 300MM PRODUCER
novusferro Scrap, for parts - $9,999.00 1 Jun/18/19 Oct/15/19
Description: Applied Materials AMAT 00247-03073 0010-32888 300MM Contour OX (F10W)
j316gallery Used - $3,650.24 1 Jun/20/19 Jun/15/20
Description: 5296 APPLIED MATERIALS ENDURA 300MM ETCHER DESKTOP COMPUTER 0190-12247
lpriverhouse-2 NEW - $299.47 0 Jun/20/19 Jun/27/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
ab-international NEW - $345.80 0 Jun/24/19 Jul/04/19
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
j316gallery Used - $1,055.67 1 Jun/24/19 Jun/07/22
Description: 13358 APPLIED MATERIALS XP ROBOT PIVOT, 300MM BELT TYPE W/O COVER 0020-75889
autoquip7 Used - $2,250.00 0 Jun/21/19 Jul/25/22
Description: 0021-51762, AMAT, ALUMINIUM BLOCKER PLATE, 300MM
sparesllc09 Used - $6,500.82 0 Jun/25/19 Apr/07/20
Description: 0015-04420 / 420355 ENDURA 300MM COOLEND CHILLER HEAT EXCHANGE DIAKIN / AMAT
lpriverhouse-2 NEW - $299.47 0 Jun/27/19 Jul/04/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
getspares.com_sparesllc09 Used - $2,950.97 0 Jun/28/19 Jul/24/23
Description: 0021-04870 / PEDESTAL, A101, 300MM / APPLIED MATERIALS
asmtk Used - $15,000.00 0 Jun/28/19 Oct/01/21
Description: APPLIED MATERIALS 0010-30321 EPI DIVISIONAL 300MM ROTATION AMAT
katiil3 Used - $199.00 0 Jul/01/19 Oct/23/21
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 2 0190-14286 lot of 2
zindchau15 Used - $750.00 0 Jul/01/19 Oct/23/21
Description: AMAT Applied Materials 0040-06361 REV 008, ISM SWLL 300mm Bellows Assembly
katiil3 Used - $89.00 0 Jul/01/19 Oct/23/21
Description: Applied materials 0190-14285 EPI 300MM Interlock Module DIP-428-015
cosplity NEW - $4,500.00 2 Jun/30/19 Mar/28/22
Description: AMAT 0190-31157 GT SIDE A 300MM
cosplity NEW - $4,500.00 1 Jun/30/19 Jul/05/20
Description: AMAT 0190-31158 GT SIDE B 300MM
j316gallery NEW - $294.97 0 Jun/30/19 Jun/22/22
Description: 13648 APPLIED MATERIALS CBL ASSY, AC POWER, IPUP, 300MM ENDURA (NEW) 0190-16442
katiil3 Used - $299.00 1 Jun/30/19 Sep/30/20
Description: Applied materials 0190-37669 MKA AS01500-4-1E EPI 300mm Interlock module
dom0808 Used - $187.00 0 Jul/02/19 Feb/11/22
Description: Lam Research 300MM, Heater Filter 810-802969-002
knkk2 NEW - $1,500.00 1 Jul/02/19 Jan/04/21
Description: AMAT 0010-29842 Assembly, 300mm, DBR, High Temp Belt Wrist, Titanium
novusferro Used - $5,499.00 2 Jul/02/19 Feb/14/23
Description: Applied Materials AMAT 0190-15066 300mm MAX emissometer Low Emissivity Wafer
novusferro Scrap, for parts - $1,999.00 2 Jul/02/19 Apr/26/21
Description: Applied Materials AMAT 0010-19706 Heater Assy, 300MM Endura Degas
j316gallery Used - $133.02 0 Jul/02/19 May/24/23
Description: 13661 APPLIED MATERIALS HARNESS ASSY 300MM PWR SP, CABLE, 5FT 0140-15468
j316gallery Used - $3,725.91 1 Jul/02/19 Aug/02/22
Description: 13928 APPLIED MATERIALS 300MM HART CHAMBER CONTROLLER 0010-29958
zindchau15 NEW - $50.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 0021-33903 BRACKET 300MM DTESC GUIDE PIN, LOT OF 3
zindchau15 NEW - $140.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 0021-33904 300MM DTESC GUIDE PIN, LOT OF 3
zindchau15 NEW - $70.00 0 Jul/03/19 Oct/23/21
Description: APPLIED MATERIALS 0050-99362 RETURN ADAPTER 300MM WATER MANIFOLD
j316gallery Used - $4,262.80 0 Jul/03/19 Dec/08/21
Description: 13957 APPLIED MATERIALS SIDE COIL ASSY H2,300MM ULTIMA X,HDPCV 0041-00832
j316gallery Used - $3,325.38 0 Jul/03/19 May/24/23
Description: 13953 APPLIED MATERIALS ASSY FILTER BOX 300MM HART 0090-03857
j316gallery Used - $3,500.00 0 Jul/03/19 Nov/11/19
Description: 13938 APPLIED MATERIALS CNTRL MAGLEV ROT W/NTC 300MM, PN: 710000171 0190-11669
j316gallery Used - $2,880.27 0 Jul/03/19 Oct/26/21
Description: 13952 APPLIED MATERIALS GAS RING, SYM 36 PORT GAS DIST. 300MM HD 0040-99736
j316gallery Used - $2,592.50 0 Jul/03/19 Aug/27/20
Description: 13951 APPLIED MATERIALS GAS RING,SYM 36 PORT GAS DIST. 300MM HD 0040-70746
j316gallery NEW - $798.09 0 Jul/03/19 May/24/23
Description: 13790 APPLIED MATERIALS KIT, 300MM RF WATER FTP & HOSE ASSY (NEW) 0242-23654
dom0808 Used - $1,098.90 0 Jul/04/19 Feb/11/22
Description: Lam Research RING,CARRIER,300MM,A479Q,C3VCTR 15-451468-00
lpriverhouse-2 NEW - $279.47 0 Jul/04/19 Jul/11/19
Description: APPLIED MATERIALS 0040-62020 RETAINING RING FLANGED PPS AEP 300MM AMAT
zindchau15 NEW - $50.00 1 Jul/05/19 Oct/10/20
Description: AMAT Applied Materials 0200-01904 300mm PCII Ceramic Pin Pedestal
zindchau15 NEW - $70.00 0 Jul/05/19 Oct/23/21
Description: APPLIED MATERIALS 0090-02138 PHOTOELECTRIC SENSOR AMP 300MM L-D00R
getspares.com_sparesllc09 Used - $1,000.00 0 Jul/05/19 Sep/16/21
Description: 0040-82904 / ENDURA II TXZ 300MM UPPER CHAMBER RING / AMAT
zindchau15 NEW - $350.00 0 Jul/07/19 Oct/23/21
Description: APPLIED MATERIALS 0040-21402 BELLOWS 300MM WAFER LIFT
starbit11 Used - $13,500.00 0 Jul/08/19 Sep/02/19
Description: APPLIED MATERIALS 0010-24076 003 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL AMAT
starbit11 Used - $13,500.00 0 Jul/08/19 Sep/02/19
Description: APPLIED MATERIALS 0010-24076 006 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL AMAT
starbit11 Used - $9,900.00 0 Jul/08/19 Sep/02/19
Description: AMAT 0010-51269 03 MCA E-CHUCK HEATER 300MM FDR SLT ESC NI-PL SOLD AS-IS
kenjap Used - $59.99 0 Jul/08/19 Jul/15/19
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
zindchau15 NEW - $150.00 0 Jul/09/19 Oct/23/21
Description: APPLIED MATERIALS 0050-97416 FINAL-B HEATED WELDMENT 300MM
getspares.com_sparesllc09 Used - $6,100.98 0 Jul/09/19 Aug/29/23
Description: 0040-08136 / SUPPORT, LIFT CYLINDER ,300MM CATHODE, D / APPLIED MATERIALS
getspares.com_sparesllc09 Used - $800.98 0 Jul/11/19 Sep/11/23
Description: 0021-16782 /SHIELD, MIDDLE, 12.46 LG 300MM SIP CU, R/ APPLIED MATERIALS
getspares.com_sparesllc09 Used - $1,900.98 0 Jul/11/19 Sep/07/23
Description: 0021-14590 /FACEPLATE, 300MM, HO16 XGEN / APPLIED MATERIALS
j316gallery Used - $491.82 0 Jul/11/19 Jan/24/22
Description: 13704 APPLIED MATERIALS SPANNER WRENCH, 300MM ENDURA 0270-00727
hieph76 NEW - $22,000.00 0 Jul/10/19 Jul/20/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
getspares.com_sparesllc09 NEW - $2,200.98 0 Jul/12/19 Sep/22/23
Description: 0040-44492 / MOUNTING RING, 300MM DPS2 / APPLIED MATERIALS
visionsemi NEW - $300.00 1 Jul/12/19 Jul/26/21
Description: APPLIED MATERIALS AMAT 0150-02219 EMO INTERCONNECT CABLE ASSEMBLY 300MM HDPC
j316gallery Used - $28,802.70 0 Jul/15/19 Jul/30/21
Description: 14300 APPLIED MATERIALS PEDESTAL,PIB,300MM A101 HEATER ASSY 0010-27432
j316gallery Used - $1,728.16 0 Jul/15/19 Nov/18/21
Description: 13963 APPLIED MATERIALS CATHODE BASE, E-CHUCK, 300MM ULTIMA X, H 0040-48767
j316gallery Used - $19,952.30 0 Jul/15/19 May/24/23
Description: 14301 APPLIED MATERIALS HEATER ASSY,300MM, 0010-51439, 0041-32656 0010-44899
j316gallery Used - $2,660.30 0 Jul/15/19 May/24/23
Description: 13975 APPLIED MATERIALS CATHODE ASSY,300MM HDPCVD 0010-26686
kenjap Used - $59.99 0 Jul/15/19 Jul/22/19
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
jake_russell Used - $700.00 0 Jul/15/19 Jan/13/21
Description: [AMAT] 0200-05712, ALO BAFFLE, 8-HOLE, 2.47ODX1.14L, HDP CVD, ULTIMA 300MM
j316gallery Used - $1,596.19 0 Jul/16/19 May/24/23
Description: 13926 APPLIED MATERIALS DC PS 800W ETCH 300MM XP P/N: 100948-03 0190-16537
j316gallery Used - $332.55 0 Jul/16/19 May/24/23
Description: 13990 APPLIED MATERIALS BELT COVER,SCRUBBER,300MM HVM 0040-70674
getspares.com_sparesllc09 Used - $13,004.70 0 Jul/16/19 Jan/13/20
Description: 0190-14175 / STATOR, MAG LEV, WRLD 300MM RADIANCE / APPLIED MATERIALS AMAT
bt_store22 Used - $2,500.00 0 Jul/17/19 Jul/15/21
Description: AMAT 0040-03495 SUPPORT RPSII FLANGE 300MM PRODUCER SE
powersell007 Used - $299.00 0 Jul/17/19 Nov/29/22
Description: APPLIED MATERIALS 0200-00934 VIEWPORT QUARTZ DEGAS PREHEAT 300MM AMAT
starbit11 Used - $350.00 0 Jul/17/19 Sep/02/19
Description: APPLIED MATERIALSE MEMBRANE 300MM TITAN CONTOUR AMAT 0020-83889 REV 07
gigabitpartsolutions Used - $13,200.00 0 Jul/17/19 May/24/23
Description: APPLIED MATERIALS (AMAT) 0010-28997 Centura TCG 300mm Rotation Chamber Position
j316gallery Used - $731.59 0 Jul/18/19 May/24/23
Description: 14169 APPLIED MATERIALS BASE, RF FILTER BOX, 300MM DPS II, 0035-00068 0035-00069
roundtable1 NEW - $29.99 1 Jul/19/19 Nov/19/23
Description: Applied Materials 0020-75784 Plug Lift Point 300MM
getspares.com_sparesllc09 NEW - $1,615.98 1 Jul/19/19 Oct/17/23
Description: 716-022493-343 / RING,UNIF,300MM,BTM,2300,CENTE / LAM
hieph76 NEW - $12,000.00 0 Jul/19/19 Jul/26/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
hieph76 NEW - $22,000.00 0 Jul/20/19 Jul/30/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
j316gallery Used - $266.03 0 Jul/22/19 May/24/23
Description: 14402 APPLIED MATERIALS ADAPTER-BRACKET, PVD HE RF MATCH, 300MM 0040-81309
bt_store22 Used - $255.00 0 Jul/22/19 Jul/15/21
Description: AMAT 0040-03488 Plate RPSâ…¡ Mount 300mm Producer
j316gallery Used - $1,596.19 0 Jul/21/19 May/24/23
Description: 13972 APPLIED MATERIALS COVER,MINIMUM CONTACT,STI,300MM ULTIMA 0200-01863
j316gallery Used - $1,845.05 4 Jul/21/19 Apr/14/24
Description: 13966 APPLIED MATERIALS CATHODE BASE ULTIMA 300MM HDPCVD 0041-02324
j316gallery Used - $2,016.19 0 Jul/21/19 May/05/21
Description: 13961 APPLIED MATERIALS CERAMIC BLADE,300MM HIGH SHOE 0200-00991
j316gallery Used - $1,382.53 0 Jul/21/19 Mar/09/21
Description: 13973 APPLIED MATERIALS COVER RING,ULTIMA 300MM 0200-05216
j316gallery Used - $725.90 0 Jul/21/19 Jun/21/20
Description: 13981 APPLIED MATERIALS BLOCK,GAS FEED,TOP CLEAN,ALN,300MM 0040-82246
j316gallery Used - $2,131.40 0 Jul/21/19 Nov/18/21
Description: 13967 APPLIED MATERIALS INSULATOR,300MM HDPCVD ULTIMA 0200-00374
j316gallery Used - $1,463.17 0 Jul/21/19 May/24/23
Description: 13969 APPLIED MATERIALS BLOCKER TEOS,HI DEP RATE 300MM,PRODUCER 0021-26544
j316gallery Used - $806.47 0 Jul/21/19 May/05/21
Description: 13978 APPLIED MATERIALS PCB,300MM HDPCVD CHAMBER DIST.BD 0100-01781
powersell007 Used - $599.00 0 Jul/23/19 Nov/23/23
Description: APPLIED MATERIALS 0200-02291 WINDOW, ENDPOINT, 300MM, IA AMAT *LOT OF 4 UNITS*
kenjap Used - $59.99 1 Jul/22/19 Jul/29/19
Description: APPLIED MATERIALS HDPCUD 300MM AP GAS PANEL DISTRIBUTION ASSY 0100-01652 REV 001
jens.pens Used - $1,900.00 0 Jul/22/19 Oct/12/21
Description: Applied AMAT 300mm ESC Chuck 0010-33590 0041-08142 0041-08143 11168200-437-0002
catalystparts Used - $1,750.00 0 Jul/22/19 Jun/29/23
Description: AMAT Applied Materials 0021-33836 Lower Shield 300mm
roundtable1 NEW - $276.25 0 Jul/31/19 May/17/20
Description: APPLIED MATERIALS 0040-45581 GUIDE TUBE, LIFT PIN, 300MM RADIANCE
spsglobal Used - $60.00 0 Jul/30/19 Jun/29/23
Description: 345-0102// AMAT APPLIED 0190-13053 HOSE,CFW 6 STUB 300MM NEW
roundtable1 Refurbished - $299.00 1 Jul/30/19 Oct/02/20
Description: APPLIED MATERIALS 0040-45581 GUIDE TUBE, LIFT PIN, 300MM RADIANCE
roundtable1 Used - $3,399.00 1 Jul/30/19 Nov/30/21
Description: Applied Materials 0040-53873 TXZ 300MM MANIFOLD ,AMAT 0021-12855 300mm Quartz
hieph76 NEW - $22,000.00 0 Jul/30/19 Aug/09/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
zindchau15 NEW - $170.00 0 Jul/30/19 Oct/23/21
Description: AMAT Applied Materials 0270-03260 300mm Cooling Plate Lift Fixture New
zindchau15 NEW - $70.00 0 Jul/29/19 Oct/23/21
Description: AMAT APPLIED MATERIALS 0022-06964 FINGER W/BUMP LIFT HOOP DEGAS CH 300MM
novusferro Used - $399.00 0 Jul/28/19 Aug/01/22
Description: Novellus 16-162983-00 Heater Assembly 300mm 16-141397-00
spsglobal NEW - $500.00 0 Jul/28/19 Dec/17/20
Description: 316-0302// AMAT APPLIED 0021-15657 SHUTTER DISC, MDP 300MM NEW
ab-international NEW - $345.80 0 Jul/27/19 Aug/06/19
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
hieph76 NEW - $12,000.00 0 Jul/26/19 Aug/02/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
roundtable1 NEW - $299.00 0 Jul/26/19 Sep/27/21
Description: Applied Materials 0200-76021 Window Quartz Centerfinder Transfer Chamber 300MM
zindchau15 Used - $370.00 2 Jul/31/19 Mar/11/21
Description: AMAT APPLIED 0020-19258 REV 005 PEDESTAL TITANIUM 300MM PCII
powersell007 Used - $999.00 0 Aug/01/19 Sep/30/21
Description: APPLIED MATERIALS 0010-40859 L-DOOR, 300MM w/ COVER AMAT *UNUSED, SEALED*
j316gallery Used - $110.00 0 Aug/01/19 Aug/01/19
Description: 14524 APPLIED MATERIALS PCB ASSY, PV2 16-AXIS ENCLOSURE, 300MM D 0100-01352
j316gallery Used - $170.00 1 Aug/01/19 Aug/09/19
Description: 14525 APPLIED MATERIALS ENG SPEC, E84 DIST BD, 300MM FI, PCB 0190-03068
spsglobal Used - $10.00 0 Aug/04/19 Jun/29/23
Description: 347-0301// AMAT APPLIED 0021-07605 SENSOR MOUNT, MOTORIZED LIFT, 300MM, PVD NEW
spsglobal Used - $80.00 0 Aug/05/19 Jun/29/23
Description: 141-0501// AMAT APPLIED 0150-02877 CABLE, ASP 300MM WINDOW INTLK NEW
j316gallery Used - $8,072.82 0 Aug/05/19 May/18/22
Description: 14524 APPLIED MATERIALS PCB ASSY, PV2 16-AXIS ENCLOSURE, 300MM D 0100-01352
hieph76 NEW - $12,000.00 0 Aug/02/19 Aug/09/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
zindchau15 NEW - $340.00 0 Aug/02/19 Oct/23/21
Description: AMAT Applied Materials 0270-03260 300mm Cooling Plate Lift Fixture New QTY 2
zindchau15 NEW - $240.00 0 Aug/02/19 Oct/23/21
Description: APPLIED MATERIALS 0242-94555 KIT COOLDOWN MAINFRAME INTEGRATION 300MM ENDURA CL
zindchau15 Used - $740.00 2 Aug/02/19 Oct/23/21
Description: APPLIED MATERIALS 0200-36399 SHIELD, TOP CERAMIC, TXZ 300MM AMAT, CLEANED
zindchau15 NEW - $240.00 1 Aug/01/19 Mar/13/20
Description: AMAT Applied Materials 0242-26957 300mm RPG Chamber Foreline Integration Kit New
zindchau15 Used - $140.00 0 Aug/01/19 Oct/23/21
Description: AMAT Applied Materials 0022-10188 MIXER GAS 300MM CVD-CO
j316gallery Used - $273.23 1 Aug/01/19 Nov/01/22
Description: 14888 APPLIED MATERIALS I/O BLOCK, 300MM MAINFRAME DEVICE NET, DIP294 0190-13220
powersell007 Used - $2,999.00 1 Aug/06/19 Oct/06/22
Description: SMC INOI-7134-31-2 4-PORT DNET UPA MODULAR A-SIDE 300MM AMAT 0190-31157
russell_jake Used - $1,500.00 1 Aug/05/19 Aug/10/19
Description: [AMAT] 0010-24341, Heat Exchanger Supply-Return Hose Assy 3, ULTIMA-X CVD 300MM
russell_jake NEW - $2,200.00 0 Aug/05/19 Jan/05/21
Description: [AMAT] 0050-80018, HOSE ASSY HEAT EXCHANGER SUPPLY 75FT, ULTIMA-X 300MM, CVD
russell_jake NEW - $2,200.00 0 Aug/05/19 Jan/05/21
Description: [AMAT] 0050-80019, HOSE ASSY HEAT EXCHANGER RETURN 75 FT, ULTIMA-X 300MM, CVD
novusferro Used - $199.00 0 Aug/07/19 Aug/01/22
Description: Applied Materials AMAT 0041-41382 Inner Ring, 300mm Titan Calypso
novusferro Used - $399.00 1 Aug/07/19 Jun/30/20
Description: Applied Materials 0041-90502 Support RPSII Flange, 300mm Producer
novusferro Used - $399.00 1 Aug/07/19 Jun/30/20
Description: Applied Materials 0021-51761 Aluminium,Blocker,DD2539, Metron Clean, SACVD,300mm
getspares.com_sparesllc09 Used - $650.99 0 Aug/08/19 Nov/28/23
Description: 0040-39587 / LOWER SHIELD, 300MM, PC XT XTE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $1,039.14 1 Aug/08/19 Dec/29/22
Description: 0021-19342 / SHIELD, INNER 300MM PC2, RPC PLUS / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $733.13 0 Aug/08/19 Aug/29/22
Description: 0020-19258 / PEDESTAL TITANIUM 300MM PCII / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $5,693.07 0 Aug/08/19 Aug/24/21
Description: 0040-55456 / BELLJAR, 21 OD 300MM PC XT/XTE / APPLIED MATERIALS AMAT
hieph76 NEW - $22,000.00 0 Aug/09/19 Aug/19/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
getspares.com_sparesllc09 Used - $2,057.92 1 Aug/09/19 Apr/05/21
Description: 0200-01903 / INSULATOR PEDESTAL QUARTZ 300MM PCII / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $166.80 0 Aug/09/19 Nov/28/23
Description: 0200-01904 / PIN PEDESTAL ALIGNMENT CERAMIC 300MM PCI / APPLIED MATERIALS AMAT
hieph76 NEW - $12,000.00 0 Aug/09/19 Aug/16/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
zindchau15 NEW - $60.00 0 Aug/13/19 Oct/23/21
Description: AMAT APPLIED MATERIALS 0150-23041 CABLE ASSY HEATER DMA MFC 300MM LOT OF 4
storemanager-2009 Used - $50.00 0 Aug/13/19 Nov/12/20
Description: NOVELLUS, 17-103593-00, BRACKET, CONN, SPINDLE, 300MM
getspares.com_sparesllc09 Used - $500.00 1 Aug/15/19 Dec/02/19
Description: 15-256077-01 / END EFFECTOR,300MM,R.L/LK,VCTR / NOVELLUS SYSTEMS INC
phmaverick2012 Scrap, for parts - $7,290.00 0 Aug/15/19 Nov/01/19
Description: 0040-76519 REV E7 S/N - E17/ 300MM CHAMBER / AMAT
hieph76 NEW - $12,000.00 0 Aug/16/19 Aug/23/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
hieph76 NEW - $22,000.00 0 Aug/19/19 Aug/29/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
getspares.com_sparesllc09 Used - $7,500.00 1 Aug/19/19 Jun/22/21
Description: 0040-81156 / CHAMBER UPPER LINER 300MM DPS2 / APPLIED MATERIALS AMAT
powersell007 Used - $299.00 0 Aug/19/19 Dec/19/23
Description: APPLIED MATERIALS 0090-00394 CABLE ASSY LID SWITCH, 300MM SWLL AMAT *UNUSED*
getspares.com_sparesllc09 Used - $19,000.00 0 Aug/20/19 Dec/10/19
Description: 0227-33844 / WRLD TOXIC LMC 300MM, 0190-14175 / APPLIED MATERIALS AMAT
hieph76 NEW - $12,000.00 0 Aug/23/19 Aug/30/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
esolutions1 NEW - $224.25 0 Aug/22/19 Nov/07/19
Description: Applied Materials (AMAT) 0200-02713 COVER RING ECHUCK 300MM P
vincent-senior Used - $1,000.00 1 Aug/23/19 Mar/27/20
Description: 0190-14176, 0190-14177 / SENSOR, SHORT, MAG LEV, WRLD 300MM RADIANCE / AMAT
zindchau15 Used - $1,490.00 0 Aug/21/19 Oct/23/21
Description: APPLIED MATERIALS 0021-34728 BLOCKER, PLATE, SICONI, 300MM AMAT USED CLEANED
novusferro Used - $999.00 0 Aug/27/19 Apr/28/22
Description: Novellus 16-377679-00 Rev. B 15" Heater Pedestal Assy (300mm)
usedeqsales Used - $431.96 0 Aug/27/19 May/09/23
Description: AMAT Applied Materials 0190-22157 Robot Teach Box Rev. 001 Reflexion 300mm Spare
hieph76 NEW - $22,000.00 0 Aug/29/19 Sep/08/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
dom0808 Used - $1,155.00 0 Aug/28/19 Feb/11/22
Description: Lam Research 719-210344-602 MOD,RING,EDGE,TOP,SIC,300MM
zindchau15 NEW - $75.00 3 Aug/30/19 Oct/23/21
Description: APPLIED MATERIALS PIN LIFT CERAMIC 300MM, 0200-02398
hieph76 NEW - $12,000.00 0 Aug/30/19 Sep/06/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
roundtable1 NEW - $99.00 1 Aug/30/19 Oct/30/22
Description: AMAT 0140-13269 HARNESS ASSY PVD CHAMBER LID 300MM
ahmasale_57 Used - $99.99 0 Aug/31/19 Feb/29/20
Description: APPLIED MATERIALS EPI 300mm INTERLOCK MODULE 1 0190-14285 DIP-360-002
novusferro Used - $149.00 2 Sep/02/19 Jan/23/22
Description: Applied Materials AMAT 0040-96157 300mm Slit Valve Door
katiil3 Used - $89.00 0 Sep/02/19 Oct/23/21
Description: Applied materials 0190-14285 EPI 300MM Interlock Module DIP-428-015 Used
novusferro Used - $299.00 0 Sep/02/19 Oct/12/23
Description: Applied Materials AMAT 0021-26544 Blocker TEOS, Hi Dep Rate 300MM Producer
novusferro Scrap, for parts - $399.00 0 Sep/02/19 Sep/02/19
Description: Applied Materials AMAT 0040-13911 Rev 002 Door, Backing 300mm Slit Valve
novusferro Used - $99.00 0 Sep/02/19 Oct/12/23
Description: Applied Materials AMAT 0040-13911 Rev 002 Door, Backing 300mm Slit Valve
novusferro Used - $149.00 0 Sep/01/19 Aug/01/22
Description: Lam Research 16-159939-00 Rev B ESC Bellows 4.00 Stroke Pending 625 300mm
grandbirdnet Used - $8,000.00 0 Sep/01/19 Oct/12/23
Description: AMAT 0190-24854 MKS REV3.0 G4 PLUS 4-PORT UPA 300MM REFL , REFURBISHED
dom0808 Used - $1,138.50 0 Sep/03/19 Feb/11/22
Description: Lam Research 719-210344-602 MOD,RING,DEGE,TOP,SIC,300MM 719-210344-602
starbit10 Used - $400.00 0 Sep/03/19 Feb/05/20
Description: Applied Materials AMAT FINGER ASSY PEEK, LONG, WALKING BEAM 300mm 0010-15708
jayselectronics8 NEW - $2,775.00 0 Sep/02/19 Oct/02/20
Description: AMAT 0100-00408 Assembly, CVD, 300mm, Remote Backplane, PCB
ntsurplus302 Used - $480.00 0 Sep/04/19 Dec/04/21
Description: 2818 Applied Materials P/N: 0040-79926 AGD, Inner Cool Plate, 300mm Emax CTS
visionsemi NEW - $50.00 10 Sep/04/19 Jan/27/20
Description: APPLIED MATERIALS AMAT PAD DIAPHRAGM PAD CONDTIONER 300MM 0035-00151 LOT OF 5
ntsurplus302 Used - $400.00 0 Sep/04/19 May/03/24
Description: 2803 Applied Materials P/N: 0020-84596 Faceplate, 300mm. Silane, Flow
visionsemi NEW - $100.00 10 Sep/04/19 Jan/27/20
Description: APPLIED MATERIALS AMAT PAD DIAPHRAGM PAD CONDTIONER 300MM 0035-00151 LOT OF 10
dom0808 Used - $2,695.00 0 Sep/04/19 Feb/11/22
Description: Lam Research 16-386219-00 ASSY,CHUCK,APT,300MM,SBR-3D 16-386219-00
dom0808 Used - $346.50 0 Sep/04/19 Feb/11/22
Description: Lam Research 715-285848-003 FXTR,DC BIAS,300MM,ALIGNER,XY5+ 715-285848-003
usedeqsales Used - $3,809.19 0 Sep/04/19 Dec/08/20
Description: PRI 6-0002-0705-SP 300mm Robot WTM511-2-FWS02-V1 AMAT 0195-02882 Brooks Working
capitolareatech Used - $119.95 0 Sep/04/19 Aug/13/20
Description: Applied Materials (AMAT) 0150-76629 Cable, Assy. 300MM Power I/O Interface
zindchau15 Used - $400.00 0 Sep/03/19 Oct/23/21
Description: AMAT APPLIED MATERIALS 0010-21740 TC AMP 300mm
usedeqsales Refurbished - $2,404.59 0 Sep/03/19 Dec/22/20
Description: PRI 6-0002-0705-SP 300mm Robot WTM511-2-FWS02-V1 AMAT 0195-02882 Refurbished
semigooods NEW - $15.00 0 Sep/03/19 May/26/21
Description: AMAT 0040-52157 CLAMP LOWER CENTER GAS FEED 300MM DPS2 - OEM NEW
usedeqsales Refurbished - $4,809.19 0 Sep/03/19 Dec/08/20
Description: PRI 6-0002-0706-SP 300mm Robot WTM511-2-FWS02-V1-CU AMAT 0195-02883 Refurbished
j316gallery Used - $745.18 0 Sep/05/19 Jan/04/23
Description: 15499 APPLIED MATERIALS GIMBAL BODY, HEATER LIFT, TXZ 300MM 0040-53142
j316gallery Used - $620.98 0 Sep/05/19 Jan/04/23
Description: 15285 APPLIED MATERIALS OUTER RING, 300MM TITAN, CALYPSO 0021-95042
powersell007 Used - $499.00 2 Sep/05/19 Sep/05/22
Description: APPLIED MATERIALS 0020-75465 HOOP UNIVERSAL 300MM ENDURA 2 P5 AMAT *UNUSED*
ntsurplus302 Used - $130.00 0 Sep/05/19 May/03/24
Description: 2951 Applied Materials 0050-42367 002 WELDMENT-MANIFOLD KF25 CDA RETURN 300MM
capitolareatech Used - $39.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials AMAT 0021-83422 TENSIONER ARM DSS UV 300MM PRODUCER SE
capitolareatech Used - $995.95 0 Sep/05/19 Aug/13/20
Description: LAM RESEARCH (LAM) 715-057852-002 LINER, LWR, 300MM, BEVEL  
capitolareatech Used - $1,995.95 0 Sep/05/19 Aug/13/20
Description: LAM RESEARCH (LAM) 715-052448-002 Liner, 300MM, Bevel SEMICONDUCTOR
capitolareatech Used - $315.04 0 Sep/05/19 Sep/20/19
Description: Applied Materials AMAT 0010-21740 Assembly TC AMP 300MM
capitolareatech Used - $345.00 0 Sep/05/19 Sep/20/19
Description: Applied Materials AMAT 0021-39718 CYLINDER LIFTER 300MM ETCH CHAMBER
usedeqsales Used - $1,009.19 0 Sep/05/19 Dec/23/20
Description: AMAT Applied Materials 0040-82532 300mm Reflexion End Effector 0010-11719 Spare
usedeqsales NEW - $2,009.19 0 Sep/05/19 Dec/08/20
Description: AMAT Applied Materials 0040-82532 300mm Reflexion End Effector 0010-11719 New
usedeqsales NEW - $2,009.19 2 Sep/05/19 Dec/10/19
Description: AMAT Applied Materials 0190-08784 Teach Pendant Kit Brooks 110976 TTR-200 300mm
hieph76 NEW - $12,000.00 0 Sep/06/19 Sep/13/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
hieph76 NEW - $22,000.00 0 Sep/08/19 Sep/18/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
powersell007 Used - $799.00 0 Sep/09/19 Oct/12/23
Description: APPLIED MATERIALS 0041-57844 CARRIER 300MM TITAN AMAT
usedeqsales NEW - $2,009.19 1 Sep/09/19 Jan/29/21
Description: AMAT Applied Materials 0010-11719 300mm Reflexion Robot End Effector New Surplus
alameda_auction Used - $950.00 1 Sep/09/19 Apr/30/24
Description: LAM Research 839-274673-001 ESC Electrostatic Wafer Chuck Heater 300mm AMAT Assy
j316gallery Used - $3,000.00 0 Sep/13/19 Nov/06/19
Description: 1135 APPLIED MATERIALS 300MM HEATER 0040-53558
hieph76 NEW - $12,000.00 0 Sep/13/19 Sep/20/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
spsglobal Used - $120.00 0 Sep/16/19 May/02/22
Description: 141-0702// AMAT APPLIED 0150-05963 CABLE ASSEMBLY DC POWER DNET 300MM RTP/S USED
spsglobal Used - $40.00 0 Sep/16/19 Oct/12/23
Description: 141-0703// AMAT APPLIED 0140-02963 CABLE, EMO/MOTOR STOP 300MM FI NEW
svcstore Used - $56.99 0 Sep/16/19 Dec/27/22
Description: Lam Research 716-011969-100 Rev. A Semiconductor 300mm HI-Edge QTZ Ring Coupling
svcstore Scrap, for parts - $42.99 0 Sep/16/19 Oct/27/20
Description: Novellus 02-281532-00 GAMMA 2130 300mm RF Match/Aluminum Coil INCOMPLETE
usedeqsales Used - $7,507.19 1 Sep/17/19 Sep/17/19
Description: AMAT Applied Materials 0010-76891 UHV 300mm Robot Endura Cover Missing Used
hieph76 NEW - $22,000.00 0 Sep/18/19 Sep/28/19
Description: AMAT 0042-07194 300mm Producer Ceramic Heater S/N.251-002
visionsemi NEW - $300.00 1 Sep/18/19 Dec/11/19
Description: APPLIED MATERIALS AMAT HOLDER LIFT PIN FLOATING DPSII 300MM 0040-88046 PACK OF 3
j316gallery Used - $1,800.00 0 Sep/24/19 Sep/24/19
Description: 10728 APPLIED MATERIALS ENDURA 300MM ADAPTOR 0040-99334
j316gallery Used - $935.00 0 Sep/24/19 Sep/24/19
Description: 5081 APPLIED MATERIALS .650" 300MM 3 CHANNEL HEATER LVL CALIBRATN REF 0190-11355
j316gallery Used - $1,800.00 0 Sep/24/19 Sep/24/19
Description: 10729 APPLIED MATERIALS ENDURA 300MM ADAPTOR 0040-81737
j316gallery Used - $2,000.00 0 Sep/24/19 Sep/24/19
Description: 5340 LAM RESEARCH ECHUCK328 SPUTTERING TARGET, 300MM 839-019090-328
dom0808 Used - $328.90 0 Sep/24/19 Feb/11/22
Description: AMAT Applied Materials Feed Through Board Biasable Electrodes 300mm 0100-01025
ascpike Used - $200.00 1 Sep/24/19 Oct/05/21
Description: AMAT Applied Materials 0015-01573 300mm PC XT Spring
grandbirdnet Used - $8,500.00 1 Sep/25/19 May/27/21
Description: AMAT 0040-79912 LINER CHAMBER W/ LEAK CHECK PORT, 300MM, USED
grandbirdnet Used - $7,800.00 1 Sep/25/19 Jun/09/21
Description: AMAT 0040-79913 LINER, CATHODE, DIRECT COOLED, 300MM EMA, USED
j316gallery Used - $1,500.00 1 Sep/25/19 Oct/02/19
Description: AUCTION APPLIED MATERIALS .650" 300MM 3 CHANNEL HEATER LVL CALIBRATN 0190-11355
j316gallery Used - $2,000.00 0 Sep/25/19 Oct/02/19
Description: AUCTION APPLIED MATERIALS ENDURA 300MM ADAPTOR 0040-99334
j316gallery Used - $1,800.00 0 Sep/25/19 Oct/02/19
Description: AUCTION APPLIED MATERIALS ENDURA 300MM ADAPTOR 0040-81737
j316gallery Used - $2,500.00 0 Sep/25/19 Oct/02/19
Description: AUCTION LAM RESEARCH ECHUCK328 SPUTTERING TARGET, 300MM 839-019090-328
ab-international NEW - $345.80 0 Sep/26/19 Oct/06/19
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
catalystparts NEW - $100.00 1 Sep/27/19 Oct/21/22
Description: AMAT Applied Materials 0242-45025 E2 300mm Degas Chamber Integration Kit Rev-001
getspares.com_sparesllc09 NEW - $778.95 1 Sep/27/19 Dec/13/20
Description: 0021-24183 / LINER, BOTTOM SIDE 1, 300MM SE / AMAT
getspares.com_sparesllc09 NEW - $456.00 1 Sep/27/19 Nov/26/19
Description: 0021-27290 / ISOLATOR TEFLON, 300MM PRODUCER SE/ APPLIED MATERIALS
dom0808 Used - $7,139.00 0 Sep/30/19 Feb/11/22
Description: Lam Research 867-159127-001 KIT,RTRFT,APT SPRAYBAR,DUET2,300MM 867-159127-001
getspares.com_sparesllc09 Used - $17,500.50 1 Oct/01/19 Feb/18/20
Description: 0010-17530 / ASSY, ENDURA 300MM DB, VHP ROBOT / APPLIED MATERIALS
j316gallery Used - $15,000.00 0 Oct/01/19 Aug/05/20
Description: 10890 APPLIED MATERIALS LAMP HOUSING, BRAZED, FLEX FLANGE 300MM 0040-70247
j316gallery Used - $9,102.20 2 Oct/01/19 Jun/15/22
Description: 13976 APPLIED MATERIALS THROTTLE VALVE ASSY,300MM ULTIMA X,HDPCVD 0010-33875
j316gallery Used - $1,077.89 0 Oct/01/19 Sep/08/22
Description: 1258 APPLIED MATERIALS CLAMP, MIDDLE SHIELD,300MM SIP CU ENCOR 0020-26374
j316gallery Used - $500.00 0 Oct/01/19 Dec/15/20
Description: 3047 APPLIED MATERIALS CLAMP,LOWER SHIELD,300MM SIP 0020-02348
j316gallery Used - $5,555.00 1 Oct/01/19 Aug/14/21
Description: 113 APPLIED MATERIALS REFLECTOR PLATE, INJECT, 300MM RADIANCE PLUS 0041-12156
pdcsystems Used - $35.00 0 Oct/02/19 Jun/07/20
Description: Applied Materials (AMAT) 0050-75275 RETURN ADAPTER, 300MM WATER MANIFOLD
katiil3 Used - $399.00 0 Oct/02/19 Oct/23/21
Description: Applied materials/ AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM Used good
pdcsystems Used - $9,450.00 0 Oct/02/19 Jun/07/20
Description: APPLIED MATERIALS (AMAT) 0040-85475 REV 4 251-M3523 300mm Ceramic heater
getspares.com_sparesllc09 Used - $8,550.99 0 Oct/02/19 Sep/08/22
Description: 02-293421-01 / C3 RF MATCH 300MM REV-A 0806 103006 / NOVELLUS
powersell007 Refurbished - $1,099.00 0 Oct/03/19 Jul/24/20
Description: APPLIED MATERIALS 0035-00193 SHIELD, BEADBLAST, SSt, STD PVD, 300mm AMAT CLEANED
vintage-audio-source NEW - $6,950.00 1 Oct/03/19 Dec/18/21
Description: APPLIED MATERIALS AMAT 0200-05664 COLLAR ,ESC 300MM, MIN. CONTACT HDPCVD NEW
novusferro Scrap, for parts - $499.00 0 Oct/04/19 Sep/13/20
Description: Applied Materials AMAT 0035-00193 Shield 1-Piece HP PVD 300mm
getspares.com_sparesllc09 Used - $2,000.99 0 Oct/07/19 Sep/08/22
Description: 0041-00911 / INSERT TURBO ADAPTER FLANGE, 300MM N2 DEGAS / AMAT
novusferro Used - $99.00 0 Oct/07/19 Sep/08/22
Description: Applied Materials AMAT 0190-37804 Rev 05 Etch 300MM Interlock module PCB Board
novusferro Used - $299.00 0 Oct/08/19 Sep/08/22
Description: Applied Materials AMAT 0022-14776 Plate, blocker WXZ 300mm
usedeqsales Used - $3,504.19 0 Oct/08/19 Nov/07/19
Description: AMAT Applied Materials 0010-21748 300mm Preclean/RPC PVD RF Match Used
visionsemi NEW - $200.00 0 Oct/09/19 Jan/09/24
Description: APPLIED MATERIALS AMAT CABLE ASSEMBLY MF AC TO AUX AC BOX 300MM 0150-16767
grandbirdnet NEW - $700.00 0 Oct/10/19 Sep/08/22
Description: AMAT 0200-08242 R, 300MM,54MM.PRODUCER ETCH, NEW
esolutions1 NEW - $249.00 0 Oct/13/19 Nov/07/19
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
getspares.com_sparesllc09 Used - $5,500.82 0 Oct/14/19 Mar/12/20
Description: 0010-30322 / AMAT ENDURA II TXZ 300MM ISAC TOWER / AMAT
getspares.com_sparesllc09 Used - $586.99 1 Oct/14/19 May/24/21
Description: 0090-03758 / AMAT ENDURA II TXZ 300MM TOWER BACKPLANE / AMAT
getspares.com_sparesllc09 Used - $145.50 0 Oct/14/19 Sep/22/20
Description: 0100-01944 / AMAT ENDURA II TXZ 300MM TOWER INTERFACE CCT / AMAT
semipartsdeal Used - $16,500.00 1 Oct/15/19 Dec/02/19
Description: AMAT 300MM UNPLAT NON CRR 0010-23250 ROBOT AND DRIVER 0190-25030
dr.dantom NEW - $1,000.00 0 Oct/15/19 Sep/08/22
Description: Applied Materials AMAT 0200-05638 Blade Ceramic open 300MM pocket Conductive
catalystparts Used - $200.00 0 Oct/15/19 Sep/08/22
Description: AMAT Applied Materials 0242-28368 Field Start Up Spares Kit 300mm Centura 4.0
pdcsystems Used - $8,252.50 0 Oct/15/19 Jun/07/20
Description: Applied Materials (AMAT) 0010-26524 300mm Rotation chamber position CENTURA TCG
timssurplus Used - $595.00 0 Oct/15/19 Oct/01/21
Description: AMAT RF Match PEALD 300MM AMAT 0010-29210
getspares.com_sparesllc09 Used - $230.00 0 Oct/16/19 Jul/30/20
Description: 0190-24116 / ENDURA II TXZ 300MM TOWER CDN396R BOARD MKS AS01396-6-11 / AMAT
getspares.com_sparesllc09 Used - $275.00 0 Oct/16/19 Jul/30/20
Description: 0090-04210 / ENDURA II TXZ 300MM ISAC TOWER PED-BIAS PVD CHAMBER I/L BOA / AMAT
getspares.com_sparesllc09 Used - $474.60 0 Oct/16/19 Jul/28/20
Description: 0190-24115 / ENDURA II TXZ 300MM TOWER CDN391R BOARD MKS AS01391 / AMAT
pdcsystems NEW - $400.00 0 Oct/16/19 Jun/07/20
Description: APPLIED MATERIALS (AMAT) 0021-30906 CLAMP BLADE 300MM DBR
getspares.com_sparesllc09 Used - $582.50 0 Oct/17/19 Sep/08/22
Description: 0021-64485 / PEDESTAL, TITANIUM 300MM PCII 2.0 / AMAT
simt8005 Used - $25,000.00 1 Oct/17/19 Jun/20/21
Description: AMAT ENDURA II HY-11 MAGNET 300MM DS-TTN 0010-25739
novusferro Used - $99.00 0 Oct/17/19 Sep/08/22
Description: Applied Materials AMAT 0040-52431 RF Rod Center 300mm A
novusferro Used - $7,999.00 0 Oct/18/19 Feb/09/22
Description: Kawasaki Prealigner 300MM 3NS0001S-L003 AMAT 0190-14786 W/Components
semisparestx Used - $650.00 0 Oct/17/19 Sep/08/22
Description: AMAT P/N 0200-03979 Liner, 300mm APC
catalystparts Used - $1,000.00 1 Oct/18/19 Feb/21/24
Description: AMAT Applied Materials 0020-83876 Upper Shield 300mm SIP
semisparestx NEW - $1,200.00 1 Oct/18/19 Jun/02/21
Description: AMAT Deposition Ring, 300mm OEM P/N 0200-07492
itzimalu_0 Used - $450.00 0 Oct/19/19 Oct/20/19
Description: Applied Materials chamber I/O distribution 300mm Endura 0100-02186
tombarbpatch NEW - $1,250.00 4 Oct/19/19 Nov/12/19
Description: AMAT 0090-04405 300mm Centura VMIC PCB 800MHZ 512MB SDRAM **NEW**
novusferro Scrap, for parts - $11,999.00 1 Oct/20/19 Jun/30/20
Description: Applied Materials 0010-70244 Assy Lift, X-Y Adjustable, Servodrive, 300mm LPCVD
prism_electronics8 Used - $1,399.99 1 Oct/21/19 Mar/03/20
Description: APPLIED MATERIALS 0010-18024 300mm Radiance Pyrometer
prism_electronics8 Used - $499.99 0 Oct/21/19 Oct/28/19
Description: APPLIED MATERIALS 0010-18024 300mm Radiance Pyrometer
catalystparts Used - $1,000.00 1 Oct/24/19 Jul/06/21
Description: AMAT Applied Materials 0040-76737 Ground Ring 300mm SIP
dom0808 Used - $361.90 0 Oct/24/19 Feb/11/22
Description: Lam Research PCB ASSY System Interlock 300mm 810-800031-300
catalystparts Used - $450.00 1 Oct/25/19 Feb/21/24
Description: AMAT Applied Materials 0021-33793 Cover Ring 300mm Pvd 101 SIP
dom0808 Used - $275.00 0 Oct/25/19 Feb/11/22
Description: Lam Research 300mm Heater Filter 810-802969-002
getspares.com_sparesllc09 Used - $1,497.00 0 Oct/25/19 Aug/10/23
Description: 0020-21225 / WEIGHT RING, 300MM TITAN PROFILER / APPLIED MATERIALS AMAT
ptb-sales Used - $720.00 0 Oct/25/19 Nov/14/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
intek22 NEW - $500.00 1 Oct/28/19 Feb/18/21
Description: New Applied Materials AMAT 0040-04586 Shield Inner TxZ CVD 300mm
alvin1462 NEW - $6,888.00 1 Oct/30/19 Aug/05/20
Description: AMAT 0190-14786 Kawasaki Prealigner 300MM NF 3NS001S-L003 NEW
rethinkresale Refurbished - $12,000.00 0 Oct/30/19 Jan/15/20
Description: LAM RESEARCH 300MM ETCH THERMAL CONTROL PLATE 839-017892-005
christyhtx Used - $125.00 0 Oct/31/19 Nov/07/19
Description: Applied Materials AMAT 0100-01944 Endura2 Tower Chamber Interface 300mm CCT PCB
christyhtx Used - $900.00 0 Nov/05/19 Nov/07/19
Description: Applied Materials 0020-60960 Silicon Cover Calibration Wafer 300mm
powersell007 Used - $799.00 0 Nov/05/19 Dec/05/23
Description: APPLIED MATERIALS 0020-89955 ZONE 2 CLAMP 300MM TITAN AMAT *UNUSED, SEALED*
christyhtx NEW - $2,000.00 0 Nov/05/19 Nov/07/19
Description: Applied Materials 0200-09508 Blade Quartz 300mm
christyhtx NEW - $350.00 0 Nov/05/19 Nov/07/19
Description: Applied Materials 0021-30906 clamp blade 300mm dbr
getspares.com_sparesllc09 Used - $1,500.03 0 Nov/05/19 Mar/26/21
Description: 715-801916-808 / PLD GAS DIST 300MM W/G RV HTR / LAM RESEARCH CORPORATION
roundtable1 Used - $99.00 0 Nov/05/19 Jul/28/22
Description: AMAT 1310-00084 TC DUAL CIRCUIT MARCHI SDSM -1603 300MM HDPCVD
dom0808 Used - $183.70 0 Nov/06/19 Feb/11/22
Description: Lam Research 300mm HEATER FILTER 810-802969-002
getspares.com_sparesllc09 Used - $5,500.00 1 Nov/06/19 Dec/15/20
Description: 0020-76637 / ENDURA BATCH LOAD LOCK 300MM 28 WAFER CASSETTE ASSY / AMAT
roundtable1 Used - $199.00 0 Nov/07/19 Jul/28/22
Description: Applied Materials (AMAT) 0200-02713 COVER RING ECHUCK 300MM P
roundtable1 Used - $199.00 0 Nov/07/19 Sep/10/21
Description: NEW AMAT Applied Materials 0020-02713 COVER RING ECHUCK 300mm
alvin1462 NEW - $6,888.00 1 Nov/14/19 May/30/23
Description: AMAT 0010-32110 300mm Dual Slot Indexer Endura2
ptb-sales Used - $720.00 0 Nov/14/19 Dec/03/19
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
usedeqsales NEW - $1,511.19 8 Nov/14/19 Dec/13/20
Description: AMAT Applied Materials 0040-07291 300mm 101 Advanced Deposition Ring New
usedeqsales Used - $2,511.19 1 Nov/14/19 Nov/29/19
Description: AMAT Applied Materials 0010-18024 300mm Radiance Pyrometer RTP Probe Working
usedeqsales NEW - $1,511.19 2 Nov/15/19 Dec/14/20
Description: AMAT Applied Materials 0021-17770 Cover Ring 300mm New
taekunkwo-0 NEW - $7,000.00 0 Nov/17/19 Jul/06/21
Description: 0190-01769 : AMAT 300mm PRECLEAN 2MHZ RF Cable
powersell007 Used - $799.00 0 Nov/18/19 Dec/18/23
Description: APPLIED MATERIALS 0021-95042 OUTER RING, 300MM TITAN, CALYPSO AMAT *UNUSED*
christyhtx NEW - $350.00 1 Nov/18/19 May/12/20
Description: Applied Materials 0021-30906 clamp blade 300mm dbr
christyhtx NEW - $1,900.00 2 Nov/18/19 Feb/09/22
Description: Applied Materials 0200-09508 Blade Quartz 300mm
christyhtx Used - $900.00 0 Nov/18/19 Nov/19/19
Description: Applied Materials 0020-60960 Silicon Cover Calibration Wafer 300mm
usedeqsales Used - $5,011.19 1 Nov/18/19 Nov/19/19
Description: AMAT Applied Materials 0040-91179 Heater Purge Assembly 300mm TXZ Spare Surplus
christyhtx Used - $125.00 2 Nov/18/19 Sep/14/20
Description: Applied Materials AMAT 0100-01944 Endura2 Tower Chamber Interface 300mm CCT PCB
usedeqsales NEW - $1,511.19 0 Nov/18/19 Sep/18/20
Description: AMAT Applied Materials 0021-22177 PVD 300mm MOD ADV101 Cover Ring New
cosplity NEW - $7,500.00 0 Nov/18/19 Sep/18/22
Description: AMAT 0010-28024 HEAD SWEEP ASSEMBLY 300MM CMP LK
novusferro Used - $3,499.00 4 Nov/18/19 Feb/09/22
Description: Applied Materials AMAT 0010-32110 Endura2 300mm Dual Slot Indexer Assembly
cosplity Used - $1,900.00 0 Nov/19/19 Sep/19/22
Description: AMAT 0010-14717 MANIFOLD ASSY, ZONE 3/MM UPA 300MM
christyhtx Used - $4,500.00 3 Nov/19/19 Nov/27/21
Description: Applied Materials AMAT 0010-59789 Ceramic Heater PROD SE/GT 300mm (0040-85475)
christyhtx Used - $4,950.00 1 Nov/19/19 Sep/03/21
Description: Applied Materials AMAT 0010-19666 Assy Rotation 300mm HTF / EPI
j316gallery Used - $10,554.50 0 Nov/19/19 Aug/20/21
Description: 1135 APPLIED MATERIALS 300MM HEATER 0040-53558
athomemarket Used - $107.99 2 Nov/19/19 Sep/17/21
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
visionsemi NEW - $575.00 1 Nov/20/19 Mar/11/24
Description: APPLIED MATERIALS AMAT TITAN PROFILER CMP RETAINING RING 300mm 0022-23138
katiil3 Used - $1,299.00 1 Nov/22/19 Aug/22/21
Description: Applied Materials 0040-81157 Rev. 002 SPOOL TURBO/ GV 300MM DPS
powersell007 Used - $6,999.00 0 Nov/22/19 Nov/22/23
Description: APPLIED MATERIALS 0040-81673 0040-53718 ESC 300MM OD 5MM NON-CU PRODUCER CHUCK
getspares.com_sparesllc09 NEW - $1,170.00 1 Nov/26/19 Mar/25/20
Description: 0020-28944 / WEIGHT RING, 300MM PROFILER / APPLIED MATERIALS AMAT
usedeqsales Used - $5,011.19 2 Nov/27/19 Nov/29/19
Description: AMAT Applied Materials 0040-91179 Heater Purge Assembly 300mm TXZ Working Spare
spsglobal NEW - $3,700.00 0 Nov/28/19 Feb/16/21
Description: 116-0601// AMAT APPLIED 0200-20437 DEPOSITION RING 300MM ESC NEW
spsglobal Used - $3,500.00 0 Nov/28/19 Aug/16/21
Description: 116-0601// AMAT APPLIED 0200-00531 PUMP PLATE, CVD 300MM TXZ NEW
dr.dantom Used - $80.00 1 Dec/01/19 Mar/22/22
Description: Applied Materials 0040-63584 ADAPTER DNET LIGHT TOWER 300MM 4.0 FI
dr.dantom Used - $170.00 2 Dec/01/19 Jun/29/22
Description: Applied Materials 0040-78341 DUCT. 2 WAY FLOOR EXHAUST FEOL. CVD ENDURA2 300MM
dr.dantom Used - $350.00 2 Dec/01/19 Apr/13/21
Description: Applied Materials / AMAT 0021-15657 SHUTTER DISC MDP 300MM
bigg.logistics101 Used - $1,899.99 0 Dec/01/19 May/23/23
Description: APPLIED MATERIALS WAFER LIFT HOOP CENTURA 300MM 0010-07332
usedeqsales Used - $1,012.19 2 Dec/02/19 Jun/10/24
Description: AMAT Applied Materials 0270-01156 Heater to Robot Calibration Tool 300mm ESC New
getspares.com_sparesllc09 Used - $368.71 0 Dec/03/19 Jun/23/22
Description: 0270-02456 / PIN, 300MM ROBOT CALIBRATION, 300MM 5.3FI / AMAT
ptb-sales Used - $720.00 0 Dec/03/19 Feb/13/20
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
usedeqsales Used - $2,512.19 0 Dec/04/19 Mar/24/22
Description: AMAT Applied Materials 0010-14682 Throttle Valve Kit 300mm 0140-02642 New Spare
usedeqsales Used - $662.19 0 Dec/04/19 Nov/03/21
Description: AMAT Applied Materials 0020-70873 Cryo Shield Endura 2 300mm New
capitolareatech Used - $1,295.95 0 Dec/07/19 Aug/13/20
Description: Applied Materials (AMAT) 0041-07021 MIX BLOCK INSERT, TOP, CHBR LID MAN. 300MM  
capitolareatech Used - $1,195.00 0 Dec/07/19 Aug/13/20
Description: LAM RESEARCH (LAM) 716-017112-620 RING, WAP, 17.07X1.945THK. 300MM
starbit11 Used - $29,500.00 0 Dec/08/19 Feb/03/20
Description: 0010-24076 APPLIED MATERIALS 300MM MCA SNNF LOW TEMP ESC
tombarbpatch NEW - $1,550.00 1 Dec/08/19 Nov/20/20
Description: AMAT 0090-04405 300mm Centura VMIC PCB 800MHZ 512MB SDRAM **NEW**
usedeqsales Used - $18,012.20 1 Dec/10/19 Jan/03/20
Description: AMAT Applied Materials 0010-23250 Centura 300mm Robot Corrosion Resistant Spare
usedeqsales Used - $1,212.19 1 Dec/10/19 Dec/12/19
Description: AMAT Applied Materials 0140-00753 Centura 300mm Robot Interface Cable Working
getspares.com_sparesllc09 Used - $20,000.00 0 Dec/10/19 Jan/13/20
Description: 0227-33844-00 / WRLD TOXIC LMC 300MM, 0190-14175 / AMAT
usedeqsales Used - $6,512.19 1 Dec/10/19 Jul/20/20
Description: NSK ELE-SSB014C23F2 300mm XP Robot Servo Drive ELE AMAT 0190-41461 Centura Spare
j316gallery Used - $28,000.00 0 Dec/11/19 Aug/16/20
Description: 15450 APPLIED MATERIALS 300MM ENDURA MAGNET ASSY 0020-28491
j316gallery Used - $21,557.80 2 Dec/11/19 Aug/19/22
Description: 17000 APPLIED MATERIALS 300MM MCA E-CHUCK TXZ HTR 0040-91179
j316gallery Used - $1,218.56 2 Dec/11/19 Nov/30/23
Description: 16261 NOVELLUS 300MM PEDESTAL CYL W/ ANIMATICS SMART 02-306362-00
usedeqsales Used - $2,012.19 0 Dec/13/19 Dec/23/20
Description: AMAT Applied Materials HDP 300mm Remote Dist. Box 0100-00444 0100-89006 Centura
athomemarket Used - $236.59 0 Dec/14/19 Mar/12/21
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
usedeqsales Used - $1,012.19 2 Dec/16/19 Aug/03/22
Description: DIP 15049401 Compact PCI PCB Card CDN494 AMAT 0190-03373 300mm Centura Working
usedeqsales Used - $812.19 1 Dec/16/19 Apr/08/24
Description: AMAT Applied Materials 0090-00617 300mm Loadlock Interlock PCB Card Centura
usedeqsales Used - $812.19 1 Dec/16/19 May/03/21
Description: AMAT Applied Materials 0100-00635 300mm Loadlock Interlock PCB Card Centura
usedeqsales Used - $612.19 0 Dec/16/19 Feb/05/24
Description: AMAT Applied Materials 0100-00637 300mm Mainframe Relays PCB Card Centura Spare
usedeqsales Used - $1,012.19 0 Dec/16/19 Feb/05/24
Description: AMAT Applied Materials 0100-00472 Motion Signal Conditioning Centura 300mm Spare
usedeqsales Used - $612.19 1 Dec/16/19 Apr/13/20
Description: SBS Technologies cPCI-100-FP PCB Card AMAT 0190-17950 Centura 300mm 0190-17951
usedeqsales Used - $812.19 1 Dec/17/19 Jun/28/22
Description: AMAT Applied Materials 0100-00287 300mm cPCI Mainframe Backplane PCB Centura
capitolareatech Used - $395.95 0 Dec/18/19 Jun/18/20
Description: Applied Materials (AMAT) 0041-12638 Bracket Lid Water Bulkhead 300mm Tin
capitolareatech Used - $395.95 0 Dec/18/19 Jun/18/20
Description: Applied Materials (AMAT) 0041-11673 BRACKET, LID COVER SUPPORT, 300MM TIN
powersell007 Used - $349.00 0 Dec/19/19 Dec/19/23
Description: AMAT 0200-12020 HPM, NOZZLE INSERT, DG, SOLID THICK FLANGE, 300MM, DPS2
powersell007 Used - $399.00 0 Dec/19/19 Dec/19/23
Description: APPLIED MATERIALS 0041-41382 INNER RING, 300MM TITAN, CALYPSO AMAT *UNUSED*
capitolareatech Used - $1,795.95 0 Dec/20/19 Jun/20/20
Description: Applied Materials AMAT 0041-32672 LID THERMAL ALD TAN 300MM
capitolareatech Used - $239.95 0 Dec/22/19 Jun/22/20
Description: Applied Materials (AMAT) 0020-25624 INSULATOR, 300MM HEATER
novusferro Used - $5,999.00 0 Dec/22/19 May/22/23
Description: Applied Materials AMAT 0010-44899 300mm Heater Chuck Assembly 0041-32656
j316gallery Used - $1,111.00 0 Dec/23/19 Apr/18/21
Description: 15963 APPLIED MATERIALS PCB ASSY DIST BFBE WITH STAR 300MM TXZ 0100-01629
j316gallery Used - $20,523.10 0 Dec/23/19 Oct/12/23
Description: 15996 APPLIED MATERIALS LINER UPPER THICK Y203 1.38 SVO 300MM DPS 0041-38184
dr.dantom Used - $100.00 4 Dec/24/19 Oct/17/22
Description: 3x Applied Materials/AMAT 0190-15120 BUSBAR FEEDER CB LINE SIDE 300MM ENDURA GEN
dr.dantom Used - $500.00 1 Dec/24/19 Jun/13/22
Description: Applied Materials / AMAT 0242-41460 KIT OPTIONAL FORELINE ELBOW ASSY AFEOL 300MM
powersell007 Used - $6,999.00 0 Dec/26/19 Nov/26/23
Description: APPLIED MATERIALS 0041-14820 YTTRIA COATED, AN AL ANNULAR BAFFLE, NEPTUNE, 300MM
visionsemi Used - $200.00 1 Dec/30/19 May/16/23
Description: APPLIED MATERIALS AMAT EPI 300MM INTERLOCK MODULE PCB 0190-14285
powersell007 NEW - $42,999.00 0 Jan/02/20 Dec/02/20
Description: AMAT 0010-41276 ENDURA MCA CHUCK ESC, PUCK ASSY, DT, 300MM 6000-81237 0040-89792
katiil3 Used - $399.00 0 Jan/02/20 Oct/23/21
Description: Applied materials / AMAT JACKING PLATE, AIR BEARING, RPG CHBR, 300MM 0270-03384
riddton NEW - $27,000.00 0 Dec/31/19 Oct/01/20
Description: 0010-59789 0040-82421 AMAT 300MM Producer Heater "New"
tommy_chou Used - $1,999.99 0 Dec/30/19 Jan/29/22
Description: NOVELLUS 16-162983-00 REV:A 300mm Heater Assembly
capitolareatech NEW - $1,299.95 0 Jan/04/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-05512 GAS DISTRIBUTION PLATE, APC, 300MM
capitolareatech NEW - $899.95 0 Jan/04/20 Aug/13/20
Description: Applied Materials (AMAT) 0021-53112 New Ring Cover Low Profile RF PVD 300mm
capitolareatech NEW - $895.95 0 Jan/04/20 Aug/13/20
Description: Applied Materials (AMAT) 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, B101 HEATER
j316gallery Used - $1,046.90 0 Jan/05/20 Mar/10/22
Description: 15923 APPLIED MATERIALS FEEDTHRU VECTRA-IMP, REV 4.5 300MM 0190-13298
capitolareatech Used - $699.95 0 Jan/04/20 Aug/13/20
Description: Applied Materials AMAT 0010-26750 SLIT VALVE DOOR ASSY ENDURA 300MM PC CH S
j316gallery Used - $1,465.80 0 Jan/05/20 Jun/03/21
Description: 15989 APPLIED MATERIALS DR BKNG 300MM SLIT VLV PROC SST W/0021-18101 0040-13911
capitolareatech NEW - $299.95 0 Jan/06/20 Aug/13/20
Description: LAM RESEARCH LAM 716-077262-004 RING EDGE TOP QTZ 300MM
athomemarket Used - $43.99 0 Jan/06/20 Apr/04/21
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $237.29 0 Jan/06/20 Apr/04/21
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $85.19 0 Jan/06/20 Apr/04/21
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
dr.dantom Used - $1,760.00 5 Jan/08/20 Nov/09/20
Description: Applied Materials/AMAT 0010-19010 MOTORIZED LIFT 300MM w/TILT GearBox 0190-07643
usedeqsales Used - $3,501.20 0 Jan/08/20 Feb/13/20
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0240-47117 Ultima X Working
usedeqsales Used - $3,501.20 0 Jan/08/20 Feb/13/20
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 Ultima X Working
novusferro Used - $599.00 0 Jan/08/20 Jan/23/22
Description: Applied Materials AMAT 0021-32929 Blade Robot 300mm
athomemarket Used - $360.00 0 Jan/09/20 Apr/07/21
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
dr.dantom Used - $600.00 1 Jan/12/20 Jul/13/20
Description: Brooks CTI-CRYOGENICS ON-BOARD P300 MODULE AMAT 0190-27354 Advanced PVD 300MM
capitolareatech NEW - $199.95 0 Jan/15/20 Aug/13/20
Description: Applied Materials (AMAT) 0190-13313 CABLE, ASSY. 300MM CHM CONVECTRON GAUGE
visionsemi NEW - $300.00 0 Jan/16/20 Jan/25/22
Description: APPLIED MATERIALS AMAT HOLDER LIFT PIN FLOATING DPSII 300MM 0040-88046 PACK OF 3
athomemarket NEW - $272.29 0 Jan/16/20 Mar/16/21
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
j316gallery Used - $2,094.00 0 Jan/16/20 Oct/28/21
Description: 16917 APPLIED MATERIALS REFLECTOR,LOWER, BOTTOM, 300MM 0040-89126
simt8005 Used - $59,999.00 0 Jan/17/20 Sep/05/22
Description: AMAT 0040-70247 LAMP HOUSING, BRAZED, FLEX FLANGE, 300MM
athomemarket Used - $34.39 0 Jan/17/20 Mar/16/21
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
athomemarket Used - $524.98 0 Jan/18/20 Mar/16/21
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket NEW - $382.49 1 Jan/19/20 Jun/02/20
Description: NEW Applied Materials AMAT 0200-01364 Window Quartz 5mm Thick 300mm 12"
ab-international NEW - $400.00 0 Jan/19/20 Jan/26/20
Description: Lot of 9 New AMAT Applied Materials 0021-34033 300mm Titan Profiler Membranes
athomemarket NEW - $93.79 0 Jan/20/20 Mar/18/21
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
powersell007 Used - $1,099.00 1 Jan/21/20 Dec/21/21
Description: APPLIED MATERIALS 0200-07514 INSULATOR, 300MM SOURCE ADAPTOR, CERAMIC AMAT
j316gallery Used - $366.45 0 Jan/21/20 Aug/05/21
Description: 15755 LAM RESEARCH PCB SYSTEM INTERLOCK 300MM 810-800031-401
dr.dantom Used - $300.00 3 Jan/23/20 Dec/12/20
Description: Applied Materials 0020-02348 Rev 009 Bottom Shield Clamp 300MM
usedeqsales Used - $1,251.20 1 Jan/23/20 Jan/29/20
Description: AMAT Applied Materials 0010-14862 Throttle Valve Universal Drive 300mm Working
athomemarket Used - $143.69 3 Jan/23/20 Jul/22/20
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
usedeqsales Used - $5,001.20 0 Jan/24/20 Dec/08/20
Description: AMAT Applied Materials 0020-79039 Spindle Housing Assembly 300mm Working Surplus
athomemarket NEW - $223.99 0 Jan/24/20 Mar/22/21
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
athomemarket NEW - $184.79 0 Jan/24/20 Mar/22/21
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
visionsemi NEW - $2,750.00 0 Jan/25/20 Jan/29/20
Description: APPLIED MATERIALS AMAT 300MM CERAMIC ROBOT BLADE 0200-06512
capitolareatech NEW - $35.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-41255 COVER SIDE, ENCLOSURE PLC 300MM ALD SIN
athomemarket NEW - $203.29 0 Jan/27/20 Mar/25/21
Description: NEW Applied Materials 0021-81437 Stainless Steel Middle Shield 300MM 12.46LG
capitolareatech Used - $1,345.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-00667 LINER, CERAMIC MIDDLE, SACVD 300MM PRODU
capitolareatech NEW - $36.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 0200-00426 QUARTZ WINDOW CLEAR,LCF-LL 300MM
manufacturingequipment Used - $3,948.75 0 Jan/29/20 Sep/21/21
Description: AMAT Applied Materials 0010-29248 TSDA ASSY, STANDARD MANIFOLD, 300MM REFLEXION
comwaysind Used - $1,987.00 2 Jan/30/20 May/23/22
Description: Applied Materials LCWE Pnuematics 300mm reflexion CMP 0100-02282 NEW
grandbirdnet NEW - $50.00 2 Feb/06/20 Apr/26/21
Description: AMAT 0035-00151 DIAPHRAGM PAD COND 300MM LK PO, NEW
vizvik16 NEW - $750.00 1 Feb/07/20 Mar/10/21
Description: APPLIED MATERIALS 0020-48305 COVER RING TI WITH CLEANCOAT 300MM ESIP TA(N)
katiil3 Used - $1,499.00 0 Feb/08/20 Oct/23/21
Description: Applied materials AMAT 0010-18024 Pyrometer 2MM Porbe 300MM Radiance RTP
katiil3 Used - $299.00 0 Feb/08/20 Oct/23/21
Description: Applied materials 0090-05594 PCB AC Filrwe 300mm E2 Lot of 2
techshop7777 NEW - $121.99 1 Feb/11/20 Apr/26/21
Description: New Lam Research System Interlock 300mm PCB Board Module Assembly 810-800031-300
visionsemi NEW - $3,000.00 0 Feb/12/20 Dec/30/21
Description: APPLIED MATERIALS AMAT HTR SHFT TEMP CONTROLLER 300MM SE/GT 0195-07795
novusferro Used - $599.00 1 Feb/12/20 Jun/30/20
Description: Applied Materials AMAT 0020-84596 Rev 08 Faceplate 300mm, Silane
usedeqsales Used - $3,502.20 1 Feb/13/20 Aug/25/22
Description: Newport 15-3702-1425-25 300mm Wafer Robot Kensington AMAT 0190-22248 Endura
usedeqsales Used - $3,502.20 0 Feb/13/20 Aug/25/22
Description: Newport 15-3702-1425-25 300mm Robot Kensington AMAT 0190-22248 Endura Copper Cu
ptb-sales Used - $648.00 1 Feb/13/20 Jun/09/20
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
visionsemi NEW - $500.00 0 Feb/14/20 Aug/25/22
Description: APPLIED MATERIALS AMAT OUTER RING, PPS, 20.5MM WIDTH 300MM TITAN EDGE 0041-36122
visionsemi NEW - $2,000.00 0 Feb/14/20 Aug/25/22
Description: APPLIED MATERIAL AMAT MOUNT PLATEN DRIVE MOTOR 300MM LK POL 0040-80083
getspares.com_sparesllc09 Used - $7,840.00 0 Feb/14/20 Jun/27/24
Description: 0041-08938 / ESC,TEST 300MM, DUAL HE, CVD / APPLIED MATERIALS
usedeqsales Used - $3,102.20 0 Feb/14/20 Aug/25/22
Description: Newport 15-3600-0300-01 300mm Wafer Prealigner AMAT 0190-16360 Endura Working
dom0808 Used - $4,398.90 0 Feb/15/20 Feb/11/22
Description: Lam Research ELCTD,INR,SI,300MM 716-069688-522
dom0808 Used - $4,398.90 0 Feb/15/20 Feb/11/22
Description: Lam Research ELCTD,INR,SI,300MM 716-069688-527
dom0808 Used - $4,398.90 0 Feb/15/20 Feb/11/22
Description: Lam Research ELCTD,INR,SI,300MM 716-069688-535
dom0808 Used - $4,398.90 0 Feb/15/20 Feb/11/22
Description: Lam Research ELCTD,INR,SI,POLISHED,300MM 716-069688-391
dom0808 Used - $4,398.90 0 Feb/15/20 Feb/11/22
Description: Lam Research ELCTD,INR,SI,POLISHED,300MM 716-069688-518
dom0808 Used - $1,098.90 0 Feb/15/20 Feb/11/22
Description: Lam Research MOD,RING,EDGE,TOP,SIC,300MM 719-210344-201
dom0808 Used - $1,098.90 0 Feb/15/20 Feb/11/22
Description: Lam Research RING,CPLG,CER,300MM 716-011969-202
dom0808 Used - $1,098.90 0 Feb/15/20 Feb/11/22
Description: Lam Research RING,CPLG,CER,W/O FLAT,300MM 716-040737-423
dr.dantom Refurbished - $450.00 2 Feb/17/20 Dec/12/20
Description: Applied Materials AMAT 0020-23549 REV 007 Shield Upper AL ARC-SPRAY SST 300mm
visionsemi Used - $2,000.00 0 Feb/17/20 Aug/25/22
Description: APPLIED MATERIALS AMAT ADAPTER, VACUUM ROBOT, PRODUCER, 200MM 300MM 0040-61514
getspares.com_sparesllc09 Used - $1,200.00 0 Feb/17/20 Jul/01/24
Description: 0040-48770 / BLOCK,ESC WATER MANIFOLD, 300MM HDPCVD / APPLIED MATERIALS
dom0808 Used - $737.00 0 Feb/18/20 Feb/11/22
Description: Lam Research QUARTZ, RING,EDGE,TOP,FLAT,QTZ,300MM 716-086795-228
spsglobal Used - $2,500.00 0 Feb/19/20 Sep/15/21
Description: 349-0401// AMAT APPLIED 0010-14862 ASSEMBLY, THROTTLE VALVE, 300MM DUAL FLA USED
dr.dantom NEW - $1,200.00 0 Feb/19/20 Aug/25/22
Description: AMAT Applied Materials 0041-37729 RETAINING RING GROOVED PPS AEP 300MM TITAN
dr.dantom NEW - $260.00 0 Feb/19/20 Aug/25/22
Description: AMAT Applied Materials 0010-41860 Assy Process Kit 300MM SIP ENCORE CU WITH COIL
dr.dantom NEW - $200.00 1 Feb/19/20 Apr/20/20
Description: AMAT Applied Materials 0040-41893 DOOR BACKING 300MM SLT RE REV 003
dr.dantom NEW - $1,500.00 3 Feb/19/20 May/23/22
Description: AMAT Applied Materials 0200-07448 HOUSING DOUBLE RF CONN 300MM SIP ENCORE
dr.dantom NEW - $120.00 0 Feb/19/20 Aug/25/22
Description: 7pcs Applied Materials 0270-05576 Tool Dummy Coil Shield Assy 300MM SIP ENCORE
dr.dantom NEW - $280.00 1 Feb/19/20 Mar/19/21
Description: AMAT Applied Materials 0040-75296 REV 004 KSM-1213-0847 BELLOWS WAFER LIFT 300MM
dr.dantom NEW - $350.00 0 Feb/19/20 Aug/25/22
Description: Applied Materials AMAT 0240-13190 Procedure/Fixture Kit for 300MM TITAN SP HEAD
dr.dantom NEW - $350.00 2 Feb/19/20 Apr/24/22
Description: 5pcs Applied Materials 0200-02262 Insulator Coil Support 300MM SIP ENCORE TA (N)
dr.dantom NEW - $320.00 6 Feb/19/20 Dec/02/21
Description: 2x AMAT Applied Materials 0200-02121 INSULATOR FEEDTHRU 300MM SIP ENCORE TA(N)
dr.dantom NEW - $40.00 0 Feb/19/20 Aug/25/22
Description: 2pcs Applied Materials 0020-86040 BAR RF SBS 300MM SIP ENCORE TA (N)
dr.dantom NEW - $40.00 2 Feb/19/20 Mar/22/24
Description: 5pcs Applied Materials 0020-24423 Plate Retainer 300mm SIP Encore TA(N)
getspares.com_sparesllc09 Used - $17,500.50 0 Feb/19/20 Mar/13/20
Description: 0010-17530 / ASSY, ENDURA 300MM DB, VHP ROBOT / APPLIED MATERIALS
capitolareatech NEW - $995.95 0 Feb/21/20 Jun/21/20
Description: Lam Research LAM 716-044668-435 LAM R HE 4XXX ESC WO FLAT 300MM SS
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 839-039317-003 ASSY RTNR SPR TL 300MM FLEX
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 713-034965-001 LAM PAD R CONF 010THK 300MM Rev D Lot of 4
senior-inc NEW - $1,000.00 1 Feb/24/20 Jun/02/20
Description: AMAT 0020-83277 PLATE PUMPING UHD LPCVD POLYGEN 300MM
getspares.com_sparesllc09 Used - $525.99 0 Feb/25/20 Feb/17/22
Description: 0020-48305 / COVER RING TI WITH CLEANCOAT 300MM ESIP TA / APPLIED MATERIALS
usedeqsales Used - $3,502.20 0 Feb/25/20 Apr/20/20
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 Working Spare
usedeqsales Used - $3,502.20 0 Feb/25/20 Dec/17/20
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17212 Working Spare
usedeqsales Used - $1,802.20 0 Feb/26/20 Aug/25/22
Description: AMAT Applied Materials 0021-81146 Ring Teflon 18" Dome 300mm HDPCVD New Surplus
dom0808 Used - $170.50 0 Feb/27/20 Feb/11/22
Description: Lam Research 300mm, HEATER FILTER 810-802969-002
dr.dantom Used - $1,399.00 9 Mar/03/20 May/08/23
Description: AMAT Applied Materials 0040-61317 Quartz 300mm Bell Jar 21OD PC Spidor
farmoninc NEW - $6,500.00 0 Mar/03/20 Aug/25/22
Description: LAM 857-189084-711 Kit, Showerhead, 300mm, WCVD, Novellus 16-289070-00, 452732
getspares.com_sparesllc09 Used - $950.00 0 Mar/04/20 Feb/17/22
Description: 0021-22064 / COVER RING, TTN, 300MM PVD / APPLIED MATERIALS AMAT
bluejen999 Used - $17,200.00 0 Mar/04/20 Mar/05/20
Description: AMAT VHP Robot 300mm Corrosion Resistant 0021-19038
spsglobal Used - $60.00 0 Mar/05/20 Aug/25/22
Description: 324-0201// AMAT APPLIED 0021-37889 MOUNT ACME NUT 300MM UNIVERSAL LIFT NEW
manufacturingequipment NEW - $1,822.50 0 Mar/06/20 Sep/21/21
Description: AMAT Applied Materials 0041-42533 PUMPING PLATE 300MM
getspares.com_sparesllc09 Used - $180.68 0 Mar/06/20 Feb/17/22
Description: 0020-63713 / CLAMP HEATED INTRG GAS LINES 300MM DPS2 / APPLIED MATERIALS AMAT
yuuuup11 NEW - $749.99 0 Mar/06/20 Aug/25/22
Description: NEW SEALED APPLIED MATERIALS SI COLLAR 300MM 5.4 PROD RING W/DS TAPE 0010-45060
cosplity Used - $1,900.00 0 Mar/06/20 Sep/07/22
Description: AMAT 0010-14702 MANIFOLD ASSY ZONE-2, 4 PORT UPA 300MM
manufacturingequipment Used - $1,350.00 0 Mar/09/20 Sep/21/21
Description: AMAT Applied Materials 0041-42533 PUMPING PLATE 300MM
farmoninc Used - $1,500.00 0 Mar/09/20 Aug/25/22
Description: AMAT 0040-86768 GasBox, Blocker, Bypass, Heated Producer, 300MM, 452793
farmoninc Used - $1,500.00 0 Mar/09/20 Aug/25/22
Description: AMAT 0021-81247 Faceplate Sequoia APF 300MM Ai CIP 2, Reflectivity & Flow Tester
manufacturingequipment Used - $1,181.25 0 Mar/10/20 Sep/21/21
Description: AMAT Applied Materials 0021-96726 FLAT COVER RING, VHF, 300MM RF PVD
farmoninc NEW - $4,500.00 0 Mar/10/20 Aug/25/22
Description: AMAT 0021-81247 Faceplate Sequoia APF 300MM Ai CIP 2, Reflectivity & Flow Tester
j316gallery Used - $15,121.80 0 Mar/10/20 Feb/17/22
Description: 3201 APPLIED MATERIALS 300MM MCA E-CHUCK TXZ HTR W/ 0040-91179
j316gallery Used - $319.89 0 Mar/10/20 Feb/17/22
Description: 13398 APPLIED MATERIALS 300MM DEPOSITION RING,CENTURA 0021-19471
j316gallery Used - $255.91 0 Mar/10/20 Feb/17/22
Description: 10881 APPLIED MATERIALS DOOR SPD SLIT VALVE VITON PROCESS 300MM 0040-84391
manufacturingequipment Used - $658.13 0 Mar/11/20 Sep/21/21
Description: Lam Research, 716-014843-356, RING, WAP, QTZ, GENERIC, 17 IN, 300MM, SEALED
j316gallery Used - $581.61 0 Mar/12/20 Feb/17/22
Description: 16682 APPLIED MATERIALS EMITTER/RECEIVER OTF 300MM 0020-13836
j316gallery Used - $581.61 0 Mar/12/20 Feb/17/22
Description: 16683 APPLIED MATERIALS EMITTER/RECEIVER OTF 300MM 0020-13836
manufacturingequipment NEW - $5,087.82 0 Mar/12/20 Sep/21/21
Description: AMAT Applied Materials 0242-44072 KIT, 300MM PCII INNER OUTER SHIELD ASSY
getspares.com_sparesllc09 Used - $1,500.00 1 Mar/12/20 Jun/29/20
Description: 0010-30322 / AMAT ENDURA II TXZ 300MM ISAC TOWER / AMAT
maxisemi1349 Used - $375.00 1 Mar/12/20 Feb/17/22
Description: 0200-07761 PCII PIN ANTENNA 300MM, lot of 10
farmoninc NEW - $7,500.00 0 Mar/12/20 Feb/17/22
Description: AMAT 0010-28024 Head Sweep Assembly, NSK XY-FR-E131178, 300MM, CMP, LK, 452907
j316gallery Used - $2,035.63 0 Mar/12/20 Feb/17/22
Description: 16341 APPLIED MATERIALS ACTUATOR SPD SLIT VALVE 300MM ASSY 0010-34370
j316gallery Used - $3,489.65 0 Mar/12/20 Feb/17/22
Description: 16373 APPLIED MATERIALS ASSY, TC AMP 300MM 0010-21740
j316gallery Used - $2,035.63 0 Mar/12/20 Feb/17/22
Description: 16343 APPLIED MATERIALS ACTUATOR SPD SLIT VALVE 300MM ASSY 0010-34370
manufacturingequipment NEW - $8,505.00 0 Mar/13/20 Sep/21/21
Description: AMAT Applied Materials 0040-79200 CHAMBER UPPER Y2O3 300MM DPS II
manufacturingequipment Used - $3,341.25 0 Mar/13/20 Sep/21/21
Description: AMAT Applied Materials 0040-79200 CHAMBER UPPER Y2O3 300MM DPS II - Cleaned
manufacturingequipment NEW - $2,430.00 0 Mar/13/20 Sep/21/21
Description: AMAT Applied Materials 0200-07209 QUARTZ LINER, SLIT VALVE TUNNEL, 300MM EP
manufacturingequipment Used - $472.50 0 Mar/13/20 Sep/21/21
Description: Lam Research 716-044668-571 RING, HE, W/O FLAT,SGL XTL, 300MM
manufacturingequipment NEW - $590.63 0 Mar/16/20 Sep/21/21
Description: Lam Research 716-040737-431 R, CPLG, QTZ, BIAS PU, W/O FL, 300MM
novusferro Used - $4,999.00 0 Mar/17/20 Feb/17/22
Description: Applied Materials AMAT 0040-33215 Lased, Pedestal, 300MM
manufacturingequipment Used - $472.50 0 Mar/17/20 Sep/21/21
Description: Lam Research, 716-014843-381, RING, WAP, QTZ, 17IN, SLTD, 300MM
manufacturingequipment Used - $523.13 0 Mar/17/20 Sep/21/21
Description: Lam Research, 716-017112-652, RING, WAP, 17.07X1.555THK, 300MM, Sealed
getspares.com_sparesllc09 Used - $3,500.03 0 Mar/17/20 Nov/17/20
Description: 15-256677-00 / END EFFECTOR 300MM CERAMIC / NOVELLUS
manufacturingequipment NEW - $3,037.50 0 Mar/17/20 Sep/21/21
Description: AMAT Applied Materials 0021-85656 FACEPLATE 300MM H016 XGEN LP
usedeqsales Used - $3,003.20 0 Mar/18/20 Jun/10/22
Description: AMAT Applied Materials 0041-32575 300mm Titan Dampened Carrier Cu Refurbished
getspares.com_sparesllc09 Used - $9,400.03 0 Mar/18/20 Feb/17/22
Description: 0010-35457 / EPSILON 300MM ASSY, BASE SUB-LEVEL / APPLIED MATERIAL AMAT
manufacturingequipment Used - $540.00 5 Mar/19/20 Sep/01/21
Description: Lam Research 716-082039-003 OUTER ELECTRODE, .10 STEP, 300MM
j316gallery Used - $921.36 1 Mar/19/20 Feb/17/22
Description: 16845 APPLIED MATERIALS PCB, NEXT GEN GAS PANEL PCB, EPI 300MM 0100-02723
manufacturingequipment Used - $573.75 0 Mar/25/20 Sep/21/21
Description: AMAT Applied Materials 0200-04366 LINER, BOTTOM, 300MM CUBS CLEAN
getspares.com_sparesllc09 Used - $1,250.68 0 Mar/24/20 Feb/17/22
Description: 0020-89916 / LINER CHAMBER EXHAUST 300MM / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $1,400.82 0 Mar/24/20 Feb/17/22
Description: 0040-02937 / WATER MANIFOLD, TXZ CIP 200 & 300MM / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $812.41 0 Mar/23/20 Feb/15/22
Description: 0021-19312 / PLATE, BLOCKER TXZ, 300MM, CLEANED AND CERTIFIED / AMAT
getspares.com_sparesllc09 Used - $1,000.68 0 Mar/23/20 Feb/17/22
Description: 0200-06508 / HSR, SHIELD TOP CERAMIC,300MM TXZ / AMAT
dgold32 Scrap, for parts - $6,999.99 0 Mar/23/20 Apr/08/20
Description: Applied Materials AMAT 0010-27430 -001 , Heater, 300mm Hi Temp, Used,
manufacturingequipment NEW - $3,022.32 0 Mar/23/20 Sep/21/21
Description: AMAT Applied Materials 0021-86050 INTERNAL MEMBRANE CLAMP, 300MM TITAN EDG
maxisemi1349 Used - $325.00 0 Mar/25/20 Feb/17/22
Description: 15-054277-00 PIN,LIFT 300MM WCVD
athomemarket NEW - $999.00 1 Mar/24/20 Mar/16/21
Description: NEW Novellus 02-324753-00 300mm Vector Spindle Assembly Bodine Camco Ferguson
jsban11 Refurbished - $30,000.00 0 Mar/25/20 Sep/25/20
Description: 0010-59789 0040-82421 AMAT 300MM Producer Heater refurbished
manufacturingequipment Used - $1,670.63 0 Mar/26/20 Sep/21/21
Description: Lam Research 715-072829-004 PLATE, BKG, ELECTRODE, AL, 300MM
usedeqsales Used - $150.08 6 Mar/26/20 Mar/30/20
Description: AMAT Applied Materials 0020-28944 300mm Profiler Weight Ring Working Surplus
maxisemi1349 Used - $1,500.00 0 Mar/26/20 Jan/23/22
Description: 0200-00991 CERAMIC BLADE, 300MM HIGH SHOE, used sold "as is"
powersell007 Used - $4,999.00 1 Apr/02/20 May/02/22
Description: APPLIED MATERIALS 0010-42741 ASSY, HIGH EFF. RF MATCH-BIAS 300MM AMAT 0021-21229
getspares.com_sparesllc09 Used - $850.68 1 Apr/01/20 Sep/23/22
Description: 0021-12855 / HOUSING QUARTZ TUBE, TXZ 300MM / APPLIED MATERIALS AMAT
manufacturingequipment NEW - $6,530.63 0 Mar/31/20 Sep/21/21
Description: AMAT Applied Materials 0240-13020 KIT 300MM SINGLE BLADE ROBOT BEARING PM
powersell007 Used - $19,999.00 0 Mar/31/20 Aug/25/22
Description: APPLIED MATERIALS 0040-08137 ETCH CHAMBER 300MM ESC CATHODE RF 0041-85607 AMAT
ciscorpor Used - $2,800.00 0 Mar/31/20 Mar/24/21
Description: AMAT PYRO 300MM RADIANCE RTP 0010-18024
manufacturingequipment NEW - $1,012.50 0 Mar/30/20 Sep/21/21
Description: AMAT Applied Materials 0200-05512 Gas Distribution Plate, APC, 300MM
manufacturingequipment Used - $1,518.75 0 Apr/02/20 Sep/21/21
Description: AMAT Applied Materials 0021-95728 MIXER PLUG, ALD TAN 300MM
manufacturingequipment Used - $3,037.50 0 Apr/02/20 Sep/21/21
Description: AMAT Applied Materials 0020-87791 PLASMA SCREEN Y203 COATED 300MM DPSII -Cleaned
semikorea Used - $34,000.00 0 Apr/03/20 Apr/10/23
Description: Applied Materials Heater, 300mm Hi Temp, 0010-27431, Used
manufacturingequipment NEW - $3,037.50 0 Apr/03/20 Sep/21/21
Description: AMAT Applied Materials 0041-05749 PUMPING PLATE SICONI 300MM TWIN
manufacturingequipment Used - $2,733.75 0 Apr/03/20 Sep/21/21
Description: AMAT Applied Materials 0020-87791 PLASMA SCREEN Y203 COATED 300MM DPSII-Repaired
manufacturingequipment NEW - $675.00 0 Apr/03/20 Sep/21/21
Description: Lam Research 849-800096-342 KIT, CLEAN, 2300, 300MM, METAL / Novellus
visionsemi Used - $3,300.00 0 Apr/04/20 Jan/27/22
Description: AMAT APPLIED MATERIALS CENTURA 300MM LINEAR PLATFORM PCB 0100-02292 USED
grandbirdnet Used - $4,600.00 0 Apr/07/20 Mar/19/21
Description: AMAT 0190-11332 SPINDLE MOTOR 300MM LK REFLEXION D101B-93-1210-014, USED
manufacturingequipment NEW - $5,467.50 0 Apr/06/20 Sep/21/21
Description: AMAT Applied Materials 0041-43374 CAP, INJECT, 2 PORT, ROW EPI, 300MM
manufacturingequipment NEW - $7,256.25 1 Apr/06/20 Jul/24/21
Description: AMAT Applied Materials 0040-03899 Ti (4N5) EB3 Coil 300MM, 1/4" THK
simt8005 NEW - $35,000.00 0 Apr/07/20 Sep/05/22
Description: AMAT 0010-47796 LIDASSEMBLY , MELDIN CLAMP WCR, 300MM DPN
nps NEW - $239.99 0 Apr/07/20 Sep/22/20
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
manufacturingequipment Used - $5,163.75 0 Apr/09/20 Sep/21/21
Description: AMAT Applied Materials 0050-97420 WELDMENT, FINAL LINE ALD 300MM
simt8005 NEW - $2,500.00 3 Apr/10/20 Jun/17/22
Description: AMAT 0040-48318 Bellows, 300MM Preclean Pedestal
powersell007 Used - $899.00 0 Apr/13/20 Dec/13/23
Description: APPLIED MATERIALS 0020-47727 COVER RING PVD CLEAN TUNGSTEN (W) ENDURA 300MM AMAT
usedeqsales Used - $17,004.20 0 Apr/14/20 Feb/26/21
Description: AMAT 0190-04213 300mm HDPCVD Primary A/C Distribution Box Centura Ultima Working
usedeqsales Used - $15,252.10 0 Apr/15/20 Dec/08/20
Description: AMAT Centura Ultima X 0010-08687 HDP-CVD 300mm Chamber B 0010-11586 Working
usedeqsales Used - $25,004.20 0 Apr/15/20 May/07/20
Description: AMAT Centura Ultima X 0010-08687 HDP-CVD 300mm Chamber A 0010-11586 Working
powersell007 Used - $499.00 0 Apr/16/20 Feb/17/22
Description: APPLIED MATERIALS 0021-24185 CENTERING RING, LINER, BOTTOM, 300MM SE AMAT
mcclainsgear Used - $500.00 14 Apr/16/20 Feb/17/22
Description: Applied AMAT ENDURA XP 0010-29842 ASSY 300mm DBR High Temp Belt Wrist Titanium
sdmaterials NEW - $95.00 0 Apr/16/20 Aug/15/22
Description: AMAT APPLIED MATERIALS 0200-01195 WINDOW, IEP 300MM DPS2, SAPPHIRE
j316gallery Used - $837.60 0 Apr/17/20 Feb/22/21
Description: 7669 APPLIED MATERIALS MANF GP PNEUM 300MM ULTIMA 0190-01401
manufacturingequipment Used - $1,012.50 0 Apr/17/20 Sep/21/21
Description: (AMAT) Applied Materials 0200-11342 CERAMIC EDGE RING, CERAMIC HEATER 300MM
manufacturingequipment NEW - $9,720.00 0 Apr/20/20 Sep/21/21
Description: AMAT Applied Materials 0240-42172 KIT, 300MM CORROSION RESISTANT DBR BEARING
manufacturingequipment NEW - $675.00 0 Apr/22/20 Sep/21/21
Description: AMAT Applied Materials 0200-03979 LINER, 300MM APC
usedeqsales Used - $3,505.19 1 Apr/22/20 Apr/24/20
Description: AMAT Applies Materials 0010-21748 RF Match 300mm Preclean/RPC Copper Cu Working
manufacturingequipment Used - $371.25 0 Apr/23/20 Sep/21/21
Description: AMAT Applied Materials 0050-70935 GASLINE, AR PROC, PLT-CHAMBER, RPG CHBR, 300MM
manufacturingequipment Used - $911.25 0 Apr/23/20 Sep/21/21
Description: AMAT Applied Materials 0020-89922 RING, DEPOSITION, FLANGED, AL ARC SPRAY 300MM
manufacturingequipment NEW - $3,796.88 0 Apr/23/20 Sep/21/21
Description: AMAT Applied Materials 0270-03361 TOOL, LID LEAK TESTER, 300MM ALD TAN TXZ ASSY
manufacturingequipment Used - $212.63 0 Apr/24/20 Sep/21/21
Description: AMAT Applied Materials 0021-61320 DEP RING, CLAMPED CLEANCOAT, 300MM ESC
manufacturingequipment Used - $236.25 1 Apr/24/20 Jun/26/21
Description: LAM Research 04-289663-00 PM KIT,300MM,CYLINDER REBUILT // Novellus 22-287767-00
getspares.com_sparesllc09 Used - $7,195.28 0 Apr/24/20 Jul/16/21
Description: 839-019090-608 / ESC, TUNABLE 4-ZONE 300MM NO EPOXY / LAM
manufacturingequipment NEW - $2,794.50 0 Apr/28/20 Sep/21/21
Description: AMAT Applied Materials 0200-06405 Ceramic Lid AG1000 Dual Gas Feed 300MM DPS-New
usedeqsales Used - $2,505.20 1 May/01/20 Jul/21/22
Description: AMAT Applied Materials 0220-06108 300mm Lid HPM Dual Gas Feed DPS 2 New Surplus
usedeqsales NEW - $1,005.20 1 May/01/20 Sep/03/20
Description: AMAT Applied Materials 0200-02407 Pumping Ring Ceramic C-Channel Side 1 300mm P
usedeqsales NEW - $1,005.20 1 May/01/20 Oct/10/20
Description: AMAT Applied Materials 0200-01427 Isolator Ceramic CVD Low K Producer 300mm New
visionsemi Used - $899.00 0 May/04/20 Dec/06/21
Description: AMAT APPLIED MATERIALS TITAN 300MM OUTER EDGE RING 20.5MM WIDTH 0041-36122 NEW
powersell007 Used - $1,999.00 0 May/06/20 Dec/06/23
Description: APPLIED MATERIALS 0040-81673 0040-53718 ESC 300MM OD 5MM NON-CU PRODUCER CHUCK
mcclainsgear Used - $150.00 1 May/06/20 Jun/27/22
Description: 0040-75718 WRIST ASSY, ROBOT APPLIED MATERIALS AMAT Titanium 300mm
usedeqsales Used - $605.20 1 May/06/20 Oct/09/20
Description: AMAT Applied Materials 0200-07514 Insulator 300mm Source Adaptor Ceramic Working
usedeqsales Used - $1,505.20 0 May/06/20 Oct/01/21
Description: AMAT Applied Materials 0200-01425 300mm CVD Low K Isolator Pumping Ring New
usedeqsales Used - $13,005.20 1 May/07/20 May/09/20
Description: AMAT Applied Materials 0040-91179 300MM MCA E-Chuck TxZ Heater 0020-83936 Spare
dnd_surplus Used - $7,000.00 1 May/08/20 Dec/23/20
Description: ESC Assy, 300MM, Dual Electrode 0040-63476 0010-16392
j316gallery Used - $7,329.00 0 May/10/20 Dec/22/21
Description: 1046 APPLIED MATERIALS LID RIGHT VIEWPORTS 300MM TWIN 0040-07390
usedeqsales Used - $8,505.20 0 May/11/20 Aug/18/20
Description: AMAT Applied Materials 0010-16858 300mm Heater Pedestal SDMAC FDR SLTESC Working
j316gallery NEW - $1,047.00 0 May/12/20 Oct/13/21
Description: 18542 APPLIED MATERIALS CERAMIC PUMPING RING, 300MM (NEW) 0200-03403
j316gallery Used - $367.02 4 May/12/20 Nov/15/23
Description: 18549 NOVELLUS ESC BELLOWS 4.00 STROKE PENDING 625 300MM 16-159939-00
usedeqsales NEW - $4,505.20 3 May/13/20 Dec/10/20
Description: AMAT Applied Materials 0020-54777 Inner Shield 300mm SIP New Surplus
powersell007 Used - $1,299.00 0 May/18/20 Feb/16/23
Description: APPLIED MATERIALS 0020-19258 PEDESTAL TITANIUM 300MM AMAT *UNUSED, SEALED*
usedeqsales Used - $1,505.20 0 May/15/20 Dec/06/23
Description: Lam Research 16-383779-02 Showerhead 300mm 15" NC LL STD PTTN C3VCTR Working
usedeqsales Used - $502.60 0 May/15/20 Dec/07/23
Description: Lam Research 16-383780-02 Showerhead 300mm 15" NC LL STD PTTN Working Surplus
j316gallery Used - $850.00 0 May/18/20 Nov/26/20
Description: 18582 NOVELLUS HEATER PEDESTAL ASSY 300MM 16-377679-00
powersell007 NEW - $1,099.00 0 May/19/20 Dec/25/20
Description: APPLIED MATERIALS 0021-19342 SHIELD INNER 300MM PCII/ RPC+ AMAT *UNUSED, SEALED*
j316gallery Used - $23,264.30 0 May/19/20 Dec/21/22
Description: 18690 APPLIED MATERIALS 300MM MCA E-CHUCK TXZ HTR 0040-91179
powersell007 Used - $499.00 1 May/20/20 Nov/20/23
Description: APPLIED MATERIALS 0200-02713 COVER RING ECHUCK 300MM PCXTE AMAT *UNUSED*
powersell007 Used - $4,299.00 4 May/20/20 Nov/20/21
Description: APPLIED MATERIALS 0010-13692 CLAMP LID ASSY, CHEM/ CHEMRAZ, 300mm EMAX AP AMAT
alvin1462 Used - $5,000.00 1 May/21/20 May/23/20
Description: AMAT Applied Materials 0040-91179 Heater Purge Assembly 300mm TXZ
alvin1462 Used - $5,000.00 1 May/24/20 Apr/02/22
Description: AMAT Applied Materials 0051-16170 300mm Dual Zone Vacuum Purge heater
powersell007 Used - $27,999.00 1 May/25/20 Dec/25/21
Description: APPLIED MATERIALS 0010-26264 0040-88097 HEATER ASSEMBLY HA12 VACUUM CHUCK 300MM
visionsemi Used - $100.00 1 May/28/20 Feb/28/23
Description: AMAT APPLIED MATERIALS 300MM TITAN PROFILER 5-ZONE MEMBRANE 0021-34033
sales_eparts NEW - $18,495.00 1 May/30/20 May/30/20
Description: Brand NEW Novellus 300mm Bell Jar Dome - P/N: 02-259925-00 Rev. B
powersell007 Used - $219.00 0 Jun/04/20 Dec/04/23
Description: APPLIED MATERIALS 0200-16076 WEIGHT LIFT PIN 300MM HEATER AMAT *UNUSED, SEALED*
bestoneshop-korea Used - $999.99 1 Jun/08/20 Oct/20/21
Description: AMAT 0190-23942 DPS 232, 300mm ESC CHUCK 0190-23835 Rev.003
getspares.com_sparesllc09 NEW - $992.21 1 Jun/09/20 Jan/30/23
Description: 0021-14589 / BLOCKER PLATE 300MM XGEN / APPLIED MATERIALS AMAT
j316gallery Used - $12,433.10 1 Jun/09/20 Oct/20/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
j316gallery Used - $13,087.50 0 Jun/09/20 Dec/03/21
Description: 19188 APPLIED MATERIALS EPI DIVISIONAL 300MM ROT CENTURA 0010-46151
j316gallery Used - $11,500.00 1 Jun/09/20 Oct/20/20
Description: 19187 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
j316gallery Used - $5,000.00 0 Jun/11/20 Jan/07/21
Description: 5337 APPLIED MATERIALS ADAPTER WATER-COOLED STD PVD, 300MM EN 0040-89818
alvin1462 Used - $5,000.00 1 Jun/12/20 Dec/15/20
Description: AMAT Applied Materials 0040-91179 Heater Purge Assembly 300mm TXZ
j316gallery NEW - $194.89 1 Jun/14/20 Jul/24/23
Description: 19224 APPLIED MATERIALS ROLLING SEAL 60 DUROMETERS 300MM TITAN (NEW) 0020-22958
powersell007 NEW - $1,199.00 2 Jun/16/20 Apr/05/21
Description: APPLIED MATERIALS 0021-26565 DISK SHUTTER ESC HOT AL CENTER PIN BLUE 300MM AMAT
usedeqsales Used - $1,253.10 1 Jun/16/20 Dec/11/23
Description: AMAT Applied Materials 0010-14862 Throttle Valve Universal Drive 300mm New Spare
farmoninc Used - $4,500.00 0 Jun/16/20 Nov/04/21
Description: AMAT 0041-02324 Cathode Base, Ultima, 300MM, 452974
dom0808 Used - $29,698.90 0 Jun/16/20 Feb/11/22
Description: AMAT Applied Materials DPS 300mm Mesa Source 5/15 Coils 0010-52539
dom0808 Used - $16,060.00 0 Jun/16/20 Feb/11/22
Description: AMAT Applied Materials DPS 300mm Chamber Upper Y203 0040-79200
dom0808 Used - $5,607.80 0 Jun/16/20 Feb/11/22
Description: AMAT Applied Materials DPS 300mm Common Mesa Source Retrofit Assembly 0010-43816
j316gallery Used - $3,036.30 1 Jun/16/20 Feb/23/21
Description: 19269 LAM RESEARCH METAL ETCH PLD/LD GAS DIST 300MM W/ GRV HTR 715-801916-008
farmoninc Used - $1,450.00 0 Jun/17/20 Jun/18/20
Description: LAM 839-226267-001 Heater Chuck, 300MM, 1035131-3515-001, Novellus, 452975
novusferro Used - $99.00 0 Jun/18/20 Jan/02/23
Description: Lam Research 810-800031-300 Rev B System Interlock, 300mm Assembly
pdcsystems Used - $9,450.00 0 Jun/18/20 Mar/16/21
Description: APPLIED MATERIALS (AMAT) 0040-85475 REV 4 251-M3523 300mm Ceramic heater Rev 4
ryacas6 Used - $300.00 1 Jun/19/20 Nov/02/21
Description: ESC,300MM,IXT Innova NOVELLUS 15-270165-00 SEMCO
hez234 Used - $399.00 0 Jun/21/20 Jun/12/22
Description: APPLIED MATERIALS 300MM PRODUCER LEFT OUTPUT MANIFOLD 0040-03490 AMAT
hez234 Used - $299.00 0 Jun/21/20 Jun/12/22
Description: APPLIED MATERIALS 300MM PRODUCER RIGHT OUTPUT MANIFOLD 0040-03489 AMAT
j316gallery Used - $209.40 0 Jun/22/20 Oct/10/21
Description: 19302 NOVELLUS ASSY, THERMOCOUPLE, 300MM, SPRING LOADED 02-120403-00
usedeqsales Used - $2,506.20 0 Jun/24/20 May/30/24
Description: AMAT Applied Materials 0270-02530 300mm TXZ View Lid Assembly New Surplus
bridge_tronic_global Used - $1,100.00 0 Jun/25/20 Jul/17/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Jun/24/20 Jul/17/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Jun/24/20 Jul/17/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Jun/24/20 Jul/17/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
pdcsystems Used - $8,252.50 0 Jun/25/20 Mar/18/21
Description: Applied Materials (AMAT) 0010-26524 300mm Rotation chamber position CENTURA TCG
bridge_tronic_global Used - $499.00 0 Jun/29/20 Jul/24/20
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
pdcsystems NEW - $400.00 0 Jun/30/20 Mar/18/21
Description: APPLIED MATERIALS (AMAT) 0021-30906 CLAMP BLADE 300MM DBR
pdcsystems Used - $35.00 0 Jun/30/20 Mar/18/21
Description: Applied Materials (AMAT) 0050-75275 RETURN ADAPTER, 300MM WATER MANIFOLD
visionsemi Used - $18,000.00 0 Jun/30/20 Jul/10/20
Description: AMAT Applied Materials Heater 300mm ESC 0010-24456 Endura2
j316gallery Used - $3,796.74 1 Jul/01/20 Mar/13/23
Description: 19396 NOVELLUS HDP RF DISTRIBUTION PLATE 300MM 15-122731-01
powersell007 Used - $4,999.00 2 Jul/02/20 Oct/27/22
Description: APPLIED MATERIALS 0041-12156 REFLECTOR PLATE 300MM RADIANCE + AMAT *REFURBISHED*
powersell007 Used - $799.00 0 Jul/07/20 Dec/07/23
Description: APPLIED MATERIALS 0200-14094 CERAMIC RING DEPOSITION XESC CLEANCOAT Al2O3 300MM
spsglobal Used - $5,000.00 0 Jul/09/20 Nov/29/21
Description: 328-0301// AMAT APPLIED 0010-26180 HE RF MATCH, BIAS W/ FILTER PCB, 300MM [ASIS]
spsglobal Used - $340.00 0 Jul/09/20 Dec/07/21
Description: 105-0401// AMAT APPLIED 0190-13172 SPECIFICATION PCB, ENDURA CL 300MM LOAD [NEW]
usedeqsales Used - $2,203.96 1 Jul/10/20 Jul/12/21
Description: AMAT Applied Materials 0010-35937 300mm VRB 2 MHz RF Match Assembly New Surplus
athomemarket NEW - $47.99 0 Jul/12/20 Sep/10/21
Description: NEW Applied Materials/AMAT 0020-46291 Chamber Mounting 300mm Left Bracket MF
powersell007 Used - $299.00 0 Jul/13/20 Nov/01/21
Description: APPLIED MATERIALS 0270-05812 DEGAS GAS SPRING COMPRESSION TOOL, 300MM AMAT
techequipsales Used - $1,000.00 1 Jul/14/20 Dec/04/20
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
techequipsales Used - $2,000.00 1 Jul/14/20 Jan/18/21
Description: LAM Research 839-800327-385 ESC ASSY DZ CLG 2 FNSH 300MM *used working*
j316gallery Used - $785.25 1 Jul/15/20 Jun/29/21
Description: 19694 APPLIED MATERIALS HDPCVD ESC, 300MM DUAL HE (PARTS) 0040-48594
maxisemi1349 Used - $175.00 2 Jul/15/20 Aug/14/21
Description: 15-119067-00 MODIFIED, CUP, END EFFECTOR 300MM, SABRE
t-n-auctions Used - $399.99 0 Jul/16/20 Jun/19/24
Description: Applied Materials 300MM SPD SLIT VALVE DOOR p/n 0040-84391 New opened box
senior-inc NEW - $8,000.00 0 Jul/17/20 Jul/08/21
Description: AMAT 0041-05536 CHAMBER LINER Y2O3 DIRECT COOLED EMAX 300MM
visionsemi Used - $170.00 1 Jul/17/20 Apr/07/23
Description: APPLIED MATERIALS AMAT MEMBRANE CLAMP C 300MM TITAN 0041-77748 REV03
bridge_tronic_global Used - $1,100.00 0 Jul/17/20 Aug/14/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Jul/17/20 Aug/14/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Jul/17/20 Aug/14/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Jul/17/20 Aug/14/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
athomemarket NEW - $139.99 0 Jul/20/20 Sep/18/21
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing Hose Assy. Endura 300mm
spsglobal Used - $4,200.00 0 Jul/21/20 Jul/30/23
Description: 126-0601// AMAT APPLIED 0140-06662 HARNESS ASSY, 300MM EMAX [NEW]
usedeqsales Used - $607.20 1 Jul/22/20 Apr/20/21
Description: Lam Research 715-801021-012 300mm Upper Liner Working Surplus
athomemarket Used - $799.98 0 Jul/22/20 Sep/20/21
Description: AMAT/Applied Materials 0041-26723 Rev. 02 Bonded Assembly CESC 300mm ESC
noam-tech Used - $2,000.00 0 Jul/23/20 Sep/01/24
Description: 0040-47830 Plate, Source Frame / 0040-47830 / Rev 004 / BLF / from 300mm Chamber
noam-tech Used - $2,500.00 1 Jul/23/20 Sep/01/24
Description: 0020-26089 Gear Head / 0020-26089 / Rev 001 / BLF / from 300mm Chamber Lid Top /
noam-tech Used - $520.00 0 Jul/23/20 Sep/01/24
Description: 0040-21402 Bellows, 300mm Life Assy HDP-CVD
noam-tech Used - $541.00 0 Jul/23/20 Sep/01/24
Description: 0041-12354 Slit Vale Door, 300mm
noam-tech NEW - $2,500.00 0 Jul/23/20 Sep/01/24
Description: 0040-76577 300MM 5ZONE Profiler / 0040-76577 / Rev 002 / ( NEW) AMAT / With Ki
noam-tech Used - $1,300.00 0 Jul/23/20 Sep/01/24
Description: 0040-84679 Blanl off 300mm PVD Chamber / Rev 003 / Applied Materials
noam-tech Used - $2,500.00 2 Jul/23/20 Oct/23/23
Description: 0040-60456 Frame, 0040-60456 / Rev 006 / BLF 0207 / V357 / from 300mm Chamber Li
noam-tech Used - $2,500.00 0 Jul/23/20 Sep/01/24
Description: 0020-01999 Bracket, Magnet Assy 0020-01999 / Rev 006 / from 300mm Chamber Lid To
noam-tech Used - $750.00 0 Jul/23/20 Sep/01/24
Description: 0030-00082 Cover, Gear Head / 0030-00082 / Rev 004 / Black / from 300mm Chamber
noam-tech Used - $750.00 0 Jul/23/20 Sep/01/24
Description: 0035-20023 Cover, Gear Head / 0035-20023 / Rev 002 / Black / from 300mm Chamber
noam-tech Used - $500.00 0 Jul/23/20 Sep/01/24
Description: 0021-19032 Spacer, 0021-19032 / Rev 004 / 0.25 MM / BLF / from 300mm Chamber Lid
noam-tech Used - $1,000.00 0 Jul/23/20 Sep/01/24
Description: 0020-62693 Cover, Lid Assy / 0020-62693 / Rev 001 / PSC / Black / from 300mm Ch
noam-tech Used - $500.00 0 Jul/23/20 Sep/01/24
Description: 0021-19035 Spacer, 0021-19035 / Rev 004 / 1 MM / BLF / from 300mm Chamber Lid To
noam-tech Used - $1,500.00 0 Jul/23/20 Sep/01/24
Description: 0040-23319 Module, Valve, 0040-23319 / Rev 004 / from 300mm Chamber Lid Top / A
noam-tech Used - $750.00 0 Jul/23/20 Sep/01/24
Description: 0040-24280 Handel, 0040-24280 / Rev 003 / LTP / from 300mm Chamber Lid Top / Ap
noam-tech Used - $1,500.00 0 Jul/23/20 Sep/01/24
Description: 0021-11880 Lid Look Bracket, 0021-11880 / Rev 002 / 1 Set / from 300mm Chamber L
noam-tech Used - $200.00 0 Jul/23/20 Sep/01/24
Description: 0020-17533 Fitting, 0020-17533 / Rev 001 / from 300mm Chamber Lid Top / Applied
noam-tech Used - $750.00 0 Jul/23/20 Sep/01/24
Description: 0010-22567 Cover Panel, 0010-22567 / Rev 003 / BLF / from 300mm Chamber Lid Top
noam-tech Used - $50.00 0 Jul/23/20 Sep/01/24
Description: 0040-23349 Bracket, 0040-23349 / Rev 001 / BLF / from 300mm Chamber Lid Top / A
noam-tech Used - $750.00 0 Jul/23/20 Sep/01/24
Description: 0010-22568 Cover Panel, 0010-22568 / Rev 003 / BLF / from 300mm Chamber Lid Top
athomemarket Used - $143.69 3 Jul/23/20 Sep/14/20
Description: MKS/AMAT Applied Materials 0100-01944 Endura2 Tower Chamber Interface 300mm CCT
powersell007 Used - $999.00 0 Jul/23/20 Nov/23/23
Description: APPLIED MATERIALS 0200-04017 300MM ENCORE RFx DEPOSITION RING - Cu DEPOSITION
powersell007 Used - $2,499.00 1 Jul/23/20 Dec/23/22
Description: APPLIED MATERIALS 0040-48318 BELLOWS, 300MM PRECLEAN PEDESTAL AMAT *UNUSED*
sigmasurplus Used - $89.95 1 Jul/23/20 Sep/24/20
Description: Applied Materials 0190-10801 Sensor Box Rev. 002 300MM
usedeqsales Used - $1,003.19 0 Jul/23/20 Jan/18/21
Description: AMAT Applied Materials 0040-98491 Siconic Clean Chiller Assembly 300mm Used
bridge_tronic_global Used - $499.00 0 Jul/24/20 Aug/21/20
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
getspares.com_sparesllc09 NEW - $1,811.58 1 Jul/31/20 Dec/15/20
Description: 0020-08299 / CLAMP, INNER SHIELD, 300MM SIP / APPLIED MATERIALS AMAT
usedeqsales Used - $6,512.19 0 Aug/01/20 Aug/20/20
Description: NSK ELE-SSB014C23F2 300mm XP Robot Servo Drive ELE AMAT 0190-41461 Centura Spare
novusferro Used - $199.00 0 Aug/03/20 Feb/14/23
Description: Applied Materials AMAT 0022-15835 Faceplate 300mm Producer SA
novusferro Used - $149.00 1 Aug/03/20 Jul/08/24
Description: Applied Materials AMAT 0020-84596 Faceplate, 300mm Silane Showerhead
novusferro Used - $299.00 0 Aug/04/20 Jul/08/24
Description: Applied Materials AMAT 0022-15836 Faceplate LowK Xtra Row Extending 300mm
novusferro Used - $99.00 0 Aug/04/20 Jul/08/24
Description: Applied Materials AMAT 0041-56777 Faceplate 23Mil Centerhole RF Cap, 300mm SACVD
novusferro Used - $299.00 2 Aug/04/20 Jan/25/24
Description: Applied Materials AMAT 0041-13896 Faceplate 26Mil Centerhole RF CAP 300MM SA CVD
adelrick123 Used - $2,650.00 0 Aug/06/20 Apr/06/22
Description: Amat 0100-01652 HDPCVD 300MM AP GAS Panel Dist.
j316gallery NEW - $4,711.50 1 Aug/06/20 Dec/16/21
Description: 20149 APPLIED MATERIALS GASLINE ASSY 300MM PIB BACKSIDE ISOLATOR NEW 0010-15489
senior-inc Used - $1,350.00 2 Aug/05/20 Feb/21/23
Description: AMAT 0040-50657 REV 003 300MM VHP ROBOT PIVOT
j316gallery NEW - $658.10 0 Aug/11/20 Jun/11/23
Description: 20166 APPLIED MATERIALS GRIPPER, CRYO PUMP 300MM (NEW) 0190-05087
visionsemi Used - $350.00 2 Aug/11/20 Nov/11/22
Description: AMAT MEMBRANE CLAMP INTERNAL 300MM TITAN PRO 0020-48365
usedeqsales Used - $1,208.20 1 Aug/11/20 Mar/14/22
Description: AMAT Applied Materials 0270-76245 Chamber Fixture Alignment Pin 300mm New Spare
powersell007 Used - $3,299.00 0 Aug/12/20 Jan/23/22
Description: APPLIED MATERIALS 0041-12192 REFLECTOR PLATE 300MM RADIANCE AMAT *REFURBISHED*
intek22 Used - $2,100.00 1 Aug/12/20 Aug/16/20
Description: AMAT Applied Materials Endura 300mm Etcher Desktop Computer 0190-12247
nps NEW - $44.99 0 Aug/12/20 Aug/19/20
Description: Applied Materials 0040-23525 300mm Slit Valve Door
capitolareatech NEW - $171.42 1 Aug/14/20 May/14/21
Description: AMAT 0040-03800 GAS FEEDTHRU, 300MM TXZ
bridge_tronic_global Used - $1,100.00 0 Aug/14/20 Sep/11/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Aug/14/20 Sep/11/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Aug/14/20 Sep/11/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Aug/14/20 Sep/11/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
getspares.com_sparesllc09 Used - $190.62 0 Aug/14/20 Apr/29/21
Description: 810-800031-300 / SYSTEM INTERLOCK VIOP 300MM PCB 810-800031-345 / LAM RESEARCH
capitolareatech NEW - $1,090.95 0 Aug/17/20 Mar/28/24
Description: Lam Research (LAM) 716-021209-368 Ring, BOT, ADJ, CPLG, 2300, 300MM, D
j316gallery NEW - $251.85 1 Aug/18/20 Jan/09/23
Description: 20272 APPLIED MATERIALS PIN PEDESTAL ALIGNMENT CERAMIC 300MM PCI NEW 0200-01904
capitolareatech NEW - $299.95 0 Aug/19/20 Nov/19/21
Description: LAM RESEARCH LAM 716-077262-004 RING EDGE TOP QTZ 300MM
j316gallery Used - $581.61 0 Aug/20/20 Mar/23/22
Description: 20289 APPLIED MATERIALS HARNESS ASSY, MOTOR POWER 300MM CHAMBER, 2M 0140-11488
dom0808 Used - $1,639.00 0 Aug/20/20 Feb/11/22
Description: Novellus EFEM L/L B Slit Valve Adapter 300mm 15-342230-01
dom0808 Used - $1,639.00 0 Aug/20/20 Feb/11/22
Description: Novellus EFEM L/L A Slit Valve Adapter 300mm 15-342230-02
dom0808 Used - $1,661.00 0 Aug/20/20 Feb/11/22
Description: Novellus Actuator Smart Motor SM2315D-BRKETH 300mm 02-339756-00
nps NEW - $34.99 0 Aug/20/20 Aug/27/20
Description: Applied Materials 0040-23525 300mm Slit Valve Door
getspares.com_sparesllc09 Used - $450.03 0 Aug/21/20 Nov/12/21
Description: 0020-75465 / HOOP UNIVERSAL, 300MM ENDURA 2 P5 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $2,000.99 1 Aug/21/20 Aug/14/24
Description: 0041-09684 / HSR, SHIELD TOP CERAMIC,300MM TXZ / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $1,600.99 0 Aug/21/20 Aug/31/23
Description: 0021-42777 / HRS SHIELD OUTER 300MM TXZ CVD / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $5,000.99 1 Aug/21/20 May/24/22
Description: 0041-31335 / PLATE GAS DISTRIBUTION TXZ 300MM CV / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $450.99 1 Aug/21/20 Oct/12/21
Description: 0020-54032 / HOOP UNIVERSAL, 300MM RPG P5 / APPLIED MATERIALS AMAT
bridge_tronic_global Used - $499.00 0 Aug/21/20 Sep/18/20
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
capitolareatech Used - $109.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-45562 WELDMENT, TOP LID UWAVE, WXZ 300MM, ENDU
getspares.com_sparesllc09 Used - $4,000.99 1 Aug/26/20 Nov/30/22
Description: 0041-09685 / HSR, LID PLATE, 300MM CVD TXZ / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $950.99 1 Aug/26/20 May/22/24
Description: 0200-06510 / HSR, CHAMBER INSERT, CVD 300MM TXZ / APPLIED MATERIALS AMAT
capitolareatech Used - $129.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-27975 ADAPTER, 300MM WXZ CHAMBER VENT LINE
maxisemi1349 Used - $950.00 0 Sep/09/20 Jan/09/22
Description: 0200-08067 INSERT RING,SILICON,300MM
capitolareatech NEW - $6,995.95 0 Sep/09/20 Mar/07/24
Description: Applied Materials (AMAT) 0010-10868 ASSY, ADAPTER VECTRA IMP 300MM W/ INSERTS
j316gallery Used - $31,639.50 1 Sep/10/20 Apr/09/24
Description: 20869 APPLIED MATERIALS 300MM MCA E-CHUCK ESC 0041-06180 0010-42030
nannycat1868 NEW - $799.99 1 Sep/11/20 May/11/22
Description: GENUINE APPLIED MATERIALS 0020-48871 COVER 300MM Profiler Reflexion LK AMAT
bridge_tronic_global Used - $1,100.00 0 Sep/11/20 Oct/09/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Sep/11/20 Oct/09/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Sep/11/20 Oct/09/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Sep/11/20 Oct/09/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
nannycat1868 NEW - $300.00 5 Sep/15/20 Sep/22/20
Description: Applied Materials AMAT 0020-00826 Lift Tube Poly 300mm LPCVD XGEN
j316gallery NEW - $5,000.00 1 Sep/16/20 Sep/18/20
Description: 21072 APPLIED MATERIALS PYRO, 300MM RADIANCE, RTP (NEW) 0010-44213
dgold32 Used - $899.99 1 Sep/16/20 Nov/27/23
Description: Applied Materials AMAT 0041-32672 Rev. 03 300MM LID Plate THERMAL ALD TAN
bridge_tronic_global Used - $499.00 0 Sep/18/20 Oct/16/20
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
labmax-com NEW - $1,900.00 5 Sep/18/20 Feb/09/23
Description: AMAT Applied Materials 0200-06615 LID HPM Dual Gas Flap IEP 300mm NEW
mcclainsgear Used - $2,200.00 1 Sep/19/20 Dec/10/21
Description: YASKAWA 300MM DUAL ARM WAFER ROBOT AMAT 0190-15110 (PARTS) XU-RCM7231
novusferro Used - $1,699.00 1 Sep/22/20 Oct/12/20
Description: VAT 10846-UE24-ALK1 Gate Valve AMAT Endura2 300mm SST CBM 0010-29882
nps NEW - $191.99 0 Sep/22/20 Oct/22/20
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
j316gallery NEW - $189.83 0 Sep/24/20 Jan/30/23
Description: 20941 APPLIED MATERIALS CABLE ASSY CHMB DNET, 3X 300MM (NEW) 0150-47093
usedeqsales Used - $309.20 0 Sep/30/20 Apr/14/23
Description: AMAT Applied Materials 0041-27947 Flexure 300mm Loadcup Clamp Lot of 2 New Spare
j316gallery NEW - $5,439.46 0 Sep/30/20 Feb/02/23
Description: 21103 APPLIED MATERIALS KIT RF GEN INTEGRATION 300MM ULTIMA NEW 0240-14229
j316gallery Used - $6,000.00 0 Sep/30/20 Feb/17/21
Description: 21093 APPLIED MATERIALS CTLR MB340 MAG LEV 300MM RADIANCE 0190-24282
usedeqsales Used - $1,260.20 1 Oct/01/20 Aug/09/21
Description: AMAT Applied Materials 0190-14177 Sensor Short Maglev 300mm Working Surplus
powersell007 Used - $2,499.00 1 Oct/07/20 Sep/07/21
Description: APPLIED MATERIALS 0040-62781 ADAPTER CHAMBER, ENDURA XP ROBOT, 300MM AMAT
powersell007 Used - $39,999.00 0 Oct/08/20 May/01/23
Description: AMAT 0195-01314 CERAMIC HEATER 300MM DUAL ZONE T700P LPCVD 12" CENTURA eMAX
usedeqsales Used - $1,810.20 0 Oct/08/20 May/15/23
Description: AMAT Applied Materials 0040-61580 Lower Preclean Shield 300mm New Surplus
bridge_tronic_global Used - $1,100.00 0 Oct/09/20 Nov/06/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Oct/09/20 Nov/06/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Oct/09/20 Nov/06/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Oct/09/20 Nov/06/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
visionsemi NEW - $350.00 2 Oct/12/20 Nov/12/22
Description: APPLIED MATERIALS AMAT TUBELINER AX7670, 300mm, P/N 0200-05509
grandbirdnet NEW - $500.00 1 Oct/13/20 Apr/26/23
Description: AMAT 0020-82679 GUIDE NUT, LID INTERLOCK, 300MM PRODUCER, NEW
grandbirdnet NEW - $100.00 0 Oct/13/20 Nov/09/20
Description: AMAT 0021-25067 SEAL, 300MM PROFILER HEAD, NEW
ytyc2014 Used - $170.00 0 Oct/14/20 May/14/21
Description: AMAT 0010-27689 REV 002 300MM TARGET GRAVITY SAFE LOCK RIGHT ASSY
getspares.com_sparesllc09 Used - $11,500.00 0 Oct/14/20 Jul/22/21
Description: 0010-42572 / CENTURA EPSILON 300MM ENABLER CHAMBER / APPLIED MATERIALS AMAT
usedeqsales Used - $2,457.14 0 Oct/14/20 Mar/10/23
Description: AMAT Applied Materials 0041-00039 SOURCE CPI-AMO PVD Chamber Endura 300mm As-Is
bridge_tronic_global Used - $499.00 0 Oct/16/20 Nov/13/20
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
nps NEW - $152.99 0 Oct/22/20 Dec/23/20
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
lagpat Used - $3,000.00 2 Oct/23/20 May/23/24
Description: LAM RESEARCH 300MM ETCH THERMAL CONTROL PLATE (PARTS) 839-017892-005
getspares.com_sparesllc09 Used - $500.82 1 Oct/27/20 Aug/26/24
Description: 15-256077-01 / END EFFECTOR,300MM,R.L/LK,VCTR / NOVELLUS
getspares.com_sparesllc09 Used - $700.99 0 Oct/28/20 May/26/22
Description: 0020-64042 / CLEAR LID, ENDURA2, 300MM CVD / APPLIED MATERIAL AMAT
minuswhalebid NEW - $8,499.99 0 Oct/28/20 May/26/22
Description: Applied Materials AMAT 300mm Quartz Lid DPN Plus 0200-04996
autoquip7 NEW - $455.00 2 Oct/28/20 Apr/29/22
Description: 0020-00826 Lift Tube Poly 300mm LPCVD XGEN, APPLIED MATERIALS
getspares.com_sparesllc09 Used - $4,985.82 0 Oct/29/20 May/26/22
Description: 0040-07198 / MOUNT, MOTOR, WAFER LIFT, 300MM PVD / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $300.00 0 Oct/29/20 May/16/24
Description: 0040-43939 (LOT OF 10) / PULLEY, PLATEN 300MM REFLEXION / APPLIED MATERIALS AMAT
j316gallery Used - $299.00 0 Nov/01/20 Mar/16/21
Description: 8097 LAM RESEARCH SYS INTERLOCK, 300MM, CTRL BOX, 714-802323-003 810-800031-300
visionsemi NEW - $450.00 0 Nov/02/20 May/26/22
Description: AMAT APPLIED MATERIALS CENTERING RING LINER BOTTOM 300MM 0021-24185 NEW
getspares.com_sparesllc09 Used - $900.99 0 Nov/02/20 Mar/31/23
Description: 0010-22876 / DOOR ASSEMBLY 300MM MD SWLL 0040-03795-002 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $15,532.50 0 Nov/02/20 May/16/24
Description: 0190-10042 / REMOTE AC DIST. BASE UNIT ETCH 300MM PRODUCER / APPLIED MATERIALS
getspares.com_sparesllc09 Used - $420.68 0 Nov/02/20 May/16/24
Description: 0040-94283 /ENCLOSURE RACEWAY LEFT SIDE AP MAINFRAME 300MM GP/ APPLIED MATERIALS
getspares.com_sparesllc09 NEW - $197.53 0 Nov/02/20 Nov/30/22
Description: 0021-23572 / CHAMBER PLUG 300MM TXZ / APPLIED MATERIALS AMAT
autoquip7 NEW - $175.00 0 Nov/03/20 Jul/25/22
Description: 0010-38614, ASS, LIFT PIN, 300MM CATHODE, APPLIED MATERIAL
getspares.com_sparesllc09 Used - $8,256.75 0 Nov/03/20 May/26/22
Description: 0040-32944 / BOWL #2, 300MM IBC, ECP / APPLIED MATERIALS AMAT
powersell007 Used - $449.00 0 Nov/05/20 Dec/05/23
Description: APPLIED MATERIALS 0200-06397 NOZZLE INSERT TGN SOLID 1.3L 300MM DPS 532 AMAT
usedeqsales Used - $261.20 1 Nov/05/20 Mar/03/23
Description: AMAT Applied Materials 0021-78399 Pusher 300mm Dryer Lot of 9 Copper New Surplus
j316gallery Used - $305.53 0 Nov/05/20 May/26/22
Description: 5565 APPLIED MATERIALS PCB CDN396R 300MM ANALOG I/O MKS AS01396-6-12 0190-32372
legacy_technologies Used - $500.00 0 Nov/06/20 May/26/22
Description: AMAT 0190-05399 SST Woodhead AMAT-DNP-CPCI-2 DeviceNet PCB Card Centura 300mm
bridge_tronic_global Used - $1,370.00 0 Nov/06/20 Dec/04/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Nov/06/20 Dec/04/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Nov/06/20 Dec/04/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,100.00 0 Nov/06/20 Dec/04/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
40-30sourcing Used - $2,899.00 0 Nov/06/20 Aug/26/21
Description: Set of LID CERAMIC DUAL GAS FLAT IEP 300MM with 0200-02967 NOZZLE INSERT
alvin1462 Used - $14,888.00 1 Nov/06/20 Jan/23/22
Description: Applied Materials 0010-30851 , ASSY , 300mm TAN AL , Ceramic Heater NEW
powersell007 Used - $6,999.00 0 Nov/10/20 Dec/10/23
Description: APPLIED MATERIALS 0042-04335 ADAPTER SHIELD, WATER-COOLED, STD PVD 300MM ENDURA2
getspares.com_sparesllc09 Used - $1,873.40 0 Nov/11/20 May/26/22
Description: 0021-26273 / LINER CATHODE 300MM DPSII TETRA / APPLIED MATERIALS AMAT
asac.korea Used - $1,100.00 0 Nov/12/20 Feb/06/22
Description: AMAT 0190-16633 ETCH 300MM MAGNET DRIVER
asac.korea NEW - $3,180.00 0 Nov/12/20 Feb/06/22
Description: AMAT 0041-03276 ASSY GAS FEED TOP CLEAN 300MM
asac.korea Used - $3,300.00 0 Nov/12/20 Feb/06/22
Description: AMAT 0010-29963 ETCH 300MM ENABLER CCM
asac.korea Used - $11,000.00 0 Nov/12/20 Feb/06/22
Description: AMAT 0010-27784 ETCH 300MM ESC
semiconusa Used - $6,599.00 2 Nov/12/20 Jan/21/21
Description: NSK SERVO DRIVER, ELA-B014CF2-03, AMAT 0190-11706, 200MM 300MM, Working
grandbirdnet NEW - $200.00 0 Nov/13/20 May/09/23
Description: AMAT 0021-19905 STRAP SST LOWER SHIELD GROUND 300MM , NEW
bridge_tronic_global Used - $499.00 0 Nov/13/20 Dec/11/20
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
getspares.com_sparesllc09 Used - $2,240.00 0 Nov/17/20 Dec/12/23
Description: 15-256677-00 / END EFFECTOR 300MM CERAMIC / NOVELLUS
getspares.com_sparesllc09 Used - $9,800.00 0 Nov/18/20 Nov/18/22
Description: 0010-04941 / ASSEMBLY MAGNET SIP-CU 300MM VERSION / APPLIED MATERIALS AMAT
katiil3 Used - $69.00 0 Nov/19/20 Oct/23/21
Description: Applied Materials 0242-23283 KIT, 480VAC ROUGH PUMP CB, 300MM ENDURA
lagpat Used - $1,350.00 0 Nov/19/20 May/26/22
Description: AMAT ASSY HOUSING EEP 300MM 0010-10663
lagpat NEW - $2,200.00 0 Nov/19/20 May/26/22
Description: AMAT 0227-08936 CES, HOSE ASSEMBLY, 75FT SUP/RET LOW TEMP OXIDE 300MM
lagpat Used - $2,475.00 1 Nov/25/20 Jun/09/22
Description: Amat VALVE 0190-13690 , 300MM
getspares.com_sparesllc09 Used - $693.24 0 Nov/30/20 Jan/10/22
Description: 0021-21851 / SPACER, TTN PILLOW BLOCK, 300MM PVD / APPLIED MATERIALS AMAT
asac.korea NEW - $990.00 0 Dec/03/20 Feb/06/22
Description: AMAT 0190-19557 CASKET HEAT TRANSFER WIDE 300MM ENABLER
asac.korea Used - $550.00 0 Dec/03/20 Feb/06/22
Description: AMAT 0190-22967 300MM ANALOG I/O BOARD
asac.korea NEW - $1,320.00 0 Dec/03/20 Feb/06/22
Description: AMAT 0200-17071 300MM ETCH PROCESS KIT
bridge_tronic_global Used - $1,370.00 0 Dec/04/20 Dec/29/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Dec/04/20 Dec/29/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Dec/04/20 Dec/29/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,100.00 0 Dec/04/20 Dec/29/20
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
wecansellthat NEW - $99.99 1 Dec/04/20 Jul/18/22
Description: New Lam Research 300mm Diameter Silicon Wafer Centering 790-336452-002 ShipsFREE
novusferro Scrap, for parts - $14,999.00 1 Dec/09/20 Jan/06/21
Description: Applied Materials AMAT 0010-27432 Heater Assy 300mm
bridge_tronic_global Used - $499.00 0 Dec/11/20 Jan/08/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
spareparts4computers Used - $327.17 0 Dec/11/20 Jan/04/24
Description: APPLIED MATERIALS 0100-20350 Operator Panel Bulkhead 300mm (R5S8.7B2)
usedeqsales NEW - $4,505.20 3 Dec/15/20 Dec/15/20
Description: AMAT Applied Materials 0020-54777 Inner Shield 300mm SIP New Surplus
xl-t_com NEW - $169.99 0 Dec/16/20 Oct/19/21
Description: APPLIED MATERIALS - 0090-00752 - HARNESS, IM. 300MM,WB, WAFER PRESENT BUF, WE150
usedeqsales NEW - $4,505.20 1 Dec/16/20 Dec/18/20
Description: AMAT Applied Materials 0020-54777 Inner Shield 300mm SIP New Surplus
usedeqsales Used - $6,512.20 1 Dec/18/20 Feb/15/21
Description: AMAT Applied Materials 0010-19589 RF Match 300mm SIP Encore II Cu Copper Working
gesemiconductor Used - $700.00 0 Dec/18/20 Feb/02/24
Description: Applied Materials 0010-08061 300mm Preclean Chamber Viewport Assembly
gesemiconductor Used - $385.00 9 Dec/18/20 Mar/11/21
Description: Applied Materials 0020-08299 Inner Shield Clamp 300mm
dr.dantom Used - $300.00 0 Dec/20/20 Dec/21/20
Description: Applied Materials 0020-02348 Rev 009 Bottom Shield Clamp 300MM
dr.dantom Refurbished - $450.00 0 Dec/20/20 Dec/21/20
Description: Applied Materials AMAT 0020-23549 REV 007 Shield Upper AL ARC-SPRAY SST 300mm
dr.dantom Used - $400.00 0 Dec/20/20 Dec/21/20
Description: APPLIED MATERIALS 0020-08299 CLAMP LNNER SHIELD 300MM REF
dr.dantom Used - $1,800.00 0 Dec/20/20 Dec/21/20
Description: AMAT 0020-02344 SHIELD LOWER 300MM SIP
getspares.com_sparesllc09 Used - $778.05 1 Dec/21/20 Dec/24/21
Description: 0021-24183 / LINER, BOTTOM SIDE 1, 300MM SE / APPLIED MATERIALS AMAT
visionsemi Used - $3,000.00 1 Dec/21/20 Jul/21/21
Description: APPLIED MATERIALS AMAT PCB ELECTROSTATIC POWER SUPPLY ASSY 300MM, 0100-01708
getspares.com_sparesllc09 Used - $778.05 1 Dec/21/20 Dec/24/21
Description: 0021-24184 / LINER, BOTTOM SIDE 2, 300MM SE / APPLIED MATERIALS AMAT
grandbirdnet Used - $2,900.00 1 Dec/22/20 Sep/01/21
Description: AMAT 0190-01872 ENCLOSURE CCM 300MM CONDUCTOR , NEW
grandbirdnet NEW - $2,500.00 0 Dec/22/20 Aug/10/21
Description: AMAT 0100-03159 ASSY PCB, REMOTE DIST. ENDURA 300MM, NEW
nps NEW - $121.99 0 Dec/23/20 Jan/25/21
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
suzhousanyanxin Used - $4,000.00 0 Dec/23/20 Oct/23/21
Description: Applied Materials AMAT 0190-14786 KAWASAKI ROBOT 300MM NF PREALIGNER 3NS001S-L00
lagpat Used - $600.00 1 Dec/28/20 Jul/27/23
Description: 0040-84391 DOOR SPD SLIT VALVE VITON LLK 300MM
lagpat Used - $600.00 1 Dec/28/20 Mar/28/24
Description: 0040-84390 DOOR SPD SLIT VALVE VITON LLK 300MM
bridge_tronic_global Used - $1,370.00 0 Dec/29/20 Jan/22/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Dec/29/20 Jan/22/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,100.00 0 Dec/29/20 Jan/22/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Dec/29/20 Jan/22/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
novusferro Used - $799.00 0 Jan/05/21 Apr/26/21
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm
novusferro Used - $599.00 5 Jan/05/21 Jan/23/22
Description: Applied Materials AMAT 0021-24184 Liner, Bottom Side, 300mm
novusferro Used - $599.00 4 Jan/05/21 Jan/23/22
Description: Applied Materials AMAT 0021-24183 Liner, Bottom Side, 300mm
bridge_tronic_global Used - $499.00 0 Jan/08/21 Jan/29/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
usedeqsales Used - $501.21 1 Jan/08/21 Jul/12/24
Description: AMAT Applied Materials 0010-08581 Generator Rack I/O Block 300mm Lot of 2 As-Is
lagpat Used - $12,000.00 0 Jan/12/21 Mar/12/24
Description: APPLIED MATERIALS 0040-85475 REV 4 251-M11407 300mm Rev 4
powersell007 Used - $8,999.00 0 Jan/12/21 Apr/03/23
Description: APPLIED MATERIALS 0010-42741 ASSY, HIGH EFF. RF MATCH-BIAS 300MM AMAT
grandbirdnet NEW - $1,500.00 1 Jan/12/21 Dec/13/21
Description: Novellus 15-105508-00 RING,EXCL,2.25 O/H,300MM, NEW
novusferro Used - $13,999.00 1 Jan/18/21 Apr/28/22
Description: Applied Materials AMAT 0041-01652 300mm Producer Ceramic Heater
usedeqsales Used - $1,003.19 1 Jan/18/21 Dec/28/21
Description: AMAT Applied Materials 0040-98491 Clean Chiller Assembly 300mm Working Surplus
spsglobal Used - $400.00 0 Jan/19/21 Oct/19/23
Description: 350-0401// AMAT APPLIED 0021-14759 MIXER, UWAVE 300MM WXZ, ENDURA SL [NEW]
spsglobal Used - $60.00 0 Jan/19/21 Oct/19/23
Description: 350-0401// AMAT APPLIED 0021-39802 STUD, LEVELING BALL END 300MM UNIVERSAL [NEW]
techequipsales Used - $2,000.00 1 Jan/19/21 Jun/24/21
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
powersell007 Used - $799.00 1 Jan/20/21 Dec/20/21
Description: APPLIED MATERIALS 0021-19312 PLATE BLOCKER TXZ 300MM AMAT *UNUSED*
grandbirdnet NEW - $1,200.00 0 Jan/21/21 Nov/15/22
Description: AMAT 0020-84290 WAFER BLADE RUNNING BEAM 300MM DESICA CLEANER, NEW
maxisemi1349 Used - $1,950.00 0 Jan/21/21 Oct/19/23
Description: 22-305161-00 SEAL,WAFER.1.75MM EE,APC,300MM
maxisemi1349 Used - $180.00 0 Jan/21/21 Oct/19/23
Description: 22-121445-00 SEAL,U, SABRE 300MM, LOT of 9
asmtk NEW - $10,000.00 0 Jan/21/21 Oct/01/21
Description: Applied Materials 0041-05536 LINER,CHAMBER,Y203-OXALIC 300MM EMAX AMAT
bridge_tronic_global Used - $1,370.00 0 Jan/22/21 Feb/19/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Jan/22/21 Feb/12/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,100.00 0 Jan/22/21 Feb/12/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Jan/22/21 Feb/19/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
semiconusa Used - $6,999.00 0 Jan/22/21 Jul/22/21
Description: NSK SERVO DRIVER, ELA-B014CF2-03, AMAT 0190-11706, 200MM 300MM, Working
j316gallery Used - $1,256.40 0 Jan/24/21 Dec/08/22
Description: 22621 APPLIED MATERIALS PCB ELECT ASSY 300MM CHMBR I/F RPG 0100-01784 0090-03069
nps NEW - $96.99 0 Jan/25/21 Feb/25/21
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
getspares.com_sparesllc09 Used - $1,992.66 0 Jan/25/21 Mar/27/23
Description: 0021-26391 / BLOCKER, 300MM HARP, SACVD PRODUCER / APPLIED MATERIALS AMAT
maxisemi1349 NEW - $1,500.00 1 Jan/25/21 Mar/10/21
Description: 0200-08301 DEPOSITION RING 300MM
grandbirdnet NEW - $1,800.00 0 Jan/26/21 Nov/04/22
Description: AMAT 0200-01521 CERAMIC BLADE, 300MM LOW SHOE, NEW
getspares.com_sparesllc09 Used - $1,700.40 0 Jan/27/21 Mar/31/23
Description: 0242-11741 / KIT, STANDARD PM REPLACEMENT, 300MM TXZ / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $1,585.77 0 Jan/27/21 Apr/06/23
Description: 0040-13910 / DOOR BACKING 300MM, SLIT VALVE / APPLIED MATERIALS AMAT
j316gallery Used - $745.99 0 Jan/27/21 Jun/22/22
Description: 22852 APPLIED MATERIALS ELECT ASSY 300MM RPC+ CHM INTLK RP 0100-20458 0090-02789
j316gallery Used - $601.50 0 Jan/27/21 Jun/27/23
Description: 22851 NOVELLUS PCB, RF INTERFACE, 300MM, SPEED 02-057986-00
bridge_tronic_global Used - $499.00 0 Jan/29/21 Feb/25/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
grandbirdnet NEW - $600.00 1 Feb/01/21 Jul/05/21
Description: AMAT 0240-27970 KIT INTEGRATION HARDWARE 300MM ESC , NEW
getspares.com_sparesllc09 Used - $895.19 0 Feb/02/21 Nov/12/21
Description: 0040-21402 / BELLOWS, 300MM WAFER LIFT / APPLIED MATERIALS AMAT
redrockranch Used - $695.00 0 Feb/02/21 Feb/14/24
Description: Applied Materials DUV Mirror Housing Assembly, 300mm DPS 232, 0010-33721
powersell007 Used - $2,999.00 1 Feb/08/21 Jul/12/22
Description: APPLIED MATERIALS 0040-70554 RING, MOUNTING RING QUARTZ DOME 300MM PC XT/XTE
bridge_tronic_global Used - $1,370.00 0 Feb/12/21 Mar/05/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,100.00 0 Feb/12/21 Mar/05/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
grandbirdnet NEW - $25,000.00 0 Feb/15/21 Mar/18/21
Description: AMAT 0242-42922 300mm RADIANCEPLUS RETROFIT KIT, Bag 2 of 2
novusferro Used - $499.00 1 Feb/17/21 Jan/23/22
Description: Applied Materials AMAT 0040-92503 RF Electrode FEOL 300MM
bridge_tronic_global Used - $1,370.00 0 Feb/19/21 Mar/12/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Feb/19/21 Mar/12/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
wilus_v3zx7z Used - $3,500.00 0 Feb/22/21 Aug/08/21
Description: 0040-50657 APPLIED MATERIALS VHP 300MM ROBOT ARM COMPLETE SET
spareparts4computers Used - $109.06 0 Feb/23/21 Jan/04/24
Description: APPLIED MATERIALS 0140-16621 HARNESS ASSY STEPPER INTERCONNECT 300MM (R4S2.4)
atakk74 Used - $199.99 1 Feb/23/21 Aug/11/22
Description: Applied Materials 0100-20458 Chamber Interlock Personality Card HDPCVD 300mm
christyhtx NEW - $5,200.00 2 Feb/24/21 May/24/21
Description: Applied Materials AMAT 0041-12192 Reflector Plate Probe 300mm Radiance
nps NEW - $71.99 0 Feb/25/21 Mar/25/21
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
bridge_tronic_global Used - $499.00 0 Feb/25/21 Mar/19/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
treyabrownsfan Used - $1,300.00 0 Feb/26/21 Feb/19/23
Description: AMAT Applied Materials 0200-11573 300mm Ceramic Deposition Ring New
kkdoota NEW - $1,775.00 0 Mar/01/21 Jun/08/21
Description: AMAT 0090-02649 REV 03 DC PWR SUPPLY 300MM DPN C MFG DATE 02.2021 (15608/39)
asmtk Used - $25,000.00 0 Mar/01/21 Oct/01/21
Description: Applied Materials 0010-24298 300MM MCA HEATER PBN ASSY AMAT
rtxparts Used - $4,550.00 1 Mar/02/21 Sep/02/21
Description: 0041-08938 /0040-48594EB, TEST 300MM, DUAL HE, CVD / AMAT 0040-48594EB
lagpat Used - $11,500.00 0 Mar/03/21 Apr/03/24
Description: Lam Research 715-073734-007 C Chuck 300mm 839-019080-611/C
bridge_tronic_global Used - $1,100.00 0 Mar/05/21 Mar/26/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Mar/05/21 Mar/26/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
visionsemi NEW - $600.00 0 Mar/05/21 Aug/29/23
Description: APPLIED MATERIALS AMAT KIT CONTROLLER HEATER JACKETS EPI 300MM 0246-14658 NEW
farmoninc Used - $450.00 0 Mar/08/21 Apr/20/23
Description: AMAT 0040-40810 Liner, Lid, 300MM IBC, IECP, 100424
visionsemi NEW - $600.00 2 Mar/09/21 Mar/09/23
Description: APPLIED MATERIALS AMAT LINER PORT BOTTOM PLENUM 300MM PROD 0021-47355 NEW
novusferro NEW - $1,999.00 0 Mar/11/21 Apr/26/21
Description: Applied Materials 0200-01903 Insulator Pedestal Quartz 300mm PCII
cosplity Used - $19,900.00 0 Mar/11/21 Sep/11/22
Description: AMAT 0010-61845 CATHODE ASSY HEATED DPS 300MM
novusferro Used - $1,999.00 0 Mar/11/21 Jul/08/24
Description: Applied Materials AMAT 0200-01288 Cover Screw Quartz Cathode Liner 300mm DPN
j316gallery Used - $11,500.00 0 Mar/11/21 Dec/03/21
Description: 24887 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG, 0041-13387 0010-38087
novusferro Used - $5,999.00 0 Mar/12/21 Sep/20/21
Description: Applied Materials AMAT 0040-55456 Bell Jar, 21 OD 300mm PC XT/XTE
bridge_tronic_global Used - $1,370.00 0 Mar/12/21 Apr/09/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,370.00 0 Mar/12/21 Apr/02/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
athomemarket Used - $236.59 1 Mar/12/21 Oct/15/21
Description: AMAT/Applied Materials 0010-44732 Rev. 01 RF Filter Right 300mm TR44732 Assembly
visionsemi Used - $3,500.00 0 Mar/15/21 May/25/21
Description: APPLIED MATERIALS AMAT SIDE MATCH ASSY FAN 300MM 0010-32149 REV 001
visionsemi Used - $200.00 0 Mar/15/21 Nov/17/21
Description: APPLIED MATERIALS AMAT PIN ISOLATOR SPACER 300MM PRODUCER 0200-35528 NEW
visionsemi Used - $250.00 0 Mar/15/21 Aug/05/22
Description: APPLIED MATERIALS AMAT HOLDER LIFT PIN 300MM 0020-70473
novusferro Used - $599.00 10 Mar/16/21 Dec/15/23
Description: Applied Materials AMAT 0020-47727 Cover Ring PVD Tungsten 300mm, ARC
athomemarket NEW - $272.29 0 Mar/16/21 May/14/22
Description: NEW Applied Materials/AMAT 0040-47633 Bellows Wafer Lift 300mm WXZ
athomemarket Used - $34.39 2 Mar/16/21 Oct/31/21
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board
athomemarket Used - $524.98 0 Mar/16/21 May/14/22
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
j316gallery Used - $520.00 1 Mar/17/21 Jun/23/21
Description: 24907 APPLIED MATERIALS PCB, INTLK MOD EMAX 300MM, DIP498, 15049804 0190-07052
j316gallery Used - $1,045.95 0 Mar/17/21 May/06/22
Description: 24906 APPLIED MATERIALS PCB, INTLK MOD ASP 300MM, DIP498, 15049804 0190-07053
visionsemi Used - $200.00 0 Mar/17/21 Oct/17/21
Description: APPLIED MATERIALS AMAT ADAPTOR ESC TO BIAS RF 300MM HDPCVD 0021-43442 NEW
kywil_6666 Used - $1,499.00 1 Mar/17/21 Mar/17/21
Description: AMAT 0010-70650, VHP+ Robot Cover, Centura ll, 200mm, 300mm
visionsemi Used - $350.00 1 Mar/18/21 May/18/23
Description: APPLIED MATERIALS AMAT HOLDER LIFT PIN 300MM ULTIMA X 0021-47962
athomemarket NEW - $93.79 0 Mar/18/21 May/16/22
Description: NEW Applied Materials/AMAT 0270-03780 Air Bearing 300mm E2 Hose Assembly
visionsemi Used - $250.00 2 Mar/19/21 Oct/04/23
Description: APPLIED MATERIALS AMAT PIN ISOLATOR SPACER 300MM PRODUCER 0200-35528
bridge_tronic_global Used - $499.00 0 Mar/19/21 Apr/16/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
alvin1462 Used - $18,888.00 0 Mar/21/21 Apr/06/21
Description: Applied Materials 0010-47927 300mm MCA E-Chuck Assy
usedeqsales Used - $9,003.21 2 Mar/22/21 Mar/28/21
Description: AMAT Applied Materials 0195-09327 300mm SoCoNi AUX Remote AC Rack ACP Working
athomemarket NEW - $149.99 0 Mar/22/21 May/20/22
Description: NEW Applied Materials/AMAT 0041-09961 Support Plate Valve 300mm Producer SE
athomemarket Used - $184.79 0 Mar/22/21 May/20/22
Description: NEW Applied Materials/AMAT 0040-83501 Flange 6-Port 300mm Profiler
novusferro Used - $99.00 8 Mar/23/21 Aug/01/22
Description: Applied Materials AMAT 0040-88046 Holder, Lift Pin Floating DPSII 300mm
novusferro Used - $14,999.00 0 Mar/23/21 Apr/28/22
Description: Applied Materials AMAT 0041-61870 Rev 07 300mm Producer Ceramic Heater
nps NEW - $49.99 0 Mar/25/21 Apr/26/21
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
athomemarket NEW - $130.29 0 Mar/25/21 May/23/22
Description: NEW Applied Materials 0021-81437 Stainless Steel Middle Shield 300MM 12.46LG
bridge_tronic_global Used - $1,370.00 0 Mar/26/21 Apr/23/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,100.00 0 Mar/26/21 Apr/23/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
maxisemi1349 NEW - $1,250.00 1 Mar/26/21 Jun/03/21
Description: 0200-08301 DEPOSITION RING 300MM ESC
thecobracache NEW - $149.99 1 Mar/29/21 Dec/13/22
Description: Applied Materials AMAT 0021-53986 Shield Lower Encore CU 300mm Cleanroom
bridge_tronic_global Used - $1,370.00 0 Apr/02/21 Apr/29/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
athomemarket Used - $237.29 2 Apr/04/21 Oct/15/21
Description: AMAT/Applied Materials 0010-42371 Rev. 01 RF Filter 300mm TR42371 Assembly
athomemarket Used - $23.99 0 Apr/04/21 Jun/02/22
Description: AMAT/Applied Materials 0020-63926 Rev. 002 Line 2 300mm RPS Manifold Tube (HARP)
athomemarket Used - $85.19 1 Apr/04/21 Oct/31/21
Description: Lam Research 810-800031-300 System Interlock, 300mm PCB Board Module Assembly
athomemarket Used - $360.00 1 Apr/07/21 Apr/17/21
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
bridge_tronic_global Used - $1,370.00 0 Apr/09/21 May/07/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
athomemarket NEW - $41.29 0 Apr/12/21 Jun/10/22
Description: NEW AMAT 0140-26364 Peltier Controller 2 Serial Harness Assy. LDS EPI 300mm
athomemarket NEW - $60.19 0 Apr/12/21 Jun/10/22
Description: NEW Applied Materials/AMAT 0150-28414 Cable Assembly LDS EPI 300mm AC IN
athomemarket NEW - $40.59 0 Apr/12/21 Jun/10/22
Description: NEW AMAT 0140-26362 Peltier Controller 1 Serial Harness Assy. LDS EPI 300mm
rtxparts Used - $250.00 0 Apr/14/21 Sep/14/21
Description: Amat. 300mm Centura Cable, EVC Male to Male , 75ft, 0150-06633 Rev-003
novusferro Used - $499.00 0 Apr/14/21 Jul/08/24
Description: Applied Materials AMAT 0041-13895 26 Mil Faceplate Center Hole RF Cap 300mm
usedeqsales Used - $554.21 0 Apr/15/21 May/17/22
Description: AMAT Applied Materials 0242-33245 300mm Pneumatic RGA Valve Kit 313029-10 New
bridge_tronic_global Used - $499.00 0 Apr/16/21 Jun/11/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
rtxparts Used - $350.00 0 Apr/19/21 Sep/14/21
Description: Amat. 300mm Centura Cable, EVC Male to Male , 75ft, 0150-06633 Rev-003
rtxparts Used - $1,350.00 0 Apr/19/21 Sep/14/21
Description: Novellus: 15-174824-00 Rev C 1706 101079 02-176383-00 Pedestal. 300mm heater.
sunshine*road NEW - $199.99 0 Apr/20/21 May/18/23
Description: Applied Materials (AMAT) 0050-70854 MANIFOLD INLET SINGLE RPG CHBR 300MM
sunshine*road NEW - $299.99 0 Apr/20/21 May/18/23
Description: Applied Materials (AMAT) 0051-41470 GASLINE, 1HC2_1 CHAM 1 300MM HDPCVD
sunshine*road NEW - $299.99 0 Apr/20/21 May/18/23
Description: Applied Materials (AMAT) 0050-70900 Gasoline, ESC BSPG EXTENSION, 300MM
atxdeals4u Used - $500.00 1 Apr/20/21 Apr/22/21
Description: AMAT 0090-02649 XP 101515-01 DC Power Supply 300MM
testeqe Refurbished - $499.99 1 Apr/22/21 Jun/04/21
Description: Cleaned/Bagged AMAT Applied Materials PN: 0021-22064 Cover Ring 300mm
usedeqsales Used - $33,004.20 0 Apr/22/21 May/03/21
Description: AMAT Applied Materials 0010-23382 300mm Degas Chamber Centura Endura P5000 Spare
sgcequipment Used - $35.00 0 Apr/22/21 May/18/23
Description: Applied Materials (AMAT) 0050-75275 RETURN ADAPTER, 300MM WATER MANIFOLD
bridge_tronic_global Used - $1,370.00 0 Apr/23/21 May/19/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,100.00 0 Apr/23/21 May/21/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
nps NEW - $34.99 0 Apr/26/21 May/26/21
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
sgcequipment NEW - $85.00 1 Apr/27/21 Apr/27/21
Description: Applied Materials (AMAT) 0021-30906 CLAMP BLADE 300MM DBR REV 2
atxdeals4u Used - $2,000.00 1 Apr/29/21 Jun/03/21
Description: Applied Materials 0040-33215 Lased, Pedestal, 300mm DPS II AMAT
atxdeals4u Scrap, for parts - $6,000.00 0 Apr/29/21 Jun/03/21
Description: Lot of 2 AMAT Endura II 300mm 0010-19854 0020-29057 SHUTTER FEEDTHRU ASSY
bridge_tronic_global Used - $1,370.00 0 Apr/29/21 May/27/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
athomemarket Used - $360.00 0 Apr/30/21 Jun/27/22
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
getspares.com_sparesllc09 Used - $190.62 0 Apr/30/21 May/18/23
Description: 810-800031-300 / SYSTEM INTERLOCK VIOP 300MM PCB 810-800031-345 / LAM RESEARCH
usedeqsales Used - $355.21 0 May/03/21 May/18/23
Description: AMAT Applied Materials 0050-75274 300mm Water Manifold Adapter Lot of 2 New
j316gallery NEW - $366.45 0 May/04/21 Jan/03/23
Description: 24755 APPLIED MATERIALS CRYO COMM CABLE 3M SUMITOMO 300MM (NEW) 0190-25061
usedeqsales Used - $1,005.21 1 May/04/21 Jul/27/21
Description: AMAT Applied Materials 0040-82532 300mm Reflexion End Effector 0010-11719 Spare
bridge_tronic_global Used - $1,370.00 0 May/07/21 Jun/04/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
j316gallery Used - $1,203.00 0 May/09/21 May/18/23
Description: 11241 APPLIED MATERIALS SHIELD INNER 300MM PCII/RPC+, 0040-78872 0021-19342
swiftdeals123 NEW - $799.99 1 May/10/21 Dec/13/21
Description: AMAT Applied Materials 0021-30906 Clamp Blade 300MM DBR Rev 02, New Sealed!
laserlink-office-solutions NEW - $799.00 1 May/11/21 Oct/18/22
Description: APPLIED MATERIALS 0090-02649 REV 03 DC PWR SUPPLY 300MM DPN CHAMBER (15608-39)
mazumaglobaltrading NEW - $675.00 0 May/14/21 Jun/05/21
Description: AMAT 0021-11110 RING EDGE ALUMINUM, SST HEATER TXZ 300MM , N.O.S.
j316gallery Used - $9,999.00 0 May/19/21 May/24/21
Description: B102 NSK SERVO DRIVER 300MM ROBOT, AMAT 0190-17853 ELA-B014CFL-03
semixicon NEW - $60,000.00 0 May/19/21 Sep/01/21
Description: OEM NEW in Original Sealed Box AMAT Producer 300mm Heater 0010-59798
bridge_tronic_global Used - $1,370.00 0 May/19/21 Jun/18/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
maxisemi1349 NEW - $4,950.00 0 May/19/21 Aug/01/22
Description: 17-431656-00 CONTACT,300MM,0.55MM TP, SBR-XT, lot of 4
bridge_tronic_global Used - $1,100.00 0 May/21/21 Jun/18/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
usedeqsales Used - $5,002.61 0 May/26/21 Mar/10/23
Description: AMAT Applied Materials 0010-22569 300mm PVD Source Assembly Chamber Refurbished
usedeqsales Used - $15,005.20 1 May/26/21 Sep/24/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
nps NEW - $23.99 0 May/26/21 Jun/25/21
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
bridge_tronic_global Used - $1,370.00 0 May/27/21 Jun/22/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
senior-inc Used - $1,500.00 2 May/31/21 Jul/13/21
Description: AMAT 0021-25781 SLEEVE SLIT VALVE APF PRODUCER SE 300MM
systasemi NEW - $2,000.00 0 May/31/21 Jun/01/23
Description: AMAT 0040-03800, GAS FEEDTHRU, 300MM TXZ, OEM NEW
usedeqsales Used - $7,503.11 1 Jun/01/21 Oct/09/21
Description: AMAT Applied Materials 0010-23983 300mm Brush LDM HiFlow Conversion Assembly New
kitu168 NEW - $7,898.00 0 Jun/04/21 Aug/05/21
Description: APPLIED MATERIALS 300MM HEATER 0041-82918 & 300114-4615-000
bridge_tronic_global Used - $1,090.00 0 Jun/04/21 Jun/29/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
getspares.com_sparesllc09 Used - $33,500.70 0 Jun/07/21 Nov/01/21
Description: 0040-07033 / MC, HA-12, CERAMIC HEATER, 300MM PRODUCE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $12,731.70 1 Jun/07/21 Jun/14/21
Description: 0040-34698 / ADAPTER, VACUUM CHUCK, 300MM, PRODUCER / APPLIED MATERIALS AMAT
usedparts-semifa Used - $449.90 1 Jun/08/21 Feb/23/24
Description: APPLIED MATERIALS 0090-03748 Rev 001/ 300mm DRYER INTERLOCK Board
usedeqsales Used - $2,104.35 0 Jun/10/21 Jun/01/23
Description: AMAT Applied Materials 0200-02407 Pumping Ring Ceramic C-Channel Side1 300mm New
bridge_tronic_global Used - $499.00 0 Jun/11/21 Jun/30/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
getspares.com_sparesllc09 Used - $12,731.70 1 Jun/16/21 Apr/27/22
Description: 0040-34698 / ADAPTER, VACUUM CHUCK, 300MM, PRODUCER / APPLIED MATERIALS AMAT
levmucciacciar0 Used - $350.00 5 Jun/16/21 Oct/13/21
Description: AMAT Applied Materials 0100-00546 Circuit Board PCB Endura 300mm Used
bridge_tronic_global Used - $1,090.00 0 Jun/18/21 Jul/12/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,090.00 0 Jun/18/21 Jul/12/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
discountdepot Used - $569.99 1 Jun/18/21 Jun/21/21
Description: Applied AMAT 0010-29842 ASSY 300mm DBR High Temp Belt Wrist Titanium
discountdepot NEW - $569.99 4 Jun/18/21 Jun/21/21
Description: Applied AMAT 0010-29842 ASSY 300mm DBR High Temp Belt Wrist Titanium
bridge_tronic_global Used - $1,090.00 0 Jun/22/21 Jul/13/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
levmucciacciar0 Used - $500.00 1 Jun/22/21 Jun/22/21
Description: AMAT Applied Materials 0100-01781 300mm HDPCVD Chamber Distribution Board PCB
levmucciacciar0 Used - $700.00 0 Jun/22/21 Jul/11/23
Description: AMAT Applied Materials 0100-00572 0110-00572 Gas Panel Distribuiton EPI 300MM
levmucciacciar0 Used - $100.00 0 Jun/23/21 Jul/11/23
Description: 0100-20268, Applied Materials, AMAT, FEED THROUGH BD, BIASABLE ELECTRODES, 300MM
nps NEW - $17.99 0 Jun/25/21 Jul/26/21
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
nissiglobal Used - $1,499.99 0 Jun/29/21 Dec/19/22
Description: NOVELLUS 02-159684-00 NOVELLUS PEDESTAL 300MM WCVD,PREHEAT ASSY (SALES ARE AS
dgold32 Used - $9,999.99 0 Jun/29/21 Sep/10/21
Description: Applied 0010-56222, AMAT, CERAMIC HEATER 300mm, DUAL ZONE 0190-45322
farmoninc NEW - $240.00 0 Jun/29/21 Dec/19/22
Description: AMAT 0020-01469 Orifice, Astron, 300MM Ultima, 101447
senior-inc Used - $1,000.00 0 Jun/29/21 Dec/24/23
Description: AMAT 0190-16537 XP DC POWER SUPPLY 300MM, 100948-03
bridge_tronic_global Used - $1,090.00 0 Jun/29/21 Jul/27/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
techshop7777 Used - $1,100.00 0 Jun/29/21 Jun/30/22
Description: Applied Materials AMAT 0040-50505-002 300MM SPD Slit Valve 0010-34370
techshop7777 Used - $1,100.00 1 Jun/29/21 May/05/22
Description: XP Power 100948-03 DC POWER SUPPLY 800W ETCH 300MM AMAT 0190-16537
bridge_tronic_global Used - $499.00 0 Jul/03/21 Jul/28/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
farmoninc NEW - $395.00 0 Jul/03/21 Dec/22/22
Description: AMAT 0020-06886 Clamp, Blade 300mm Robot Non-PLT, 101506
farmoninc NEW - $900.00 0 Jul/03/21 Dec/22/22
Description: AMAT 0010-13188 Crescent Drive Assembly, VDPM, 300mm, Desca, 101509
farmoninc NEW - $150.00 0 Jul/03/21 Dec/22/22
Description: AMAT 0150-22372 Cable Adaptor, Interlock Status, 300MM, 101521
getspares.com_sparesllc09 Used - $11,000.80 1 Jul/03/21 Jan/03/22
Description: 0040-81156 / CHAMBER UPPER LINER 300MM DPS2 / APPLIED MATERIALS AMAT
goodtronix74 Used - $149.99 0 Jul/03/21 Jul/31/23
Description: Novellus 19-129385-00 DIFFUSER 300mm Degas
j316gallery Used - $850.00 0 Jul/04/21 Jan/04/23
Description: 7669 APPLIED MATERIALS MANF, GP PNEUM, 300MM ULTIMA 0190-01401
j316gallery Used - $800.00 0 Jul/04/21 Sep/03/21
Description: 13978 APPLIED MATERIALS PCB,300MM HDPCVD CHAMBER DIST.BD 0100-01781
j316gallery Used - $8,000.00 0 Jul/04/21 Aug/10/22
Description: 25661 APPLIED MATERIALS CR 300MM SBR, END EFFECTOR, 0040-13338 0040-75814
zoro Used - $1,229.18 0 Jul/05/21 Mar/30/22
Description: Applied Materials AMAT 0010-24107 RF 300mm Enabler Cathode/Electrode Centura
senior-inc Used - $1,000.00 0 Jul/06/21 Feb/10/22
Description: AMAT 0190-01401 MANIFOLD, GP PNEUM, 300MM ULTIMA / EV MANIFOLD
eisale1535 Used - $2,520.00 5 Jul/10/21 Oct/25/21
Description: AMAT 0010-29842 ASSY 300mm DBR High Temp Belt Wrist Titanium AMAT ENDURA XP
farmoninc NEW - $40.00 0 Jul/10/21 May/04/23
Description: AMAT 0020-15944 Roller BTM 300mm +/-15, 101743
equipplus Used - $159.00 1 Jul/10/21 May/30/23
Description: Applied Materials 0040-96158 Rev004 300mm SLIT Valve Door,Used,US^7283
lagpat Used - $1,700.00 1 Jul/10/21 Feb/20/23
Description: AMAT 0040-82246 REV 003 BLOK GAS FEED, TOP CLEAN, ALN 300MM ULTIMA X
farmoninc Used - $3,500.00 0 Jul/10/21 Apr/26/22
Description: AMAT 0010-21751 Resonator, 0040-23784 RF Capacitor Endura Preclean 300mm, 421221
farmoninc Used - $3,500.00 0 Jul/10/21 Apr/26/22
Description: AMAT 0010-21751 Resonator, 0040-23784 RF Capacitor Endura Preclean 300mm, 421223
farmoninc Used - $3,500.00 0 Jul/10/21 Apr/26/22
Description: AMAT 0010-21751 Resonator, 0040-23784 RF Capacitor Endura Preclean 300mm, 421222
senior-inc NEW - $10,000.00 0 Jul/11/21 Jul/29/21
Description: AMAT 0041-05536 CHAMBER LINER Y2O3 DIRECT COOLED EMAX 300MM
bridge_tronic_global Used - $1,090.00 0 Jul/12/21 Aug/03/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,090.00 0 Jul/12/21 Aug/06/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,090.00 0 Jul/13/21 Aug/12/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
labmax-com NEW - $598.80 1 Jul/19/21 Apr/18/23
Description: APPLIED MATERIALS 0022-17732 Blocker Plate 300mm AMAT NEW SEALED
ntsurplus302 Used - $970.00 0 Jul/19/21 Sep/08/22
Description: 5703 Applied Materials 0090-02806 TEMP CNTRL ASSY, DUAL SWLL, 300MM
semikorea Used - $5,000.00 0 Jul/19/21 May/25/23
Description: AMAT CMP OXIDE SLURRY 300MM 0010-17408(3set)
labmax-com NEW - $99.00 4 Jul/28/21 Nov/21/22
Description: AMAT Chamber Plug 300mm Applied Materials 0021-23572 with WARRANTY
j316gallery Used - $4,000.00 0 Jul/28/21 Jun/07/22
Description: 25723 APPLIED MATERIALS PYRO, 300MM RADIANCE, RTP, 0150-00743 0010-44213
j316gallery Used - $5,900.00 0 Jul/28/21 Sep/10/21
Description: 25735 APPLIED MATERIALS MKS REV3.0 G4 PLUS 4-PORT UPA 300MM REFL 0190-38324
comwaysind Used - $1,999.00 0 Jul/28/21 Feb/28/22
Description: vat VALVE amat 0190-13690 300mm Ultima SLIT Valve 99449/f-13 4746/034
nps NEW - $13.99 0 Jul/28/21 Aug/26/21
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
j316gallery Used - $785.25 1 Jul/28/21 Dec/15/21
Description: 19694 APPLIED MATERIALS HDPCVD ESC, 300MM DUAL HE (PARTS) 0040-48594
j316gallery Used - $800.00 0 Jul/28/21 Aug/16/22
Description: 25727 APPLIED MATERIALS PYRO, 300MM RADIANCE, RTP, 0150-00743 (PARTS) 0010-44213
j316gallery Used - $1,500.00 0 Jul/28/21 Aug/16/22
Description: 25728 APPLIED MATERIALS PYRO, 300MM RADIANCE, RTP, 0150-00743 (PARTS) 0010-44213
bridge_tronic_global Used - $1,090.00 0 Jul/28/21 Aug/19/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
comwaysind Used - $497.00 0 Jul/28/21 May/23/23
Description: AMAT 0195-06076 Rev. 002 84-32507-1 AC interlock 300mm producer SE
bridge_tronic_global Used - $499.00 0 Jul/28/21 Aug/26/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
usedeqsales Used - $7,503.61 1 Jul/29/21 Dec/18/21
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
xl-t_com Used - $80.00 0 Jul/30/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-79986 - MEMBRANE 300MM
semikorea Used - $13,000.00 0 Aug/03/21 Jan/11/23
Description: AMAT PRODUCER 300MM CERAMIC HEATER 0040-54449
bridge_tronic_global Used - $1,090.00 0 Aug/03/21 Sep/02/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
j316gallery Used - $2,000.00 0 Aug/05/21 Oct/06/21
Description: 25825 APPLIED MATERIALS WELDMENT MNFLD FJKN 4:1 RT 300MM HDP 12 0050-81177
bridge_tronic_global Used - $1,090.00 0 Aug/06/21 Sep/03/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
christyhtx NEW - $1,700.00 0 Aug/11/21 Mar/11/22
Description: Applied Materials AMAT 0200-09528 Blade Quartz 300mm
bridge_tronic_global Used - $1,090.00 0 Aug/12/21 Sep/09/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
kitu168 NEW - $9,998.50 0 Aug/14/21 Aug/30/21
Description: APPLIED MATERIALS 300MM HEATER 0041-82918 & 300114-4615-000
eisale1535 Used - $6,580.00 1 Aug/14/21 Jan/28/22
Description: AMAT Applied Materials Heater 300mm ESC 0010-24456 Endura2
katiil3 Used - $199.00 0 Aug/18/21 Oct/23/21
Description: AMAT Applied Materials 0010-27689 300mm Target Gravity Safe lock w/ 0020-63665
sgcequipment Used - $25,000.00 0 Aug/18/21 Oct/27/23
Description: AMAT - Applied Materials 0195-03727 (FRONT) 0195-03728 (BACK) 300MM ETCH AC RACK
katiil3 Used - $399.00 0 Aug/22/21 Oct/23/21
Description: Applied Materials AMAT 0040-92503 RF Electrode FEOL 300MM
bridge_tronic_global Used - $1,090.00 0 Aug/22/21 Sep/16/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
phxinn Used - $13,900.00 0 Aug/22/21 Aug/25/21
Description: Amat 0010-26264 Vacuum Heater Assy, 300mm
usedeqsales Used - $7,508.21 1 Aug/22/21 Apr/13/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Working Spare
usedeqsales Used - $5,004.11 1 Aug/22/21 Apr/08/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Cleaned Working
usedeqsales Used - $7,508.21 0 Aug/22/21 Aug/20/21
Description: Novellus 02-260547-00 300mm Electrostatic Chuck ESC Concept 3 C3 HDP-CVD Spare
usedeqsales Used - $1,508.21 0 Aug/22/21 Mar/16/23
Description: Novellus 02-260547-00 300mm Electrostatic Chuck ESC Concept 3 C3 HDP-CVD Spare
usedeqsales Used - $1,508.21 0 Aug/22/21 Mar/16/23
Description: Novellus 02-260547-00 Concept 3 300mm Electrostatic Chuck ESC C3 HDP-CVD Working
visionsemi Used - $4,350.00 0 Aug/26/21 Jan/27/22
Description: APPLIED MATERIALS AMAT WAFER LIFT 300mm CVD ENDURA 2 0010-82620
bridge_tronic_global Used - $499.00 0 Aug/26/21 Sep/23/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
nps NEW - $9.99 0 Aug/26/21 Sep/27/21
Description: Applied Materials 0040-45052 Platen Right 300MM Reflexion Belt Guard
usedeqsales Used - $2,508.21 0 Aug/26/21 Apr/13/23
Description: Novellus Systems 02-159684-00 300mm WCVD Pedestal Assembly Working Surplus
usedeqsales Used - $2,508.21 0 Sep/07/21 Jun/15/23
Description: Trazar 62511-001 Seal Plate 300mm HDP Rev. K Novellus 02-122732-00 Working Spare
powersell007 Used - $649.00 1 Sep/07/21 Mar/01/22
Description: APPLIED MATERIALS 0040-63742 PEDESTAL, 300MM PVD, MOTORIZED LIFT COOL AMAT
gemrkim1109 Used - $5,999.00 0 Sep/07/21 Jan/09/22
Description: 300mm AMAT DPS II CATHODE 0010-17126 / Free International Shipping
gemrkim1109 Used - $5,899.00 0 Sep/07/21 Oct/25/23
Description: 300mm AMAT DPS II 0040-33215 ESC / Free Expedited Shipping
j316gallery Used - $999.00 0 Sep/07/21 Sep/06/21
Description: 5050 APPLIED MATERIALS SHIELD, LOWER 300MM PCXT/RPC+ 0040-86514
bridge_tronic_global Used - $1,090.00 0 Sep/07/21 Sep/24/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,090.00 0 Sep/07/21 Oct/01/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
j316gallery Used - $1,147.85 1 Sep/07/21 Jan/13/23
Description: 5050 APPLIED MATERIALS SHIELD, LOWER 300MM PCXT/RPC+ 0040-86514
sgcequipment NEW - $1,100.00 0 Sep/07/21 Jun/15/23
Description: Applied Materials (AMAT) 0020-75115 Profiler Membrane 300mm
usedeqsales Used - $1,803.68 0 Sep/07/21 Sep/07/22
Description: Novellus Systems 02-121444-00 300mm Wafer Clamshell Drive Untested Spare As-Is
getspares.com_sparesllc09 Used - $18,500.00 0 Sep/07/21 Sep/15/21
Description: 0010-42572 / CENTURA EPSILON 300MM ENABLER CHAMBER / APPLIED MATERIALS AMAT
j316gallery Used - $16,660.50 0 Sep/08/21 Jun/22/23
Description: 15450 APPLIED MATERIALS 300MM ENDURA MAGNET ASSY, 0020-01995 0020-28491
powersell007 Used - $31,999.00 0 Sep/09/21 Mar/13/22
Description: APPLIED MATERIALS 0010-27430 MCA HI-TEMP E-CHUCK HEATER ASSY ESC 300MM HT AMAT
katiil3 Used - $99.00 0 Sep/09/21 Oct/23/21
Description: Applied Materials AMAT EPI 300mm INTERLOCK MODULE 2 0190-14286
katiil3 Used - $249.00 0 Sep/09/21 Oct/23/21
Description: Applied Materials AMAT 0090-02290 0100-01350 TCG 300mm FUSE STATUS CARD
xl-t_com Used - $200.00 0 Sep/10/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-13230 - COVER, DUAL HOSE, LID, 300MM
getspares.com_sparesllc09 Used - $5,750.03 1 Sep/10/21 Sep/23/21
Description: 0041-12156 / REFLECTOR PLATE INJECT 300MM RADIANCE PLUS / APPLIED MATERIALS AMAT
bridge_tronic_global Used - $1,090.00 0 Sep/10/21 Oct/07/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
j316gallery Used - $29,000.00 0 Sep/10/21 Sep/10/21
Description: 26164 APPLIED MATERIALS MAGNET ASSY, WP AL 300MM PVD, 0040-01260 0010-25739
getspares.com_sparesllc09 Used - $464.34 0 Sep/10/21 Aug/25/22
Description: 0015-76144 / COUPLING, MODIFIED, SHUTTER, 300MM, PVD / APPLIED MATERIALS AMAT
athomemarket NEW - $47.99 0 Sep/10/21 Nov/08/22
Description: NEW Applied Materials/AMAT 0020-46291 Chamber Mounting 300mm Left Bracket MF
xl-t_com Used - $900.00 0 Sep/13/21 Oct/19/21
Description: APPLIED MATERIALS - 0100-00572 - PCB ASSEMBLY GAS PANEL EPI 300MM
rtxparts Used - $350.00 0 Sep/15/21 Nov/16/21
Description: Amat. 300mm Centura Cable, EVC Male to Male , 75ft, 0150-06633 Rev-003
rtxparts Used - $250.00 0 Sep/15/21 Dec/09/21
Description: Amat. 300mm Centura Cable, EVC Male to Male , 75ft, 0150-06633 Rev-003
rtxparts Used - $1,350.00 0 Sep/15/21 Nov/16/21
Description: Novellus: 15-174824-00 Rev C 1706 101079 02-176383-00 Pedestal. 300mm heater.
getspares.com_sparesllc09 Used - $1,500.03 0 Sep/15/21 Sep/17/21
Description: 715-801916-808 / PLD GAS DIST 300MM W/G RV HTR / LAM RESEARCH CORPORATION
farmoninc NEW - $2,950.00 0 Sep/16/21 May/04/23
Description: AMAT 0041-96872 Showerhead, Spiral, ATO, Texturized, ALD, 300mm, 102391
bridge_tronic_global Used - $1,090.00 0 Sep/16/21 Oct/13/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
j316gallery Used - $2,298.00 2 Sep/16/21 Mar/09/23
Description: 26237 APPLIED MATERIALS CTLR ASSY, CHMBR, EPI 300MM, 0100-01753 0010-23302
j316gallery NEW - $2,000.00 0 Sep/16/21 Dec/01/21
Description: 26208 APPLIED MATERIALS BLADE ROBOT 300MM SLOPE POCKET CLAMPED (NEW) 0021-32929
farmoninc Used - $12,000.00 0 Sep/17/21 Sep/08/22
Description: AMAT 0190-45322 Ceramic Heater, 300mm, 30004800, Dual Zone, 102421
farmoninc Used - $12,000.00 0 Sep/17/21 Mar/29/23
Description: AMAT 0190-45322 Ceramic Heater, 300mm, 30004800, Dual Zone, PEALD, 102429
athomemarket Used - $107.99 0 Sep/17/21 Nov/15/22
Description: NEW Applied Materials/AMAT 0100-00637 Mainframe Relays 300mm PCB Board Assy.
katiil3 Used - $249.00 0 Sep/18/21 Oct/23/21
Description: Applied Materials AMAT backplane 300mm linear platfrom 0100-02292
athomemarket NEW - $139.99 0 Sep/20/21 Nov/16/22
Description: NEW Applied Materials/AMAT 0270-03775 Single Air Bearing Hose Assy. Endura 300mm
athomemarket Used - $799.98 0 Sep/20/21 Nov/18/22
Description: AMAT/Applied Materials 0041-26723 Rev. 02 Bonded Assembly CESC 300mm ESC
gbuilts Used - $199.00 1 Sep/22/21 Apr/20/23
Description: APPLIED MATERIALS 0020-84596 Rev 08 FACEPLATE 26MIL CENTERHOLE RF CAP 300MM
j316gallery Used - $200.00 0 Sep/22/21 Nov/01/21
Description: 14525 APPLIED MATERIALS PCB, ENG SPEC, E84 DIST BD, 300MM FI 0190-03068
j316gallery Used - $1,100.00 0 Sep/22/21 Sep/23/21
Description: 7793 APPLIED MATERIALS 300MM CENTURA VMICPCI-7325-259 800MHZ 512MB 0090-04405
powersell007 Used - $999.00 0 Sep/23/21 May/18/23
Description: APPLIED MATERIALS 0200-15167 300MM PVD DEPOSITION RING AMAT
bridge_tronic_global Used - $499.00 0 Sep/23/21 Oct/21/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
bridge_tronic_global Used - $1,090.00 0 Sep/27/21 Oct/22/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
rtxparts Used - $2,850.00 2 Sep/27/21 Sep/29/21
Description: Amat 0010-21748 REV.004, AMAT RF Match, H.E. RF Match PVD 300mm
getspares.com_sparesllc09 Used - $5,750.03 0 Sep/27/21 Jan/13/22
Description: 0041-12156 / REFLECTOR PLATE INJECT 300MM RADIANCE PLUS / APPLIED MATERIALS AMAT
maxisemi1349 Used - $195.00 0 Sep/28/21 Oct/20/22
Description: 15-119067-00 MODIFIED,CUP,END EFFECTOR,300MM,SABRE
j316gallery Used - $1,500.00 0 Sep/30/21 Jun/08/22
Description: 26255 APPLIED MATERIALS PYRO, 300MM RADIANCE, RTP, 0150-00743 (PARTS) 0010-44213
bridge_tronic_global Used - $1,090.00 0 Oct/03/21 Oct/29/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
maxisemi1349 Used - $2,400.00 0 Oct/03/21 Aug/25/22
Description: 17-312259-00 CONTACT,1MM,APC,N6,300MM, lot of 4
mattron747 Used - $2,750.00 0 Oct/03/21 Aug/25/22
Description: Applied Materials 0100-00734 PCB, Chamber Dist., 300mm HDP
mattron747 Used - $2,750.00 0 Oct/03/21 Aug/25/22
Description: Applied Materials 0190-14224 Flex Dist. Assy, 300mm Centura
katiil3 Used - $399.00 0 Oct/07/21 Oct/23/21
Description: Applied materials AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM
j316gallery Used - $419.39 0 Oct/07/21 May/18/23
Description: 15755 LAM RESEARCH PCB SYSTEM INTERLOCK 300MM 810-800031-401
bridge_tronic_global Used - $1,090.00 0 Oct/07/21 Nov/04/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
katiil3 NEW - $4,999.00 0 Oct/08/21 Oct/23/21
Description: Applied Materials AMAT 0190-12477 6-PORT ROTARY UNION, 300MM 5-ZONE
katiil3 NEW - $2,999.00 0 Oct/08/21 Oct/23/21
Description: Applied Materials AMAT 0020-28944 WEIGHT RING 300MM PROFILER 2x 0021-12124 3x
katiil3 Used - $999.00 0 Oct/08/21 Oct/23/21
Description: Applied Materials AMAT 0020-53569 Rev. 05 BASE PLATE 2X4-PORT UPA 300MM LK REFLE
katiil3 Used - $399.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0240-88488, 0051-90028 KIT DRIANS 300MM REFLEXION
katiil3 Used - $599.00 2 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0240-89814 RETROFIT KIT SMART CLEAN CUP, 300MM REF
katiil3 Used - $399.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0020-87594 UPA FRONT BRACKET 300MM REFLEXION LK Qty 4x
katiil3 Used - $399.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0020-87595 UPA REAR BRACKET 300MM REFLEXION LK Qty 4x
katiil3 Used - $999.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0020-87570 SMC DNET UPA BASE PLATE 300MM REFLEXION L
torrom_120 NEW - $999.00 0 Oct/12/21 Mar/16/23
Description: AMAT 0010-17406 ASSMBLY,LH PIVOT AND BEARING 300MM ROBOT WRIST
torrom_120 NEW - $999.00 0 Oct/12/21 Mar/16/23
Description: AMAT 0010-17407 ASSMBLY,RH PIVOT AND BEARING 300MM ROBOT WRIST NEW
katiil3 Used - $249.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0051-78308 P1/P2 END LATERAL ASSY. CMP 300MM
eisale1535 Used - $16,799.00 0 Oct/12/21 Apr/24/22
Description: AMAT 0040-81673 PRODUCER 300MM EXT E-CHUCK ASSY 0040-53718
katiil3 Used - $649.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0020-53569 Rev. 05 BASE PLATE 2X4-PORT UPA 300MM LK REFLE
katiil3 Used - $999.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0010-16653 UPPER Pneumatic Manifold 300MM Reflaxion
katiil3 Used - $349.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0051-90031 DRAIN PLATEN 3, CMP 300MM
katiil3 Used - $499.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0040-84461 BRACKET NOZZLE HEAD WASH 300MM REFLEXION
katiil3 Used - $499.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0240-88487 KIT INTEGRATION DRAINS 300MM REFLEXION SPARES
techshop7777 NEW - $1,880.00 3 Oct/12/21 Apr/29/24
Description: Applied Materials EDGE RING SST HEATER 300MM 0010-41878 AMAT / NEW Sealed
jens.pens Used - $1,900.00 1 Oct/13/21 Apr/05/23
Description: Applied AMAT 300mm ESC Chuck 0010-33590 0041-08142 0041-08143 11168200-437-0002
bridge_tronic_global Used - $1,090.00 0 Oct/13/21 Nov/11/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
40-30sourcing Used - $2,899.00 0 Oct/14/21 Jun/07/22
Description: Set of LID CERAMIC DUAL GAS FLAT IEP 300MM with 0200-02967 NOZZLE INSERT
katiil3 Used - $199.00 0 Oct/17/21 Oct/23/21
Description: Applied Materials AMAT 0041-07305 SEAL, SPINDLE, 300MM
dom0808 Used - $213.00 0 Oct/19/21 Feb/11/22
Description: Lam Research 300MM. HEATER FILTER 810-802969-002
jabedow NEW - $300.00 1 Oct/19/21 Dec/04/22
Description: Amat, 0040-08492, PLATE, GAS DISTRIBUTION, TXZ, 300MM, CVD
jabedow Used - $590.00 1 Oct/20/21 Feb/18/22
Description: 0040-13509, Quartz, Bell Jar, ZCoat, Preclean 300mm used
jabedow Used - $2,500.00 1 Oct/20/21 Oct/20/21
Description: 0010-21751 Resonator, RF Capacitor Endura Preclean 300mm
katiil3 NEW - $349.00 0 Oct/20/21 Oct/23/21
Description: Applied Materials AMAT 0240-63091 RETROFIT KIT, VD LDM, 300MM DESICA
capitolareatech Used - $329.95 0 Oct/20/21 Dec/20/22
Description: Applied Materials (AMAT) 0150-21861 C/A GEN RACK INTLKS INTCONN,300MM
j316gallery Used - $1,723.50 0 Oct/21/21 Aug/20/23
Description: 26106 APPLIED MATERIALS FACEPLATE, XTRA ROW EXTENDING FLO 300MM 0021-42136
bridge_tronic_global Used - $499.00 0 Oct/21/21 Nov/18/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
wenbai-35 NEW - $250.00 0 Oct/22/21 Nov/02/22
Description: AMAT 0200-08242 R 300mm, 54mm, producer ETCH
bridge_tronic_global Used - $1,090.00 0 Oct/22/21 Nov/19/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
j316gallery NEW - $1,800.00 0 Oct/25/21 Aug/16/22
Description: 26115 APPLIED MATERIALS SHIELD, LOWER 300MM PCXT/RPC+ (NEW) 0040-86514
maxisemi1349 Used - $475.00 3 Oct/25/21 Nov/05/22
Description: 15-327152-00 CUP,VACUUM,300MM ANNEAL
zuse81 Used - $10,000.00 2 Oct/27/21 Oct/02/22
Description: AMAT PRAXAIR SOURCE 2 414122-P4-ECH2 CPI-VMO 0010-22568 300MM MRCFTI0022259
jabedow Used - $1,315.00 1 Oct/28/21 Jan/27/22
Description: 0010-21751 Resonator, RF Capacitor Endura Preclean 300mm
eisale1535 Used - $2,520.00 1 Oct/28/21 Jul/29/22
Description: AMAT 0010-29842 ASSY 300mm DBR High Temp Belt Wrist Titanium AMAT ENDURA XP
j316gallery Used - $231.54 0 Oct/29/21 Nov/30/23
Description: 26574 APPLIED MATERIALS PCB, CDN396R 300MM ANALOG I/O (PARTS) 0190-32372
bridge_tronic_global Used - $1,090.00 0 Oct/29/21 Nov/24/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
athomemarket Used - $499.99 0 Oct/29/21 Nov/05/21
Description: NEW MKS CDN391R Board AMAT 0190-26786 ASSY PCB D-I/O 300mm HDP w/ Certificate
yogiandbooboobears Used - $3,856.40 0 Oct/31/21 Nov/10/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
yogiandbooboobears Used - $3,835.80 0 Oct/31/21 Nov/10/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
modelkits4u Used - $3,856.40 0 Oct/31/21 Nov/10/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
modelkits4u Used - $3,835.80 0 Oct/31/21 Nov/10/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
powersell007 Used - $1,299.00 0 Nov/02/21 Jan/13/22
Description: APPLIED MATERIALS 0041-12192 REFLECTOR PLATE 300MM RADIANCE AMAT
j316gallery Used - $299.00 0 Nov/03/21 Nov/10/21
Description: 26611 APPLIED MATERIALS MANF VLV ASSY, 2POS. & PP, 300MM CENTURA LPC 0050-44708
j316gallery Used - $1,000.00 1 Nov/03/21 Sep/22/22
Description: 26615 APPLIED MATERIALS MANF VLV ASSY, FINAL VLV CLUSTER, TXZ 300MM 0190-02816
bridge_tronic_global Used - $1,090.00 0 Nov/04/21 Dec/02/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
ctc-vehicles Used - $1.00 0 Nov/05/21 Nov/12/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
ctc-vehicles Used - $1.00 0 Nov/05/21 Nov/12/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
capitolareatech Used - $499.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 0050-41473 GASLINE, BACKSIDE, AR # 5B, 300MM ESC
capitolareatech Used - $69.95 2 Nov/08/21 Nov/08/23
Description: Applied Materials (AMAT) 0040-38084 COVER, BRKT, THROTTLE DRIVE, 300MM
athomemarket Used - $499.99 4 Nov/08/21 Feb/07/22
Description: NEW MKS CDN391R Board AMAT 0190-26786 ASSY PCB D-I/O 300mm HDP w/ Certificate
chick666995 Used - $5,000.00 1 Nov/08/21 Nov/09/21
Description: 0010-39646 AMAT NSK THROTTLE VALVE, 300MM MODEL # XY-MS0014-103
alvin1462 Used - $1,688.00 1 Nov/09/21 Nov/01/22
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied materials / AMAT JACKING PLATE, AIR BEARING, RPG CHBR, 300MM 0270-03384
katiil3 Used - $499.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0240-88487 KIT INTEGRATION DRAINS 300MM REFLEXION SPARES
katiil3 Used - $499.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0040-84461 BRACKET NOZZLE HEAD WASH 300MM REFLEXION
katiil3 Used - $649.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0020-53569 Rev. 05 BASE PLATE 2X4-PORT UPA 300MM LK REFLE
katiil3 Used - $1,199.00 1 Nov/09/21 Jan/04/22
Description: Applied materials/ AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM
katiil3 Used - $999.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0020-53569 Rev. 05 BASE PLATE 2X4-PORT UPA 300MM LK REFLE
katiil3 Used - $1,499.00 1 Nov/09/21 Mar/09/22
Description: Applied materials 0021-34728 BLOCKER,PLATE,SICONI,300MM
katiil3 Used - $999.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0010-16653 UPPER Pneumatic Manifold 300MM Reflaxion
katiil3 Used - $999.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0020-87570 SMC DNET UPA BASE PLATE 300MM REFLEXION L
katiil3 Used - $1,499.00 0 Nov/09/21 Mar/09/22
Description: Applied materials AMAT 0010-18024 Pyrometer 2MM Porbe 300MM Radiance RTP
katiil3 NEW - $2,999.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0020-28944 WEIGHT RING 300MM PROFILER 2x 0021-12124 3x
katiil3 NEW - $4,999.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0190-12477 6-PORT ROTARY UNION, 300MM 5-ZONE
katiil3 Used - $349.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0051-90031 DRAIN PLATEN 3, CMP 300MM
katiil3 Used - $899.00 1 Nov/09/21 Mar/09/22
Description: Applied materials AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM
katiil3 Used - $399.00 0 Nov/09/21 Jan/04/22
Description: Applied Materials AMAT 0040-92503 RF Electrode FEOL 300MM
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0020-87595 UPA REAR BRACKET 300MM REFLEXION LK Qty 4x
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0020-87594 UPA FRONT BRACKET 300MM REFLEXION LK Qty 4x
katiil3 Used - $899.00 0 Nov/09/21 Jan/04/22
Description: Applied materials/ AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM Used good
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0240-88488, 0051-90028 KIT DRIANS 300MM REFLEXION
equipplus Used - $1,999.00 0 Nov/09/21 Sep/15/22
Description: Lam Research Novellus 16-435080-00 D Shower Head,300mm 15",TC,Tapered,EBCam^7552
katiil3 Used - $199.00 0 Nov/10/21 Dec/10/23
Description: AMAT Applied Materials 0040-23525 300mm Slit Valve Door Plate
katiil3 Used - $249.00 0 Nov/10/21 Apr/23/23
Description: Applied Materials AMAT 0090-02290 0100-01350 TCG 300mm FUSE STATUS CARD
katiil3 Used - $249.00 0 Nov/10/21 Jun/09/24
Description: Applied Materials AMAT 0051-78308 P1/P2 END LATERAL ASSY. CMP 300MM
katiil3 Used - $89.00 0 Nov/10/21 Feb/20/24
Description: Applied materials 0190-14285 EPI 300MM Interlock Module DIP-428-015 Used
katiil3 Used - $99.00 0 Nov/10/21 Jun/10/23
Description: Applied Materials AMAT EPI 300mm INTERLOCK MODULE 2 0190-14286
bridge_tronic_global Used - $1,090.00 0 Nov/11/21 Dec/08/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
spalding_fasteners Used - $3,000.00 0 Nov/11/21 Nov/21/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
spalding_fasteners Used - $3,000.00 0 Nov/11/21 Nov/21/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
maxisemi1349 NEW - $4,950.00 0 Nov/11/21 Aug/01/22
Description: 714-174553-001 CONTACT,300MM,0.95TP, N5,DN , lot of 4
getspares.com_sparesllc09 Used - $895.19 1 Nov/12/21 Jun/29/22
Description: 0040-21402 / BELLOWS, 300MM WAFER LIFT / APPLIED MATERIALS AMAT
lartonlivery Used - $1.00 0 Nov/15/21 Nov/25/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
lartonlivery Used - $1.00 0 Nov/15/21 Nov/25/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
rtxparts Used - $350.00 0 Nov/16/21 Feb/10/22
Description: Amat. 300mm Centura Cable, EVC Male to Male , 75ft, 0150-06633 Rev-003
rtxparts Used - $1,350.00 0 Nov/16/21 Feb/10/22
Description: Novellus: 15-174824-00 Rev C 1706 101079 02-176383-00 Pedestal. 300mm heater.
katiil3 Used - $5,999.00 1 Nov/16/21 Nov/16/22
Description: TDK TAS300 300mm Load Port F1 AMAT Applied Materials 0190-17837 lot of 4
bridge_tronic_global Used - $499.00 0 Nov/18/21 Dec/14/21
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
maxisemi1349 Used - $850.00 0 Nov/18/21 Sep/14/23
Description: 02-120403-00 THERMOCOUPLE SPRING LOADED 300MM, lot of 3
forestfundamentals Used - $1.00 0 Nov/18/21 Nov/28/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
forestfundamentals Used - $1.00 0 Nov/18/21 Nov/28/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
iluvltd Used - $2,000.00 0 Nov/18/21 Nov/28/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
iluvltd Used - $2,000.00 0 Nov/18/21 Nov/28/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
maxisemi1349 Used - $1,500.00 0 Nov/19/21 Mar/02/23
Description: 02-273492-00 ASSY,DIFFUSER,EASY WET,11.4 ID,300MM NEXT
bridge_tronic_global Used - $1,090.00 0 Nov/19/21 Dec/14/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
retrostarlondon Used - $3,000.00 0 Nov/19/21 Nov/29/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
retrostarlondon Used - $3,000.00 0 Nov/19/21 Nov/29/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
whybee-adventure Used - $3,000.00 0 Nov/20/21 Nov/27/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
whybee-adventure Used - $3,000.00 0 Nov/20/21 Nov/27/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
tiswas Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
tiswas Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
e-che-wa-wa NEW - $350.00 1 Nov/20/21 Jun/28/22
Description: Amat 0150-76581 C/A System 50ft AC/DC Power Cable Integration 300MM
dgold32 Used - $299.99 1 Nov/22/21 Nov/25/21
Description: AMAT Applied Materials 0010-08581 Generator Rack I/O Block 300mm
katiil3 Used - $249.00 0 Nov/23/21 Aug/31/23
Description: Applied materials amat 0140-03532 harness assy, swll a interlock, 300mm
rtxparts Used - $375.00 0 Nov/23/21 Feb/10/22
Description: Amat 0021-13421 Rev A Balance Ring 300mm Quantum
rtxparts Used - $600.00 0 Nov/23/21 Feb/10/22
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
bookbinder2010 Used - $1.00 0 Nov/23/21 Dec/03/21
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
bookbinder2010 Used - $1.00 0 Nov/23/21 Dec/03/21
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
bridge_tronic_global Used - $1,090.00 0 Nov/24/21 Dec/17/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
dgold32 Used - $799.99 1 Nov/30/21 Dec/04/21
Description: AMAT APPLIED MATERIALS 0040-48594 ESC 300MM DUAL HE HD E-CHUCK
phxinn Used - $47,000.00 0 Nov/30/21 Jan/06/22
Description: AMAT 0010-27983 HTB2 MCA ESC Heater Assy, 300mm.
zindchau15 Used - $1,350.00 0 Dec/01/21 Jan/31/22
Description: SMC US13394 APPLIED MATERIALS 3020-00077 SLIT VALVE 300MM 0010-26749
vintageandstyle Used - $2,100.00 0 Dec/01/21 May/08/24
Description: APPLIED MATERIALS 0040-46381 STD CENTURA CHAMBER 0010-26655 300MM
dgold32 Used - $299.99 1 Dec/01/21 Mar/29/22
Description: AMAT Applied Materials 0010-08581 Generator Rack I/O Block 300mm
phxinn Used - $47,000.00 0 Dec/01/21 Dec/04/21
Description: AMAT 0010-27431 HTB2 MCA ESC Heater Assy, 300mm
bridge_tronic_global Used - $1,090.00 0 Dec/02/21 Dec/30/21
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
degree6 NEW - $12.00 0 Dec/04/21 May/07/22
Description: Applied Materials (AMAT) 0140-28095 HARNESS ASSY, CH B PNEU EV, 300MM
gteprimo Used - $2,095.45 0 Dec/05/21 Dec/08/22
Description: Kensington 25-3600-0300-03 300mm Wafer Prealigner AMAT Ultima 0040-04094 001
gteprimo Used - $1,844.18 1 Dec/05/21 Jun/05/22
Description: Applied Materials Steurung für 300MM CENTURA 0190-03543 ELA NSK-M-CLR Inkl. MwSt
usedeqsales Used - $2,512.21 1 Dec/06/21 Dec/06/21
Description: AMAT Applied Materials 0010-51269 300mm ESC Electrostatic Chuck Assembly As-Is
bridge_tronic_global Used - $1,090.00 0 Dec/08/21 Jan/04/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
rtxparts Used - $250.00 0 Dec/09/21 Feb/10/22
Description: Amat. 300mm Centura Cable, EVC Male to Male , 75ft, 0150-06633 Rev-003
usedeqsales Used - $2,512.21 0 Dec/09/21 Jan/10/22
Description: AMAT Applied Materials 0190-25290 300mm Wafer End Effector Blade Fork Working
bridge_tronic_global Used - $1,090.00 0 Dec/14/21 Jan/11/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $499.00 0 Dec/14/21 Jan/11/22
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
powersell007 Used - $13,999.00 0 Dec/17/21 Feb/17/23
Description: APPLIED MATERIALS 0041-61870 0041-90645 300MM PRODUCER CERAMIC HEATER AMAT
bridge_tronic_global Used - $1,090.00 0 Dec/17/21 Jan/14/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
autoquip7 NEW - $3,015.00 0 Dec/23/21 Jul/25/22
Description: 0090-00398, 0100-20458; ASSY ELECTRICAL HDPCVD 300MM PCB, APPLIED MATERIAL (AMAT
techequipsales Used - $2,750.00 1 Dec/27/21 Dec/30/21
Description: LAM Research 839-800327-315 ESC 300mm CER BI IMB BIG PIN *used working
phxinn Used - $32,000.00 0 Dec/28/21 Jan/20/22
Description: AMAT 0010-24456 LTE MCA ESC Assy, 300mm
bridge_tronic_global Used - $1,090.00 0 Dec/30/21 Jan/27/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
visionsemi Used - $359.16 0 Jan/02/22 Feb/02/23
Description: APPLIED MATERIALS AMAT HOLDER LIFT PIN 300MM ULTIMA X 0021-47962
visionsemi NEW - $365.02 0 Jan/02/22 Feb/02/23
Description: APPLIED MATERIALS AMAT HEATER JACKET 1 ELBOW EXHAUST 300MM 0190-46595 NEW
bridge_tronic_global Used - $1,090.00 0 Jan/08/22 Feb/01/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
sgcequipment NEW - $1,525.00 0 Jan/08/22 Jun/30/22
Description: Applied Materials (AMAT) 0020-47729 SHIELD LOWER PVD TUNGSTEN 300MM
sgcequipment NEW - $4,600.00 0 Jan/08/22 Jun/30/22
Description: Applied Materials (AMAT) 0020-47728 SHIELD UPPER PVD TUNGSTEN 300MM
bridge_tronic_global Used - $1,090.00 0 Jan/11/22 Feb/08/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $499.00 0 Jan/11/22 Feb/08/22
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
pnw-shop5 Used - $3,000.00 0 Jan/11/22 Jan/11/22
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
pnw-shop5 Used - $3,000.00 0 Jan/11/22 Jan/11/22
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
baseoftree1 Used - $4,187.90 0 Jan/11/22 Jan/11/22
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
baseoftree1 Used - $4,167.30 0 Jan/11/22 Jan/11/22
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
egg.n.chips.clothing Used - $15.21 0 Jan/14/22 Jan/14/22
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
egg.n.chips.clothing Used - $13.15 0 Jan/14/22 Jan/14/22
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
ledlightingsolution Used - $15.21 0 Jan/14/22 Jan/14/22
Description: AMAT Applied Materials 0010-22569 300mm CPI-VMO Chamber and Source D PVD As-Is
ledlightingsolution Used - $13.15 0 Jan/14/22 Jan/14/22
Description: 19186 APPLIED MATERIALS 300MM MATISSE ROT CENTURA TCG 0041-13387 0010-38087
bridge_tronic_global Used - $1,090.00 0 Jan/14/22 Feb/11/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bobsgoodies2 Used - $155.00 0 Jan/15/22 Mar/31/22
Description: APPLIED MATERIALS AMAT 0090-76050 ASSEMBLY, 300MM OTF RECEIVER
j316gallery Used - $5,000.00 1 Jan/16/22 Sep/26/22
Description: 27831 APPLIED MATERIALS MCA E-CHUCK HTR, 300MM FDR SLT ESC, NI-PL 0010-24456
farwestproductsales NEW - $449.95 1 Jan/18/22 Feb/21/22
Description: Applied Materials 0040-96157 300mm Slit Valve Door NEW! FREE SHIPPING!
vizvik16 Used - $450.00 0 Jan/18/22 Jul/18/24
Description: APPLIED MATERIALS 0020-48305, 0021-40241 COVER RING TI WITH CLEANCOAT 300MM
christyhtx Used - $600.00 0 Jan/18/22 Apr/18/22
Description: AMAT 0190-24115 PCB, CDN391R, D-I/O, 300MM ENDURA
j316gallery Used - $16,755.00 0 Jan/18/22 Sep/14/23
Description: 10890 APPLIED MATERIALS LAMP HOUSING, BRAZED, FLEX FLANGE 300MM 0040-70247
sgcequipment NEW - $175.00 1 Jan/20/22 Sep/20/23
Description: Applied Materials (AMAT) 0200-04814 SINGLE GAS INSERT SHOWER HEAD 300MM
sgcequipment NEW - $155.00 1 Jan/20/22 Nov/13/23
Description: Applied Materials (AMAT) 0200-04381 GAS INSERT, SINGLE FEED,300MM,DPN PLUS
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
usedeqsales Used - $3,000.61 0 Jan/24/22 Jul/21/22
Description: AMAT Applied Materials 0010-18024 300mm Radiance RTP New Pyrometer New Spare
electronicsister Used - $2,599.99 0 Jan/24/22 Mar/17/22
Description: CHAMBER AC DISTRIBUTION BOX AXIOM 300MM APPLIED MATERIALS AMAT 0190-30702
j316gallery Used - $500.00 0 Jan/25/22 Feb/17/22
Description: 7683 APPLIED MATERIALS SHIELD OUTER, TXZ CVD 300MM 0021-07984
excessdealer Used - $800.00 11 Jan/25/22 Jan/26/22
Description: Applied Materials 300mm Tx2 DLI Heater Controller 0090-08137 Rev 03, 0040-00173
j316gallery Used - $559.67 0 Jan/27/22 Jun/27/24
Description: 12364 APPLIED MATERIALS FEEDTHRU, FLEX SLEEVE, 300MM, NI CONTACT 0190-22161
j316gallery Used - $635.85 0 Jan/27/22 Jun/27/24
Description: 8660 APPLIED MATERIALS IMP PEDESTAL 300MM, B101 HEATER W/ CENTER 0021-22224
j316gallery Used - $604.76 0 Jan/27/22 Jun/27/24
Description: 19302 NOVELLUS ASSY, THERMOCOUPLE, 300MM, SPRING LOADED 02-120403-00
j316gallery Used - $4,000.00 1 Jan/27/22 Feb/11/22
Description: 27325 APPLIED MATERIALS PYROMETER, 300MM RADIANCE, RTP, 0150-00743 0010-15632
sgcequipment NEW - $1,500.00 1 Jan/27/22 Feb/21/22
Description: Applied Materials (AMAT) 0040-96157 300MM SLIT VALVE DOOR
bridge_tronic_global Used - $1,090.00 0 Jan/27/22 Feb/24/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
merakii-uk Used - $1.00 0 Jan/29/22 Jan/29/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
eabani1111 Used - $1.00 0 Jan/29/22 Jan/29/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
vintageandstyle Used - $1,350.00 0 Jan/31/22 May/08/24
Description: SMC US13394 APPLIED MATERIALS 3020-00077 SLIT VALVE 300MM 0010-26749
bridge_tronic_global Used - $1,090.00 0 Feb/01/22 Feb/25/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
getspares.com_sparesllc09 Used - $2,749.82 0 Feb/03/22 Sep/21/22
Description: 0020-63694 / COVER 300MM TITAN CONTOUR / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $19,410.00 0 Feb/03/22 Aug/31/23
Description: 0041-48052 / WATER BOX, 300MM ALD-WATER BOX 3 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $778.05 0 Feb/04/22 Jun/04/23
Description: 0021-24184 / LINER, BOTTOM SIDE 2, 300MM SE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $778.05 0 Feb/04/22 Jun/04/23
Description: 0021-24183 / LINER, BOTTOM SIDE 1, 300MM SE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $11,000.80 0 Feb/04/22 Jun/04/23
Description: 0040-81156 / CHAMBER UPPER LINER 300MM DPS2 / APPLIED MATERIALS AMAT
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
dgold32 Used - $899.99 1 Feb/08/22 Feb/17/22
Description: Lam Research 715-073734-211 ESC Chuck 300mm 839-019090-632 /G
bridge_tronic_global Used - $1,090.00 0 Feb/08/22 Mar/01/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $499.00 0 Feb/08/22 Mar/01/22
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
guitaranatomy Used - $1.00 0 Feb/08/22 Feb/08/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
surplustechmart Used - $269.10 1 Feb/09/22 Nov/17/22
Description: AMAT APPLIED MATERIALS 0020-61186 COVER PLATE A101 HEATER 300mm PVD
rtxparts Used - $350.00 0 Feb/10/22 Aug/09/22
Description: Amat. 300mm Centura Cable, EVC Male to Male , 75ft, 0150-06633 Rev-003
rtxparts Used - $1,350.00 0 Feb/10/22 Aug/09/22
Description: Novellus: 15-174824-00 Rev C 1706 101079 02-176383-00 Pedestal. 300mm heater.
rtxparts Used - $375.00 0 Feb/10/22 Aug/09/22
Description: Amat 0021-13421 Rev A Balance Ring 300mm Quantum
rtxparts Used - $600.00 0 Feb/10/22 Aug/09/22
Description: Amat 0010-02177, 0010-02176, Assy, RH, Pivot and bearing, 300mm Rev 1
rtxparts Used - $250.00 0 Feb/10/22 Aug/09/22
Description: Amat. 300mm Centura Cable, EVC Male to Male , 75ft, 0150-06633 Rev-003
bridge_tronic_global Used - $1,090.00 0 Feb/11/22 Mar/08/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
randmgoods Used - $5,999.99 1 Feb/12/22 Feb/17/22
Description: 839-019090-620 G ESC, TUNABLE 4-ZONE 300MM NO EPOXY, LAM Research New
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
sootysmtg Used - $1.00 0 Feb/12/22 Feb/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
senior-inc Used - $860.00 1 Feb/14/22 Apr/23/23
Description: AMAT 0190-01401 MANIFOLD, GP PNEUM, 300MM ULTIMA / EV MANIFOLD (0040-04102)
dgold32 Used - $299.99 1 Feb/15/22 Jun/30/22
Description: LAM RESEARCH ETCH ECT ESC 300MM 839-800327-518, 715-102001-518B
j316gallery Used - $8,934.88 0 Feb/17/22 Feb/02/23
Description: 13938 APPLIED MATERIALS CNTRL MAGLEV ROT W/NTC 300MM, PN: 710000171 0190-11669
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
vizvik16 Used - $450.00 0 Feb/20/22 Jul/20/24
Description: AMAT Applied Materials 0021-85650 300mm SST Shutter Disk SNNF HTESC TiW-ESC
vizvik16 Used - $970.00 0 Feb/21/22 Jul/20/24
Description: Applied Materials 0021-16781 Shield Upper 300MM
vizvik16 Used - $770.00 0 Feb/21/22 Jul/21/24
Description: Applied Materials 0021-46353 Shield 300MM
getspares.com_sparesllc09 Used - $11,313.50 0 Feb/23/22 Mar/01/23
Description: 0010-07540 / ASSY, WATER BOX, 300MM SLT ESC / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $3,969.73 0 Feb/23/22 Mar/03/22
Description: 0020-12397 / LOWER PLATE HEATER ECP 300MM ANNEAL / APPLIED MATERIALS AMAT
bridge_tronic_global Used - $1,090.00 0 Feb/25/22 Mar/22/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,090.00 0 Feb/25/22 Mar/22/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
zindchau15 Used - $450.00 5 Feb/25/22 Jun/30/22
Description: AMAT Applied Materials 0040-55456 300mm Quartz Bell Jar
zindchau15 Used - $250.00 0 Feb/25/22 Mar/03/22
Description: APPLIED MATERIALS 0020-48628 ALUMINUM, RING, EDGE, STAINLESS STEEL HTR 300MM TXZ
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
love_my_cottage Used - $1.00 0 Feb/26/22 Feb/26/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
bmc.london Used - $1.00 0 Feb/26/22 Feb/26/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
j316gallery Used - $1,000.00 0 Mar/01/22 Sep/28/22
Description: 27690 APPLIED MATERIALS RF ELECTRODE FEOL SMALL RF 300MM 0040-92503
bridge_tronic_global Used - $1,090.00 0 Mar/01/22 Mar/28/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $499.00 0 Mar/01/22 Mar/28/22
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
getspares.com_sparesllc09 Used - $5,000.00 0 Mar/04/22 Jun/04/23
Description: 0020-51916 / CATHODE LINER, 300MM DPN / APPLIED MATERIALS AMAT
phxinn Used - $2,250.00 2 Mar/07/22 Feb/14/23
Description: Applied Materials 0010-27929 300mm Chiller, Siconi Clean
getspares.com_sparesllc09 Used - $32,000.70 0 Mar/07/22 May/17/23
Description: 0010-44389 /ASSY CATHODE 300MM DPN HT 0010-34930 0040-08137/ APPLIED MATERIALS
bridge_tronic_global Used - $1,090.00 0 Mar/08/22 Mar/31/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
usedeqsales Used - $2,503.22 2 Mar/08/22 Mar/08/22
Description: AMAT Applied Materials 0010-51269 300mm ESC Electrostatic Chuck Copper Cu As-Is
farmoninc Used - $2,200.00 0 Mar/08/22 Jun/03/22
Description: AMAT 0050-80018 HOSE ASSY HEAT EXCHANGER SUPPLY 75FT, ULTIMA-X 300MM, CVD,103769
katiil3 Used - $399.00 0 Mar/10/22 Jul/16/24
Description: Applied materials / AMAT JACKING PLATE, AIR BEARING, RPG CHBR, 300MM 0270-03384
getspares.com_sparesllc09 Used - $625.68 0 Mar/10/22 Jun/30/22
Description: 0200-03979 / LINER,300MM APC / APPLIED MATERIALS AMAT
j316gallery Used - $16,755.00 0 Mar/10/22 Jul/05/23
Description: 5338 APPLIED MATERIALS ENDURA ALPS CHAMBER ADAPTER, 300MM, 0021-25296 0040-62882
j316gallery Used - $8,500.00 1 Mar/10/22 Apr/21/22
Description: 27745 APPLIED MATERIALS 300MM CENTURA CONTROLLER 0242-21900
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
christyhtx NEW - $1,200.00 2 Mar/12/22 Jun/19/24
Description: Applied Materials AMAT 0200-09528 Blade Quartz 300mm
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
degree6 Used - $950.00 0 Mar/14/22 Mar/26/22
Description: Applied Materials 0022-28267 Shower Head Perf Plate 300MM
j316gallery Used - $29,000.00 0 Mar/18/22 Jun/27/22
Description: 28014 APPLIED MATERIALS MAGNET ASSY, AL 300MM PVD W/ 0010-03485 0020-01995
j316gallery Used - $8,500.00 0 Mar/18/22 Sep/28/22
Description: 27963 APPLIED MATERIALS TOP PLATE FEOL FUNNEL RF 300MM NO COOL 0040-92487
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
jtechsemi Used - $13,000.00 0 Mar/22/22 Apr/03/23
Description: Applied Materials 0040-07033, 0040-85475 300mm Ceramic Heater AMAT
bridge_tronic_global Used - $1,090.00 0 Mar/22/22 Apr/20/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $1,090.00 0 Mar/22/22 Apr/20/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
tamoldelectronic Used - $299.00 0 Mar/24/22 Mar/02/23
Description: AMAT 0140-06104 H/A SWLL/FI INTLK 300MM C 1-11938000-314 REV 001
getspares.com_sparesllc09 Used - $1,952.68 0 Mar/25/22 Jun/30/22
Description: 0020-05582 / BLADE, CERAMIC 300MM OPEN POCKET WITH PADS / APPLIED MATERIALS AMAT
bridge_tronic_global Used - $1,090.00 0 Mar/28/22 Apr/25/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
bridge_tronic_global Used - $499.00 0 Mar/28/22 Apr/25/22
Description: Applied Materials Coated Silicone 300mm Titan Profiler Membrane, P/N 0020-75159
getspares.com_sparesllc09 Used - $64,746.00 0 Mar/28/22 Aug/31/23
Description: 0010-07815 /ASSY 300MM SLT ESC WITH CENTER TAP META 0020-08825/ APPLIED MATERIAL
semipartslab Used - $6,000.00 0 Mar/29/22 Aug/31/23
Description: Applied Materials AMAT 0190-14786 KAWASAKI ROBOT 300MM NF PREALIGNER, no return
surplustechmart Used - $130.50 1 Mar/31/22 Oct/06/22
Description: Applied Materials 0150-02099 Cable Assy CRYO Ac Power 300mm Endura Control
bridge_tronic_global Used - $1,090.00 0 Mar/31/22 Apr/28/22
Description: Applied Materials Retaining Profiler Ring Grooved PPS AEP 300MM, P/N: 0040-49965
usedeqsales Used - $35,003.20 0 Apr/01/22 Dec/30/22
Description: Nikon NRM-3100 300mm Wafer Overlay Measurement System 2S060-132 Surplus Spare
randmgoods Used - $899.99 1 Apr/02/22 Jun/23/23
Description: 839-019090-646 D ESC, 300MM NO EPOXY, LAM Research Mint 715-073734-211 F
theswerve0 Used - $1.00 0 Apr/02/22 Apr/04/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
dom0808 Used - $213.00 0 Apr/04/22 Apr/10/22
Description: Lam Research 300MM. HEATER FILTER 810-802969-002
sj_tech Used - $1,639.00 0 Apr/04/22 Nov/30/22
Description: Novellus EFEM L/L B Slit Valve Adapter 300mm 15-342230-01
sj_tech Used - $1,661.00 0 Apr/04/22 Nov/30/22
Description: Novellus Actuator Smart Motor SM2315D-BRKETH 300mm 02-339756-00
sj_tech Used - $1,639.00 0 Apr/04/22 Nov/30/22
Description: Novellus EFEM L/L A Slit Valve Adapter 300mm 15-342230-02
sj_tech Used - $328.90 0 Apr/04/22 Nov/28/22
Description: AMAT Applied Materials Feed Through Board Biasable Electrodes 300mm 0100-01025
k-semi Used - $29,698.90 0 Apr/04/22 Aug/25/24
Description: AMAT Applied Materials DPS 300mm Mesa Source 5/15 Coils 0010-52539
sj_tech Used - $5,607.80 0 Apr/04/22 Nov/30/22
Description: AMAT Applied Materials DPS 300mm Common Mesa Source Retrofit Assembly 0010-43816
sj_tech Used - $16,060.00 0 Apr/04/22 Nov/30/22
Description: AMAT Applied Materials DPS 300mm Chamber Upper Y203 0040-79200
dom0808 Used - $1,098.90 0 Apr/04/22 Apr/10/22
Description: Lam Research RING,CARRIER,300MM,A479Q,C3VCTR 15-451468-00
dom0808 Used - $187.00 0 Apr/04/22 Apr/10/22
Description: Lam Research 300MM, Heater Filter 810-802969-002
j316gallery NEW - $1,999.00 0 Apr/05/22 Jan/04/23
Description: 27912 APPLIED MATERIALS PLATE, BLOCKER WXZ 300MM (NEW) 0021-37996
j316gallery Used - $1,000.00 0 Apr/05/22 Jan/04/23
Description: 27913 APPLIED MATERIALS BLOCKER, PLATE, SICONI, 300MM 0021-34728
j316gallery Used - $350.00 0 Apr/05/22 Jan/04/23
Description: 27916 APPLIED MATERIALS BLOCKER, DD3328, SACVD, 300MM PRODUCER 0021-51937
j316gallery Used - $300.00 0 Apr/05/22 Jan/04/23
Description: 27917 APPLIED MATERIALS DOOR SPD SLIT VALVE VITON LLK 300MM 0040-84390
j316gallery Used - $800.00 0 Apr/05/22 Jul/20/22
Description: 27918 APPLIED MATERIALS HEAT SHIELD, 300MM BRAZED ESC (PARTS) 0021-22181
j316gallery Used - $750.00 0 Apr/05/22 Jan/04/23
Description: 27945 APPLIED MATERIALS SHIELD, LOWER HI-COND TTN, 300MM PVD 0021-22065
j316gallery Used - $250.00 0 Apr/05/22 Jan/04/23
Description: 27961 APPLIED MATERIALS SHIELD INNER, TXZ CVD 300MM 0040-04586
j316gallery Used - $1,000.00 0 Apr/05/22 Jan/04/23
Description: 27971 APPLIED MATERIALS HSR, INNER SHIELD, 300MM TXZ 0041-09684
j316gallery Used - $850.00 0 Apr/05/22 Jan/04/23
Description: 27974 APPLIED MATERIALS HRS SHIELD OUTER, 300MM TXZ CVD 0021-42777