[click to login]
WSEMI


TAGS > no

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 5
in stock
$950.00
Description: Brooks 93033-799-21 Hardstop Kit, OEM spares, No TBM, Novellus 20-272083-00
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0021-13801 BEARING BLOCK, NO BRACKET MOUNT
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 2 AMAT 1270-01054 SW PB MOM SPST NO RED BTN SW 323607
grandbirdnet
[view on eBay]
NEW 9
in stock
$300.00
Description: AMAT 1270-00748 SW FLOW FLUID, 2LPM SPST NO, 1/2IN FLARE, NEW
farmoninc
[view on eBay]
Used 1
in stock
$6,500.00
Description: AMAT 0290-20003 DeGas Lamp Module Housing, Endura, de-gas Orienter, UD, No Lamps
usedeqsales
[view on eBay]
Used 1
in stock
$1,503.14
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F No Motors Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$901.88
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Copper Exposed No Motors
usedeqsales
[view on eBay]
Used 2
in stock
$1,503.14
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed No Motors
usedeqsales
[view on eBay]
Used 8
in stock
$2,003.14
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper No Covers Used
usedeqsales
[view on eBay]
Used 1
in stock
$901.88
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K No Motors Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,503.14
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D No Motors Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,503.14
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors Used Working
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: AMAT 0240-42863 KIT, NARROW BODY LL, NO DEDICATED ROUGH
farmoninc
[view on eBay]
NEW 5
in stock
$300.00
Description: AMAT 0021-06334 Cover, No Hole Flowthru Post, ENCAP, CU
farmoninc
[view on eBay]
NEW 3
in stock
$450.00
Description: AMAT 0100-35069 Relay Interface, FAB NO. 0110-35069, 399784
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0100-35069 Relay Interface, FAB NO. 0110-35069, 399783
grandbirdnet
[view on eBay]
NEW 11
in stock
$2,950.00
Description: AMAT 0200-08879 EXHAUST RING, SOLID SIC NO SLIT, MOCVD , NEW
auctionrus
[view on eBay]
NEW 1
in stock
$122.50
Description: AMAT 0660-01860 CARD INTERFACE RS-2324 PORT (NO PCB INCLUDED). 407288
auctionrus
[view on eBay]
NEW 1
in stock
$550.00
Description: AMAT 0010-10615 ASSY, PEDESTAL, 200MM MXP, DIELECTRIC, NO. 411243
auctionrus
[view on eBay]
NEW 1
in stock
$200.00
Description: AMAT 0190-07534, Cable, Harness, Power for Solenoid NO 0190-07534. 413874
vizko2017
[view on eBay]
Used 2
in stock
$45.00
Description: ,APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
ecomicron
[view on eBay]
Used 1
in stock
$1,000.00
Description: 0040-77799, AMAT, RETAINING RING, COMPOSITE (NO GROOVES) 8
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 348-0201// AMAT APPLIED 0690-01797 CLAMP BHD K100-BC 1.23 ID .39THK AL NO H NEW
farmoninc
[view on eBay]
NEW 9
in stock
$195.00
Description: AMAT 1270-01407, SW Flow 1GPM NO 1/2 MNPT RYTON, Gems Sensor FS-150. 419325
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0200-00859, Top Ring, No Flow, Restrictor, 200mm, 0200-00859-003. 419724
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0100-35069 Relay Interface Assy PCB, FAB NO. 0110-35069, 423141
j316gallery
[view on eBay]
Used 1
in stock
$226.00
Description: 8359 APPLIED MATERIALS MANIFOLD VCR NO VALVE 0040-09720
spsglobal
[view on eBay]
Used 4
in stock
$350.00
Description: 129-0501// AMAT APPLIED 0010-09103 (#1) (NO BUTTON) STAND ALONE MONITOR USED
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$2,750.00
Description: OEM Part NOVELLUS 02-284042-00 CU,ASSY,EBR DIPSNS ARM,NO STREAK,SBR-XT
wwschool
[view on eBay]
Used 1
in stock
$49.99
Description: AMAT 0270-00249 FIXTURE - LIFTING PROTECTION ELECTROSTATIC CHUCK COVER No Rods
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: AMAT 3500-01153 Nut Lock NO 6 SST, 450288
bornalliancecom
[view on eBay]
Used 1
in stock
$1,295.00
Description: Edwards Part No. D04847000 AIG-E-B2E Active Ion Gauge Controller AMAT 3930-99001
spsglobal
[view on eBay]
Used 77
in stock
$30.00
Description: 343-0502// AMAT APPLIED 0021-77624 MEMBRANE, WAFER, 8" TITAN HD NO MARKING NEW
j316gallery
[view on eBay]
Used 1
in stock
$3,657.91
Description: 12693 APPLIED MATERIALS 208VAC S2 INTFC NO XFMR PROD PRCN MAA0946AYJS 0195-14444
j316gallery
[view on eBay]
Used 15
in stock
$1,431.75
Description: 12811 APPLIED MATERIALS NO POCKET 300MM ROBOT BLADE 0021-22627
maxisemi1349
[view on eBay]
Used 1
in stock
$425.00
Description: 3870-01595 VALVE AIR-ACTUATED DIAPH 125PSI NO 1/4VC
radwell_international
[view on eBay]
Used 1
in stock
$18.00
Description: LAM RESEARCH 766-090815-001 / 766090815001 (NEW NO BOX)
spsglobal
[view on eBay]
Used 3
in stock
$20.00
Description: 344-0503// AMAT APPLIED 3790-01698 STDFM/F 3/8ROUND 10-32X1/4L SST NO FINIS NEW
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$197.00
Description: AMAT 0040-09720 // MANIFOLD VCR NO VALVE
usedeqsales
[view on eBay]
Used 1
in stock
$2,002.20
Description: Novellus 02-132030-00 MCI Controller MOD CONT P166/64 QNX4 Concept Two No Drive
grandbirdnet
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-07387 NO DESCRIPTION, NEW
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0200-05623 TOP LINER, NO LEDGE w SR, PRODUCER SE AMAT *UNUSED*
radwell_international
[view on eBay]
Used 1
in stock
$264.00
Description: APPLIED MATERIALS 0100-20065 / 010020065 (NEW NO BOX)
usedeqsales
[view on eBay]
Used 1
in stock
$706.20
Description: AMAT Applied Materials 0190-00371 DeviceNet Digital I/O PCB Card No Pull Tab
senior-inc
[view on eBay]
Used 1
in stock
$2,250.00
Description: AMAT 0200-05623 TOP LINER NO LEDGE 300MM APF PRODUCER
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-33020, Top Feed Even No VLV Connector, New
expertsurplus
[view on eBay]
NEW 1
in stock
$175.00
Description: AMAT, 0050-33021, Weldment Top Feed ODC No Valve, New
expertsurplus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT, 0225-40380, Line No. 2, Chamber A Exhaust, New
dnd_surplus
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT PEDESTAL, 195MM SEMI NOTCH, NO FLAT, DPS 0020-39087
expertsurplus
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT, 0050-26319, Gas Line NO. 8 Left Side AR Ch.2 TXZ, New
capitolareatech
[view on eBay]
NEW 1
in stock
$612.95
Description: AMAT 1270-01915 PRESSURE SWITCH, 1-10BAR NO
capitolareatech
[view on eBay]
NEW 2
in stock
$326.95
Description: AMAT 3870-00684 VERIFLO 45800986 VALVE PNEU NO DM(S) DIAPH 2P 5RA
capitolareatech
[view on eBay]
NEW 4
in stock
$2,043.95
Description: AMAT 3870-01835 Valve Gate 2.5\" G RSTD/PNU NO PI (-07) SST
capitolareatech
[view on eBay]
NEW 7
in stock
$1,399.95
Description: Applied Materials (AMAT) 0020-31789 AL Chamber, no window
capitolareatech
[view on eBay]
NEW 3
in stock
$342.95
Description: Applied Materials (AMAT) 0020-31509 Pedestal, Rimless, Ox/MLR/Nit, 200MM, NO
capitolareatech
[view on eBay]
NEW 3
in stock
$1,269.95
Description: AMAT 0200-03470 TOP LINER, THIN, NO HOLE, 300MM SACVD PR
capitolareatech
[view on eBay]
NEW 3
in stock
$22.95
Description: Applied Materials AMAT 1200-90102 Relay 24VDC 3 NO
capitolareatech
[view on eBay]
NEW 1
in stock
$465.95
Description: NOVELLUS 15-310935-00 GUARD, DISK LTM NO PKT
j316gallery
[view on eBay]
NEW 1
in stock
$124.59
Description: 20252 APPLIED MATERIALS NUT LOCK NO 6 SST (NEW) 3500-01153
capitolareatech
[view on eBay]
NEW 1
in stock
$13.95
Description: Novellus 34-158908-00 CONTACTOR AUX CONTACT 4 NO
spsglobal
[view on eBay]
Used 7
in stock
$20.00
Description: 348-0301// AMAT APPLIED 3500-01153 NUT LOCK NO 6 SST [USED]
j316gallery
[view on eBay]
NEW 1
in stock
$1,924.80
Description: 23739 APPLIED MATERIALS RING, CLMP, VESPEL, POLY/WSI, 200MM, NO (NEW) 0020-30628
sfwish
[view on eBay]
Used 2
in stock
$2,790.00
Description: NEW Applied Materials/AMAT 0021-61344 300mm Blocker Plate *NO BOX!*
sfwish
[view on eBay]
Used 2
in stock
$479.97
Description: NEW AMAT 0041-08215 RPS HOUSING RPS VALVE 300MM PRODUCER SE *NO BOX!*
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$875.88
Description: 0200-39140 / RING,FOCUS,1 IN CERAMIC,195MM NTCH NO FLAT / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$1,505.21
Description: AMAT Applied Materials 0100-09034 Liquid Source Interface PCB Card No Face Spare
banyanteam
[view on eBay]
Used 1
in stock
$175.00
Description: Applied Materials 0020-01021 Quartz Plate Rev C Serial No 0010/VV0595
abcelectronics_isimn
[view on eBay]
Used 1
in stock
$300.00
Description: CTI-Cryogenics, No Part #, Hose, Stainless Braid, Approx 40 Ft, 0.8" O.D. Hose
industrialsurplusstuff2023
[view on eBay]
Used 5
in stock
$631.24
Description: Advanced Energy Pinnacle MDX 3152413-126E Not Tested No Guarantee
capitolareatech
[view on eBay]
Used 3
in stock
$2,695.95
Description: Applied Materials (AMAT) 0730-01039 TMS CONTROLLER 60c NO CABLE
capitolareatech
[view on eBay]
Used 2
in stock
$12.95
Description: Applied Materials (AMAT) 1290-01507 TERM LUG CPRSN 2AWG BRN 3/8BOLT 600V NO
usedeqsales
[view on eBay]
Used 1
in stock
$458.21
Description: Maxon Motor 240401 DC Motor Novellus Harmonic Drive 76-176794-00 No Plug Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,008.21
Description: Novellus Systems 02-034310-00 Module P100 Controller 647633-203 No Cards Surplus
csi.usa
[view on eBay]
Used 1
in stock
$700.00
Description: APPLIED MATERIALS Part No. 0010-12525
grandbirdnet
[view on eBay]
Used 2
in stock
$750.00
Description: AMAT 0190-15384 ASSY NO 603603-103 MAIN CPCI 96 I/O, USED
capitolareatech
[view on eBay]
Used 1
in stock
$399.95
Description: Applied Materials (AMAT) 3870-01595 VALVE AIR-ACTUATED DIAPH 125PSI NO 1/4VC
capitolareatech
[view on eBay]
Used 4
in stock
$799.95
Description: Applied Materials (AMAT) 0050-25568 GAS LINE, N2 CONTAINMENT VENT NO. 1
equipplus
[view on eBay]
Used 1
in stock
$249.00
Description: 6x Lam Research 790-213441-827 Rev C,no Motor,Used,US_,7624
semipartslab
[view on eBay]
Used 2
in stock
$2,400.00
Description: APPLIED MATERIALS 0100-09196 REV.A, sold as is, no return
semipartslab
[view on eBay]
Used 1
in stock
$2,200.00
Description: NOVELLUS PCB HEATER ISOLATION BOARD assy 03-355794-00 rev, sold as is, no return
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$5,332.82
Description: 0021-97599 / PUMPING LINER, NICKEL PLATED, NO BEAD BL / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$5,332.00
Description: 0041-48366 / PUMPING CHANNEL, NICKEL PLATED, NO BEAD / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$302.22
Description: AMAT Applied Materials 0100-00008 TC Gauge PCB Card No Face Plate P5000 Surplus
makeanofferonit
[view on eBay]
NEW 15
in stock
$155.21
Description: (1) Applied Materials 3300–04527 Flange Blank No Seal
surplustechmart
[view on eBay]
Used 1
in stock
$450.00
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-02916
usedeqsales
[view on eBay]
Used 1
in stock
$602.22
Description: AMAT Applied Materials 0100-09056 Centerfinder SBC PCB Card No Face Plate Spare
usedeqsales
[view on eBay]
Used 1
in stock
$202.22
Description: AMAT Applied Materials 0100-09020 Fuse PCB Rev. E Precision 5000 No Fuses Spare
semipartslab
[view on eBay]
Used 6
in stock
$195.00
Description: AKT 0100-71121 REV 01 CHAMBER CONTROL CVD 25K Applied Material, as is, no return
cosplity
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0090-01953 SENSOR ASSY, UPPER TRAY WAFER PRESENT NO
sgcequipment
[view on eBay]
Used 1
in stock
$300.00
Description: Applied Materials (AMAT) 0100-76055 PCB SCH REF NO 0130-76055 REV 001
vizvik16
[view on eBay]
NEW 1
in stock
$145.00
Description: Applied Materials 0242-26906 KIT M/F NO CHAMBER POSITION
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$6,700.88
Description: 71-252989-00 / WAFER CARBON 300 CALIBRATION NO NOTCH / NOVELLUS
j316gallery
[view on eBay]
NEW 2
in stock
$10,053.00
Description: 28260 APPLIED MATERIALS CHAMBER ORIENTER DEGAS NO BLANKOFF (NEW) 0040-03734
xiahk82
[view on eBay]
NEW 2
in stock
$179.00
Description: APPLIED MATERIALS 0150-23113 CABLE HEATER SIDE 1, 300MM,PROD, NO RF
visionsemi
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT APPLIED MATERIALS CONTROLLER BACKPLANE ASSY NO 0100-20454 PCB BOARD
usedeqsales
[view on eBay]
Used 1
in stock
$3,608.22
Description: ADTEC AXR-2000III Plasma Generator Novellus 27-360919-00 No Key Tested Working
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$199.03
Description: 0242-49569 / KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI / APPLIED MATERIALS
rohid3439
[view on eBay]
Used 1
in stock
$3,000.00
Description: Applied Materials PN 0090-04933 SKU2  / SOLD AS-IS, NO RETURNS, NO WARRANTY
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 3700-02507 SEALID 8.628 X 1.378 CSD .468 SS513 NO H, 110183
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 3700-02507 SEALID 8.628 X 1.378 CSD .468 SS513 NO H, 110182
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 3700-02507 SEALID 8.628 X 1.378 CSD .468 SS513 NO H, 110181
cosplity
[view on eBay]
Used 1
in stock
$8,000.00
Description: AMAT 0010-25482 CHAMBER MODULE CONTROLLER , No Docs included
powersell007
[view on eBay]
Used 1
in stock
$2,299.00
Description: AMAT 0190-39145 BLADE, HIGH-TEMP, NO SENSOR KAWASAKI NT520 CLEAN ROBOT -NEW PAIR
j316gallery
[view on eBay]
Used 1
in stock
$9,956.00
Description: 27963 APPLIED MATERIALS TOP PLATE FEOL FUNNEL RF 300MM NO COOL 0040-92487
farmoninc
[view on eBay]
Used 1
in stock
$795.00
Description: AMAT 0010-09019 Etch Throttle Valve Housing, P5000 ETCH, STD, No Shaft, 110950
farmoninc
[view on eBay]
Used 1
in stock
$795.00
Description: AMAT 0010-09019 Etch Throttle Valve Housing, P5000 ETCH, STD, No Shaft, 110951
jcbolivia_7
[view on eBay]
Used 1
in stock
$399.99
Description: AMAT 0190-15384 ASSY NO 603603-103 MAIN CPCI 96
joseph1506
[view on eBay]
Used 1
in stock
$5,000.00
Description: APPLIED MATERIALS P5000 & 5200 CVD , DPA REACTOR ASSEMBLY . PART NO: 0090-36276
usedeqsales
[view on eBay]
Used 1
in stock
$2,001.23
Description: Nor-Cal Products 3870-04252 Chamber Gate Valve No Actuator AMAT Surplus Spare
j316gallery
[view on eBay]
Used 3
in stock
$919.70
Description: 27816 APPLIED MATERIALS NO POCKET 300MM ROBOT BLADE (PARTS) 0021-22627
usedeqsales
[view on eBay]
Used 1
in stock
$2,240.86
Description: VHF Ovation 2760 AE Advanced Energy 3150292-007 RF Generator No RF Output Spare
lokinb
[view on eBay]
Used 1
in stock
$220.00
Description: ADVANCED ENERGY GENCAL POWER METER Used Working, No power Supply (C6B5)
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0200-09534 CLAMP RING 200/197 NO FLT, 113643
farmoninc
[view on eBay]
NEW 2
in stock
$400.00
Description: AMAT 0240-30316 KIT, PER NO CHAMBER, DELTA PHASE 2, 115082
dch2023
[view on eBay]
NEW 1
in stock
$175.00
Description: APPLIED MATERIALS 0150-23113 CABLE HEATER SIDE 1, 300MM,PROD, NO RF
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0200-09534 CLAMP RING 200/197 NO FLT, 104850
farmoninc
[view on eBay]
Used 3
in stock
$300.00
Description: AMAT 0200-09534 CLAMP RING 200/197 NO FLT, 104974
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0200-09534 CLAMP RING 200/197 NO FLT, 115156
dch2023
[view on eBay]
NEW 1
in stock
$180.00
Description: APPLIED MATERIALS 0150-23114 CABLE,CH HEATER SIDE 2,300MM PRODUCER,NO RF
supplyrak
[view on eBay]
Used 1
in stock
$599.99
Description: novellus 04-709189-01 nos surplus no box
grandbirdnet
[view on eBay]
NEW 2
in stock
$4,500.00
Description: AMAT 0042-33156 FACEPLATE, ENP, NO COOLING, SELECTIVE PRECLEAN , NEW
radwell_international
[view on eBay]
Used 11
in stock
$164.00
Description: APPLIED MATERIALS 0020-18497 / 002018497 (NEW NO BOX)
radwell_international
[view on eBay]
Used 5
in stock
$495.00
Description: NOVELLUS 03-322049-00 / 0332204900 (NEW NO BOX)
farmoninc
[view on eBay]
NEW 2
in stock
$850.00
Description: AMAT 1140-01202 PWRSP DSPL MON PNL RMT FOR MDX-L INCL NO CABLES, 118144
yiannisshop
[view on eBay]
Used 1
in stock
$224.00
Description: AMAT 0100-00470 Schematic 0130-00470 PCB MOTION CONTROL ECP new no box 3 availab
usedeqsales
[view on eBay]
Used 1
in stock
$456.23
Description: TeNTA AS00021-01 CCM Water Leak Detector PCB Card AMAT 0190-02076 No Face Plate
semikorea
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT TRACK ROBOT, CONTROLLER, 4000C7 ML WH (PART NO. 00-500-06 0190-14064
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0020-31509 Pedestal, Rimless, OX/MLR/NIT, 200mm, NO. 120055
radwell_international
[view on eBay]
Used 1
in stock
$2,145.00
Description: ADVANCED ENERGY 3152353-108 / 3152353108 (NEW NO BOX)

This tag has been viewed 8 times

Most recent views:

Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST
Japan Saturday, Sep/07/2024 at 4:16 pm CST
Japan Saturday, Sep/07/2024 at 4:09 pm CST
Russian Federation Saturday, Sep/07/2024 at 4:09 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
yayais2012 Used - $780.00 0 Aug/13/12 Sep/12/12
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
surpluskorea Used - $1,999.00 4 Sep/03/12 Nov/04/13
Description: ANIMATICS MOTION CONTROLLER MODEL CDP-2407-02 NOVELLUS PART NO. 27-050014-02
yayais2012 Used - $2,000.00 0 Sep/05/12 Oct/05/12
Description: MOTION CONTROLLER ANIMATICS MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
yayais2012 Used - $780.00 0 Sep/12/12 Oct/12/12
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $2,000.00 0 Oct/05/12 Nov/04/12
Description: MOTION CONTROLLER ANIMATICS MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
yayais2012 Used - $3,000.00 0 Oct/05/12 Nov/04/12
Description: NEWPORT AMAT PART NO. 0190-16360
yayais2012 Used - $3,000.00 0 Nov/05/12 Dec/05/12
Description: NEWPORT AMAT PART NO. 0190-16360
yayais2012 Used - $780.00 0 Nov/12/12 Dec/12/12
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
systasemi Used - $60.00 0 Nov/07/12 Dec/07/12
Description: VERIFLO 944AOPLPNC/NCS4217, PART NO : 43900371 , Type : VCR Female / 1/4 ″
systasemi NEW - $400.00 0 Nov/07/12 Dec/07/12
Description: VERIFLO 917AOPLPNC/NCS5779, PART NO : 45600042 , Type : VCR Female/Male 1/8″
systasemi Used - $50.00 0 Nov/07/12 Dec/07/12
Description: VERIFLO 945AOPLPNCS5415, PART NO : 45300940 , Type : VCR Male,Female / 1/4 ″
systasemi Used - $40.00 0 Nov/07/12 Dec/07/12
Description: VERIFLO 945AOPLPNCSFSFF, PART NO : 453300219 , Type : VCR Female / 1/4 ″
svcstore Scrap, for parts - $159.99 0 Dec/04/12 Dec/07/12
Description: Varian 980-1131 HV High Voltage Control Controller 2U Rackmount NO KEY FOR PARTS
yayais2012 Used - $2,000.00 0 Dec/05/12 Jan/04/13
Description: MOTION CONTROLLER ANIMATICS MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
svcstore Used - $599.99 0 Dec/14/12 Dec/17/12
Description: Verteq MCQ-030 Frequency Generator Unit 1020130.030 NO CONNECTOR OR CABLE
buckideal NEW - $75.00 0 Dec/19/12 Jan/18/13
Description: Aro Fluid Power E212PD 4way Valve. No box. Unused from old stock. B-0009
exper-tech Used - $7.60 1 Jan/01/13 Jan/08/13
Description: Applied Materials TXZ Drive Assy. 5000, 5200, 5500 CVD 0010-36521 pn no reserve
yayais2012 Used - $3,000.00 0 Jan/05/13 Feb/04/13
Description: NEWPORT AMAT PART NO. 0190-16360
yayais2012 Used - $120.00 0 Jan/05/13 Feb/04/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $2,000.00 0 Jan/05/13 Feb/04/13
Description: MOTION CONTROLLER ANIMATICS MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
tdindustrial NEW - $149.50 1 Jan/09/13 Jan/10/13
Description: AMAT Part No: 0190-20015 Gas Line No. 3 Preclean Chamber (Reactive Preclean)
tdindustrial NEW - $149.50 1 Jan/09/13 Feb/12/13
Description: AMAT Part No: 3870-01307 Valve MNL Diaph 3500PSI 1/4VCR-F/F 1/4Turn SST 10RA
tdindustrial NEW - $195.00 1 Jan/09/13 Jan/17/13
Description: AMAT Part No: 0020-20587 Insert, Slit Valve Machined Rev 003
tdindustrial NEW - $295.00 1 Jan/10/13 Jan/26/15
Description: AMAT Part No: 0020-34111 REV B, Plate, Leveling, Heater, Sealed, New
tdindustrial NEW - $45.00 0 Jan/10/13 Nov/19/21
Description: AMAT Part No: 0020-34740 REV A, Plate Cover CVD New, Sealed
tdindustrial NEW - $295.00 2 Jan/10/13 Jan/17/17
Description: AMAT Part No: 0020-34112 REV B, Plate, Reference Indicator, New, Sealed
yayais2012 Used - $780.00 0 Jan/12/13 Feb/11/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
sparesllc09 NEW - $23.00 1 Dec/28/11 Jan/10/13
Description: KURODA RCS245 VALVE SOLENOID RCS245-MS-D24 DC4 NO HB
capitolareatech NEW - $125.00 1 Jan/04/13 Jan/14/13
Description: ENTEGRIS CK8-8F-8F PFA CHECK VALVE; 1/2" 1/2"FT NO O-RING DESIGN
tdindustrial NEW - $149.50 0 Jan/15/13 Jul/14/13
Description: AMAT Part No: 0020-34744 Centering Plate Sealed in Factory Plastic
tdindustrial NEW - $149.50 0 Jan/15/13 Jul/26/13
Description: AMAT Part No: 0020-34753 Centering Plate Sealed in Factory Plastic
tdindustrial NEW - $95.00 0 Jan/15/13 Jul/14/13
Description: AMAT Part No: 0020-09518 PCB Insulator Sealed in Original Factory Plastic
svcstore Used - $599.99 0 Jan/13/13 Jan/16/13
Description: Verteq MCQ-030 Frequency Generator Unit 1020130.030 NO CONNECTOR OR CABLE
buckideal NEW - $75.00 0 Jan/18/13 Feb/17/13
Description: Aro Fluid Power E212PD 4way Valve. No box. Unused from old stock. B-0009
medical.surplus-now NEW - $19.99 0 Jan/14/13 Jan/21/13
Description: AAF Part No. 3061397-002 ASTROPAK FILTER, 18 X 30 X 3 1/16 Inches (HEPA) Filter
capitolareatech NEW - $128.52 2 Jan/16/12 Jan/31/13
Description: NOVELLUS 22-00680-00 VAVLE, NO, BN, MMVCR, 1/4, SS-BNVCR4-0
yayais2012 Used - $120.00 0 Feb/04/13 Mar/06/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $3,000.00 0 Feb/04/13 Mar/06/13
Description: NEWPORT AMAT PART NO. 0190-16360
yayais2012 Used - $2,000.00 0 Feb/04/13 Mar/06/13
Description: MOTION CONTROLLER ANIMATICS MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
yayais2012 Used - $780.00 0 Feb/11/13 Mar/13/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $120.00 0 Mar/07/13 Apr/06/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $3,000.00 0 Mar/07/13 Apr/06/13
Description: NEWPORT AMAT PART NO. 0190-16360
yayais2012 Used - $2,000.00 0 Mar/07/13 Apr/06/13
Description: MOTION CONTROLLER ANIMATICS MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
yayais2012 Used - $780.00 0 Mar/14/13 Apr/13/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
semiguy2596 Used - $0.99 0 Mar/20/13 Mar/27/13
Description: No reserve!!! OTF sensor SM31ELMHS-40380 and AMAT 0090-76014
bobsgoodies NEW - $327.00 0 Mar/23/13 Apr/22/13
Description: AMAT 0040-32050 0040-36170 0150-10370 Fiber Optic Sensor Assembly no assembly pn
semiguy2596 Used - $0.99 0 Mar/26/13 Apr/02/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 Used - $0.99 0 Mar/26/13 Apr/02/13
Description: NO RESERVE!!! Applied Materials 0150-09240 CABLE ASSY, RF GENERATOR AMAT
semiguy2596 Used - $0.99 0 Mar/26/13 Apr/02/13
Description: NO RESERVE!!! APPLIED MATERIALS 0050-09955 WELDMET TUBE INSERT
semiguy2596 NEW - $0.99 0 Mar/26/13 Apr/02/13
Description: NO RESERVE!!! AMAT MXP gas fitting weldment 3300-01904
semiguy2596 Used - $0.99 0 Apr/03/13 Apr/10/13
Description: NO RESERVE!!! Applied Materials 0150-09240 CABLE ASSY, RF GENERATOR AMAT
semiguy2596 Used - $0.99 0 Apr/03/13 Apr/10/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 Used - $0.99 0 Apr/03/13 Apr/10/13
Description: No reserve!!! OTF sensor SM31ELMHS-40380 and AMAT 0090-76014
semiguy2596 NEW - $0.99 1 Apr/03/13 Apr/10/13
Description: NO RESERVE!!(127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $0.99 0 Apr/03/13 Apr/10/13
Description: NO RESERVE!!! AMAT MXP gas fitting weldment 3300-01904
semiguy2596 Used - $0.99 0 Apr/03/13 Apr/10/13
Description: NO RESERVE!!! APPLIED MATERIALS 0050-09955 WELDMET TUBE INSERT
yayais2012 Used - $1,500.00 0 Apr/06/13 May/06/13
Description: NEWPORT AMAT PART NO. 0190-16360
yayais2012 Used - $120.00 0 Apr/06/13 May/06/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $2,000.00 0 Apr/06/13 May/06/13
Description: MOTION CONTROLLER ANIMATICS MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
fa-parts Used - $1,909.99 1 Apr/07/13 Jan/16/14
Description: ANIMATICS MOTION CONTROLLER CDP-2407-02 NOVELLUS PART NO. 27-050014-02 REV D
yayais2012 Used - $780.00 0 Apr/15/13 May/15/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
semiguy2596 Used - $0.99 0 Apr/19/13 Apr/24/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
bobsgoodies NEW - $247.00 0 Apr/23/13 May/17/13
Description: AMAT 0040-32050 0040-36170 0150-10370 Fiber Optic Sensor Assembly no assembly pn
semiguy2596 Used - $0.99 1 Apr/25/13 May/02/13
Description: NO RESERVE!!! APPLIED MATERIALS 0050-09955 WELDMET TUBE INSERT
semiguy2596 Used - $0.99 0 Apr/25/13 May/02/13
Description: NO RESERVE!!! Applied Materials 0150-09240 CABLE ASSY, RF GENERATOR AMAT
semiguy2596 Used - $0.99 0 Apr/25/13 May/02/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 NEW - $0.99 0 Apr/25/13 May/02/13
Description: NO RESERVE!!! AMAT MXP gas fitting weldment 3300-01904
swliquidators Used - $2,000.00 0 Apr/26/13 May/03/13
Description: applied materials base e standard cathode part no. 0040-09005
semiguy2596 Used - $0.99 0 May/02/13 May/09/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
semiguy2596 Used - $0.99 0 May/02/13 May/09/13
Description: NO RESERVE!!! Applied Materials 0150-09240 CABLE ASSY, RF GENERATOR AMAT
semiguy2596 Used - $0.99 0 May/02/13 May/09/13
Description: NO RESERVE!!! Applied Materials 0090-20409 Sensor Cass Present Photo Sensor
swliquidators Used - $2,000.00 0 May/05/13 May/12/13
Description: applied materials base e standard cathode part no. 0040-09005
yayais2012 Used - $89.00 0 May/06/13 Jun/05/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $900.00 0 May/06/13 Jun/05/13
Description: NEWPORT AMAT PART NO. 0190-16360
yayais2012 Used - $2,000.00 0 May/06/13 Jun/05/13
Description: MOTION CONTROLLER ANIMATICS MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
tdindustrial NEW - $195.00 5 Dec/05/12 May/07/13
Description: LAM Part No: 839-012255-001 REV F, Bellows New, Sealed
swliquidators Used - $2,800.00 1 May/15/13 Dec/12/13
Description: applied materials base e standard cathode part no. 0040-09005
yayais2012 Used - $380.00 0 May/16/13 Jun/15/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
automatethis Used - $3,777.00 0 May/20/13 Aug/08/13
Description: AMAT A.I.T. Part No. 1080-90119 INMOCO Part No. C0591/11 Brushless Servomotor
swliquidators Used - $500.00 0 May/23/13 May/30/13
Description: applied materials assembly kit no. 0242-70781
swliquidators Used - $500.00 0 May/23/13 May/30/13
Description: applied materials assembly kit no. 0240-31575
usedeqsales NEW - $306.13 0 May/31/13 May/05/15
Description: T.E.M. Filter Co. TEM1515-8P Inline Gas Filter AMAT No: 4020-01206 New
swliquidators Used - $500.00 0 Jun/02/13 Jun/09/13
Description: applied materials assembly kit no. 0240-31575
swliquidators Used - $500.00 0 Jun/02/13 Jun/09/13
Description: applied materials assembly kit no. 0242-70781
usedeqsales NEW - $256.31 1 Jun/03/13 Jun/03/13
Description: SMC NCRB80-UIA990869 180º Rotary Actuator AMAT No: 0520-01017 New
usedeqsales NEW - $256.13 1 Jun/03/13 Jun/03/13
Description: Hubbell HBL4100C9W Pin & Sleeve Connector AMAT No: 0720-04901 New
yayais2012 Used - $89.00 0 Jun/05/13 Jul/05/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $900.00 1 Jun/05/13 Jun/07/13
Description: NEWPORT 300mm Prealigner AMAT PART NO. 0190-16360
yayais2012 Used - $2,000.00 0 Jun/05/13 Jul/05/13
Description: MOTION CONTROLLER ANIMATICS MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
yayais2012 Used - $180.00 1 Jun/08/13 Jun/25/13
Description: APPLIED MATERIALS ANALOG I/O BOARD ASSY NO. 0110-01995
usedeqsales Used - $3,506.13 1 Jun/11/13 May/01/15
Description: AE Advanced Energy 3151801-004 Plasma Source Generator AMAT No. 0190-13025 Used
swliquidators Used - $750.00 0 Jun/11/13 Mar/03/16
Description: applied materials assembly kit no. 0240-31575
swliquidators Used - $375.00 0 Jun/11/13 Mar/03/16
Description: applied materials assembly kit no. 0242-70781
yayais2012 Used - $380.00 0 Jun/18/13 Jul/18/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
capitolareatech NEW - $41.25 0 Jun/25/13 Sep/18/14
Description: AMAT 0820-00097 DETECTOR LEAK NO PNP OUTPUT 10-24VDC INP; SUNIX EX-F30-PN
tdindustrial NEW - $200.00 1 Jun/27/13 Dec/04/14
Description: AMAT Part No: 0040-09700 Rev E, Sealed
yayais2012 Used - $89.00 0 Jul/06/13 Aug/05/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $1,700.00 0 Jul/06/13 Aug/05/13
Description: ANIMATICS MOTION CONTROLLER MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
yayais2012 Used - $380.00 0 Jul/18/13 Aug/17/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
fa-parts NEW - $1,349.99 0 Jul/19/13 Jun/02/16
Description: AMAT APPLIED MATERIALS POWER SUPPLY BOARD ASSY NO. 0100-90015 O120-90521 NEW
yayais2012 Used - $250.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $250.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $89.00 0 Aug/05/13 Sep/04/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $1,700.00 0 Aug/05/13 Sep/04/13
Description: ANIMATICS MOTION CONTROLLER MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
capitolareatech NEW - $750.00 0 Aug/14/13 Oct/08/14
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
supertechshop Used - $349.95 0 Aug/17/13 Sep/16/13
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 Aug/17/13 Sep/16/13
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Aug/17/13 Sep/16/13
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
yayais2012 Used - $380.00 0 Aug/17/13 Sep/16/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $780.00 0 Aug/20/13 Sep/19/13
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
tdindustrial NEW - $195.00 1 Aug/29/13 Nov/07/16
Description: AMAT Part No: 0020-34111 REV B, Plate, Leveling, Heater, New
yayais2012 Used - $250.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $250.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $1,700.00 0 Sep/05/13 Oct/05/13
Description: ANIMATICS MOTION CONTROLLER MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
yayais2012 Used - $89.00 0 Sep/05/13 Oct/05/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $380.00 0 Sep/16/13 Oct/16/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $780.00 0 Sep/19/13 Oct/19/13
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
supertechshop Used - $349.95 0 Sep/21/13 Oct/21/13
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Sep/21/13 Oct/21/13
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 Sep/21/13 Oct/21/13
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
enochengineering NEW - $200.00 0 Sep/24/13 Oct/04/13
Description: MFC Model SEC-4400M Mfg No: 282105016, Applied Materials P/N: 3030-06075
ultra_clean_warehouse NEW - $81.47 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT - 0020-97929 - ISRM COVER PLATE NO WINDOW - REV 003
prism_electronics2 Used - $105.99 0 Oct/02/13 Aug/23/22
Description: Lam Research Valve Part NO 839-026482-002 Rev A
yayais2012 Used - $250.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $250.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $89.00 0 Oct/05/13 Nov/04/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $1,700.00 0 Oct/05/13 Nov/04/13
Description: ANIMATICS MOTION CONTROLLER MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
yayais2012 Used - $380.00 0 Oct/17/13 Nov/16/13
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $780.00 0 Oct/19/13 Nov/18/13
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 Used - $300.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $45.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
supertechshop Used - $349.95 0 Oct/22/13 Nov/21/13
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 Oct/22/13 Nov/21/13
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Oct/22/13 Nov/21/13
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
surpluskorea Used - $1,999.00 2 Nov/03/13 Nov/24/13
Description: ANIMATICS MOTION CONTROLLER MODEL CDP-2407-02 NOVELLUS PART NO. 27-050014-02
yayais2012 Used - $250.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $250.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $89.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $1,700.00 0 Nov/04/13 Dec/04/13
Description: ANIMATICS MOTION CONTROLLER MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
keykorea NEW - $35.00 1 Nov/05/13 Feb/25/15
Description: AMAT 0020-75160 MEMBRANE, WAFER, 8 TITAN HD NO MARKING, NEW
yayais2012 NEW - $110.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $250.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $89.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $250.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $1,700.00 0 Dec/04/13 Jan/03/14
Description: ANIMATICS MOTION CONTROLLER MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
capitolareatech NEW - $353.33 0 Dec/12/13 Oct/08/14
Description: Applied Materials 0020-31789 AL Chamber, no window
yayais2012 Used - $380.00 0 Dec/16/13 Jan/15/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $780.00 0 Dec/18/13 Jan/17/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 Used - $300.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $45.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $250.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $89.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $250.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $1,700.00 1 Jan/03/14 Jan/22/14
Description: ANIMATICS MOTION CONTROLLER MODEL CDP-2407-01 NOVELLUS PART NO. 27-050014-01
yayais2012 Used - $780.00 0 Jan/17/14 Feb/16/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $110.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $300.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $45.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
epicrew01 NEW - $1,990.00 1 Jan/23/14 Jun/27/14
Description: Applied Material AMAT 0242-46557 KIT,FLAT PANEL DISPLAY,NO VESA MOUNTING(#35-2)
supertechshop Used - $349.95 0 Jan/25/14 Feb/24/14
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Jan/25/14 Feb/24/14
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 Jan/25/14 Feb/24/14
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
semipart Used - $400.00 0 Jan/30/14 Mar/01/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 005
yayais2012 Used - $250.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $89.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $250.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
pohyh Used - $300.00 0 Feb/10/14 Oct/08/14
Description: 1550 (2) NOVELLUS PART NO 27-278337-03 TEVITRONIX CONTROLLER LC24
yayais2012 Used - $780.00 0 Feb/18/14 Mar/20/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 Used - $300.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $110.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $45.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
prism_electronics6 Used - $49.95 1 Feb/24/14 Aug/11/14
Description: Novellus 13.5" Showerhead Model No. 15-167054-01 A, Slight Damage
prism_electronics6 Used - $129.95 2 Feb/24/14 Mar/02/15
Description: Novellus 13.5" Showerhead Model No. 15-167054-01 A, Double Sealed
supertechshop Used - $349.95 0 Feb/27/14 Mar/29/14
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Feb/27/14 Mar/29/14
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Feb/27/14 Mar/29/14
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
prism_electronics6 Used - $74.97 0 Mar/06/14 Aug/02/22
Description: Lam Research 839-803110-003 2-Way V Valve, No Gaskets
yayais2012 Used - $380.00 0 Mar/17/14 Apr/16/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $780.00 0 Mar/20/14 Apr/19/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
prism_electronics6 Used - $124.95 1 Mar/18/14 Feb/14/17
Description: Novellus 02-283805-00 Rev. B, Heater Pedestal Base Assy. No Ceramic
fablogic Used - $600.00 1 Mar/22/14 Mar/23/14
Description: Applied Materials 3750-01112 Tube, Plasma Source Astex Model No. AX7610-5 (used)
yayais2012 NEW - $110.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $45.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 Used - $300.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
supertechshop Used - $175.00 0 Mar/31/14 Apr/30/14
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 Mar/31/14 Apr/30/14
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Mar/31/14 Apr/30/14
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
fablogic Used - $450.00 0 Apr/05/14 Sep/02/14
Description: Applied Materials 3750-01112 Tube, Plasma Source Astex Model No. AX7610-5 (used)
yayais2012 Used - $89.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $250.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 006
yayais2012 Used - $250.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD ASSY NO. 0100-01321 REV 003
yayais2012 Used - $380.00 0 Apr/16/14 May/16/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $780.00 0 Apr/21/14 May/21/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 NEW - $110.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $300.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $45.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
supertechshop Used - $349.95 0 May/01/14 May/31/14
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
supertechshop Used - $175.00 0 May/01/14 May/31/14
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 May/01/14 May/31/14
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
yayais2012 Used - $380.00 0 May/17/14 Jun/16/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
yayais2012 Used - $780.00 0 May/22/14 Jun/21/14
Description: APPLIED MATERIALS MIRRA 300MM HVM CONTROLLER I/O ASSY NO. 0100-77070 REV - P7
yayais2012 Used - $300.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $45.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
usedeqsales Used - $3,007.14 0 Jul/30/14 May/01/15
Description: AMAT Applied Materials 0010-13321 8" Robot Drive No Arms P5000 0242-70220 Used
yayais2012 Used - $380.00 0 Aug/16/14 Sep/15/14
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
vizko2017 Used - $380.00 1 Aug/24/14 Jun/24/22
Description: APPLIED MATERIALS MIRRA 300MM HVM Controller I/O ASSY NO. 0100-77070 REV - P7
yayais2012 Used - $45.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $300.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
grandbirdnet NEW - $450.00 0 Aug/31/14 Aug/03/22
Description: AMAT 3870-04958 VALVE, 2-WAY NO PNEUMATIC, 3/8" FLARE NXT-DRP41AFDFD-0 , NEW
yayais2012 Used - $89.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $89.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
athomemarket Used - $214.99 1 Dec/01/14 Dec/08/14
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
bobsgoodies Scrap, for parts - $250.00 1 Dec/04/14 Jan/19/15
Description: AMAT 0870-01052 NSK DRIVER, MEGATORQUE DRIVE EP0810AF3-05 No 084992-517
controlit-ut NEW - $263.99 0 Dec/10/14 Apr/13/15
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
yayais2012 Used - $89.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
supertechshop Used - $175.00 0 Dec/17/14 Jan/16/15
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 Dec/17/14 Jan/16/15
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Dec/17/14 Jan/16/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
yayais2012 Used - $380.00 0 Dec/21/14 Jan/20/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
capitolareatech NEW - $110.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0820-00097 SUNIX EX-F30-PN DETECTOR LEAK NO PNP OUTPUT
capitolareatech NEW - $8.14 0 Dec/27/14 Jul/30/15
Description: NOVELLUS 34-158908-00 ABB AUTOMATION CA5-40ERT CONTACTOR, AUX CONTACT, 4 NO
capitolareatech NEW - $9.45 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90098 *O-RING VITON BS NO. 381
capitolareatech NEW - $1.81 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-98016 O-Ring, 2.62 X 77.5, NO 641, VITON
capitolareatech NEW - $2,000.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
surplusexiting Scrap, for parts - $325.00 0 Dec/31/14 Jan/30/15
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
yayais2012 Used - $300.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $110.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $45.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
athomemarket Used - $212.99 0 Jan/07/15 Feb/06/15
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
semipart Used - $1,200.00 1 Sep/12/14 Jan/02/15
Description: USED, Cleaned no rust, AMAT 0020-35032, WING FROG LEG, Robot Arm HP
yayais2012 Used - $89.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
bornalliancecom Used - $450.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 3750-01112 Tube, Plasma Source Astex Model No. AX7610-5 (used)
supertechshop Used - $349.95 0 Jan/19/15 Feb/18/15
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
supertechshop Used - $175.00 0 Jan/19/15 Feb/18/15
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 Jan/19/15 Feb/18/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
yayais2012 Used - $342.00 0 Jan/20/15 Feb/19/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
surplusexiting Scrap, for parts - $325.00 0 Jan/31/15 Mar/02/15
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
yayais2012 NEW - $110.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $45.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 Used - $270.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
athomemarket Used - $212.99 0 Feb/07/15 Mar/09/15
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
powersell007 Used - $189.00 0 Feb/08/15 Mar/02/23
Description: APPLIED MATERIALS 0150-23113 CABLE HEATER, 300mm, NO RF AMAT 0041-24964 *NEW*
yayais2012 Used - $89.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
supertechshop Used - $175.00 0 Feb/19/15 Mar/21/15
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 Feb/19/15 Mar/21/15
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Feb/19/15 Mar/21/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
yayais2012 Used - $380.00 0 Feb/19/15 Mar/21/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
bigg.logistics101 NEW - $999.99 0 Feb/20/15 Mar/02/23
Description: APPLIED MATERIALS LINER,CERAMIC TOP NO GROVE PRODUCER 200MM 0200-02990
bobsgoodies NEW - $185.00 2 Feb/26/15 Mar/30/15
Description: AMAT 0090-35123 Powermax II Motor,Brake,Storage M21NRXD-LSS-M1-02 No Encoder
surplusexiting Scrap, for parts - $325.00 0 Mar/02/15 Apr/01/15
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
bobsgoodies NEW - $185.00 1 Sep/19/14 Feb/25/15
Description: AMAT 0090-35123 Powermax II Motor,Brake,Storage M21NRXD-LSS-M1-02 No Encoder
partskorea1 Used - $1,999.00 5 Mar/03/15 Jan/11/18
Description: AMAT LCF sensor interface ASSY NO 0190-26387
yayais2012 Used - $300.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $110.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
ewastepals Used - $299.99 0 Mar/06/15 Jul/09/15
Description: Lam Research Interlock Panel 853-044612-001 No Watlow Anafaze Card
yayais2012 Used - $45.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
athomemarket Used - $212.99 0 Mar/09/15 Apr/08/15
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
athomemarket Used - $99.99 0 Mar/10/15 Mar/17/15
Description: AMAT/Schroff/SBS CompactPCI cPCI Endura 0090-04470 Chassis+Backplane no Pwr Sup.
yayais2012 Used - $89.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
athomemarket Used - $99.99 0 Mar/18/15 Apr/17/15
Description: AMAT/Schroff/SBS CompactPCI cPCI Endura 0090-04470 Chassis+Backplane no Pwr Sup.
keykorea NEW - $1,800.00 7 Mar/21/15 Jun/04/15
Description: AMAT 0020-30628 RING, CLAMP, VESPEL, POLY/WSI, 200MM, NO , NEW
yayais2012 Used - $380.00 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
supertechshop Used - $175.00 0 Mar/24/15 Apr/23/15
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
supertechshop Used - $349.95 0 Mar/24/15 Apr/23/15
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
supertechshop Used - $349.95 0 Mar/24/15 Apr/23/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
yayais2012 Used - $300.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $110.00 0 Apr/04/15 May/04/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $45.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
athomemarket Used - $212.99 0 Apr/08/15 May/08/15
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
yayais2012 Used - $89.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
farmoninc NEW - $1,750.00 0 Apr/10/15 Mar/02/23
Description: AMAT 0242-15804 Kit, No Gas Leak Detector, 0820-00011, 0820-00010, 401220
yayais2012 Used - $310.00 0 Apr/11/15 May/11/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
controlit-ut NEW - $0.99 1 Apr/13/15 Apr/20/15
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
athomemarket Used - $99.99 0 Apr/17/15 May/17/15
Description: AMAT/Schroff/SBS CompactPCI cPCI Endura 0090-04470 Chassis+Backplane no Pwr Sup.
yayais2012 Used - $323.00 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
muchoyen Used - $199.99 0 Apr/22/15 Apr/29/15
Description: VAT VALVE MKS HPS MANUAL VACUUM GATE VALVE MODLE NO. F-22909-03
surplusexiting Scrap, for parts - $325.00 0 May/01/15 May/31/15
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
usedeqsales Scrap, for parts - $505.15 1 May/04/15 Jun/03/15
Description: Xantrex XHR 7.5-80 DC Power Supply 7.5V 80A AMAT 1140-00154 No Output As-Is
yayais2012 Used - $300.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 NEW - $110.00 0 May/05/15 Jun/04/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
athomemarket Used - $212.99 0 May/08/15 Jun/07/15
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
yayais2012 Used - $45.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 Used - $89.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $310.00 0 May/11/15 Jun/10/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
used1eqsales Used - $2,900.00 0 May/15/15 Nov/21/17
Description: Brooks 013096-336-20 Load Port Fixload 6 Novellus 63-292227-52 no I/F PCB used
athomemarket Used - $99.99 0 May/17/15 Jun/16/15
Description: AMAT/Schroff/SBS CompactPCI cPCI Endura 0090-04470 Chassis+Backplane no Pwr Sup.
svcompucycle Used - $349.95 0 May/18/15 Jun/17/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
svcompucycle Used - $175.00 0 May/19/15 Jun/18/15
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
svcompucycle Used - $349.95 0 May/19/15 Jun/18/15
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
yayais2012 Used - $380.00 0 May/23/15 Jun/22/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
surplusexiting Scrap, for parts - $325.00 0 May/31/15 Jun/30/15
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
yayais2012 NEW - $110.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $300.00 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
athomemarket Used - $212.99 0 Jun/07/15 Jul/07/15
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
gesemiconductor Used - $500.00 0 Jun/08/15 Dec/05/15
Description: APPLIED MATERIALS 0030-70085 Front Panel and Light Pen (no monitor cable)
yayais2012 Used - $45.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 Used - $89.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $310.00 0 Jun/11/15 Jul/11/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
athomemarket Used - $89.99 0 Jun/16/15 Jul/16/15
Description: AMAT/Schroff/SBS CompactPCI cPCI Endura 0090-04470 Chassis+Backplane no Pwr Sup.
svcompucycle Used - $349.95 0 Jun/18/15 Jul/18/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
svcompucycle Used - $175.00 0 Jun/18/15 Jul/18/15
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
svcompucycle Used - $349.95 0 Jun/18/15 Jul/18/15
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
yayais2012 Used - $380.00 0 Jun/22/15 Jul/22/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
surplusexiting Scrap, for parts - $325.00 0 Jun/30/15 Jul/30/15
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
athomemarket Used - $191.69 0 Jul/07/15 Nov/04/15
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
yayais2012 NEW - $110.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $45.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 Used - $300.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
usedeqsales Used - $3,407.15 0 Jul/10/15 Dec/07/15
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 No Cover Used Working
yayais2012 Used - $89.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $310.00 0 Jul/12/15 Aug/11/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
athomemarket Used - $89.99 1 Jul/16/15 Sep/21/15
Description: AMAT/Schroff/SBS CompactPCI cPCI Endura 0090-04470 Chassis+Backplane no Pwr Sup.
y.t.r2011 Used - $7,220.00 0 Jul/21/15 Jun/11/18
Description: AMAT APPLIED METERIALS SYSTEM AC DISTRIBUTION ASSY NO. 0100-00579
y.t.r2011 Used - $5,200.01 0 Jul/21/15 Jun/11/18
Description: AMAT APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
y.t.r2011 Used - $7,720.00 0 Jul/21/15 Jun/11/18
Description: AMAT APPLIED METERIALS CONTROLLER BACKPLANE EIGHT SLOT VME ASSY NO. 0100-01228
yayais2012 Used - $380.00 0 Jul/23/15 Aug/22/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
cjcollective2012 NEW - $100.00 0 Jul/27/15 Nov/24/15
Description: APPLIED MATERIALS 0150-18944 Cable EV MANIFOLD NO 2 GAS PANEL
svcompucycle Used - $349.95 0 Jul/29/15 Aug/28/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
svcompucycle Used - $99.95 0 Jul/29/15 Aug/28/15
Description: AMAT Inficon BPG400 DeviceNet Pirani Gauge 1125 Torr 0190-26769 No Sensor / QTY
svcompucycle Used - $349.95 0 Jul/29/15 Aug/20/15
Description: AMAT Inficon 0190-26769 DeviceNet Pirani Vacuum Gauge 1125 Torr No Sensor / QTY
surplusexiting Scrap, for parts - $325.00 0 Aug/05/15 Sep/04/15
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
bms-semicon Used - $899.90 0 Aug/07/15 Oct/26/22
Description: Lam Research 853-800085-104 System LAM 2300 ETCHER HOUSING ONLY NO BOARD
yayais2012 Used - $45.00 0 Aug/11/15 Sep/10/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
buy9.99 NEW - $7,050.00 1 Aug/12/15 Aug/31/15
Description: MKS APPLIED MATERIALS 0190-24854 SR NO 148365-07XX11-017181000
buy9.99 NEW - $7,050.00 1 Aug/12/15 Aug/31/15
Description: MKS APPLIED MATERIALS 0190-24854 SR NO 148365-07XX11-017176157
yayais2012 NEW - $110.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $89.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $300.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $310.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
yayais2012 Used - $380.00 0 Aug/22/15 Sep/21/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcompucycle Used - $349.95 0 Aug/28/15 Sep/27/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
svcompucycle Used - $99.95 0 Aug/28/15 Sep/27/15
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
surplusexiting Scrap, for parts - $325.00 0 Sep/04/15 Oct/04/15
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
keytronics Used - $149.99 1 Sep/08/15 Apr/15/16
Description: GE FANUC EMBEDDED SYSTEMS VME 7671-42000A (NO HDD) LAM BOARD CARD 605-064676-005
yayais2012 Used - $45.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $89.00 0 Sep/11/15 Oct/11/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 Used - $300.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $310.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
jabedow NEW - $1,000.00 0 Sep/18/15 Nov/17/15
Description: MKS APPLIED MATERIALS 0190-17051 SR NO 148365-1XX04-001169611
yayais2012 Used - $380.00 0 Sep/21/15 Oct/21/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
buy9.99 Used - $288.00 0 Sep/20/15 Nov/19/15
Description: APPLIED MATERIALS MAINFRAME RELAYS SCH REF NO 0130-02362 003 ASSY NO 0190-02362
svcompucycle Used - $99.95 2 Sep/28/15 Oct/28/15
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
svcompucycle Used - $349.95 0 Sep/28/15 Oct/28/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
ecomicron NEW - $1,700.00 0 Sep/28/15 Mar/28/16
Description: 0200-36727 SUSCEPTOR, R3 ROTATION, NO CENTER, EPI 2
ydkcompany Used - $325.00 0 Oct/04/15 Nov/03/15
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
yayais2012 Used - $45.00 0 Oct/11/15 Nov/10/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 NEW - $110.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
vizko2017 Used - $89.00 0 Oct/12/15 Nov/11/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
vizko2017 Used - $300.00 0 Oct/15/15 Nov/15/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $310.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
yayais2012 Used - $380.00 0 Oct/21/15 Nov/20/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svcompucycle Used - $99.95 0 Oct/29/15 Nov/28/15
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
svcompucycle Used - $349.95 0 Oct/29/15 Nov/28/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
yayais2012 Used - $45.00 0 Nov/10/15 Dec/10/15
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 Used - $89.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 NEW - $110.00 0 Nov/12/15 Dec/12/15
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $310.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
yayais2012 Used - $300.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $380.00 0 Nov/21/15 Dec/21/15
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
svliquidate Used - $99.95 0 Nov/30/15 Dec/30/15
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
svliquidate Used - $349.95 0 Nov/30/15 Dec/30/15
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
surplusexiting Scrap, for parts - $325.00 0 Dec/03/15 Jan/02/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
svliquidate Used - $49.95 0 Dec/30/15 Jan/29/16
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
svliquidate Used - $99.95 0 Nov/30/15 Dec/30/15
Description: AMAT Inficon BPG400 Pirani Vacuum Gauge DeviceNet 750 Torr 0190-26328/ No Sensor
surplusexiting Scrap, for parts - $325.00 0 Jan/02/16 Feb/01/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
svcstore Used - $129.99 0 Jan/03/16 Feb/02/16
Description: RKI Riken Keiki Instruments GD-K7D Toxic Gas Leak Detector Semiconductor NO
svliquidate Used - $349.95 0 Jan/06/16 Jan/18/16
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
yayais2012 Used - $45.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS EPI 4 CH INTRLK, GAS LEAK JUMPER ASSY NO. 0100-02019 REV: 002
yayais2012 Used - $89.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
yayais2012 NEW - $110.00 0 Jan/12/16 Feb/11/16
Description: APPLIED MATERIAL 0242-49569 KIT, H2O CHAMBER CONNECTIONS NO TURBO SICONI
yayais2012 Used - $255.00 1 Jan/14/16 Jun/02/18
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 2
yayais2012 Used - $310.00 0 Jan/14/16 Jul/12/16
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
ecomicron NEW - $1,100.00 1 Jan/14/16 Jun/29/17
Description: 0100-00825, AMAT, ASSY PCB AIO WITH NO A/D CONVERTER
capitolareatech NEW - $1,167.88 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3870-01835 HVA 1121-9259 Valve Gate 2.5" G RSTD/PNU NO
capitolareatech NEW - $186.33 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00684 VERIFLO 45800986 VALVE PNEU NO DM(S) DIAPH
capitolareatech NEW - $67.54 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-01186 NO SHOK 25.410-160PMC GAUGE PRESS 2-1/2DIA
capitolareatech NEW - $274.09 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 15-310935-00 GUARD, DISK LTM NO PKT
capitolareatech NEW - $259.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-97952 VALVE PNEU DIAPH 145PSI NO 1/4 VCR-M 1/8
dr.dantom NEW - $150.00 1 Jan/27/16 Mar/30/16
Description: AMAT Applied Materials 3870-01981 VALVE PNEU BLWS NO 3/4"OD SPR-CLOSE/AIR
surplusexiting Scrap, for parts - $325.00 0 Feb/01/16 Mar/02/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
svliquidate Used - $49.95 0 Feb/05/16 Mar/06/16
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
svliquidate Used - $79.95 0 Feb/05/16 Mar/06/16
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
ypspare Used - $89.00 1 Feb/11/16 Oct/30/16
Description: APPLIED MATERIALS WATER LEAK DETECTOR ASSY. NO. 0100-89006 REV. P1
capitolareatech NEW - $1,108.50 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-31789 AL Chamber, no window
capitolareatech NEW - $750.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-31509 Pedestal, Rimless, Ox/MLR/Nit, 200MM, NO
capitolareatech NEW - $1,269.17 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-03470 TOP LINER, THIN, NO HOLE, 300MM SACVD PR
capitolareatech NEW - $39.40 0 Feb/25/16 Jun/24/16
Description: AMAT 1270-03059 450206 922LC1.5-A4N-Z475.1; SWITCH DC PROXIMITY NO 6-30VDC
capitolareatech NEW - $350.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1270-01915 PRESSURE SWITCH, 1-10BAR NO
capitolareatech NEW - $274.09 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 15-310935-00 GUARD, DISK LTM NO PKT
capitolareatech NEW - $2,000.00 0 Feb/25/16 May/25/16
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
capitolareatech NEW - $102.00 0 Mar/02/16 Jun/30/16
Description: AMAT 3870-02501 VALVE PNEU BALL 2-WAY FAIL-SAFE CLOSE PP/VIT No union ends
surplusexiting Scrap, for parts - $325.00 0 Mar/02/16 Apr/01/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
dr.dantom NEW - $3,500.00 0 Mar/08/16 Mar/09/16
Description: Applied Materials AMAT 0010-22641 Head Assy 8" Titan II 200MM Profiler No Cooper
svliquidate Used - $49.95 0 Mar/07/16 Apr/06/16
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
svliquidate Used - $79.95 0 Mar/07/16 Apr/06/16
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
swliquidators Used - $375.00 0 Mar/10/16 Mar/16/16
Description: applied materials assembly kit no. 0242-70781
sparesllc09 NEW - $3,100.00 0 Apr/01/16 Dec/07/17
Description: 0040-03734 /CHAMBER, ORIENTER DEGAS NO. B /APPLIED MATERIALS
surplusexiting Scrap, for parts - $325.00 0 Apr/01/16 May/01/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
davidandjacobstore NEW - $400.00 0 Apr/03/16 Apr/10/16
Description: Applied materials insulating pipe quatz item no. 0020-48171
davidandjacobstore NEW - $350.00 0 Apr/03/16 Apr/10/16
Description: Applied materials assembly kit item no. 0240-31575 KIT, HEATING GATE VALVE
capitolareatech NEW - $22.88 0 Apr/05/16 Jul/04/16
Description: AMAT 1200-00101 RLY AUX CONTACT CS 2 NO 2 NC FRONT MOUNT
capitolareatech NEW - $23.80 0 Apr/06/16 Jul/05/16
Description: AMAT 670-091765-050 CIRCUIT BREAKER ELL ARM 5A. 250 VAC, 1 POLE, 5 AMP, NO AUXIL
svliquidate Used - $49.95 0 Apr/07/16 May/07/16
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
svliquidate Used - $79.95 0 Apr/07/16 May/07/16
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor / QTY
sparesllc09 Used - $4,100.00 0 Apr/07/16 Sep/23/16
Description: 0040-03734 /CHAMBER, ORIENTER DEGAS NO. B W/ MISC PARTS ATTACHED /AMAT
davidandjacobstore NEW - $750.00 3 Apr/10/16 Apr/11/19
Description: Applied materials insulating pipe quatz item no. 0020-48171
davidandjacobstore NEW - $650.00 0 Apr/10/16 Mar/28/18
Description: Applied materials assembly kit item no. 0240-31575 KIT, HEATING GATE VALVE
muchoyen Used - $299.99 0 Apr/20/16 Apr/27/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
capitolareatech NEW - $15.00 0 Apr/20/16 Sep/15/16
Description: AMAT 3700-02605 O-Ring, 3.5 X 59.92, NO 229 VITON
capitolareatech NEW - $195.00 0 Apr/21/16 Sep/15/16
Description: AMAT 3870-01981 VALVE PNEU BLWS NO 3/4"OD SPR-CLOSE/AIR
av-surplus1 Scrap, for parts - $53.99 0 Apr/22/16 Dec/13/17
Description: Applied Materials AMAT ASSY NO 0100-01005 Schem NO 0130-01005 Board AS IS
ecomicron NEW - $1,200.00 0 Apr/28/16 Jun/02/16
Description: 3030-06854, AMAT, UNIT- MFC 8160 20SLM H2 1/4VCR MTL NO 15P-D
surplusexiting Scrap, for parts - $325.00 0 May/02/16 Jun/01/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
srctech Used - $900.00 1 May/02/16 Sep/02/19
Description: Applied Materials MAINFRAME INTERLOCKS ASSY NO. 0100-35053
cjcollective2012 NEW - $75.00 0 May/02/16 Jul/24/18
Description: APPLIED MATERIALS 0150-18944 Cable EV MANIFOLD NO 2 GAS PANEL
capitolareatech NEW - $2.08 0 May/02/16 Jul/01/16
Description: AMAT 1270-01023 SW CAP RED 5/8 SQ NO LEGEND
ecomicron NEW - $1,500.00 0 May/06/16 Jun/22/23
Description: 3030-07866, AMAT, UNIT, MFC 8100 300SCCM H2 1/4VCR VIT/K-F NO
muchoyen Used - $299.99 0 May/12/16 May/19/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
ecomicron NEW - $400.00 0 May/19/16 Jun/14/18
Description: 3870-01981, AMAT, VALVE PNEU BLWS NO 3/4" OD SPR-CLOSE/AIR-OPEN ORING
surplusexiting Scrap, for parts - $325.00 0 Jun/01/16 Jul/01/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
muchoyen Used - $299.99 0 Jun/02/16 Jun/09/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
supertechshop Used - $49.95 0 Jun/05/16 Jul/05/16
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
gesemiconductor NEW - $50.00 0 Jun/14/16 Jun/09/17
Description: APPLIED MATERIALS 3420-90056 Insulating Beads No. 4 Cylinder
surplusexiting Scrap, for parts - $325.00 0 Jul/01/16 Jul/31/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
muchoyen Used - $299.99 0 Jul/06/16 Jul/13/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
capitolareatech NEW - $7.73 0 Jul/08/16 Sep/15/16
Description: AMAT 0021-77624 MEMBRANE, WAFER, 8" TITAN HD NO MARKING
capitolareatech NEW - $1,108.50 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-31789 AL Chamber, no window
capitolareatech NEW - $750.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-31509 Pedestal, Rimless, Ox/MLR/Nit, 200MM, NO
capitolareatech NEW - $110.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0820-00097 DETECTOR LEAK NO PNP OUTPUT 10-24VDC INP; SUNIX EX-F30-PN
capitolareatech NEW - $22.88 0 Jul/08/16 Sep/15/16
Description: AMAT 1200-00101 RLY AUX CONTACT CS 2 NO 2 NC FRONT MOUNT
capitolareatech NEW - $274.09 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 15-310935-00 GUARD, DISK LTM NO PKT
capitolareatech NEW - $2,000.00 0 Jul/09/16 Sep/15/16
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
capitolareatech NEW - $102.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3870-02501 VALVE PNEU BALL 2-WAY FAIL-SAFE CLOSE PP/VIT No union ends
usedeqsales Used - $907.16 0 Jul/11/16 Apr/02/23
Description: AMAT Applied Materials 0100-90385 Contactor Drive PCB Card No Face Used Working
vizko2017 Used - $200.00 1 Jul/13/16 Apr/13/22
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629 REV 002
us_geez NEW - $75.00 1 Jul/20/16 Aug/18/16
Description: Amat Part Pedestal Alum/poly 150mm Flat WSI No. 0020-30314
bornalliancecom Used - $1,495.00 8 Jul/25/16 May/09/18
Description: Applied Materials 3750-01112 Tube, Plasma Source Astex Model No. AX7610-5
smartelektronikgmbh NEW - $197.00 0 Jul/27/16 Feb/16/18
Description: AMAT 0040-09720 // MANIFOLD VCR NO VALVE
muchoyen Used - $299.99 0 Jul/28/16 Aug/04/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
surplusexiting Scrap, for parts - $325.00 0 Jul/31/16 Aug/30/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
benta09 NEW - $100.00 0 Aug/03/16 Sep/02/16
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
pohyh Used - $1,000.00 4 Aug/03/16 Aug/23/18
Description: 5039 APPLIED MATERIAL NO POCKET 300MM ROBOT BLADE 0021-22627 REV 003
ecomicron NEW - $540.00 2 Aug/19/16 Aug/03/21
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR
muchoyen Used - $299.99 0 Aug/25/16 Sep/01/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
surplusexiting Scrap, for parts - $325.00 0 Aug/31/16 Sep/30/16
Description: Applied Materials Ultima HDP-CVD Part No 0190-17417
benta09 NEW - $100.00 0 Sep/03/16 Oct/03/16
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
ssplasma NEW - $400.00 1 Sep/07/16 Jan/25/17
Description: Applied Materials MAINFRAME INTERLOCKS ASSY NO. 0100-35053
capitolareatech NEW - $39.40 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-03059 450206 922LC1.5-A4N-Z475.1; SWITCH DC PROXIMITY NO 6-30VDC
capitolareatech NEW - $350.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-01915 PRESSURE SWITCH, 1-10BAR NO
capitolareatech NEW - $2.08 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-01023 SW CAP RED 5/8 SQ NO LEGEND
capitolareatech NEW - $67.54 0 Sep/20/16 Nov/19/16
Description: AMAT 3310-01186 NO SHOK 25.410-160 PSI GAUGE PRESS 2-1/2DIA 0-160PSI 1/4NPT CTR
capitolareatech NEW - $191.59 0 Sep/20/16 Nov/19/16
Description: AMAT 3870-01940 VALVE PNEU DIAPH 145PSI NO 1/4BW 1/8-27NPT SST10RA
capitolareatech NEW - $2,000.00 0 Sep/20/16 Nov/19/16
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
capitolareatech NEW - $8.14 0 Sep/20/16 Nov/19/16
Description: NOVELLUS 34-158908-00 CONTACTOR, AUX CONTACT, 4 NO
usedparts-semifa NEW - $599.90 7 Sep/22/16 Apr/07/17
Description: Lam Research NODE BOARD TYPE27, Part No 810-069751-201 Rev.B, NIB
alamedaauction Used - $39.95 0 Sep/22/16 Oct/22/16
Description: AMAT 0190-26769 Inficon BPG400 Pirani ION Gauge 1125-Torr/ No Sensor / Warranty
alamedaauction Used - $29.95 0 Sep/24/16 Oct/24/16
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
muchoyen Used - $299.99 0 Sep/29/16 Oct/06/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
benta09 NEW - $100.00 0 Oct/06/16 Nov/05/16
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
mattron747 NEW - $900.00 0 Oct/18/16 Nov/17/16
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
alamedaauction Used - $59.95 0 Oct/26/16 Nov/25/16
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
tdindustrial NEW - $7.50 0 Oct/26/16 Nov/19/21
Description: AMAT SPCR RND 1/4OD X .140ID X 5/8LG NYLON NO FINISH, 3790-01142, New, Sealed
muchoyen Used - $299.99 0 Nov/02/16 Nov/09/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
benta09 NEW - $100.00 0 Nov/09/16 Dec/09/16
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
mattron747 NEW - $900.00 0 Nov/17/16 Dec/17/16
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
alamedaauction Used - $45.00 0 Dec/03/16 Jan/02/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
gigabitpartsolutions Used - $550.00 5 Dec/06/16 Jun/21/17
Description: OEM Part Applied Materails (AMAT) 0010-03697 SWEEP HEAD ASSY UN-TESTED AS IS NO
muchoyen Used - $299.99 0 Dec/06/16 Dec/13/16
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
qrecycle Used - $999.00 1 Dec/08/16 Dec/13/16
Description: Astex Smart Match model F120166 applied material part no. 3750-01147
spsglobal Used - $10.00 0 Dec/15/16 Apr/22/20
Description: AMAT APPLIED MATERIALS 3500-01153 NUT LOCK NO 6 SST USED
mattron747 NEW - $900.00 0 Dec/17/16 Jan/16/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
capitolareatech NEW - $2,134.69 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-42324 LINE, MANIFOLD DOWNSTREAM, NO P/P, SINER
capitolareatech NEW - $110.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0820-00097 DETECTOR LEAK NO PNP OUTPUT 10-24VDC INP; SUNIX EX-F30-PN
capitolareatech NEW - $39.40 3 Dec/19/16 Oct/06/17
Description: AMAT 1270-03059 450206 922LC1.5-A4N-Z475.1; SWITCH DC PROXIMITY NO 6-30VDC
capitolareatech NEW - $350.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-01915 PRESSURE SWITCH, 1-10BAR NO
capitolareatech NEW - $274.09 0 Dec/19/16 Jun/19/20
Description: NOVELLUS 15-310935-00 GUARD, DISK LTM NO PKT
capitolareatech NEW - $15.00 0 Dec/20/16 Jun/20/20
Description: AMAT 3700-02605 O-Ring, 3.5 X 59.92, NO 229 VITON
capitolareatech NEW - $23.80 0 Dec/20/16 Jun/20/20
Description: AMAT 670-091765-050 CIRCUIT BREAKER ELL ARM 5A. 250 VAC, 1 POLE, 5 AMP, NO AUXIL
capitolareatech NEW - $2,000.00 0 Dec/20/16 Jun/20/20
Description: AMAT 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
capitolareatech NEW - $102.00 0 Dec/20/16 Jun/20/20
Description: AMAT 3870-02501 VALVE PNEU BALL 2-WAY FAIL-SAFE CLOSE PP/VIT No union ends
benta09 NEW - $100.00 0 Dec/28/16 Jan/27/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
alamedaauction Used - $39.95 0 Jan/03/17 Feb/02/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
muchoyen Used - $299.99 0 Jan/04/17 Jan/11/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
gigabitpartsolutions Used - $126.50 7 Jan/05/17 Apr/15/20
Description: OEM Part Applied Materials (AMAT) 0100-00011 PWB, CHOPPER DRIVE untested no retu
gigabitpartsolutions Used - $137.50 0 Jan/05/17 Nov/18/19
Description: PCB Applied Materials (AMAT) 0100-09008 PCB ASSY, PNEUMATIC CONTROL untested no
uam_126 Used - $200.00 0 Jan/07/17 Jan/10/17
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 001
intek22 Used - $199.99 1 Jan/09/17 Mar/14/17
Description: Applied Materials Interlock No. 2 0100-77038
atxdeals4u Used - $600.00 0 Jan/12/17 Oct/25/17
Description: Applied Materials Dual Gas Leak Detector Assy No. 0090-05327 Rev.03
gigabitpartsolutions Used - $988.90 1 Jan/17/17 Sep/10/18
Description: OEM Part Applied Materials (AMAT) 0010-20664 ASSY, SLIT VALVE UNTESTED NO RETURN
gigabitpartsolutions Used - $258.50 1 Jan/17/17 Apr/12/17
Description: OEM Part Applied Materials (AMAT) 0010-30583 ASSEMBLY BEARING UNTESTED NO RETURN
gigabitpartsolutions Used - $2,750.00 1 Jan/17/17 Aug/25/17
Description: OEM Part LAM RESEARCH (LAM) 853-025083-022 ASSY RF MATCH 9600 UNTESTED NO RETUR
gigabitpartsolutions Used - $550.00 2 Jan/17/17 Dec/20/17
Description: OEM Part LAM RESEARCH (LAM) 853-012875-001 Drive PLL outer gate assy UNTESTED NO
gigabitpartsolutions Used - $1,100.00 1 Jan/17/17 Apr/14/17
Description: OEM Part LAM RESEARCH (LAM) 853-032776-002 Lifter Paddle UNTESTED NO RETURN
gigabitpartsolutions Used - $385.00 0 Jan/17/17 Jan/03/19
Description: PCB FORCE COMPUTER 510874 LAM RESEARCH (LAM) 810-017034-300 PCB,CPU UNTESTED NO
mattron747 NEW - $900.00 0 Jan/16/17 Feb/15/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
uam_126 Used - $200.00 0 Jan/20/17 Feb/22/17
Description: APPLIED MATERIALS DUAL GAS LEAK DETECTOR ASSY NO. 0090-00811 REV: 001
gigabitpartsolutions Used - $715.00 2 Jan/31/17 Oct/16/17
Description: OEM Part Applied Materials (AMAT) 0010-10054 5000 WxCVD Chamber UNTESTED NO RETU
csi.usa NEW - $25.00 0 Feb/02/17 Nov/16/17
Description: SCREW SOCKET HD 1/4-20UNCX 1-2 NICKEL NOVELLUS Part. No. 15-114331-00
alamedaauction Used - $39.95 0 Feb/03/17 Mar/05/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
benta09 NEW - $100.00 0 Feb/08/17 Mar/10/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
muchoyen Used - $299.99 0 Feb/09/17 Feb/16/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
mattron747 NEW - $900.00 0 Feb/15/17 Mar/17/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
alamedaauction Used - $39.95 0 Mar/06/17 Apr/05/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
detroitindustrialsurplus NEW - $2,499.97 1 Mar/06/17 Feb/25/18
Description: YASKAWA / NOVELLUS SYSTEMS YSC-BSA01038PLPS / 02-294831-00 NEW SURPLUS, NO BOX
muchoyen Used - $299.99 0 Mar/08/17 Mar/15/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
benta09 NEW - $100.00 0 Mar/11/17 Apr/10/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
johbedo_0 NEW - $500.00 1 Mar/17/17 Mar/18/17
Description: AMAT 0190-77527, PAD CONDITIONER, PC ROATION , new no box
mattron747 NEW - $900.00 0 Mar/17/17 Apr/16/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
gesemiconductor NEW - $604.80 0 Mar/29/17 Dec/19/17
Description: LAM RESEARCH / PEC 716-330167-261 / PEC-1525 RING, CLP, BOT ACTR, 2.3, 6", NO MF
muchoyen Used - $299.99 0 Apr/05/17 Apr/12/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
alamedaauction Used - $39.95 0 Apr/06/17 May/06/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
plccenter Used - $64.00 0 Apr/07/17 Jun/15/23
Description: LAM LIGHTING 645-006543-001 / 645006543001 (NEW NO BOX)
mattron747 NEW - $900.00 0 Apr/17/17 May/17/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
usedeqsales Used - $1,204.17 1 Apr/18/17 Aug/26/21
Description: AMAT Applied Materials 0010-76001 Cleanroom Storage Elevator P5000 No Motor Used
athomemarket Scrap, for parts - $249.99 0 Apr/20/17 Apr/27/17
Description: Comdel CPS-500A/S RF Power Supply AMAT 0190-13320 for PARTS Dented, No Power-On
bobsgoodies NEW - $50.00 6 Apr/26/17 Jun/15/20
Description: AMAT 1270-01790 SW PWR TEMP CONTRL DPDT WHT PUSH Watlow Systems No Lamps
muchoyen Used - $299.99 0 Apr/27/17 May/04/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
athomemarket Scrap, for parts - $249.99 1 Apr/28/17 Jun/08/17
Description: Comdel CPS-500A/S RF Power Supply AMAT 0190-13320 for PARTS Dented, No Power-On
benta09 NEW - $100.00 0 Apr/29/17 May/29/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
alamedaauction Used - $39.95 0 May/07/17 Jun/06/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
mattron747 NEW - $900.00 0 May/17/17 Jun/16/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
muchoyen Used - $299.99 0 May/23/17 May/30/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
alamedaauction Used - $39.95 0 Jun/06/17 Jul/06/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
benta09 NEW - $100.00 0 Jun/08/17 Jul/08/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
farmoninc Refurbished - $29,500.00 0 Jun/13/17 Apr/30/18
Description: Novellus 63-303438-00 Robot Assy, DU EE, NO EE, 200, Brooks 002-7090-11, 321021
mattron747 NEW - $900.00 0 Jun/17/17 Jul/17/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
solanotraders Used - $48.00 0 Jun/17/17 Jul/17/17
Description: @@ GRANVILLE PHILLIPS CAT NO 275262 CONVECTRON GAUGE SENSOR (BQ)
tmh_inc NEW - $3,000.00 0 Jun/20/17 Oct/01/21
Description: Novellus C3 SPEED GUARD, DISK, LTM, NO PKT C3SPDï¼› P/N: 15-149982-01
muchoyen Used - $299.99 0 Jun/22/17 Jun/29/17
Description: Lam Research Lower 8" 0.031 Electrode Part no. 715-007469-002 Cleaned & Sealed
athomemarket Used - $212.99 0 Jun/25/17 Oct/17/18
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
gigabitpartsolutions Used - $4,290.00 6 Jul/13/17 Jul/20/17
Description: Ozone ASTEX AX8200 AX800A (AMAT) 0190-09347 Un-TESTED NO RETURNS
benta09 NEW - $100.00 0 Jul/26/17 Aug/25/17
Description: AMAT Part No: 4000-01006 CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SNAP-IN Qty 4
gorilla-store NEW - $7,678.18 0 Jul/26/17 Aug/25/17
Description: Applied Materials AMAT CMP 0190-77344 TRANSDUCER PLATE NO DRAIN OPTION 200MM MEG
alamedaauction Used - $39.95 0 Aug/06/17 Sep/05/17
Description: AMAT 0190-26769 Inficon BPG400 Pirani Vaccum Gauge BPG 1125-Torr/ No Sensor
alamedaauction Used - $19.95 0 Aug/08/17 Sep/07/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
alamedaauction Used - $45.00 0 Aug/08/17 Sep/07/17
Description: AMAT 0190-26769 Inficon BPG400 Pirani Vacuum Gauge 1125-Torr BPG-400/ No Sensor
mattron747 NEW - $900.00 0 Aug/15/17 Sep/14/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
spsglobal Scrap, for parts - $300.00 1 Aug/17/17 Oct/05/19
Description: 129-0203// AMAT APPLIED 0100-00825 ASSY PCB AIO WITH NO A/D NOT WORKING
gigabitpartsolutions Used - $2,750.00 1 Sep/05/17 Oct/17/17
Description: OEM Part (LAM) 853-025083-022 ASSY RF MATCH 9600 UNTESTED NO RETURN
alamedaauction Used - $39.95 0 Sep/05/17 Oct/03/17
Description: AMAT 0190-26769 Inficon BPG400 Pirani Vaccum Gauge BPG 1125-Torr/ No Sensor
cubit001 Used - $1,199.00 1 Sep/07/17 Sep/11/17
Description: AMAT PCB I/O EXPANSION CARD SCHEM REF: 0130-76087 ASSY NO. 0090-00223
alamedaauction Used - $19.95 0 Sep/07/17 Oct/07/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
alamedaauction Used - $19.95 1 Sep/07/17 Sep/27/17
Description: AMAT 0190-26769 Inficon BPG400 Pirani Vacuum Gauge 1125-Torr BPG-400/ No Sensor
gigabitpartsolutions Used - $3,245.00 0 Sep/14/17 Jan/09/18
Description: Generator ASTeX AX8000A AMAT 0190-09347 Ozone CORE Priced NO RETURNS
mattron747 NEW - $900.00 0 Sep/15/17 Oct/15/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
gigabitpartsolutions Used - $385.00 11 Sep/21/17 Oct/05/17
Description: PCB CPU UNTESTED NO RETURN FORCE COMP. 510874 (LAM) 810-017034-300
alamedaauction Used - $19.95 1 Sep/28/17 Oct/02/17
Description: AMAT 0190-26769 Inficon BPG400 Pirani Vacuum Gauge 1125-Torr BPG-400/ No Sensor
alamedaauction Used - $19.95 0 Oct/09/17 Nov/08/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
mattron747 NEW - $900.00 0 Oct/15/17 Nov/14/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
gigabitpartsolutions Used - $715.00 0 Oct/17/17 Apr/22/20
Description: OEM Part (AMAT) 0010-10054 5000 WxCVD Chamber UNTESTED NO RETURN
usedeqsales Scrap, for parts - $1,510.17 1 Oct/18/17 Apr/26/18
Description: CTI-Cryogenics 3620-00474 IS-1000 Compressor LV AMAT Not Working No Power As-Is
alamedaauction Used - $19.95 0 Nov/08/17 Dec/08/17
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
allforsale555 Used - $149.00 0 Nov/11/17 Jul/29/21
Description: LAM Part No: 839-482199-003 Rev. E
mattron747 NEW - $900.00 0 Nov/14/17 Dec/14/17
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
alamedaauction Used - $9.95 0 Dec/10/17 Jan/09/18
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
mattron747 NEW - $900.00 0 Dec/14/17 Jan/13/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
usedeqsales NEW - $50.00 0 Dec/20/17 Jan/02/18
Description: APPLIED MATERIALS 3420-90056 Insulating Beads No. 4 Cylinder
usedeqsales NEW - $604.80 0 Dec/20/17 Jun/26/18
Description: LAM RESEARCH / PEC 716-330167-261 / PEC-1525 RING, CLP, BOT ACTR, 2.3, 6", NO MF
ytyc2014 Used - $200.00 1 Dec/28/17 Jan/10/18
Description: Mesa Power Systems Model No 10686 . Amat 0190-16526
alamedaauction Used - $9.95 3 Jan/09/18 Feb/08/18
Description: AMAT Inficon 0190-22145 Hot Ion Pirani Vacuum Gauge 750 Torr No Sensor
mattron747 NEW - $900.00 0 Jan/14/18 Feb/13/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
partskorea1 Used - $1,999.00 0 Jan/15/18 Jan/18/18
Description: AMAT LCF sensor interface ASSY NO 0190-26387
sparesllc09 Used - $4,200.00 0 Jan/18/18 Oct/02/19
Description: 0190-28658 / VERITY CONTROLLER, MODEL SD1024F-2-S. PART NO. 1007467 / AMAT
sparesllc09 Used - $950.00 0 Jan/18/18 Sep/06/19
Description: 0190-16250 / XP POWER CONTROLLER PART NO. 101091-03 / AMAT
spsglobal Used - $20.00 0 Jan/21/18 Mar/03/22
Description: 105-0401// AMAT APPLIED 1200-01176 RLY SOL STATE 120VAC 10 AMP NO NEW
capitolareatech NEW - $355.00 0 Jan/30/18 Sep/10/19
Description: Applied Materials (AMAT) 3700-02507 SEAL ID8.628 X1.378CSD.468 SS513 NO HOLE
allforsale555 Used - $250.00 1 Feb/03/18 Jul/01/20
Description: Applied materials/AMAT LCF sensor interface ASSY NO 0190-26387
mattron747 NEW - $750.00 0 Feb/13/18 Mar/15/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
farmoninc Used - $21,500.00 0 Feb/16/18 Aug/26/20
Description: Novellus 63-303438-00 Robot Assy, DU EE, NO EE, 200, Brooks 002-7090-10, 424263
farmoninc Used - $500.00 0 Feb/16/18 Mar/03/22
Description: AMAT 0242-07730 Kit CH D Gasline Non-Toxic With No 2nd Manifold RTP, CMF 424274
capitolareatech NEW - $295.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09534 CLAMP RING 200/197 NO FLT
mattron747 NEW - $750.00 0 Mar/15/18 Apr/14/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
davidandjacobstore NEW - $499.99 0 Apr/03/18 Apr/10/18
Description: Applied materials assembly kit item no. 0240-31575 KIT, HEATING GATE VALVE
capitolareatech NEW - $23.95 2 Apr/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-77624 MEMBRANE, WAFER, 8" TITAN HD NO MARKING
capitolareatech NEW - $729.95 0 Apr/05/18 Sep/23/19
Description: Applied Materials (AMAT) 0100-00825 ASSY PCB AIO WITH NO A/D CONVERTER
davidandjacobstore NEW - $550.00 0 Apr/11/18 Jun/16/20
Description: Applied materials assembly kit item no. 0240-31575 KIT, HEATING GATE VALVE
asmtk Used - $50,000.00 0 Apr/13/18 Jun/21/18
Description: Applied Materials 0010-12516 200MM SNNF SLT ESC NO HTR, FDR ASSEMBLY AMAT
mattron747 NEW - $750.00 0 Apr/14/18 May/14/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
usedhightechequipment Used - $480.00 1 Apr/23/18 May/22/18
Description: Applied Materials Analog I/O Board Assy No 0100-00396 Fab 0110-00396 Rev 001
usedhightechequipment Used - $480.00 15 Apr/23/18 Nov/07/18
Description: Applied Materials/AMAT Assy No. 0100-20100 FAB No. 0110-20100 Rev A
usedeqsales Used - $555.18 2 May/03/18 Dec/08/20
Description: AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly No Valve Gear Used
mattron747 NEW - $750.00 0 May/15/18 Jun/14/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
usedeqsales Used - $805.18 0 May/17/18 Mar/03/22
Description: Lam Research 02-169180-02 Linear Track 15-265469-02 Copper Exposed No Motor Used
capitolareatech NEW - $295.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0020-40116 STAND OFF PANEL BD. SHORT, NO, HDP DIELECTRI
j316gallery Used - $400.00 0 May/22/18 Feb/13/19
Description: 2911 APPLIED MATERIAL SHELL, ASSY,195MM SEMI NOTCH NO FLAT, DP 0090-35133
mattron747 NEW - $750.00 0 Jun/14/18 Jul/14/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
j316gallery Used - $197.62 1 Jun/18/18 Jan/25/21
Description: 10977 APPLIED MATERIAL AMAT PCB BOARD ASSY NO. 0100-01945 0120-98025
global-trade-tech8 Used - $200.00 1 Jun/19/18 Apr/19/22
Description: AMAT APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-00629
liquiditech Used - $67.50 0 Jun/28/18 Dec/08/21
Description: Lot of 2 AMAT Applied Materials 3320-01026 CF 10'' G-1000-1 Gasket,Copper No Log
yericomfg NEW - $170.00 0 Jul/11/18 Aug/08/18
Description: Lam Research 853-049542-170 24 Channel Temperature Control Unit No Mount Plate
mattron747 NEW - $750.00 0 Jul/14/18 Aug/13/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
yericomfg NEW - $480.00 0 Aug/08/18 Aug/27/19
Description: Lam Research, RF Connector, 853-800749-015, Rev C **NEW NO BOX**
yericomfg NEW - $170.00 0 Aug/08/18 Aug/27/19
Description: Lam Research 853-049542-170 24 Channel Temperature Control Unit No Mount Plate
mattron747 NEW - $750.00 0 Aug/13/18 Sep/12/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
elacross1 Used - $3,149.99 2 Aug/31/18 May/14/22
Description: NSK ESA-B014CFD-21 Motor Control Driver No. B-0Z005-700
testequipmentparts Used - $99.99 0 Sep/18/18 Apr/04/19
Description: Applied Materials 0100-71066 Mainframe ISP Combo CVD Bd Sr No 710660114167 AS IS
mattron747 NEW - $750.00 0 Sep/12/18 Oct/12/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
prism_electronics5 Used - $1,274.99 1 Oct/04/18 Oct/24/21
Description: APPLIED MATERIALS AMAT 0100-10035 LAMP MODULE NO BULBS
mattron747 NEW - $750.00 0 Oct/12/18 Nov/11/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
athomemarket Used - $162.59 0 Oct/17/18 Jan/15/20
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
allforsale555 NEW - $499.00 0 Nov/09/18 Jul/29/21
Description: AMAT Applied Materials 0242-26906 M/F NO Chamber Position Kit
j316gallery Used - $1,000.00 0 Nov/14/18 Aug/25/24
Description: C0600 APPLIED MATERIALS NO POCKET 300MM ROBOT BLADE 0021-22627
mattron747 NEW - $750.00 0 Nov/11/18 Dec/11/18
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
getspares.com_sparesllc09 NEW - $6,700.88 0 Nov/30/18 May/24/22
Description: 71-252989-00 / WAFER CARBON 300 CALIBRATION NO NOTCH / NOVELLUS
bobsgoodies Used - $200.00 0 Dec/10/18 Dec/29/20
Description: AMAT 0190-35463 MOTOR, 5 PHASE STEPPER W/ CABLE DXZ (No Connector)
mattron747 NEW - $750.00 0 Dec/11/18 Jan/10/19
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
gigabitpartsolutions Used - $269.50 1 Jan/03/19 Apr/27/19
Description: Controller SMS 272072-00 (LAM) 853-190023-001 HD with controller UNTESTED NO RET
mattron747 NEW - $750.00 0 Jan/10/19 Feb/09/19
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
zindchau15 Used - $1,135.00 0 Jan/13/19 Oct/23/21
Description: LAM RESEARCH 02-376090-00 PED ASSY STA2-4,1" MCA, NO LIFT HOSE MACH
comwaysind Used - $497.00 0 Jan/19/19 Apr/19/19
Description: SMC corporation SS5V1-DUT00978 AMAT Part No. 0190-54297 SMC Pneumatic Manifold
northbaycontact Used - $0.99 0 Jan/21/19 Jan/31/19
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used) NO Reserve
athomemarket Used - $379.99 0 Feb/07/19 Feb/14/19
Description: AMAT Applied Materials 0020-39087 Rev. 002 195mm Semi Notch No Flat DPS Pedestal
athomemarket Used - $399.99 0 Feb/06/19 Feb/13/19
Description: Applied Materials AMAT 0020-39087 Rev. 002 195mm Semi Notch No Flat DPS Pedestal
mattron747 NEW - $750.00 0 Feb/09/19 Mar/11/19
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
athomemarket Used - $365.06 1 Feb/14/19 Jul/31/19
Description: Applied Materials AMAT 0020-39087 Rev. 002 195mm Semi Notch No Flat DPS Pedestal
athomemarket Used - $357.53 1 Feb/18/19 Jul/31/19
Description: AMAT Applied Materials 0020-39087 Rev. 002 195mm Semi Notch No Flat DPS Pedestal
mattron747 NEW - $750.00 0 Mar/11/19 Apr/10/19
Description: Novellus, Exclusion Ring, 200mm x 2.0mm OH, SEMI MOER, no Flat, 02-032907-00
zindchau15 Used - $18,500.00 1 Mar/19/19 Mar/22/19
Description: ENI Spectrum RF Generator MKS/ENI MODEL NO.: B-10513 AMAT Part No. 0190-17877
grandsemicon*** Used - $3,300.00 1 Mar/20/19 Apr/02/21
Description: AMAT PN: 0020-26383 SOUP BOWL DUAL Robot (no bearing/no magnet)
gemrkim1103 Used - $199.00 0 Mar/27/19 Jun/15/22
Description: LAM MOTHERBOARD. NODE 2. PM SERIAL NO. 810-802902-006 REV. C /Free Shipping
getspares.com_sparesllc09 NEW - $3,500.99 0 Apr/01/19 Sep/07/21
Description: 0040-03734 / CHAMBER, ORIENTER DEGAS NO. B / APPLIED MATERIALS AMAT
plccenter NEW - $14.20 0 May/02/19 May/30/19
Description: LAM RESEARCH 853-027430-001 / 853027430001 (NEW NO BOX)
sfwish Used - $7,490.00 1 Apr/30/19 May/11/22
Description: NEW Applied Materials/AMAT 0040-53688 Gas Box *NO BLUE BOX!*
bt_store22 Used - $1,600.00 0 May/29/19 Aug/29/20
Description: AMAT 3870-02153 VALVE PNUM DIAPH NO 1 4VCR F F 145PSI
bt_store1 Used - $1,700.00 0 May/28/19 Jul/15/21
Description: AMAT 0020-21708 ORIENTER CHUCK No TC Type
bt_store1 Used - $1,150.00 0 Jun/05/19 Jul/15/21
Description: AMAT 0020-21707 ORIENTER HOOP No TC Type
plccenter Used - $5.28 0 Jun/03/19 May/09/23
Description: LAM RESEARCH 853-491695-001 / 853491695001 (NEW NO BOX)
plccenter Used - $25.00 0 Jun/03/19 May/04/22
Description: LAM RESEARCH 853-027430-001 / 853027430001 (NEW NO BOX)
plccenter Used - $14.00 0 Jun/03/19 May/09/23
Description: LAM RESEARCH 853-491686-002 / 853491686002 (NEW NO BOX)
plccenter Used - $14.00 0 Jun/03/19 May/09/24
Description: LAM RESEARCH 853-494037-001 / 853494037001 (NEW NO BOX)
powersell007 Used - $429.00 1 Jun/10/19 Jun/25/19
Description: APPLIED MATERIALS 0090-35133 SHELL, ASSY, 195MM SEMI NOTCH NO FLAT, DP AMAT
bt_store1 Used - $35.00 0 Jun/10/19 Jul/15/21
Description: AMAT 0021-06201 WASHER NO 10 SLOTTED BELLEVILLE HASTELLOY
liquiditech Used - $153.00 0 Jun/13/19 Dec/08/21
Description: APPLIED MATERIALS INTERLOCK SELECT 300mm GEN RACK ASSY NO. 0100-02916
usedeqsales Used - $456.19 1 Jun/14/19 Jul/26/24
Description: AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X No Batteries
earthfriendlyrecyclingwa NEW - $389.99 1 Jun/24/19 Jun/25/19
Description: Applied Materials Shell Assy 195MM Semi Notch No Flat DPS, P/N 0090-35133
zindchau15 NEW - $340.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 0242-26906 KIT, M/F NO CHAMBER POSITION
dom0808 NEW - $1,590.00 1 Jul/03/19 Nov/03/19
Description: Lam Research Process Parts Part No 716-081665-861
dom0808 Used - $1,098.90 0 Jul/03/19 Feb/11/22
Description: Lam Research Process Parts Part No 716-087943-846
j316gallery Used - $877.90 0 Jul/10/19 May/24/23
Description: 2911 APPLIED MATERIALS SHELL, ASSY,195MM SEMI NOTCH NO FLAT, DP 0090-35133
gigabitpartsolutions Used - $1,320.00 2 Jul/30/19 Aug/05/19
Description: PCB GE FANUC VMiVME 7645 Applied Materials (AMAT) 0190-15949 NO RETURNS
storemanager-2009 Used - $50.00 0 Aug/08/19 Nov/05/20
Description: NOVELLUS 22-053860-00, VALVE, 2WAY, PNEU, NO, 1/4, F/
zindchau15 NEW - $250.00 2 Aug/12/19 Jan/12/20
Description: AMAT Applied Materials 0242-26906 M/F NO Chamber Position Kit New
maxisemi1349 Used - $1,600.00 0 Aug/12/19 Apr/10/23
Description: 0200-36726 SUSCEPTOR, R3 ROTATION, NO CENTER, EPI 2
gemrkim1103 Used - $699.00 4 Aug/15/19 Oct/10/19
Description: APPLIED MATERIALS AMAT ANALOG I/O BOARD ASSY. NO. 0100-20100 / Free Shipping
ptb-sales Used - $1,125.00 0 Aug/23/19 Sep/18/19
Description: Astex Microwave Control Module AMAT no. 0190-00398
dom0808 NEW - $768.90 0 Aug/30/19 Feb/11/22
Description: Lam Research KIT,UPGRD,ULAF,NO MEMBRANE,DET,XT,1 DUET 856-267214-002
capitolareatech Used - $355.00 0 Sep/02/19 Aug/13/20
Description: Applied Materials (AMAT) 3700-02507 SEAL ID8.628 X1.378CSD.468 SS513 NO HOLE
capitolareatech Used - $228.08 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0050-33053 BTM FEED EVEN NO VLV CONNECTOR
dom0808 Used - $9,350.00 0 Sep/12/19 Feb/11/22
Description: Lam Research 02-417647-00 PED ASSY,PRE,COND,LOW PROFILE,NO LIFT HO 02-417647-00
dom0808 Used - $9,350.00 0 Sep/12/19 Feb/11/22
Description: Lam Research 02-378789-00 PED ASSY,LOW PROFILE,NO LIFT HOLES,VXT 02-378789-00
spsglobal Used - $30.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-20606 JUMPER WATERFLOW I/L NO COMPRESSORS NEW
dom0808 Used - $255.20 0 Sep/16/19 Feb/11/22
Description: Lam P/N 713-082933-550 SPRT,SPCR,LCLP,NO SLOT,TCCT
ptb-sales Used - $1,012.50 0 Sep/18/19 Oct/25/19
Description: Astex Microwave Control Module AMAT no. 0190-00398
j316gallery Used - $700.00 0 Oct/01/19 Oct/20/20
Description: 4857 APPLIED MATERIALS ASSY PCB AIO WITH NO A/D CONVERTER 0100-00825
j316gallery Used - $119.77 0 Oct/01/19 Sep/08/22
Description: 13668 LAM RESEARCH V95 NO NUPRO VALVE 839-024340-100
getspares.com_sparesllc09 Used - $2,855.80 0 Oct/02/19 Nov/06/19
Description: 0190-28658 / VERITY CONTROLLER, PART NO. 1007467 MODEL SD1024F-2-S. / AMAT
spsglobal Scrap, for parts - $300.00 1 Oct/06/19 Mar/19/20
Description: 129-0203// AMAT APPLIED 0100-00825 ASSY PCB AIO WITH NO A/D NOT WORKING
ptb-sales Used - $911.25 0 Oct/25/19 Nov/14/19
Description: Astex Microwave Control Module AMAT no. 0190-00398
dom0808 Used - $451.00 0 Oct/28/19 Dec/15/20
Description: XP Power XP-3P3P3P2L-12 Lam PN 853-800087-410 There is no PCB board.
ptb-sales Used - $911.25 0 Nov/14/19 Dec/03/19
Description: Astex Microwave Control Module AMAT no. 0190-00398
ptb-sales Used - $911.25 0 Dec/03/19 Feb/13/20
Description: Astex Microwave Control Module AMAT no. 0190-00398
capitolareatech Used - $129.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-31509 PEDESTAL, RIMLESS, OX/MLR/NIT, 200MM, NO
capitolareatech Used - $299.95 0 Dec/20/19 Jun/20/20
Description: Applied Materials (AMAT) 0010-70000 MINI-CONTROLLER CASING NO PWR SUPP/MODULES
capitolareatech NEW - $349.95 0 Jan/04/20 Aug/13/20
Description: Applied Materials (AMAT) 3700-02507 SEAL ID8.628 X1.378CSD.468 SS513 NO HOLE
capitolareatech Used - $1,595.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0010-09417 RF MATCH, CVD NO COVER PANEL 
athomemarket Used - $162.59 0 Jan/15/20 Mar/16/21
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
autoquip7 NEW - $425.00 0 Jan/23/20 Sep/03/21
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR
capitolareatech Used - $349.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0040-09720 MANIFOLD, VCR NO VALVE
capitolareatech NEW - $94.95 0 Jan/28/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-01413 SWITCH FLOW 1.0 6PM NO BRONZE 1" FNPT
usedeqsales Used - $1,602.20 0 Feb/03/20 Aug/22/22
Description: Novellus 02-034310-00 MCI Controller MOD CONT P100/16M Concept Two No Drive
storemanager-2009 Used - $2,500.00 1 Feb/05/20 Feb/06/20
Description: AMAT P5000 BWCVD LAMP MODULE PN 0010-09290 REV C (no bulbs)
usedeqsales Used - $252.20 0 Feb/24/20 Aug/25/22
Description: AMAT Applied Materials 0190-07679 Control Station Operator Interface No Cover
todd1455 NEW - $3,699.00 0 Feb/25/20 Feb/17/22
Description: Deublin 971-625 Union 2 Passage Applied Material AMAT 0190-16739 no assembly New
capitolareatech NEW - $12.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 1200-90102 Relay 24VDC 3 NO
capitolareatech NEW - $9.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 3700-90098 O-RING VITON BS NO 381
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Novellus 34-158908-00 CONTACTOR AUX CONTACT 4 NO
electronicsister Used - $499.99 0 Mar/04/20 Mar/17/22
Description: APPLIED MATERIALS 0195-13657 Enclosure, ASSY, BOX A, NO TRANSFORMER
getspares.com_sparesllc09 Used - $351.66 0 Mar/06/20 Aug/25/22
Description: 3870-04569 / VALVE PNEUMATIC DIAPHRAGM 2 WAY 125PSIG 1/4VCR-F/M NO VESP / AMAT
j316gallery NEW - $800.00 1 Mar/10/20 Sep/09/20
Description: 15595 APPLIED MATERIALS FACEPLATE, GIGA-FILL SACVD, USG NO CENT (NEW) 0021-03489
senior-inc Used - $50.00 0 Mar/13/20 Feb/17/22
Description: AMAT 1270-00221 SW PRESS NO LP/.156 HP 3/4 IN H20
spsglobal Used - $20.00 0 Mar/19/20 Aug/25/22
Description: 324-0202// AMAT APPLIED 0020-18910 CLAMP, FINAL LINES, NO POU, ULTIMA [NEW]
getspares.com_sparesllc09 Used - $7,195.28 0 Apr/24/20 Jul/16/21
Description: 839-019090-608 / ESC, TUNABLE 4-ZONE 300MM NO EPOXY / LAM
spsglobal Used - $300.00 0 May/20/20 Aug/30/23
Description: 325-0102// AMAT APPLIED 3030-05875 MFC 8160 5SLM N2O 1/4VCR MTL NO VIU [ASIS]
davidandjacobstore NEW - $399.99 0 Jun/16/20 Jun/23/20
Description: Applied materials assembly kit item no. 0240-31575 KIT, HEATING GATE VALVE
usedeqsales Used - $706.20 0 Jun/29/20 Jul/14/22
Description: AMAT Applied Materials 0100-90533 Beamline Vacuum Control Panel PCB XR80 No Keys
nps NEW - $4,199.99 0 Jul/20/20 Sep/20/20
Description: Applied Materials 0020-04254 Monochromator Module Assembly No Box
usedeqsales Used - $457.20 0 Jul/23/20 Apr/13/23
Description: AMAT Applied Materials 9090-01166 ITL Battery Module PX32K Quantum X No Battery
powersell007 Used - $799.00 0 Aug/04/20 Dec/04/23
Description: APPLIED MATERIALS 1270-03142 SW FLOW .5GPM NO 3/8T FTG W/ AMP CONN AMAT *2 UNITS
liquiditech Used - $67.03 0 Aug/06/20 Mar/02/21
Description: Lot De 2 AMAT Appliqué Matériel 3320-01026 Cf 10'' G-1000-1 Joint, Cuivre No Log
capitolareatech NEW - $350.00 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-01915 PRESSURE SWITCH, 1-10BAR NO
davidjacobstore Used - $550.00 0 Aug/13/20 Sep/13/21
Description: assembly kit item no. 0240-31575 KIT, HEATING GATE VALVE, Applied materials
louis19692009 Used - $2,300.00 0 Sep/19/20 Apr/27/23
Description: Applied Materials, Top Liner No Ledge W/SR, PN 0200-05623
rtxparts Used - $800.00 0 Sep/23/20 Aug/11/21
Description: Applies Materials. DC PWR SUPPLY, Part No: 0010-09181 Rev H
usedeqsales Used - $7,809.20 1 Oct/01/20 Oct/12/20
Description: Novellus Systems 02-321865-00 MC3R Ethernet Controller No Disk Tested Working
usedeqsales Used - $8,009.20 3 Oct/01/20 Oct/06/20
Description: Novellus Systems 02-321865-00 MC3R Ethernet Controller PC No Disk Tested Working
farmoninc Used - $24,500.00 0 Oct/02/20 Feb/25/22
Description: Novellus 63-303438-00 Robot Assy, DU EE, NO EE, 200, Brooks 002-7090-10, 424263
nps NEW - $3,149.99 0 Oct/08/20 Nov/09/20
Description: Applied Materials 0020-04254 Monochromator Module Assembly No Box
visionsemi Used - $750.00 1 Oct/22/20 Feb/10/21
Description: AMAT APPLIED MATERIALS LOWER PNEUMATIC PCB ASSY NO 0100-77017 REV. A
nps NEW - $2,419.99 1 Nov/09/20 Nov/10/20
Description: Applied Materials 0020-04254 Monochromator Module Assembly No Box
tdindustrial NEW - $17.50 0 Nov/09/20 Nov/19/21
Description: AMAT Part No: 0015-00391 MOD PURCH PART THRD INSERT, REAR, LCF CARDCAGE Qty 4
getspares.com_sparesllc09 Used - $8,700.68 0 Dec/01/20 Mar/15/24
Description: 02-417647-00 / PED ASSY PRE COND LOW PROFILE NO LIFT HOLES / LAM RESEARCH CORP.
autoquip7 NEW - $475.00 0 Dec/04/20 Apr/04/22
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR(AMAT)
lagpat NEW - $133.00 0 Dec/16/20 Apr/16/24
Description: AMAT 0140-17160 HARNESS ASSY PRESS XDCRS NO 2 GAS PANE
radwelloverstock Used - $14.75 0 Jan/11/21 Mar/07/22
Description: LAM LIGHTING 645-006543-001 / 645006543001 (NEW NO BOX)
j316gallery Used - $303.63 1 Mar/02/21 Jan/07/22
Description: 22926 NOVELLUS PCB, APROTEK MODEL NO. 8410, 8XX0REV5 27-129150-00
atxdeals4u Used - $500.00 1 Mar/03/21 Mar/17/21
Description: Applied Materials AMAT 0190-28454 CPCI-3720 Single Board Computer NO HDD
athomemarket Used - $162.59 0 Mar/16/21 May/14/22
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
radwelloverstock Used - $6.25 0 Apr/25/21 Feb/25/22
Description: LAM RESEARCH 853-027430-001 / 853027430001 (NEW NO BOX)
equipplus Used - $1,999.00 1 Apr/25/21 Dec/28/21
Description: Novellus Systems 61-344687-00 RvB Computer,EC1,QNX4/6,Nexus Module,No HD,US^7187
nps NEW - $29.99 1 Apr/27/21 May/04/21
Description: Lam Research 833-014957-100 Cable Assembly 85' Coil, 9 Holes, 7 Pin, Red, No Box
radwelloverstock Used - $6.25 0 May/01/21 Mar/01/22
Description: LAM RESEARCH 766-090815-001 / 766090815001 (NEW NO BOX)
novusferro Used - $599.00 0 May/06/21 Jan/23/22
Description: Applied Materials AMAT 0020-39087 Pedestal, 195mm Semi Notch, No Flat, DPS
alvin1462 NEW - $23,000.00 0 May/07/21 May/18/23
Description: AMAT 0010-67052 , 0041-96727 HEATER NO POCKET UNDERCUT EDGE PRODUCER NEW
farmoninc Used - $3,900.00 0 May/10/21 May/18/23
Description: AMAT 0010-20157 Heater No. 4 Lift Assy, 0010-20138 TC Amp, SMC Cylinder, 100845
usedeqsales Used - $2,001.21 1 Jun/16/21 Jul/22/22
Description: CTI-Cryogenics 8116250G001 On-Board P300 Cryopump No Power Module As-Is
jpattools Used - $24.95 0 Jun/16/21 Jun/18/21
Description: advance rapid start ballast 120 volt 60 hertz no pcbs. r-2s110-tp. energy saver
ausmehlem Used - $299.00 1 Jun/16/21 Jan/23/22
Description: Advanced Energy AE Sparc-le V     Pulsed DC Generator  No DOA 3152330-003D
usedeqsales Used - $2,207.21 3 Jul/28/21 Jul/23/21
Description: AMAT Applied Materials 0190-70102 VGA Video Controller PCB Card No Battery Spare
usedeqsales Used - $707.21 0 Jul/28/21 Aug/06/21
Description: AMAT Applied Materials 0100-09196 E-Chuck Controller PCB Card No Faceplate Spare
comwaysind Used - $899.00 1 Aug/10/21 Oct/19/22
Description: Horiba Stec Injection Valve IV-2410AV-02H HGS NO. XACP 6NH2 AMAT 0190-36237
rtxparts Used - $800.00 0 Aug/11/21 Sep/14/21
Description: Applies Materials. DC PWR SUPPLY, Part No: 0010-09181 Rev H
usedeqsales Used - $1,608.21 1 Aug/11/21 Aug/11/21
Description: OEM-12B ENI Power Systems OEM-12B-02 RF Generator AMAT 0190-70080 No Power As-Is
usedeqsales Used - $1,608.21 1 Aug/11/21 Aug/11/21
Description: OEM-12B ENI Power Systems OEM-12B-07 RF Generator AMAT 0190-76048 No Power As-Is
usedeqsales Used - $1,008.21 0 Aug/26/21 Dec/02/22
Description: Novellus Systems 02-113640-00N Module Controller 19-135376-00 No Cards Surplus
nps NEW - $63.27 1 Aug/26/21 Sep/01/21
Description: Lam Research 853-810609-050 Cable Assembly, 50', Red, Pump Cable, No Box
best-merchant Used - $1,340.00 0 Sep/07/21 Oct/31/21
Description: Applied Materials ANALOG I/O PCM BOARD ASSY No. 0100-00396 Excellent Condition
rtxparts Used - $800.00 0 Sep/15/21 Dec/09/21
Description: Applies Materials. DC PWR SUPPLY, Part No: 0010-09181 Rev H
rtxparts Used - $900.00 0 Sep/15/21 Nov/16/21
Description: Nikon, Part No: 4S001-117 IQB-419NC2-0007 N Model No: PW-NO 4S001-117
j316gallery Used - $850.00 2 Sep/20/21 Apr/21/22
Description: 4857 APPLIED MATERIALS ASSY PCB AIO WITH NO A/D CONVERTER 0100-00825
usedeqsales Used - $1,309.21 0 Sep/30/21 Mar/16/23
Description: AMAT Applied Materials 0010-13321 P5000 200mm Robot Body 8" No Main Shaft Spare
capitolareatech NEW - $299.95 0 Oct/20/21 Nov/20/22
Description: AMAT 3870-01981 VALVE PNEU BLWS NO 34OD SPR-CLOSEAIR
techshop7777 NEW - $75.00 0 Oct/22/21 Jun/01/23
Description: NEW LOT 2 LAM RESEARCH CB THRM RKR 2 POLE UL1077 PART NO 670-052271-060
speed_asia_automation Used - $297.90 0 Nov/02/21 Sep/08/22
Description: SMC corporation SS5V1-DUT00978 AMAT Part No. 0190-54297 Pneumatic Manifold
rtxparts Used - $900.00 0 Nov/16/21 Feb/10/22
Description: Nikon, Part No: 4S001-117 IQB-419NC2-0007 N Model No: PW-NO 4S001-117
rtxparts Used - $1,500.00 0 Dec/09/21 Feb/21/22
Description: Applies Materials. DC PWR SUPPLY, Part No: 0010-09181 Rev H
equipplus Used - $159.00 0 Dec/12/21 Oct/12/23
Description: Lot of 3 Lam Research 790-213441-911 Rev D,no Motor,Used,US&7625
team.blu NEW - $2,999.95 1 Jan/22/22 Aug/29/22
Description: Fluke 1734/B 3-Phase Advanced Energy Logger, Fluke Connect (No Probes)
bobsgoodies2 Used - $450.00 0 Jan/25/22 Mar/31/22
Description: AMAT 0010-09305 THROTTLE VALVE BASIC,TEOS W/VITON no operator
excessdealer Used - $199.00 1 Jan/28/22 Nov/30/22
Description: Novellus Systems 61-311718-00 RvD Computer,EC1,QNX4/6,Nexus Module,No HD
excessdealer Used - $199.00 1 Feb/02/22 Jun/28/22
Description: Novellus Systems 63-431069-00 Rev A Computer,EC1,WINXP,Nexus Module,No HD
getspares.com_sparesllc09 NEW - $3,850.82 1 Feb/03/22 Mar/23/23
Description: 0041-03207 / PUMPING CHANNEL, NICKEL PLATED, NO BEAD / APPLIED MATERIALS AMAT
surplustechmart Used - $67.50 0 Feb/08/22 Jun/30/22
Description: Lot of 2 AMAT Applied Materials 3320-01026 CF 10'' G-1000-1 Gasket,Copper No Log
rtxparts Used - $900.00 0 Feb/10/22 Aug/09/22
Description: Nikon, Part No: 4S001-117 IQB-419NC2-0007 N Model No: PW-NO 4S001-117
randmgoods Used - $5,999.99 1 Feb/12/22 Feb/17/22
Description: 839-019090-620 G ESC, TUNABLE 4-ZONE 300MM NO EPOXY, LAM Research New
rtxparts Used - $1,500.00 0 Feb/21/22 Apr/05/22
Description: Applies Materials. DC PWR SUPPLY, Part No: 0010-09181 Rev H
bobsgoodies2 Used - $195.00 2 Feb/21/22 Feb/21/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT Coupling (No Magnets)
visionsemi Used - $2,030.00 0 Feb/23/22 Oct/03/23
Description: APPLIED MATERIALS ISCAN MODULE 0010-37905 NO COVER PLATE
youngauctioneer NEW - $229.95 6 Mar/03/22 Jan/23/23
Description: NEW NO BOX CTI-Cryogenics 8112444G002 Power Junction/Splitter Box O3-1
semipartslab Used - $1,500.00 0 Mar/04/22 May/16/23
Description: AMAT UPPER EXTENSION SSP 5.3 FI 0010-48232 , sold as is, no return
dgold32 Used - $699.99 1 Mar/09/22 Nov/13/22
Description: Lam Research 719-003481-892 Window Ceramic Plate Nice No Chips
youngauctioneer Used - $299.95 0 Mar/10/22 Aug/18/22
Description: New No Box CTI Cryogenics 8044140G001 Roughing Valve K5-3
semipartslab Used - $100.00 0 Mar/15/22 Feb/21/23
Description: 3700-01288 USE 3320-01165 GSKT VCR 1/4 NI CONTOURED amat , sold as is, no return
suzhousanyanxin0512 Used - $500.00 0 Mar/15/22 Apr/18/22
Description: AMAT HSS696R AQUISITION MODULE MKS AS01696-03 0190-38992, sold as is, no return
semipartslab Used - $500.00 0 Mar/17/22 May/16/23
Description: daq MkII type B 0090-90966 AMAT, sold as is, no return
j316gallery Used - $8,500.00 0 Mar/18/22 Sep/28/22
Description: 27963 APPLIED MATERIALS TOP PLATE FEOL FUNNEL RF 300MM NO COOL 0040-92487
semipartslab Used - $100.00 0 Mar/29/22 Feb/21/23
Description: AMAT 0720-04387 CONNSKT RCPT SGL PIN PNL MTG 50A BLK, sold as is, no return
semipartslab Used - $6,000.00 0 Mar/29/22 Aug/31/23
Description: Applied Materials AMAT 0190-14786 KAWASAKI ROBOT 300MM NF PREALIGNER, no return
semipartslab Used - $100.00 0 Mar/29/22 Feb/21/23
Description: AMAT 0020-13217 STOCK LOCATION , sold as is, no return
semipartslab Used - $200.00 0 Mar/29/22 Aug/31/23
Description: AMAT 0021-01918 3 WAY VALVE, sold as is, no return
suzhousanyanxin0512 Used - $500.00 0 Mar/31/22 May/26/22
Description: Applied Materials 0090-03805 , sold as is,no return
randmgoods Used - $899.99 1 Apr/02/22 Jun/23/23
Description: 839-019090-646 D ESC, 300MM NO EPOXY, LAM Research Mint 715-073734-211 F
nps Used - $6,799.99 1 Apr/04/22 Apr/04/22
Description: CTI-Cryogenics 0190-13369 On-Board 8F Cryopump No Box*
dom0808 Used - $1,098.90 0 Apr/04/22 Apr/10/22
Description: Lam Research Process Parts Part No 716-087943-846
semipartslab Used - $600.00 0 Apr/06/22 May/16/23
Description: applied material robotic interface 0100-90761, sold as is, no return
semipartslab Used - $900.00 0 Apr/06/22 May/16/23
Description: APPLIED MATERIALS 0120-93175 PCB, sold as is, no return
semipartslab Used - $750.00 0 Apr/06/22 May/16/23
Description: Lam Research NODE Board, Type 3 810-800256-005, sold as is, no return
semipartslab Used - $180.00 0 Apr/13/22 May/16/23
Description: Nikon 2S700-601 PCB 2S003-017-1, sold as is, no return
usedeqsales Used - $804.22 0 Apr/21/22 Jan/12/23
Description: Yamatake DMC50ME20000M001 Controller DMC50 Nikon 4S087-830 NSR-S610C No Base
j316gallery Used - $700.00 0 May/04/22 Jan/04/23
Description: 27816 APPLIED MATERIALS NO POCKET 300MM ROBOT BLADE (PARTS) 0021-22627
athomemarket Used - $162.59 1 May/14/22 Aug/15/22
Description: Lam Research 24 Channel Temperature Control Unit 853-049542-170 No Mount Plate
atxdeals4u Used - $1,500.00 0 May/31/22 Jul/28/22
Description: Applied Materials AMAT 0195-14363 Rev. 03 RF Match Part No. ULP10075-01
j316gallery Used - $6,702.00 0 May/31/22 Aug/31/23
Description: 28259 APPLIED MATERIALS CHAMBER ORIENTER DEGAS NO BLANKOFF 0040-03734
autoquip7 NEW - $475.00 0 Jun/15/22 Jul/25/22
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR(AMAT)
j316gallery Used - $1,000.00 0 Jun/21/22 Jun/24/22
Description: 28739 APPLIED MATERIALS ASSY PCB AIO WITH NO A/D CONVERTER 0100-00825
usedeqsales Used - $2,007.22 0 Jul/11/22 Jul/20/22
Description: RF Apex 3013 AE Advanced Energy 3156113-006 RF Generator No Power Tested As-Is
earth_enterprises Used - $999.95 0 Jul/14/22 Oct/12/23
Description: AMAT Applied Materials 0010-09263 Pneumatic Manifold 0010-09263, Rev. No B Used
rtxparts Used - $900.00 0 Aug/09/22 Mar/14/23
Description: Nikon, Part No: 4S001-117 IQB-419NC2-0007 N Model No: PW-NO 4S001-117
getspares.com_sparesllc09 Used - $8,700.68 0 Aug/18/22 Jan/25/24
Description: 02-378789-00 / PED ASSY,LOW PROFILE,NO LIFT HOLES,VXT / LAM RESEARCH CORPORATION
getspares.com_sparesllc09 Used - $8,700.68 0 Aug/18/22 Jan/25/24
Description: 02-378788-00 / PED ASSY,LOW PROFILE,NO LIFT HOLES,VXT / LAM RESEARCH CORPORATION
plccenter Used - $1,365.00 0 Aug/25/22 Aug/26/22
Description: APPLIED MATERIALS 0190-31750 / 019031750 (NEW NO BOX)
waste-not-recycling Used - $2,499.00 1 Sep/28/22 Feb/23/23
Description: AMAT APPLIED Materials 0242-13107 0010-76542 AMAT-0 HEAT EXCHANGER no tank
usedeqsales Used - $4,209.22 1 Oct/03/22 Oct/03/22
Description: ATLAS 2012 AE Advanced Energy 315026*-000 RF Generator Tested No RF Out Surplus
comwaysind Used - $970.92 0 Oct/30/22 Feb/29/24
Description: Horiba Stec Injection Valve IV-2410AV-02H HGS NO. XACP 6NH2 AMAT 0190-36237
sgcequipment Used - $500.00 0 Nov/10/22 Dec/18/23
Description: Lam Research PN: 853-180167-001
waste-not-recycling Used - $950.00 0 Nov/22/22 Mar/02/23
Description: AMAT CVD Lamp Aluminum Base, No electronics, 0010-09335
semikorea Used - $1,000.00 0 Nov/30/22 May/25/23
Description: AMAT TRACK ROBOT, CONTROLLER, 4000C7 ML WH (PART NO. 00-500-06 0190-14064
ramix-part NEW - $2,430.00 0 Jan/05/23 Feb/09/23
Description: Applied Materials 0041-96742 AMAT / FACEPLATE ,NO COOLING , SRP , PRODUCER GT
ramix-part Used - $2,322.00 0 Jan/05/23 Feb/09/23
Description: Applied Materials 0041-96742 REV 03 / FACEPLATE ,NO COOLING , SRP , PRODUCER GT
usedeqsales Used - $4,001.23 0 Jan/10/23 Jan/12/23
Description: MDX Pinnacle AE Advanced Energy 3152411-012 Power Supply Tested No Power As-Is
the_original_midas_touch Used - $229.95 1 Feb/06/23 Mar/13/23
Description: CTI-Cryogenics 8112444G002 Power Junction/Splitter Box NEW NO BOX
dukecitydesignz Used - $99.00 0 Feb/16/23 Feb/22/23
Description: APPLIED MATERIALS AMAT Heater / Interlock Assy No. 0100-00933 Rev. 001
tolleyshops Used - $4,500.00 0 Feb/18/23 Apr/18/23
Description: APPLIED MATERIALS PCB, VGA VIDEO CONTROLLER Board Assy No. 0100-02735
dukecitydesignz Used - $99.00 0 Feb/23/23 Mar/01/23
Description: APPLIED MATERIALS AMAT Heater / Interlock Assy No. 0100-00933 Rev. 001
dukecitydesignz Used - $99.00 0 Mar/02/23 Mar/08/23
Description: APPLIED MATERIALS AMAT Heater / Interlock Assy No. 0100-00933 Rev. 001
dukecitydesignz Used - $99.00 0 Mar/09/23 Mar/15/23
Description: APPLIED MATERIALS AMAT Heater / Interlock Assy No. 0100-00933 Rev. 001
rtxparts Used - $900.00 0 Mar/15/23 Oct/09/23
Description: Nikon, Part No: 4S001-117 IQB-419NC2-0007 N Model No: PW-NO 4S001-117
plccenter Used - $660.00 0 Mar/15/23 Jun/15/23
Description: APPLIED MATERIAL 0100-09099 / 010009099 (NEW NO BOX)
dukecitydesignz Used - $99.00 0 Mar/16/23 Mar/22/23
Description: APPLIED MATERIALS AMAT Heater / Interlock Assy No. 0100-00933 Rev. 001
dukecitydesignz Used - $99.00 0 Mar/23/23 Mar/29/23
Description: APPLIED MATERIALS AMAT Heater / Interlock Assy No. 0100-00933 Rev. 001
dukecitydesignz Used - $99.00 0 Mar/30/23 Apr/02/23
Description: APPLIED MATERIALS AMAT Heater / Interlock Assy No. 0100-00933 Rev. 001
dukecitydesignz Used - $99.00 1 Apr/06/23 Apr/12/23
Description: APPLIED MATERIALS AMAT Heater / Interlock Assy No. 0100-00933 Rev. 001
maxisemi1349 Used - $1,500.00 2 Apr/10/23 Oct/16/23
Description: 0200-36727 SUSCEPTOR, R3 ROTATION, NO CENTER, EPI 2
getspares.com_sparesllc09 Used - $2,150.68 0 Apr/11/23 Jul/20/23
Description: 0021-33426 / SHIELD INNER SINGLE, 300MM NO COIL / APPLIED MATERIALS AMAT
scs6688 NEW - $180.00 0 Apr/16/23 May/11/23
Description: APPLIED MATERIALS 0150-23114 CABLE,CH HEATER SIDE 2,300MM PRODUCER,NO RF,NEW
techgearone Used - $3,200.00 0 Apr/19/23 Apr/25/23
Description: APPLIED MATERIALS ASSEMBLY STOCK NO. 0100-11001
dukecitydesignz Used - $99.00 0 Apr/21/23 Apr/28/23
Description: APPLIED MATERIALS AMAT Heater / Interlock Assy No. 0100-00933 Rev. 001
louis19692009 Used - $2,500.00 0 Apr/28/23 Jul/13/23
Description: Applied Materials, Top Liner No Ledge W/SR,PN 0200-05623
tolleyshops Used - $4,500.00 0 Apr/28/23 May/27/23
Description: APPLIED MATERIALS PCB, VGA VIDEO CONTROLLER Board Assy No. 0100-02735
usedeqsales Used - $4,004.23 1 Apr/28/23 Apr/29/23
Description: Paramount 3013 AE Advanced Energy 3156330-015 Tested No RF Output As-Is
plccenter Used - $33.00 4 May/11/23 Dec/05/23
Description: NOVELLUS 20-251341-00 / 2025134100 (NEW NO BOX)
i-s-m-e Used - $6,712.00 1 Jun/08/23 Jan/31/24
Description: NOVELLUS SYSTEMS 02-321865-00 Rev A ASSY MC3R ETHERNET WORKING NO COVER
yiannisshop Used - $1,777.00 1 Jun/22/23 Jun/24/23
Description: AMAT 0190-35634 MODEL1014-03 HTR 1~208V 50/60HZ NEW NO BOX FOR PRICE OF USED
gefen-technology Used - $5,016.00 0 Jun/25/23 Aug/31/23
Description: Applied Materials 0041-96742 FACEPLATE , NO COOLING , SRP , PRODUCER GT
tolleyshops Used - $4,500.00 1 Jun/26/23 Aug/21/23
Description: APPLIED MATERIALS PCB, VGA VIDEO CONTROLLER Board Assy No. 0100-02735 AMAT
usedeqsales Used - $4,507.23 1 Jul/06/23 Jul/06/23
Description: RF Atlas 2012 AE Advanced Energy 3150262-000 RF Generator Tested No Power As-Is
jtechsemi Used - $850.00 0 Jul/07/23 Oct/12/23
Description: Applied Materials 0100-20416 SCHEM. REF. NO. 0130-20416 REV.