[click to login]
WSEMI


TAGS > 3

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
hdsemispares
[view on eBay]
Used 21
in stock
$1,200.00
Description: IPS LAMPS DRIVER ASSEMBLY, 3 ZONE, 5200 AMAT 0190-36109
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: NEW AMAT 0021-03480 Bracket #3, RFPP generator, DPS
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: NEW AMAT 0150-13128 Cable assy,Flat, ACPS signal, VDI control 3
farmoninc
[view on eBay]
Used 2
in stock
$75.00
Description: AMAT 0200-35160 Insert, Quartz Injector, 3 Zones
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: Novellus 60-255761-00 Pneumatic Manifold Assy with 24 SMC Valves on 3 Panels
farmoninc
[view on eBay]
NEW 1
in stock
$999.00
Description: 3 Novellus 22-149793-00 Magnetic Coupling for Actuator, Load Lock, Vector
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: 3 NEW AMAT 0020-20663 Terminal brackets
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: NEW AMAT 3700-01686 Oring ID 49.5 MM x 3 MM CSD FKM
hdsemispares
[view on eBay]
Used 1
in stock
$25.00
Description: HAM-LET UCV HMS30 4VKC REV A AMAT 3870-03344 (lot of 3)
farmoninc
[view on eBay]
NEW 1
in stock
$1,100.00
Description: 2 New AMAT 0140-78192 Cable HD SWP 1,2,3,4 encoder MTR pane
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: New AMAT 0140-03724 harn assy. dual HTD baratron overtemp 3
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 3 New AMAT 0150-40191 EMO jumper P1, EMO interlock
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 New AMAT 0020-76313 Guard, ENP Chamber lid lift
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: NEW AMAT 0010-02532 water return hose W/SST flare FTGS chamber 3
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 3 new AMAT 0020-07926 Bellows Shield , lower ECP, Anneal
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 3 AMAT 0020-03003 Latch, 323470
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 3 new AMAT 3310-01139 pressure gauge 0-160PSI 2"D 1/8 NPT CTR-BK
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 3 new AMAT 0021-02683 BRACKET, SLD, LONG, PRODUCER
farmoninc
[view on eBay]
NEW 1
in stock
$10.00
Description: 5 AMAT 0720-01460 PLUG, 3 POS PC MTG, 323637
farmoninc
[view on eBay]
NEW 1
in stock
$10.00
Description: 5 new AMAT 0720-01460 plug, 3 pos pc mtg
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 new AMAT 0021-23119 BRKT HOME SENSOR PPR IECP
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0140-05436 harness assy. flex 3 to FI serial DIST P
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: 3 AMAT 0190-01134 / 001 HNL Waveguide 90DEG E-PLANE
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: 3 AMAT 0150-14313 Cable Assy, Pump EMO12 FT, Remote Cable, 324314
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: 3 AMAT 0040-45933 Ball Transfer Screw Assy, 324366
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: 3 AMAT 0020-26721 Mount, Ball Transfer, FI Outrigger, 3690-00526, 329445
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 3 NEW AMAT 0021-30913 Single coil tilt ring, 0.25
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3630-01238 RTNR RING EXT 5MM SFT .62MM TH, NEW, LOT 3
grandbirdnet
[view on eBay]
NEW 2
in stock
$176.00
Description: AMAT 0090-77181 GRIPPER SENSOR HARNESS, ARM 3, NEW
farmoninc
[view on eBay]
NEW 2
in stock
$950.00
Description: NEW AMAT 0190-02716 Hose Assymbely, 50 FT SUP/RET Low Temperature, EMAX 3
farmoninc
[view on eBay]
Used 1
in stock
$1,485.00
Description: 3 AMAT 0200-00059 cover Quartz 6 inch DF, 0200-00059E
grandbirdnet
[view on eBay]
Used 1
in stock
$110.00
Description: AMAT 0140-04902 HARNESS PAD 3, POLISHER BKHD COND & SWP, WORKING
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: NEW AMAT 3870-06063 Valve Assembely, Pneumatic Diaphram N/O 3 Port, 1-1/8 inch
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: 3 AMAT 3300-01297 Ham-Let 3083969 SS 1/4" x 3/8"
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: 3 NEW AMAT 0050-03356 Weldment, He Exhaust, DPS-POLY, 10R
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 3 AMAT 0020-51254 Push Pin, Slim Cell, SC ECP
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0190-05921 HTR GL CHA AMAT 3870-00500 Part 3 TICL4 Produ
farmoninc
[view on eBay]
NEW 2
in stock
$450.00
Description: 3 AMAT 0021-24023 INSULATOR, RF ROD CENTER, 300MM, IA
farmoninc
[view on eBay]
NEW 1
in stock
$65.00
Description: NEW AMAT 0190-05921 HTR GL CHA 3870-00500 PART 3 TICL4 PRODUCTION
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 3 AMAT 0020-01385 Active Electrode E
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0190-08964 Factory Interface 3 Wide 5.X Horizontal
farmoninc
[view on eBay]
NEW 3
in stock
$1,050.00
Description: 3 AMAT 0200-09482 West Coast Collar Quartz Alum 150MM Ext Cath New
farmoninc
[view on eBay]
NEW 3
in stock
$1,200.00
Description: 3 AMAT 0200-09373 West Coast Quartz Cover Ring Quartz Alum 150mm New
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,300.00
Description: AMAT 0200-02528 BLADE, QUARTZ, DUAL BLADE ROBOT, TYPE 3, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: 3 AMAT 0021-18779 Flange, Bottom 300MM Producer
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 3 AMAT 0020-82823 Aperture, EPG2
farmoninc
[view on eBay]
NEW 1
in stock
$200.00
Description: NEW AMAT 0021-07773 Bar, Buss, Ground/Neutral, TXZ Gas Box 3
grandbirdnet
[view on eBay]
Used 1
in stock
$117.00
Description: AMAT 0140-77107 PLATEN 3,PWR DVR-CNTRL BKHD, USED
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0150-76923 Cable Assembly, Mux to Fixed Load 3, 4 FA - 4W,
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: 3 AMAT 0020-79812 Ring, Clamp
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 3 NEW AMAT 0150-36157 C/A Tylan Mfc Long
farmoninc
[view on eBay]
NEW 1
in stock
$90.00
Description: 3 AMAT 3060-01750 BRG RLR WAFER PINCH SLOT
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: AMAT 1410-00788 Heater jacket, zone 3, USG, Chamber A/B/
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 3060-01005 BRG RLR Cam FOL 1 DIA Replaces 862029
farmoninc
[view on eBay]
NEW 3
in stock
$250.00
Description: AMAT 1410-00262 Heater Jacket, CH A, B, C, Zone 3, 200MM Produ
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 AMAT 0021-14119 Spacer, PCV Controller, 200MM Radiance
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0020-06974 Plate Retainer
farmoninc
[view on eBay]
NEW 1
in stock
$35.00
Description: 68 AMAT 0950-01056 IC Latch 8 Bit 74LS373 3 State D Type
farmoninc
[view on eBay]
NEW 11
in stock
$275.00
Description: 3 AMAT 3060-90362 McGill Roller Bearing
farmoninc
[view on eBay]
NEW 20
in stock
$10.00
Description: 3 AMAT 0720-02157 Connector strain relief cover
farmoninc
[view on eBay]
NEW 1
in stock
$25.00
Description: AMAT 0150-95100 C/ASS X30B.P17/X30E .AGC I/P 3
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0190-13913 Driver/Controller, Sensor cable (3), ver
farmoninc
[view on eBay]
Used 1
in stock
$500.00
Description: 3 AMAT 0020-34432 Clamp, Bracket, Bulkhead, DPS, Etch
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0190-08964 Factory Interface 3 Wide 5.X Horizontal
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 AMAT 0021-79241 Flag, Limit, Output Module 200MM Mirra
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 AMAT 0020-05668 Align Bracket, Micro WXZ
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: 3 AMAT 3330-00098 Gear, Spur, 64 Pitch 32 Teeth, 30S SST, 8MM Bore
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 AMAT 0020-78667 PULLEY
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0190-00398 AGL D13450 Microwave Control Module 3 Kilowatt Controller 399639
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0190-00398 AGL D13450 Microwave Control Module 3 Kilowatt Controller 399626
farmoninc
[view on eBay]
Used 1
in stock
$2,200.00
Description: AMAT 0190-00398 AGL D13450 Microwave Control Module 3 Kilowatt Controller 399640
farmoninc
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0150-91375 EARTHING BRAID FLOORPLATE 3
farmoninc
[view on eBay]
NEW 3
in stock
$450.00
Description: 3 AMAT 0021-13830 Plate, Restrictor, Exhaust, Custom, Mesa
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0040-07820 Tray 3, upper meg, HVM 300MM
farmoninc
[view on eBay]
Used 1
in stock
$30.00
Description: 3 AMAT 0015-76046 INDEX KNOB, MODIFIED, .303 DIA.
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: 3 AMAT 3760-00006 SMIF Interlock Sensor Retrofit, Rorze BMZ1-RE201831-160
grandbirdnet
[view on eBay]
Used 12
in stock
$1,200.00
Description: AMAT 0021-03739 PUMPING CHANNEL, ANODIZED, 3 PIECE , NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$3,500.00
Description: AMAT 0190-37616 KVM SWITCH, 4 PC INPUT, 3 USER LOCATION OUTPUT, TOUCHSCREE , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$525.00
Description: 3 AMAT 0150-00183 Cable, PCB, Stepper, Ch. 1 Anneal 402125
farmoninc
[view on eBay]
NEW 2
in stock
$350.00
Description: AMAT 0140-03457 Cable, OM Sensor/Door ILK, BLKHD 3, System 402148
bntyhunter07
[view on eBay]
Used 4
in stock
$1,985.15
Description: AMAT 0190-01973 3 PORT ROTARY UNION DEUBLIN 971-600
auctionrus
[view on eBay]
Used 1
in stock
$45.00
Description: 3 AMAT 0021-19117 CLAMP LID ISOLATOR 300MM TIC 14 MEI-001-16202 402698
auctionrus
[view on eBay]
NEW 2
in stock
$300.00
Description: 3 AMAT 0680-50011 AMJ CB MAG 3P 240Vac 50 50/60HZ
auctionrus
[view on eBay]
Used 3
in stock
$75.00
Description: 3 AMAT 0140-76287 HARNESS ASSEMBLY POWER DISTRIBUTION Farmon ID 406009
auctionrus
[view on eBay]
NEW 1
in stock
$90.00
Description: 3 AMAT 3300-04617 Union Tee 3X1/8 Grab PFA, 406308
auctionrus
[view on eBay]
Used 1
in stock
$225.00
Description: 3 AMAT 0680-01065 BUTTON BREAKER .75A 001233-3012-77 PP11-1- 750A-0B-V 406442
auctionrus
[view on eBay]
NEW 12
in stock
$150.00
Description: AMAT 1150-01043 Probe Tmp, RTD 1/8DIA, 90 Degree Bend, 3 Wire T, 406666
usedeqsales
[view on eBay]
Used 1
in stock
$157.15
Description: AMAT Applied Materials 0050-81930 HE Producer Split Weldment Lot of 3 New
auctionrus
[view on eBay]
NEW 1
in stock
$450.00
Description: 3 AMAT 0150-09301 CABLE DRIVER TO BOOM BOX A2-A2. 407287
auctionrus
[view on eBay]
NEW 1
in stock
$562.50
Description: 3 AMAT 0150-09276 CABLE, OVER PRESSURE HE C 407331
auctionrus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 1270-90194 Switch Selector 3 Way + Off, 409637
auctionrus
[view on eBay]
NEW 14
in stock
$15.00
Description: AMAT 0020-17707 Screw, Injection Plate Mounting, ALD-W 3, 410328
auctionrus
[view on eBay]
NEW 1
in stock
$712.50
Description: AMAT 0190-08452 Assembly FFU Shelf A Flex 3 5.3 FI, 411098
auctionrus
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 0090-76132 SENSOR ASSM, PHASE 3 LLC DOOR UP/DOWN. 411140
auctionrus
[view on eBay]
NEW 1
in stock
$8.00
Description: 3 AMAT 0690-90317 Circlip, Anderton D1300-0280, C clamp lock
auctionrus
[view on eBay]
Used 1
in stock
$150.00
Description: 3 AMAT 3080-01031, Belt, Drive Storage Elevator, Cassette Handler 3MMP, 5MMW.
auctionrus
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0040-07459 Bracket, Duplex MTG, CKT BKR ENCL HVM 3, 413466
auctionrus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0040-45332, Bracket, Manifold Front, Input Module, 3. 413670
auctionrus
[view on eBay]
NEW 1
in stock
$400.00
Description: AMAT 0150-02403, Cable, Assembly, Main AC Interlinks system AC 3. 413847
auctionrus
[view on eBay]
NEW 1
in stock
$115.00
Description: AMAT 0190-05889 HTR GL CHB 0050-51971 Watlow Part 1 3 Ticla Producer 413742
auctionrus
[view on eBay]
NEW 1
in stock
$165.00
Description: AMAT 0140-03671, Harness Assembly, PDO Tray 24VDC Dost, 3 STA, 5.3 FI. 413749
auctionrus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0190-06098 Hose Assembly, Chamber Bottom To EX Elbow, 3, Cable, 413922
auctionrus
[view on eBay]
NEW 1
in stock
$195.00
Description: AMAT 0150-02957 Cable Assembly, Source Lid AC Power Cord, 3 413963
auctionrus
[view on eBay]
NEW 1
in stock
$95.00
Description: AMAT 0150-03585, Cable Harness Assy, Serial INTF(VME TO MB) PVD 3, 414025
auctionrus
[view on eBay]
NEW 1
in stock
$225.00
Description: 3 AMAT 0090-77359, Cable, Harness, Sensor, Magnet, Drawer, Locked PM2. 414069
auctionrus
[view on eBay]
NEW 1
in stock
$145.00
Description: AMAT 0150-11305 Cable Assembly, Gasline Heater Jacket Power, 3 414137
auctionrus
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0150-02957 Cable Assembly, Source LID AC Power Cord, 3, Harness, 414171
auctionrus
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0140-77653 Harness, Tubing Bundle 3, 414269
auctionrus
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0680-00103 CB Mag Series Trip 2P 250VAC .75A 50/60H 414646
auctionrus
[view on eBay]
Used 1
in stock
$175.00
Description: 3 Chemraz SC520 AMAT 3700-01726 O-Ring ID 1.799 CSD .103 328695
ecomicron
[view on eBay]
NEW 1
in stock
$500.00
Description: 0010-16198, AMAT-LIGHT PEN SELECT FOR 3 MONITORS
auctionrus
[view on eBay]
Used 7
in stock
$40.00
Description: AMAT 0680-01044, AM2-A8-A, B-2 Pole 250V 3 AMP, Switch. 329052
auctionrus
[view on eBay]
Used 2
in stock
$75.00
Description: 3 AMAT 0760-01004 FTG NIP QDISC 1/2BODY X 1/2-14FP 1.97i, 329128
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$33.00
Description: O-Ring Chemraz PKG 3 GREEN TWEED M036004313SSE38 LAM 734-007524-289
partskorea1
[view on eBay]
NEW 1
in stock
$15,000.00
Description: AMAT P/N 0010-10527 Description:PDSTL ASSY,200MM FLAT,IS,NI,LIFT 3,HV CE
ecomicron
[view on eBay]
Used 1
in stock
$500.00
Description: 3030-01683, AMAT, UNIT, UFC 1100 3 SLM O2
usedeqsales
[view on eBay]
Used 1
in stock
$155.16
Description: AMAT Applied Materials 0224-43876 Vacuum Tube 2 Inch NW40 Lot of 3 Used Working
ecomicron
[view on eBay]
Used 1
in stock
$400.00
Description: 0100-40031, AMAT, PCBA, AC 3 PHASE VOLTAGE SENSE,
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$38.50
Description: OEM Part (AMAT) 0150-05435 FLEX 3 E84 POD D LOOPBACK ADAPTOR
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$5.50
Description: Switch (LAM) 661-090296-008 LENS, ROUND WHITE PKG 3
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$27.50
Description: Seal Novellus 22-120472-00 C,2-PORT,TOP,NI PKG 3 Perkin elmer 16-06985
systasemi
[view on eBay]
Used 1
in stock
$9,500.00
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
ecomicron
[view on eBay]
NEW 2
in stock
$300.00
Description: 0190-03435, AMAT, HOSE ASSY, 1/2" ID X 96" LG 1/2 TUBE X 3
ecomicron
[view on eBay]
Used 1
in stock
$3,200.00
Description: 0150-35569, AMAT, C/A MAIN FRAME UMBILICAL #3, 55 FT
j316gallery
[view on eBay]
NEW 1
in stock
$212.09
Description: 5019 APPLIED MATERIALS FLEX 3 (FIC) CONFIG CHECKER TEST KIT (NEW) 0242-19389
j316gallery
[view on eBay]
NEW 1
in stock
$373.93
Description: 5038 APPLIED MATERIALS MAINFRAME PNEUMATIC 3 HARNESS ASSY (NEW) 0140-12928
j316gallery
[view on eBay]
Used 4
in stock
$8,297.18
Description: 5081 APPLIED MATERIALS .650" 300MM 3 CHANNEL HEATER LVL CALIBRATN REF 0190-11355
j316gallery
[view on eBay]
Used 1
in stock
$1,690.18
Description: 5315 NOVELLUS SESIOC WTS-HV IXT 3 CONTROLLER 02-256044-00
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0150-38400, Cable Assembly, Motion Control 3. 415348
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0190-09096 Rev. A, VLV SOL 3 Way N/C EXT to ATM 1/8NPT GRM 2. 415839
farmoninc
[view on eBay]
NEW 1
in stock
$15.00
Description: AMAT 0150-09002 Assy Flat Cable Floppy Disk 3 & P 415945
farmoninc
[view on eBay]
Used 1
in stock
$65.00
Description: 3 AMAT 0090-35007, Assembly Switch RP Status. 416527
farmoninc
[view on eBay]
Used 2
in stock
$450.00
Description: 3 AMAT 0190-13039, 25mm x 32mm x 4mm Bearing w/ Braycote 1613, 416730
farmoninc
[view on eBay]
Used 1
in stock
$125.00
Description: AMAT 0090-76131 Rev.P1, Sensor Assembly, Phase 3 LLC Door Clamp. 417085
farmoninc
[view on eBay]
NEW 1
in stock
$45.00
Description: 3 AMAT 0020-05398, Bracket Valve Mounting. 417084
tjtechseller
[view on eBay]
Used 1
in stock
$55.00
Description: 0090-20042 AMAT, ASSY,3 WAY VALVE
farmoninc
[view on eBay]
Used 1
in stock
$20.00
Description: 3 AMAT 3690-01186, SCR CAP SKT HD 3/8-16x1.25L Hex SKT. 417124
farmoninc
[view on eBay]
Used 1
in stock
$20.00
Description: 3 AMAT 3690-01186, SCR CAP SKT HD 3/8-16x1.25L Hex SKT. 417123
farmoninc
[view on eBay]
NEW 1
in stock
$295.00
Description: AMAT 0150-05354, Cable Assembly, PDO 3 E84 DI/O PDO Tray. 415245
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 0620-01013, Cable, AC Power 3 Connector, extend, P8-18. 417743
farmoninc
[view on eBay]
NEW 2
in stock
$130.00
Description: AMAT 0150-02578 Cable Assy, Laser Cover 3, Interlock, 417780
farmoninc
[view on eBay]
NEW 2
in stock
$325.00
Description: AMAT 0140-77110, PAD COND 3 Power, Control - MNF. 417859
farmoninc
[view on eBay]
NEW 1
in stock
$325.00
Description: AMAT 0140-77110 Rev.B, PAD COND 3 Power, Control - Manifold, Cable. 417928
farmoninc
[view on eBay]
Used 2
in stock
$350.00
Description: AMAT 0140-78313 Cable Head Sweep Drivers 3 & 4, 418020
farmoninc
[view on eBay]
Used 2
in stock
$350.00
Description: AMAT 0140-78313 Cable Head Sweep Drivers 3 & 4, 417038
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0020-30966, Bracket, Water Box Pump Side, Phase 3 Oxide. 418087
farmoninc
[view on eBay]
NEW 6
in stock
$125.00
Description: AMAT 3020-01189, Cylinder AIR 1-1/16 Bore 2" Strk (Spare for 3), 418128
farmoninc
[view on eBay]
Used 1
in stock
$425.00
Description: AMAT 0020-30971 Plate, RF Match Box, Phase 3 Oxide, 418183
farmoninc
[view on eBay]
NEW 1
in stock
$3,600.00
Description: AMAT 4060-00066 Manifold 3, 3/8" Flaretek, Outgoing, 418180
farmoninc
[view on eBay]
NEW 1
in stock
$125.00
Description: AMAT 3020-01189, BIMBA, Cylinder Air1-1/16BORE 2" STRK (SPARE for 3. 418094
farmoninc
[view on eBay]
NEW 1
in stock
$2,350.00
Description: AMAT 0150-77122 Rev.P3, Cable Assembly Platen 3 MTR, 125 feet. 418461
farmoninc
[view on eBay]
NEW 1
in stock
$525.00
Description: AMAT 0050-76080 Rev.A, Line, Exhaust Chamber. C&D Lower 3. 418427
farmoninc
[view on eBay]
NEW 1
in stock
$575.00
Description: 3 AMAT 0150-09616 SPAN IPS 122 Type 1, 8-30VDC, 12W Cable Pressure Switch 418436
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0680-01570, Square D QOB320VH5237, 3 Pole Circuit Breaker, 22KA. 418673
farmoninc
[view on eBay]
NEW 6
in stock
$50.00
Description: AMAT 0680-01570, Square D QOB320VH5237, 3 Pole Circuit Breaker, 22KA. 418671
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0040-07820 Tray 3, Upper Meg, HVM 300mm, 419128
farmoninc
[view on eBay]
NEW 1
in stock
$435.00
Description: 3 AMAT 0015-01682 Guide Threaded Stud Fixed Floating Lift Pin, 419073
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: 3 AMAT 1270-02952 SW PB Illuminum Extended Lens SHRD-FV WHT 24V, 419253
farmoninc
[view on eBay]
Used 1
in stock
$25.00
Description: 3 AMAT 3300-01033, BM1-04-05, FTG Pipe EL 3/4FP, 3/4MP BRS 4. 419277
farmoninc
[view on eBay]
Used 1
in stock
$25.00
Description: 3 AMAT 0600-00049, Fan Accessory, Power Cord .39"L, Plug Connecting. 419357
farmoninc
[view on eBay]
Used 1
in stock
$5.00
Description: 2 AMAT 0720-06835, Conn Weather tight, Mini, 3 Pin, Male, So. 419370
farmoninc
[view on eBay]
Used 5
in stock
$3.00
Description: AMAT 0720-06835, Conn Weather tight, Mini, 3 Pin, Male, So. 419369
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: 3 AMAT 0190-08759, Loadport Shipping Bracket (Tray). 419390
farmoninc
[view on eBay]
Used 1
in stock
$3.00
Description: 3 AMAT 3790-01905, STDF M/F 1/4HEX 4-40 x 1/4L SST. 419397
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: 3 AMAT 0190-08759, Loadport Shipping Bracket (Tray). 419447
farmoninc
[view on eBay]
Used 1
in stock
$45.00
Description: 3 AMAT 3300-00257, FTG CPLG QDISC Body 1/4Flow 1/4NPT ACET. 419455
farmoninc
[view on eBay]
Used 1
in stock
$6.00
Description: 3 AMAT 3300-91742, Ferrule, Front, 6mm, Swagelok. 419531
farmoninc
[view on eBay]
Used 5
in stock
$200.00
Description: AMAT 0021-17365, Support, Lift Pin Insulator, ESC, Hart 3. 419569
usedeqsales
[view on eBay]
Used 1
in stock
$362.16
Description: Pall PHDC1H23 Photoresist Filter PhotoKleen Lot of 3 New
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 1010-01468, Lamp Teardrop 3' Fixture 120VAC 24VDC B. 420025
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 3 AMAT 1010-01468, Lamp Teardrop 3' Fixture 120VAC 24VDC B. 420045
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 2 AMAT 1010-01468, Lamp Teardrop 3' Fixture 120VAC 24VDC B. 420044
farmoninc
[view on eBay]
NEW 3
in stock
$150.00
Description: AMAT 1010-01468 Lamp Teardrop 3 Fixture 120VAC 24VDC B, 420164
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: 3 Furon 1107300-1 Flare Grip II Elbow Male Adapter, AMAT 3300-05831, 420648
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: LAM 734-007334-001 O-Ring 3 I.D. X 3/32 C/S. 420830
farmoninc
[view on eBay]
Used 1
in stock
$115.00
Description: 3 Novellus 03-104547-01 Interface Cable, 422732
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 3 AMAT 0020-01043 Lower Overlay, 5", 8115, 422747
nissiglobal
[view on eBay]
Used 1
in stock
$1,151.91
Description: Applied Materials 0020-10188 REV 3 0020-10189 REV 3
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$29.70
Description: OEM Part (AMAT) 4000-01273 PKG 3 CDCGE FRONT PANEL 4HP 6V
farmoninc
[view on eBay]
Used 1
in stock
$1.00
Description: AMAT 0720-90239, Conn, Metrimate Free SKT 3 Way. 422959
farmoninc
[view on eBay]
Used 1
in stock
$60.00
Description: 3 AMAT 3690-02010 Screw CAP DKT HD 3/8-16x1-1/4L HEX SKT SST SLVR-PL, 423422
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$328.90
Description: (AMAT) 0040-13889, PLATE FKM FACE SEAL AL PROC SLITVALVE
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 129-0601// AMAT APPLIED 0010-09103 (#3) STAND ALONE MONITOR 5000 PLATFORM USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 136-0601// AMAT APPLIED 0010-00744 (#3) 20SCCM 122AA-00010-B-S USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 115-0401// AMAT APPLIED 0050-13029 (#3) COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$500.00
Description: 125-0201// AMAT APPLIED 0020-31163 RING, FOCUSING, ALUM, 200MM, PRSP 3 USED
dr.dantom
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0021-43577 HRS-300 Edge Ring Alum Sst Heater 3 329919
testeqe
[view on eBay]
NEW 1
in stock
$274.99
Description: Qty. 3: NEW AMAT PN: 4020-00004/Mykrolis Guardian 10" HPX Filter .2µm Kit
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 348-0501// AMAT APPLIED 0040-21367 PEDESTAL,UNIVERSAL 200 MM 3 PO USED
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 407883
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 321343
j316gallery
[view on eBay]
NEW 2
in stock
$259.29
Description: 10054 APPLIED MATERIALS HEATER JKT,ZONE 3, ITEM 1, 200MM PROD (NEW) 1410-00297
j316gallery
[view on eBay]
NEW 2
in stock
$292.41
Description: 10011 APPLIED MATERIALS HEATER JKT, ZONE 3, ITEM 2, 200MM PROD (NEW) 1410-00298
j316gallery
[view on eBay]
NEW 1
in stock
$234.30
Description: 10092 APPLIED MATERIALS HEATER JKT, ZONE 3, ITEM 5, 200MM PROD (NEW) 1410-00301
j316gallery
[view on eBay]
NEW 3
in stock
$259.29
Description: 9858 APPLIED MATERIALS HEATER JKT, 49V, 20W, ZONE 3 (NEW) 1410-00300
j316gallery
[view on eBay]
NEW 2
in stock
$259.29
Description: 9857 APPLIED MATERIALS HEATER JKT, ZONE 3, ITEM 3, 200MM PROD (NEW) 1410-00299
farmoninc
[view on eBay]
Used 1
in stock
$2,950.00
Description: Astex FI20162-2 Gen Microwave Magnetron Head AMAT 0920-01111 2.45 GHz, 3, 423974
spsglobal
[view on eBay]
Used 1
in stock
$190.00
Description: 105-0501// AMAT APPLIED 0600-00023 IMPLR MOTORIZED 115VAC 60HZ 468CFM 50W 3 NEW
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: 3 Furon 501036 Fitting TBG tee RDCR 1/2T x 1/2T x 3/8T Male, AMAT 3300-05475
farmoninc
[view on eBay]
NEW 1
in stock
$550.00
Description: Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 321345
grandbirdnet
[view on eBay]
Used 1
in stock
$80.00
Description: AMAT 0140-01844 CABLE OM SENSOR-CNTRL BLKHD 3 SYSTEM, USED
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0190-07535, Nozzle, Notch Finder, Nova, Reflexion, 3, 398181
farmoninc
[view on eBay]
Used 1
in stock
$1,000.00
Description: NOVELLUS 02-273741-00 HDSIOC 3 PDL OXIDE, VERSION 4.72, 407810
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 003-0102// AMAT APPLIED 3620-01146 (#3) 8031315 wPUMP CRYO COMPRESSOR [ASIS]
j316gallery
[view on eBay]
Used 2
in stock
$1,415.34
Description: 11116 APPLIED MATERIALS PEDESTAL, UNIVERSAL 200MM 3 POINT 0040-21367
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0190-28951 CONTROL VIDEO SWITCH, 4 PC INPUT, 3 MON, USED
j316gallery
[view on eBay]
NEW 1
in stock
$519.15
Description: 11445 APPLIED MATERIALS WELDMENT DIVERT 3 LIQ C-T-DB (NEW) 0051-16896
bornalliancecom
[view on eBay]
NEW 1
in stock
$350.00
Description: Applied Materials 3870-01049 VALVE SOL 3 WAY NC 24VDC SKT MNT (Lot of 9)
spsglobal
[view on eBay]
Used 25
in stock
$50.00
Description: 342-0503// AMAT APPLIED 0020-17533 STANDOFF, ARM, SOURCE HINGE, 3 NEW
spsglobal
[view on eBay]
Used 11
in stock
$200.00
Description: 343-0301// AMAT APPLIED 0045-00071 FLANGE, CLAMP BELLOWS HEATER 3 NEW
spsglobal
[view on eBay]
Used 1
in stock
$240.00
Description: 145-0601// AMAT APPLIED 0150-20016 CABLE ASSY, CHAMBER 3 INTERCON USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 145-0501// AMAT APPLIED 0150-21341 CHAMBER 3 INTERCONNECT, (EMC C USED
vizvik16
[view on eBay]
Used 1
in stock
$45.00
Description: APPLIED MATERIALS 0040-64894 TRAVEL HOUSING, DDF4, PAD CONDITIONER, LOT OF 3
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 352-0502// AMAT APPLIED 0090-20042 ASSY,3 WAY VALVE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 141-0101// AMAT APPLIED 0140-21668 HARNESS ASSY, 3 PHASE UPS TEST USED
spsglobal
[view on eBay]
Used 4
in stock
$1,500.00
Description: 130-0701// AMAT APPLIED 0010-70058 (#3) STOR ELEV ASSY, 29 POSN USED
maxisemi1349
[view on eBay]
Used 1
in stock
$1,500.00
Description: 0020-30223 PLATE GAS DISTRIBUTION 133 HOLES, lot of 3
bornalliancecom
[view on eBay]
NEW 2
in stock
$795.00
Description: Applied Materials 0200-09495 SHIELD, 3 FLT HeWEB AMAT Etch
bornalliancecom
[view on eBay]
NEW 1
in stock
$1,475.00
Description: Applied Materials 0200-10041 QUARTZ COVER,OUTER,8”, STEP 3,ESC AMAT Etch
dieseltdiguy
[view on eBay]
NEW 1
in stock
$49.99
Description: Applied Materials K1S Modular 3 Port Female End Block 4060-00073
maxisemi1349
[view on eBay]
Used 1
in stock
$900.00
Description: 0020-06231 COVER,CATHODE HEX,125MM ALUM, lot of 3
j316gallery
[view on eBay]
Used 1
in stock
$6,133.59
Description: 12833 NOVELLUS CNTRL HDSIOC 0 C3SPD CONCEPT 3 SPEED FIRMWARE 4.72 02-280596-00
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 108-0601// AMAT APPLIED 0010-20768 (#3) APPLIED MATRIALS COMPONENTS USED
vizvik16
[view on eBay]
NEW 1
in stock
$140.00
Description: Applied Materials 3700-02454 ORING ID 14.975 CSD .210 VITON 75 DURO LOT OF 3
austieiscute
[view on eBay]
Used 1
in stock
$219.99
Description: New Lot 3 LAM Shielded Cable 833-016952-008 Rev. A CABLE ASSY PREF TCU
dgold32
[view on eBay]
Used 1
in stock
$199.99
Description: Lam Research Used 810-033620-010 REV B MATCH INTERFACE 3 CAP
maxisemi1349
[view on eBay]
Used 1
in stock
$54.00
Description: 0021-07388 THROTTLE VALCE SEAL, lot of 3
austintxresale
[view on eBay]
NEW 1
in stock
$19.99
Description: Lot of 3 Applied Materials 3700-02757 Seal CTR Ring NW40 Alum
austintxresale
[view on eBay]
NEW 1
in stock
$9.99
Description: Turck PKGV 3M 3 PSG Cable 1108U Applied Materials 0150-18581
usedeqsales
[view on eBay]
Used 1
in stock
$1,605.19
Description: Lam Research 810-707056-002 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare
usedeqsales
[view on eBay]
Used 1
in stock
$1,605.19
Description: Lam Research 810-707056-003 TM Node 3 4 PCB Panel 810-707150-001 Continuum Spare
j316gallery
[view on eBay]
Used 2
in stock
$2,509.19
Description: 13451 ASTEX AGL MICROWAVE CTRL MODULE, 3 KILOWATT CNTRL, AMAT 0190-00398 D13450
j316gallery
[view on eBay]
NEW 1
in stock
$322.25
Description: 13466 APPLIED MATERIALS CABLE ASSY HEATER AC POS 3 WIDE BODY (NEW) 0150-70130
dr.dantom
[view on eBay]
NEW 1
in stock
$700.00
Description: UNIT MFC UFC-1660 3 SLM Gas: O2 Mass Flow Controller 1660-100424 AMAT 3030-01953
j316gallery
[view on eBay]
Used 12
in stock
$613.36
Description: 13368 APPLIED MATERIALS PLATE, CHEMRAZ FACE SEAL REV 3 SST PROC S 0040-13887
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 344-0502// AMAT APPLIED 0090-02128 HEATER ASSEMBLY, PRODUCER ETCH WALL #3 NEW
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 344-0502// AMAT APPLIED 0090-20133 ASSY VALVE 3 WAY 24V NEW
maxisemi1349
[view on eBay]
Used 7
in stock
$275.00
Description: 15-258837-00 CLAMP, RF STRAP, 3 HOLE, ALUMINUM, lot of 10
maxisemi1349
[view on eBay]
Used 2
in stock
$1,500.00
Description: 0040-84390 DOOR SPD SLIT VALVE VITON LLK 300MM, lot of 3
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 345-0101// AMAT APPLIED 0020-23631 VENT LINES BRACKET 1 OF 3 NEW
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: STEC SEC-7340M Mass Flow Controller, MFC, Ar, 3 SLM, AMAT, 3030-10980, 422108
roundtable1
[view on eBay]
NEW 1
in stock
$99.00
Description: Applied Materials 0021-14344 HSG QUARTZ TUBE 200mm TISIN LOT OF 3
j316gallery
[view on eBay]
Used 17
in stock
$1,514.38
Description: 13927 APPLIED MATERIALS CCM HART 3 MAINFRAME 0010-29958
maxisemi1349
[view on eBay]
Used 1
in stock
$105.00
Description: 22-153536-00 O-RING, TEFLON ENCAP VITON, LOT OF 3
roundtable1
[view on eBay]
Used 1
in stock
$49.99
Description: Applied Materials 3870-00134 ALVE 3 Port Lock Out Lot Of 2
j316gallery
[view on eBay]
Used 1
in stock
$665.07
Description: 15067 APPLIED MATERIALS WELDMENT 3 VLVS MANF W/MYKROLIS 0020-37480
auctionrus
[view on eBay]
Used 1
in stock
$10.00
Description: 10 AMAT 0720-01941 CONNRCPT PNL MTG 3 POS CIRC, 451619
roundtable1
[view on eBay]
NEW 10
in stock
$3,999.00
Description: AMAT 0195-02636 AMPOULE WELDED VALVES 3 LITER SST FLEXSTAR 2G CHAMBER
nissiglobal
[view on eBay]
Used 1
in stock
$146.29
Description: LOT OF 3 UNIT INSTRUMENTS UFC 8165 MASS FLOW CONTROLLER AMAT 3030-09943
auctionrus
[view on eBay]
Used 1
in stock
$300.00
Description: 3 AMAT 0680-50011 AMJ CB MAG 3P, 240VAC, 50 50/60HZ, Square D, 451849
usedeqsales
[view on eBay]
Used 1
in stock
$309.19
Description: AMAT Applied Materials Robot Teach Box 0140-02984 0140-02986 0140-03084 Set of 3
nissiglobal
[view on eBay]
Used 3
in stock
$92.39
Description: TYLAN 2900 SERIES FC-2900MEP APPLIED MAT. 0224-43261 3 SPLM N2
grandbirdnet
[view on eBay]
Used 1
in stock
$7,800.00
Description: AMAT 0040-49285 LINER, CATHODE, DIRECT-COOLED, BAFFLE, 3, USED
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 142-0602// AMAT APPLIED 0140-08472 HARNESS, BLEED CHEM & RECIRC, MEG LDM, 3 NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,714.10
Description: 0050-30838 / WELDMENT VALVE MANIFOLD 3 STATION LEFTGE / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,600.68
Description: 0020-31216 / CLAMP RING 125/121MM 3 FLT HEWEB / AMAT
vizvik16
[view on eBay]
Used 1
in stock
$3,170.00
Description: AMAT Applied Materials CPI-VCM Chamber Source 3 Magnet Assembly 0021-11382
christyhtx
[view on eBay]
NEW 1
in stock
$25.00
Description: Applied Materials AMAT Gasket Graphite 0020-95027 (package 3)
dr.dantom
[view on eBay]
Used 1
in stock
$50.00
Description: Applied Materials 3300-01639 FTG TBG UN TEE 3 X 3/8T 316 SST
dr.dantom
[view on eBay]
Used 1
in stock
$180.00
Description: LOT OF 3 Applied Materials 0150-35708 ktc cable
rfglobalsolutions
[view on eBay]
Used 1
in stock
$300.00
Description: Rigid Coil Support for Vectra IMP 0200-20175 Lot of 3.
rfglobalsolutions
[view on eBay]
Used 1
in stock
$200.00
Description: Applied Materials Reset Button B101 Ceramic for Vectra 0200-20315 Lot of 3
j316gallery
[view on eBay]
NEW 1
in stock
$672.13
Description: 16633 APPLIED MATERIALS GAS LINE CH 3 WIDE BODY (HTR) MFC 1 V NEW 0050-20942
j316gallery
[view on eBay]
NEW 1
in stock
$361.08
Description: 16617 APPLIED MATERIALS VENT LINE CHAMBER 3 UPPER (NEW) 0050-26704
visionsemi
[view on eBay]
NEW 1
in stock
$50.00
Description: APPLIED MATERIALS AMAT ENDURA CONDUCTOR FLEX DC BIAS 0020-29409 LOT OF 3
roundtable1
[view on eBay]
NEW 1
in stock
$99.00
Description: Applied Materials 0680-02214 C/B 3 POLE 240V 15A Lot Of 4
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$40.68
Description: 766-094127-001 / VLVE, 3 POS, EXH CTR, 4 PORT, SMC / LAM
roundtable1
[view on eBay]
Used 1
in stock
$99.00
Description: Lot Of 3 Amat 0050-27363 N2 Bubbler Regulator Ert
surplusssam
[view on eBay]
NEW 1
in stock
$212.49
Description: APPLIED MATERIALS AMAT 0090-00494 SW ASSY PRESSURE 3 TORR MKS BARATRON NEW
spsglobal
[view on eBay]
Used 4
in stock
$160.00
Description: 340-0201// AMAT APPLIED 0021-76767 CLAMP REV 3 SLIT VALVE DOOR NEW
j316gallery
[view on eBay]
Used 11
in stock
$410.05
Description: 15740 LAM RESEARCH PCB NODE BD CTRL TYPE 3 W/NMTG.S12864BFYHSGY.C 810-800256-107
j316gallery
[view on eBay]
Used 13
in stock
$875.37
Description: 15739 LAM RESEARCH PCB NODE BD CTRL TYPE 3 W/NMTG.S12864BFYHSGY.B 810-800256-106
bornalliancecom
[view on eBay]
NEW 4
in stock
$950.00
Description: Applied Materials 0200-35160 Insert, Quartz 3 Zone AMAT
spsglobal
[view on eBay]
Used 1
in stock
$2,800.00
Description: 105-0201// AMAT APPLIED 0010-00510 (#3) ASSEMBLY BEARING [USED]
dr.dantom
[view on eBay]
Used 1
in stock
$120.00
Description: AMAT Applied Materials 0190-17725 PURCHASE SPECIFICATION 300MM ENDURA REV 3 EQU.
j316gallery
[view on eBay]
NEW 1
in stock
$234.26
Description: 17425 APPLIED MATERIALS HARNESS ASSY 3 PHASE UPS BYPASS (NEW) 0140-21615
j316gallery
[view on eBay]
NEW 1
in stock
$602.54
Description: 16620 APPLIED MATERIALS GAS LINE CHAM 3 WIDE BODY (PROCESS) MFC (NEW) 0050-20941
j316gallery
[view on eBay]
NEW 1
in stock
$227.56
Description: 17621 APPLIED MATERIALS VENT LINES BRACKET 3 OF 3 (NEW) 0020-23633
adpdynamics
[view on eBay]
Used 1
in stock
$550.00
Description: 0050-24751 ADAPTER, W/B SHUTTER CHAMBER @ 3 MIXED
j316gallery
[view on eBay]
Used 3
in stock
$2,024.93
Description: 18461 LAM RESEARCH PCB JTS 13+4 3 ZONE GB CONNECTOR 810-073479-205
j316gallery
[view on eBay]
Used 2
in stock
$2,557.76
Description: 18917 APPLIED MATERIALS ASSY HOSE AMAT-1/STEELHEAD-1 3 OR 5 SUP (46M) 0010-16923
j316gallery
[view on eBay]
NEW 1
in stock
$123.66
Description: 18781 APPLIED MATERIALS FTG QDISC BODY 3/8T SWAGELOK BULKHEAD 3 (NEW) 3300-02859
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,200.00
Description: 853-096430-002 / MODEL EP1001; VOLTS:100-260; 3 AMPS (VERITY INSTRUMENTS) / LAM
expertsurplus
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT, 0050-31528, Weldment MXP/MXP + HE Chamber Adapter, New, Lot of 3
expertsurplus
[view on eBay]
NEW 17
in stock
$150.00
Description: AMAT, 0050-26778, Gasline, Top Vent Feed, Line 3, New
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 340-0301// AMAT APPLIED 0020-76674 PLATE, BLANKOFF, VME FLOPPY, 3 [NEW]
gophersales
[view on eBay]
Used 1
in stock
$205.00
Description: Lot of 3 AMAT Applied Materials 0270-04927 Fixture, Coil Cup Guide, 200MM SIP En
gophersales
[view on eBay]
Used 1
in stock
$205.00
Description: AMAT Applied Materials 0020-31501 B Lot of 3 Finger Chucks 200MM
maxisemi1349
[view on eBay]
Used 4
in stock
$200.00
Description: 22-250136-00 SEAL, CUP, PISTON 3, lot of 5
usedeqsales
[view on eBay]
Used 1
in stock
$1,007.20
Description: AMAT Applied Materials 0110-00176 AC Window Control PCB Reseller Lot of 3 As-Is
noam-tech
[view on eBay]
Used 2
in stock
$520.00
Description: 0140-21260 Cable, 0140-21260 / BLF Cabel / With 3 Plugs / Applied Materials
j316gallery
[view on eBay]
NEW 1
in stock
$227.56
Description: 20150 APPLIED MATERIALS GASLINE ASSY, 23CM, 3 WAY (NEW) 0050-62436
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 176-0403// AMAT APPLIED 0050-25223 GASLINE,PRCS.EDGE PRG AXZ/TXZ AT 3 M/F [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 176-0403// AMAT APPLIED 0050-20072 GAS LINE CHAMBER 3 (HEATER) MFC 1 VCR [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$260.00
Description: 177-0401// AMAT APPLIED 0050-36678 LINE HE SUPPLY 2 OF 3 [NEW]
capitolareatech
[view on eBay]
NEW 1
in stock
$393.95
Description: AMAT 1410-00012 Heater Jacket Liquid 3WAY Valve, 115V, 0.28A, Size: 0.9 x 6.6, 3
capitolareatech
[view on eBay]
NEW 1
in stock
$51.95
Description: AMAT 1270-90170 SWITCH, 3 POSN.MAINT.3 NO/3 NC
capitolareatech
[view on eBay]
NEW 1
in stock
$209.95
Description: AMAT 1410-01595 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech
[view on eBay]
NEW 4
in stock
$1,315.95
Description: Applied Materials (AMAT) 3870-00559 VALVE, 3 WAY
capitolareatech
[view on eBay]
NEW 2
in stock
$262.95
Description: Applied Materials (AMAT) 3870-01094 Swagelok SS-4BK-10-9ALAA VALVE PNEU BLWS 3 P
capitolareatech
[view on eBay]
NEW 6
in stock
$44.95
Description: Applied Materials (AMAT) 3300-04754 HPS-MKS 93-4892 FTG ELBOW 90R KF25 X 2.04M 3
capitolareatech
[view on eBay]
NEW 1
in stock
$295.95
Description: Applied Materials (AMAT) 0050-45784 LINE, EXHAUST POST-VALVE OVERPRESSURE, 3
capitolareatech
[view on eBay]
NEW 5
in stock
$17.95
Description: Applied Materials (AMAT) 3300-01639 FTG TBG UN TEE 3 x 3/8T 316 SST
capitolareatech
[view on eBay]
NEW 2
in stock
$155.95
Description: Applied Materials (AMAT) 0140-21031 HARNESS ASSY, HTESC, STD BODY, POS 2 & 3
capitolareatech
[view on eBay]
NEW 79
in stock
$35.95
Description: Applied Materials (AMAT) 0020-37548 Block, Spacer, 3 COND Fuse Holder
capitolareatech
[view on eBay]
NEW 1
in stock
$50.95
Description: AMAT 0020-35950 BRKT, 3 WAY VALVE PLATTER, 5200HT
capitolareatech
[view on eBay]
NEW 1
in stock
$209.95
Description: AMAT 0140-05973 Harness Assembly, STD 3 Pole CB UPS Bypass
capitolareatech
[view on eBay]
NEW 1
in stock
$65.95
Description: AMAT 0140-13152 HARN ASSY, ISRM INTC, 3 STD PLATENS, REF
capitolareatech
[view on eBay]
NEW 2
in stock
$751.95
Description: AMAT 0200-10102 QUARTZ COVER, INNER, 6\"STEP 3, ESC
capitolareatech
[view on eBay]
NEW 5
in stock
$218.95
Description: AMAT 0200-02929 Insert Ring, Columnar SI, #1, Step .06, 3
capitolareatech
[view on eBay]
NEW 1
in stock
$19.95
Description: Applied Materials (AMAT) 0020-18659 BRKT 3 FINAL VALVE FUJIKIN ULTIMA HDP-CV
capitolareatech
[view on eBay]
NEW 3
in stock
$22.95
Description: Applied Materials AMAT 1200-90102 Relay 24VDC 3 NO
capitolareatech
[view on eBay]
Used 1
in stock
$71.95
Description: Applied Materials (AMAT) 0090-20133 ASSY VALVE 3 WAY 24V
capitolareatech
[view on eBay]
Used 1
in stock
$310.95
Description: Applied Materials (AMAT) 0020-04484 3 IPE SUPPORT CENTER
capitolareatech
[view on eBay]
Used 1
in stock
$22.95
Description: Applied Materials (AMAT) 0060-00278 LABEL (PACK OF 3)
capitolareatech
[view on eBay]
NEW 2
in stock
$626.95
Description: NOVELLUS 15-167054-01 SHOWERHEAD, VERSION 3, STATION 2-5,GAM
j316gallery
[view on eBay]
NEW 1
in stock
$97.68
Description: 20273 APPLIED MATERIALS NUT HEX FULL 1/2-13 SST (LOT OF 3) (NEW) 3500-01006
j316gallery
[view on eBay]
NEW 1
in stock
$118.97
Description: 20256 APPLIED MATERIALS FTG TBG UN TEE 3 X 3/8T 316 SST (NEW) 3300-01639
capitolareatech
[view on eBay]
NEW 2
in stock
$27.95
Description: Applied Materials AMAT 0720-02593 CONN RCPT PNL MTG 3 POS CIRC MS3102
capitolareatech
[view on eBay]
NEW 1
in stock
$2,033.95
Description: LAM RESEARCH (LAM) 716-014843-360 RING, WRAP, QTZ, GENERIC, 17.07ID, 3
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 137-0403// AMAT APPLIED 0050-25242 GASLINE, ROUGH AXZ @3 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$590.00
Description: 176-0104// AMAT APPLIED 0050-09385 WELDMENT MAINIFOLD,3 LINES [NEW]
j316gallery
[view on eBay]
NEW 1
in stock
$227.56
Description: 21015 APPLIED MATERIALS CABLE ASSY DNET HUB TO MFC5 CH-Y EPI 3 NEW 0150-47457
spsglobal
[view on eBay]
Used 1
in stock
$1,090.00
Description: 177-0302// AMAT APPLIED 3870-00254 MANUAL V_BLK DIAPH 3 WAY 1/4 VCR F/F/M [NEW]
capitolareatech
[view on eBay]
NEW 1
in stock
$144.95
Description: AMAT 3870-00325 Pneumatic Valve NC 3 Port, 1-1/8SURFACE Mount
capitolareatech
[view on eBay]
Used 1
in stock
$323.95
Description: Applied Materials (AMAT) 0150-20070 Cable, Assy. Neslab 3 Interconnect
capitolareatech
[view on eBay]
Used 3
in stock
$139.95
Description: Applied Materials 0150-21186 Cable, Assy. Water Flow Interlock Cryo 2 & 3
capitolareatech
[view on eBay]
Used 2
in stock
$67.95
Description: Applied Materials (AMAT) 0140-21007 HARNESS 3 POS EXTENSION 4 FT
grandbirdnet
[view on eBay]
Used 5
in stock
$100.00
Description: AMAT 0100-00112 PCB OPTO SW 3 ASSY F/A INDXR, USED
capitolareatech
[view on eBay]
Used 1
in stock
$189.95
Description: Applied Materials (AMAT) 0050-20951 GASLINE, MANIFOLD PRCS CH 3, MFC 2 & 3 V
j316gallery
[view on eBay]
NEW 4
in stock
$227.56
Description: 20938 APPLIED MATERIALS CABLE ASSY, DNET HUB MFC2, CH-Y EPI 3 (NEW) 0150-46179
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: Novellus 15-419524-00 Manifold Assy, Valve Sensor, 3 Proteus 0504SA4 Flow Switch
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, 3 Port Weldment, 0050-75012, Used
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$10,000.80
Description: 0010-28231 / LINER WITH INSERT ASSY PART 3 HART / APPLIED MATERIALS AMAT
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$200.00
Description: 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,413.26
Description: 0010-36556 / ASSY, HOSE, AMAT-1/STEELHEAD-1, 3, OR 5 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,249.14
Description: 0021-70063 / PIVOT, 300MM REV 3 SLIT VALVE / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
Used 1
in stock
$2,700.00
Description: AMAT 0190-01681 650 CALIBRATION REFERENCE, 3 CHANNEL H, USED
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$397.63
Description: 0150-21341 / CHAMBER 3 INTERCONNECT, (EMC COMPLIANT) / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$374.74
Description: 0150-20016 / CABLE ASSY, CHAMBER 3 INTERCONNECT, 25' / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,000.00
Description: AMAT 0200-89050 INSERT, CHAMBER, INLET, RIGHT, 3 ZONE, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0200-10285 GUIDE PIN LIFT DXZ LOT OF 3, NEW
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$238.06
Description: 0150-20070 / CABLE NESLAB SYSTEM 3 INTERCONNECT / APPLIED MATERIALS AMAT
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0200-05067 RING, PROCESS, QUARTZ, 300MM HART 3, NEW
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 350-0401// AMAT APPLIED 0020-02035 PIN 3 [NEW]
grandbirdnet
[view on eBay]
NEW 1
in stock
$4,500.00
Description: LAM RESEARCH 849-023686-004 KIT, ROT,CAT 3, QTZ,MWAVE, NEW
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: Novellus 02-421242-00 ASM, FE-HD EIOC 0 SLE2 GxT-R +3, Controller, 453657
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,900.00
Description: 0200-00705 (LOT OF 3) / EDGE RING,THIN,200MM / APPLIED MATERIALS AMAT
banyanteam
[view on eBay]
Used 1
in stock
$135.00
Description: Novellus 05-143217-00 Poly Tube Kit (Pack Of 3)
grandbirdnet
[view on eBay]
NEW 1
in stock
$2,400.00
Description: AMAT 0021-03739 PUMPING CHANNEL, ANODIZED, 3 PIECE , NEW
grandbirdnet
[view on eBay]
NEW 5
in stock
$700.00
Description: AMAT 0040-13889 PLATE,VITON FACE SEAL REV 3 AL PROC SLIT, NEW
ecomicron
[view on eBay]
Used 6
in stock
$2,500.00
Description: 0200-18062 DOME 14 ID HDPCVD, REV 3
farmoninc
[view on eBay]
Used 1
in stock
$135.00
Description: 3 AMAT 0020-04351 Clamp, Coupling, Shuttle Position, 420398
spsglobal
[view on eBay]
Used 4
in stock
$30.00
Description: 350-0402// AMAT APPLIED 0020-36302 INSULATOR BUSBAR 3 POSITION [NEW]
visionsemi
[view on eBay]
NEW 6
in stock
$400.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 3 PRE ISO BEND 2 300MM 0190-46996 NEW
visionsemi
[view on eBay]
NEW 6
in stock
$350.00
Description: APPLIED MATERIALS AMAT HEATER JACKET 3 LOWER EXHAUST 300MM 0190-46597 NEW
farmoninc
[view on eBay]
Used 1
in stock
$145.00
Description: 3 AMAT 0140-09076 Harness Assy, Heating Element, 100441
phillipscomm
[view on eBay]
Used 3
in stock
$450.00
Description: Applied Materials 0100-00075 Video Controller Assembly REV C (3 In-Stock)
svcheck
[view on eBay]
Used 1
in stock
$574.81
Description: AMAT 0240-20590 CH 3 STD/ WB INTEG, KIT 3049R11-PE Mainframe 3
grandbirdnet
[view on eBay]
NEW 9
in stock
$2,000.00
Description: NOVELLUS 33-378030-00 MOTOR, 400W,SIGMA 3,W BRAKE, NEW
j316gallery
[view on eBay]
NEW 4
in stock
$325.79
Description: 24177 NOVELLUS ASSY, SNSR, PNP A.T.H, DN CELL 3 (NEW) 02-147408-05
usedeqsales
[view on eBay]
Used 1
in stock
$404.21
Description: AMAT Applied Materials 0150-05146 FP B Signal Cables Reseller Lot of 3 New Spare
ace_stellar_seller
[view on eBay]
Used 1
in stock
$150.00
Description: 715-330166-003 COVER, ROD, CLPG AI, BAC QTY 3
usedeqsales
[view on eBay]
Used 1
in stock
$304.21
Description: AMAT Applied Materials 0021-11375 200mm SRD Flywheel Sleeve Plunger Lot of 3 New
partskorea1
[view on eBay]
Used 1
in stock
$999.00
Description: AMAT 0190-24338 Mainframe Interlock 3 Relays
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 351-0303// AMAT APPLIED 0190-70091 TC ASSY. 3 PROBE [USED]
usedeqsales
[view on eBay]
Used 1
in stock
$1,505.21
Description: SGL DSWS06009 Single Wafer 8" 3 Spoke Poly Disk Susceptor AMAT 0200-35063 New
usedeqsales
[view on eBay]
Used 1
in stock
$203.19
Description: AMAT Applied Materials 0020-22839 Pin Preclean Lift Reseller Lot of 3 New
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: 3 AMAT 0720-91264 Conn HSG Molex 6 Way 0.156", 101067
dok39
[view on eBay]
Used 1
in stock
$20.96
Description: DANFOSS CTI 25M CIRCUIT BREAKER 2.5-4.0 AMPS 3 POLES 600V 50/60HZ
expertsurplus
[view on eBay]
Used 1
in stock
$325.00
Description: AMAT, 90 Degree Gas Line, 0227-96682, Used, Lot of 3
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 3 AMAT 3060-01806 RLR, Pinch Drive Assymetric, 101395
expertsurplus
[view on eBay]
NEW 1
in stock
$45.00
Description: AMAT, 0090-20042, 3 Way Valve, New scm pilot
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0020-01610 Block, Pivot, Spout Gate, 101411
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0760-01004 FTG NIP QDISC 1/2Body X 1/2 - 14FP 1.97i, 101603
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0760-01004 FTG NIP QDISC 1/2Body X 1/2 - 14FP 1.97i, 101602
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0760-01004 FTG NIP QDISC 1/2Body X 1/2 - 14FP 1.97i, 101601
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0760-01004 FTG NIP QDISC 1/2Body X 1/2 - 14FP 1.97i, 101600
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0760-01004 FTG NIP QDISC 1/2Body X 1/2 - 14FP 1.97i, 101599
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0760-01004 FTG NIP QDISC 1/2Body X 1/2 - 14FP 1.97i, 101617
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0760-01004 FTG NIP QDISC 1/2Body X 1/2 - 14FP 1.97i, 101616
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: 3 AMAT 3360-01144 Gromrnd 1/4ID X 7/16GRV-OD X 1/16GRV-WD, 101653
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT 0720-90222 Conn. C16 SKT Free 3+, 101667
usedeqsales
[view on eBay]
Used 1
in stock
$253.61
Description: AMAT Applied Materials 3620-01392 3 Meter TMS-to-PUMP Seiko Seiki Turbo Working
farmoninc
[view on eBay]
NEW 1
in stock
$30.00
Description: 3 AMAT 0620-01162 Cable Assy, .094in Dianylonsst 6in LG 3/16i, 101818
roundtable1
[view on eBay]
NEW 1
in stock
$189.00
Description: APPLIED MATERIALS (AMAT) 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0020-03511 Robot Drive Post Pully, 101957
spsglobal
[view on eBay]
Used 2
in stock
$5,000.00
Description: 167-0101// AMAT APPLIED 0190-26514 CONTROL COMPUTER, EYED, ROHS VERSION 3 [USED]
usedeqsales
[view on eBay]
Used 6
in stock
$1,253.60
Description: Phasetronics P1038A 3 Phase Angle Lamp Drive AMAT 0015-09091 P5000 Working Spare
techshop7777
[view on eBay]
NEW 1
in stock
$590.00
Description: Lot 9 AMAT (6) 0190-38516 Replacement Lamp RTP Applied Materials (3) 0190-38517
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: 3 AMAT 3700-01012 O-Ring, .864 ID X .070 CSD, 75DURO BLK, 102149
usedeqsales
[view on eBay]
Used 1
in stock
$358.21
Description: Entegris 200mm Wafer Carrier Lot of 3 KA200 Novellus 70-121374-00 PA192-80M
j316gallery
[view on eBay]
Used 1
in stock
$1,723.50
Description: 25831 APPLIED MATERIALS ASSY, WATER VLV SST CHMBRS 3,C, SS-4P4T5 0010-13808
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.21
Description: Digital Dynamics 02-161290-00 sioc WTS HV 3 Interlock Novellus Systems Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,508.21
Description: Digital Dynamics 03-160402-00 sioc WTS-HV SIOC 3 Novellus Damaged LED As-Is
mattron747
[view on eBay]
Used 2
in stock
$750.00
Description: Applied Materials 0660-01879 Devicenet Digital I/O Controller 3
grandbirdnet
[view on eBay]
Used 9
in stock
$1,200.00
Description: AMAT 0045-00126 PUMPING CHANNEL, ANODIZED, PRODUCER 200M, 3 PIECE , NEW
usedeqsales
[view on eBay]
Used 1
in stock
$1,209.21
Description: Nikon OPTISTATION 3 Keyboard Console 200mm 2S700-385 2S700-386 2S070-043 Working
usedeqsales
[view on eBay]
Used 9
in stock
$509.21
Description: Nikon 2S070-043 Microscope Joystick Control Panel 2S700-552 OPTISTATION 3 Spare
usedeqsales
[view on eBay]
Used 12
in stock
$609.21
Description: Nikon 2S070-031-4 Microscope Keypad 5x-100x PCB 2S700-386 OPTISTATION 3 Working
usedeqsales
[view on eBay]
Used 1
in stock
$509.21
Description: Nikon 2S070-043 Microscope Panel 2S700-552 OPTISTATION 3 Missing Knob Working
usedeqsales
[view on eBay]
Used 1
in stock
$509.21
Description: Nikon 2S070-032-2 Stage Focus Keypad 2S700-385 200mm OPTISTATION 3 Working Spare
usedeqsales
[view on eBay]
Used 4
in stock
$1,509.21
Description: Phasetronics P1038A 3 Phase Angle Lamp Drive AMAT 0015-09091 P5000 Spare As-Is
myriadindustrial
[view on eBay]
NEW 1
in stock
$210.00
Description: Applied Materials ATM Purge, 3 CH Poly DPS Manifold AMAT 0050-42346
myriadindustrial
[view on eBay]
NEW 1
in stock
$49.99
Description: Lot of 3 Swagelok 1/4 x 1/8 MNPT CDO Connectors AMAT 0190-05287
equipplus
[view on eBay]
Used 1
in stock
$499.00
Description: LAM Research 810-800256-207 Rev E NODE Board Type 3,Used,US_7451
j316gallery
[view on eBay]
Used 5
in stock
$7,800.00
Description: 26200 APPLIED MATERIALS TEMP CTRL THERMOMETER,3 CH SEKIDENKO 2000 0190-36523
j316gallery
[view on eBay]
Used 6
in stock
$6,800.00
Description: 26265 APPLIED MATERIALS TEMP CTRL THERMOMETER,3 CH SEKIDENKO 2000 0190-36523
j316gallery
[view on eBay]
Used 2
in stock
$575.32
Description: 11247 APPLIED MATERIALS VLV, GAS LINE #3 PRECLEAN CHAMBER 0190-20015
j316gallery
[view on eBay]
Used 2
in stock
$266.01
Description: 26340 APPLIED MATERIALS PCB, CDN 491 CARD DEVICENET DIGI I/O CTLR 3 0660-01879
jens.pens
[view on eBay]
Used 1
in stock
$950.00
Description: Applied Materials 0010-29958 Rev 001 CCM Hart 3 Mainframe
capitolareatech
[view on eBay]
Used 2
in stock
$164.95
Description: Applied Materials (AMAT) 0620-01189 CABLE ASSY VALVE-PLUG 3000MMLG L-H TMP 3
capitolareatech
[view on eBay]
Used 1
in stock
$699.95
Description: Applied Materials (AMAT) 0050-36678 LINE HE SUPPLY 2 OF 3,CENTURA METAL ETCH
j316gallery
[view on eBay]
Used 9
in stock
$1,378.80
Description: 26426 LAM RESEARCH PCB NODE BD, CTRL TYPE 3 W/ DISPLAY, 50020R-10 810-800256-207
j316gallery
[view on eBay]
Used 3
in stock
$918.05
Description: 26425 LAM RESEARCH PCB NODE BOARD, CONTROL TYPE 3, 50020R-10 810-800256-207
capitolareatech
[view on eBay]
Used 1
in stock
$169.95
Description: Applied Materials (AMAT) 0040-22952 PANEL, D-NET I/O STATUS PCB, GEN RACK, 3
j316gallery
[view on eBay]
Used 1
in stock
$1,666.05
Description: 26665 APPLIED MATERIALS ASSY, WATER VALVE SST CHAMBERS 3,C, SS-45XF8 0010-13808
katiil3
[view on eBay]
Used 1
in stock
$149.00
Description: Applied Materials AMAT 0150-05475 CABLE ASSY, HEAD 3 UPA, DIGITAL I/O, MIR
katiil3
[view on eBay]
Used 2
in stock
$199.00
Description: Applied Materials AMAT 0140-08688 CABLE SLURRY ARM INTERLOCK, PLATEN 2,3
allforsale555
[view on eBay]
Used 1
in stock
$299.00
Description: Applied Materials AMAT 0021-15376 BRACKET, ANTI-ROTATION, END POINT DETECT Qty 3
bestoneshop-korea
[view on eBay]
Used 1
in stock
$849.99
Description: NIKON ADVANET ADVME1569A-SUB , Dnet CC01 , 4S026-962 Interface Board #3
katiil3
[view on eBay]
Used 1
in stock
$499.00
Description: Applied Materials AMAT 0190-09290 2x 0190-47595 1x Octal Serial Lot of 3
amat-sparescom
[view on eBay]
NEW 1
in stock
$220.00
Description: Applied Material 0200-20170 Cap, Coil Support Rigid (Lot of 3) AMAT
amat-sparescom
[view on eBay]
NEW 1
in stock
$235.00
Description: Applied Materials 0200-20217 Feedthru, Labyrinth AMAT PVD ENDURA (LOT OF 3)
usedeqsales
[view on eBay]
Used 1
in stock
$711.21
Description: CTI-Cryogenics Cryopump CryoLine Set of 3 with Tee 8043074 8043075 3918059 Spare
usedeqsales
[view on eBay]
Used 1
in stock
$3,512.21
Description: Novellus 02-252432-00 300mm Spindle C3VCTR Concept 3 Vector Altus 15-046314-00
bestoneshop-korea
[view on eBay]
Used 1
in stock
$649.99
Description: NIKON APX-3504-NK 4S026-358 BOARD #3
bntyhunter07
[view on eBay]
Used 1
in stock
$938.21
Description: Veriflow diaphragm 3 valve manifold w/weldment AMAT 0050-36242 Rev A
bntyhunter07
[view on eBay]
Used 1
in stock
$434.21
Description: FUJIKIN 1 VALVE 3 GAS MANIFOLD WITH WELDMENT AMAT 0050-03454 Rev C
bntyhunter07
[view on eBay]
Used 1
in stock
$934.21
Description: FUJIKIN 3 VALVE MANIFOLD WITH WELDMENT AMAT 0050-88204
bntyhunter07
[view on eBay]
Used 1
in stock
$430.21
Description: KITZ SCT diaphragm valve with 3 gas manifold w/weldment AMAT 0050-53169
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 351-0302// AMAT APPLIED 1290-01154 TERM BLK 3 TERM 22-14AWG [USED]
senior-inc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0010-29958 REV04 CONTROLLER CCM HART 3
amat-sparescom
[view on eBay]
NEW 2
in stock
$225.00
Description: Applied Materials 0200-20175 Labyrinth, Rigid Coil Support Vectra IMP (Lot of 3)
j316gallery
[view on eBay]
Used 1
in stock
$1,563.80
Description: 26899 CTI-CRYOGENICS 3 PHASE MOTOR CONTROLLER 8124100G001
visionsemi
[view on eBay]
Used 1
in stock
$1,200.00
Description: APPLIED MATERIALS AMAT WASHER INSULATOR ENDURA PVD LIFTER 0020-23093 LOT OF 3
bntyhunter07
[view on eBay]
Used 1
in stock
$1,234.22
Description: FUJIKIN MANIFOLD 3 + 1 WITH WELDMENT 0050-41467 REV 001 AMAT
equipplus
[view on eBay]
Used 22
in stock
$499.00
Description: LAM Research 810-800256-207 Rev E Node Board,Control Type 3,Used,US_7158
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0150-77122 CBL ASSY PLATEN 3 MTR 125 FT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77248 CBL ASSY HD ROTATION 3 PWR UMBIL. 125FT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77170 CBL ASSY, PAD COND 3 ENCDR, UMBILICAL 12, USED
gbuilt
[view on eBay]
Used 1
in stock
$189.00
Description: LAM RESEARCH ROCKER 3 POSITION 715-008004-001 P/N 853-007861-231 30 Day Warranty
usedeqsales
[view on eBay]
Used 1
in stock
$1,502.22
Description: AMAT Applied Materials 0020-09604 CVG Upgrade Teflon Block Lot of 3 P5000 New
usedeqsales
[view on eBay]
Used 1
in stock
$502.22
Description: AMAT Applied Materials 0021-77074 Mirra Lower Platen Bracket Lot of 3 Working
soldtou1
[view on eBay]
Used 2
in stock
$199.99
Description: Lam Research 853-085341-003 1009318 P-VAC-LSS 3 Cable Assembly Harness AMAT
soldtou1
[view on eBay]
Used 2
in stock
$199.99
Description: Lam Research 853-085338-003 1009318 P-DISP 3 Cable Assembly Harness AMAT
soldtou1
[view on eBay]
Used 2
in stock
$179.99
Description: Lam Research 853-085351-001 1002685-1008 J DISP 3 Cable Assembly Harness AMAT
usedeqsales
[view on eBay]
Used 13
in stock
$853.22
Description: Nikon 2S701-009 Processor PCB Card 2S020-061 v1.09 OPTISTATION 3 Working Surplus
usedeqsales
[view on eBay]
Used 26
in stock
$1,203.22
Description: Nikon 2S700-598 Processor PCB Card MCR 2S014-038-2 OPTISTATION 3 Working Surplus
usedeqsales
[view on eBay]
Used 33
in stock
$1,503.22
Description: Nikon 2S700-581 SCNT Board PCB Card 2S014-034-1 OPTISTATION 3 Working Surplus
sfwish
[view on eBay]
NEW 1
in stock
$29.97
Description: NEW AMAT 3690-07976 1/2-13x1-7/8" Stainless Steel ALLEN HEX Socket Head, 3 QTY
katiil3
[view on eBay]
Used 1
in stock
$399.00
Description: APPLIED MATERIALS 0041-06736 04 ( LOT OF 3 )
katiil3
[view on eBay]
Used 1
in stock
$349.00
Description: Applied Materials AMAT 0051-90031 DRAIN PLATEN 3, CMP 300MM
katiil3
[view on eBay]
Used 1
in stock
$299.00
Description: Applied materials / AMAT 0050-40157 Weldment Elbow 3/4 CPV Face Seal Lot of 3
katiil3
[view on eBay]
Used 1
in stock
$599.00
Description: Applied Materials AMAT 0040-77438 SUPPORT, L.H. COVER, PLATEN BELT ENDPOIN Qty 3
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$3,600.00
Description: GLFF4000VM4 (LOT OF 3 ) / FILTER IN-LINE, AMAT 4020-01094 / PALL
usedeqsales
[view on eBay]
Used 2
in stock
$1,503.22
Description: Nikon 2S700-555 MST Video Sync PCB Card 2S015-064-4 OPTISTATION 3 Working Spare
surplustechmart
[view on eBay]
Used 1
in stock
$37.80
Description: LOT OF 3 AMAT 500191381, 0030-00560 INSERT MUSHROOM, KALREZ8475
usedeqsales
[view on eBay]
Used 1
in stock
$1,603.22
Description: AMAT Applied Materials 0015-76034 P5000 Wafer Lift Coupling Shaft Lot of 3 New
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 352-0502// AMAT APPLIED 0090-20043 (#3) ASSY, 4 WAY-SINGLE VALVE [NEW]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$18,519.90
Description: 0240-27279 / KIT, 3 LASER ROBOT CHAR FIX / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0150-08011 Cable assembly, system light tower to buzzer sw, 3, 105197
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: 3 NOVELLUS 34-284347-00 gas line heated line , BF10537B 05/05, 105222
katiil3
[view on eBay]
Used 1
in stock
$199.00
Description: Applied materials 0022-77033 Drain Retainer reflection Pre-Condition Qty 3
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 342-0402// AMAT APPLIED 0020-26851 (#3) BLOCK,WATER INPUT/OUTPUT [USED]
katiil3
[view on eBay]
Used 1
in stock
$99.00
Description: Lam Research 61-366654-00 Rev. B Lot of 3
usedeqsales
[view on eBay]
Used 2
in stock
$805.22
Description: Nikon Microscope Turret Assembly with Mount OPTISTATION 3 & 7 2S700-409 Working
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 cap, lower, electrode, 3 pin lifter, 105728
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 351-0403// AMAT APPLIED 3870-01035 VALVE POPPET 3 WAY NC 0-30PSI [USED]
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: 3 AMAT 0010-03689 RETURN HOSE, CHAMBER COOLING, 106103
j316gallery
[view on eBay]
Used 5
in stock
$4,244.60
Description: 28242 APPLIED MATERIALS HUB, CENTER, DUAL GAS FEED SIDE 3, 12" 0200-08585
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: AMAT 0050-82456 Water Hose, CH B Return #3, FS#8 OUT, EPI 200MM, 106139
best4industrie
[view on eBay]
Used 2
in stock
$1,166.61
Description: Act XP 101540-04 0190-73524 Rev 3 Ups Amat Applied Material
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0190-01681 CALIBRATION REFERENCE 0.6500, 3 CHANNEL, 106273
40-30sourcing
[view on eBay]
Used 1
in stock
$2,999.00
Description: ON-BOARD 3 PHASE MOTOR CONTROLLER
usedeqsales
[view on eBay]
Used 1
in stock
$2,006.22
Description: AMAT Applied Materials 0090-07029 RPS Advanced Controller Lot of 3 Working
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 3 AMAT 0720-04395 HOODHAN K SIDE ENTRY 43.0X93.5X60MM, 106759
j316gallery
[view on eBay]
Used 1
in stock
$372.91
Description: 28369 APPLIED MATERIALS PCB, DEVICENET ANALOG I/O CTLR 3 (PARTS) 0190-06172
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 107096
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 107099
farmoninc
[view on eBay]
NEW 2
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 107107
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 107127
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 107126
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 107125
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 107124
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 107123
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: 1 Lot of 3 AMAT 0200-09886 FINGER, 6, MCVD, 107322
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 107368
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 3870-01212 Norcal Isolation Valve, 3 Way TEE KF 40, 107733
usedeqsales
[view on eBay]
Used 1
in stock
$1,507.22
Description: AMAT Applied Materials 0200-09135 150mm Pumping Plate Reseller Lot of 3 New
usedeqsales
[view on eBay]
Used 1
in stock
$1,507.22
Description: AMAT Applied Materials 0020-22844 200mm PCII Pedestal Cover Lot of 3 Refurbished
usedeqsales
[view on eBay]
Used 1
in stock
$1,807.22
Description: AMAT Applied Materials 0020-22846 Gas Trench Cover Reseller Lot of 3 New Surplus
usedeqsales
[view on eBay]
Used 1
in stock
$1,407.22
Description: AMAT Applied Materials 8" Ti Pedestal 0020-27123 0020-24446 Lot of 3 Refurbished
automotiveapple
[view on eBay]
Used 1
in stock
$4,761.88
Description: As-Is NOVELLUS SESIOC 3 IXT WTS HV 02-271697-00
j316gallery
[view on eBay]
Used 3
in stock
$533.25
Description: 28952 LAM RESEARCH ROCKER, 3 POSITION W/ 715-810280-002 715-008004-001
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0050-82476 WATER HOSE, CH F RETURN #3, FS#4 OUT, EPI 200MM, 108231
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 3 AMAT 0021-04252 Outer Cup Rigid Coil Support Cup, 108704
bestoneshop-korea
[view on eBay]
Used 1
in stock
$3,499.99
Description: AMAT 0190-41783 958-1002-02 3.3um 150C-1300C Pyrometer AE SEKIDENKO OR400M #3
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: 1 Lot of 3 Novellus 04-716648-01 Varian 04-716648-01 HEATER BASE SHIELD, 108895
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0050-82476 WATER HOSE, CH F RETURN #3, FS#4 OUT, EPI 200MM, 108897
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: 3 NEW AMAT 0020-24319, CLAMP 2" & 1.5" PIPE for AMAT Centura 200mm, 109416
cosplity
[view on eBay]
Used 1
in stock
$2,200.00
Description: LAM RESEARCH 853-188835-001 ASM, FE-HD EIOC1 CONTA XPR +3
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 Cap, Lower, Electrode, 3 Pin Lifter, 109591
usedeqsales
[view on eBay]
Used 1
in stock
$9,008.22
Description: AMAT Applied Materials 0021-11382 Endura CPI-VCM Chamber Source 3 Magnet Working
j316gallery
[view on eBay]
Used 1
in stock
$823.50
Description: 29240 ADVANCED ENERGY PCB, NAVIGATOR HEX-MOTOR CONTROL 3 23020391-A
j316gallery
[view on eBay]
Used 1
in stock
$768.60
Description: 29243 ADVANCED ENERGY PCB, PDX II USER 3 IF 23020067-A
j316gallery
[view on eBay]
NEW 5
in stock
$123.42
Description: 4992 APPLIED MATERIALS FLEX 3 E84 POD D LOOPBACK ADAPTOR (NEW) 0150-05435
j316gallery
[view on eBay]
NEW 16
in stock
$135.58
Description: 10068 APPLIED MATERIALS ASSY, 3 WAY VALVE (NEW) 0090-20042
j316gallery
[view on eBay]
NEW 2
in stock
$131.92
Description: 4988 APPLIED MATERIALS FLEX 3 E84 PODS A, B & C LOOPBACK ADPTR (NEW) 0150-05437
visionsemi
[view on eBay]
Used 1
in stock
$225.00
Description: APPLIED MATERIALS AMAT SIDE MOUNT BRACKET 4.0MF 0020-32026 NEW LOT OF 3
j316gallery
[view on eBay]
Used 1
in stock
$7,815.00
Description: 29703 APPLIED MATERIALS 3 CHANNEL HEATER/SUSCEPTOR LEVELING SYSTEM 0500-00224
j316gallery
[view on eBay]
Used 1
in stock
$6,875.00
Description: 29704 APPLIED MATERIALS 3 CHANNEL HTR/SUSCEPTOR LEVELING SYS (PARTS) 0500-00224
j316gallery
[view on eBay]
Used 2
in stock
$493.66
Description: 29690 LAM RESEARCH PCB NODE BD, CTRL TYPE 3 W/ 50020R-10 (PARTS) 810-800256-207
j316gallery
[view on eBay]
Used 11
in stock
$512.86
Description: 26398 LAM RESEARCH PCB NOBE BOARD TYPE 3 (PARTS) 810-800256-005
myriadindustrial
[view on eBay]
Used 1
in stock
$45.00
Description: Lot of 3 each AMAT Applied Materials 0910-01394 Gould ATQR5 5A Fuses
myriadindustrial
[view on eBay]
Used 1
in stock
$45.00
Description: Lot of 3 each Littel Fuse 251.500 AMAT Applied Materials 0910-01017 Axial Lead F
myriadindustrial
[view on eBay]
Used 1
in stock
$15.00
Description: Lot of 3 each AMAT Applied Materials 0910-01276 Littel Fuse 251.250
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0050-20951 GASLINE, MANIFOLD PRCS CH 3, MFC 2 & 3 V Rev C, 109800
farmoninc
[view on eBay]
NEW 1
in stock
$245.00
Description: AMAT 0100-09040 SSR AC Control Board + 3 Potter & Brumfield OAC-24 Relay, 109811
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,000.68
Description: 849-023686-004 / KIT, ROT, CAT 3,QTZ,MWAVE STRPR / LAM RESEARCH CORPORATION
liquiditech
[view on eBay]
Used 1
in stock
$800.00
Description: Applied Materails AMAT 0040-13730 ASSY,REV 3 BELLOWS/YOKE NCR SLIT VALVE
farmoninc
[view on eBay]
Used 1
in stock
$30.00
Description: 3 AMAT 0150-39383 Cable, Ballast OUTPUT to PCB, PWR MOD, 110224
farmoninc
[view on eBay]
Used 1
in stock
$30.00
Description: 3 AMAT 0150-39383 Cable, Ballast OUTPUT to PCB, PWR MOD, 110223
farmoninc
[view on eBay]
NEW 1
in stock
$175.00
Description: 3 AMAT 0050-62208 Weldment Flange Modular Manifold Block Assy, 110295
farmoninc
[view on eBay]
Used 1
in stock
$600.00
Description: 3 AMAT 0010-09072, Assy Diffuser ( PRICE FOR ALL 3 ), 110475
farmoninc
[view on eBay]
Used 1
in stock
$245.00
Description: AMAT 0100-09040 SSR AC Control Board + 3 Potter & Brumfield OAC-24 Relay, 110523
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0100-16014 ASSY, PCB VIDEO/SERIAL FOR 3 MONITORS, CENTURA, REV PB, 110782
j316gallery
[view on eBay]
Used 1
in stock
$1,991.20
Description: 5796 CTI-CRYOGENICS ON-BOARD 3 PHASE MOTOR CONTROLLER 8043062-G002 8124063G001
visionsemi
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT APPLIED MATERIALS 0190-60510 REV 3 RF MATCH FOR PARTS ONLY
farmoninc
[view on eBay]
NEW 1
in stock
$995.00
Description: 3 NEW AMAT 0021-03833 RF Rod, Simple Cathode, 125mm, 110812
farmoninc
[view on eBay]
Used 1
in stock
$12,500.00
Description: AMAT 0240-27279 KIT, 3 LASER ROBOT CHAR FIXURE, ATM-20, NAIS MICRO LASER, 110908
farmoninc
[view on eBay]
Used 1
in stock
$275.00
Description: AMAT 0150-40259 CABLE ASSY, LOADLOCK BULKHEAD #3, 111035
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0150-03463 CABLE ASSY, FIC TO OPTO PC, PDO 3 & 4, 3, 111039
farmoninc
[view on eBay]
NEW 1
in stock
$990.00
Description: 3 AMAT 0140-09422 Harness Ampule Overtemp Interlock, 111120
cldan_77
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT P/N 0040-09961 Description: PDSTL ASSY 200mm FLAT, IS, NI, LIFT 3, HV CE
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0100-16014 VIDEO/SERIAL FOR 3 MONITORS, CENTURA ASSY, REV PA, 111253
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0100-16014 ASSY, PCB VIDEO/SERIAL FOR 3 MONITORS, CENTURA, REV PB, 111280
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: AMAT 0050-06084 WLDMT 3 FINAL VLVS CTR VERIFLO ULTIMA HD. VERIFLO, 111350
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: Applied Materials 0200-10368 COVER QUARTZ OUTER STEPPED LIFT 3 8&6 INCH, 111446
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: Applied Materials 0200-10368 COVER QUARTZ OUTER STEPPED LIFT 3 8&6 INCH, 111445
j316gallery
[view on eBay]
Used 1
in stock
$3,348.90
Description: 31309 LAM RESEARCH CONTROLLER ASSY, HDSIOC 3 POST PLATE 685-332604-001
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$3,998.56
Description: 0010-08480 / ASSEMBLY, HEATER, BLOCK, LINE/FVC, TXZ 3 / APPLIED MATERIALS AMAT
farmoninc
[view on eBay]
Used 1
in stock
$180.00
Description: 3 AMAT 0100-66005 ELEVATOR INTFACE BOARD, 111658
cubit001
[view on eBay]
NEW 1
in stock
$3,400.00
Description: Amat ASSY, 3 Port Rotary Union 0010-77127 Applied Materials
bi-statedeals
[view on eBay]
NEW 1
in stock
$28.10
Description: Lot of 3 Applied Materials AMAT Clamp FLG SGL-Claw 0690-01113 Hardware Parts
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,137.96
Description: 0150-21113 / CABLE ASSY, NESLAB SYS 3 INTCNT-100FT /APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$468.26
Description: 0010-76086 /WATER VALVE ASSY. CH 1,3, C / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,588.25
Description: 0021-76764 /COVER REV 3 CR SLIT VALVE BELLOWS UPPER /APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$40,500.60
Description: 9090-00801 A1031250 / PRE ACCEL POWER SUPPLY QUANTUM 3 / AMAT HITEK POWER
farmoninc
[view on eBay]
NEW 1
in stock
$2,500.00
Description: LAM Research 715-021326-008 cap, lower, electrode, 3 pin lifter, 112888
farmoninc
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0100-16014 ASSY, PCB VIDEO/SERIAL FOR 3 MONITORS, CENTURA, REV PB, 112993
j316gallery
[view on eBay]
Used 3
in stock
$249.07
Description: 3 APPLIED MATERIALS CABLE ASSY PWR 3M PUMP TURBO NEMA 6-15P 0620-02559
j316gallery
[view on eBay]
Used 46
in stock
$363.83
Description: 15263 LAM RESEARCH ROCKER, 3 POSITION 715-008004-001
j316gallery
[view on eBay]
Used 1
in stock
$357.93
Description: 25600 LAM RESEARCH PCB, ECAT NODE TYPE 3, ROHS, 853-235210-003 810-132688-003
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0240-22829 BASIC HOOP KIT, 3 AMAT 0020-24994 AL DOOR SUPPORT STD PRECLEAN
farmoninc
[view on eBay]
NEW 1
in stock
$80.00
Description: 3 AMAT 0227-07067 Cable Jumper, Relay 0221, 113368
bms-semicon
[view on eBay]
Used 3
in stock
$1,999.90
Description: AKT CVD POWER P/N 0192-57914 REV 1 MVP 2007 AMAT Applied Materials
shamselectricltd
[view on eBay]
Used 1
in stock
$800.00
Description: Applied Materials 0190-50729 MKS P/N: AS02108G-03 Lot of 3 Boxed Make Offers!
surplustechmart
[view on eBay]
Used 1
in stock
$98.10
Description: Applied Materials 0140-11373 Cable Assembly E2S PORT 3 TO FDP CYRO SERIAL
liquiditech
[view on eBay]
Used 1
in stock
$58.50
Description: lot of 3 Amat 3860-01527 TBG POLYETHYENE 1/20DX1/8WALL NATURAL
j316gallery
[view on eBay]
Used 1
in stock
$8,646.00
Description: 25794 APPLIED MATERIALS 3 CHANNEL HTR/SUSCEPTOR LEVELING SYSTEM 0500-01083
dvkelectronics
[view on eBay]
Used 1
in stock
$300.00
Description: Lam Research 810-033620-008 REV A MATCH INTERFACE 3 CAP DUAL FAN
dvkelectronics
[view on eBay]
Used 1
in stock
$550.00
Description: Lam Research 810-033620-209 REV A MATCH INTERFACE 3 CAP FAN
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: 3 AMAT 0040-32320 PLATE, FRONT PANEL SEALING, OZONATOR, 113953
farmoninc
[view on eBay]
Used 1
in stock
$1,350.00
Description: Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061-1 v1.08 OPTISTATION 3, 113997
farmoninc
[view on eBay]
Used 1
in stock
$1,350.00
Description: Nikon 2S701-009 NTP-NMC-T Board PCB Card 2S020-061-1 v1.08 OPTISTATION 3, 114099
cosplity
[view on eBay]
Used 1
in stock
$3,500.00
Description: NOVELLUS 03-127853-00 FIELD CONNECT ASSY REV 3
farmoninc
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0200-10368 COVER QUARTZ OUTER STEPPED LIFT 3 8&6 INCH, 114509
j316gallery
[view on eBay]
Used 4
in stock
$900.00
Description: 7648 LAM RESEARCH PCB NODE BOARD TYPE 3 810-800256-005
albsemicon
[view on eBay]
NEW 1
in stock
$279.88
Description: LAM RESEARCH WINDOW ENDPOINT QUARTZ HEATED (NEW) 716-011009-001 LOTS OF 3
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$2,995.56
Description: 02-463840-00 /ASSY, PUMP DOSE, 3 CHEM, BATH 2(SABRE 3D) / NOVELLUS SYSTEMS / LAM
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$1,950.56
Description: 04-308076-00 / KIT, ATH SHIELD, CELL 3, 300MM (SABRE NON 3D) / NOVELLUS / LAM
visionsemi
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT APPLIED MATERIALS 0180-08093 AC BOX-RF ETCH 300MM 0090-10049 208V 3 PHASE
visionsemi
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT APPLIED MATERIALS 0190-16537 REV 9 XP 100948 REV 3 POWER SUPPLY ETCH 300MM
llumag
[view on eBay]
Used 1
in stock
$109.95
Description: AMAT Applied Materials Universal Gauge 0190-26769 1126 Torr w/ 3 Extra Sensors
part-soulution
[view on eBay]
Used 1
in stock
$1,707.78
Description: Lam Research Node Board, Type 3 Assy 810-800256-005, 810-802902-207 (As-Is)
hjtec53040044
[view on eBay]
Used 1
in stock
$5,500.00
Description: CPLG UNION ROTARY 3-PORT/Rotary Unit 3 Zone 0760-01020 Mirra AMAT Used
farmoninc
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 3870-01212 Norcal Isolation Valve, 3 Way TEE KF 40, 114963
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: 3 AMAT 0050-26667 DPS GAS LINE SET, 115021
equipplus
[view on eBay]
Used 1
in stock
$269.00
Description: Lotof 3 Lam Research 810-072687-119 Rev C Interlock Control,Cap Drop off,pt*7806
grandbirdnet
[view on eBay]
NEW 1
in stock
$80.00
Description: AMAT 3690-09538 SHCS, SKT, 6-32 X 1/2 316 LOT OF 3, NEW
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 3880-01490 WSHR FLAT #8 .307OD X .147ID X .03THK S LOT OF 3, NEW
sgcequipment
[view on eBay]
Used 1
in stock
$6,000.00
Description: Applied Materials/AMAT 3 Channel Heater/Susceptor Leveling System PN: 0500-01083
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: 1 Lof of 3 AMAT 3300-02155, KF 25 Tee Union Flange 3T-NW-25B, 324808
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,758.21
Description: 0050-06171 / HDP WELDMENT 3 FINAL VALVE / APPLIED MATERIALS AMAT
expertsurplus
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT, 3870-02993, EVALVE CHECK INLINE 1/4VCR-F/M SST LOW FL, New, Lot of 3
zoro
[view on eBay]
Used 1
in stock
$979.30
Description: Advanced Energy AE MDX II 3152256-214B 12kW DC Sputter Power Supply 400V 3ø 32a
j316gallery
[view on eBay]
Used 1
in stock
$592.66
Description: 32299 LAM RESEARCH PCB NODE BOARD TYPE 3 (PARTS) 810-800256-005
metrology123
[view on eBay]
Used 1
in stock
$508.98
Description: Lam Research 810-800256-207 Rev E NODE Board control type 3
farmoninc
[view on eBay]
NEW 1
in stock
$75.00
Description: 3 AMAT 0020-76473 BRKT, GEN RACK, FLOOR MOUNT, 115849
dts_ind_llc
[view on eBay]
NEW 1
in stock
$59.00
Description: New Applied Materials 0090-20042 3 Way Solenoid Valve Assembly Miniature
farmoninc
[view on eBay]
NEW 1
in stock
$15.00
Description: 3 AMAT 3700-01288 GSKT VCR 1/4 NI Contoured, 3320-01165, 115986
visionsemi
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT APPLIED MATERIALS 0010-40398 ENDURA2 CBM HYBRID BOSCH LIFT REV 3
farmoninc
[view on eBay]
NEW 1
in stock
$2,950.00
Description: AMAT 0050-81387 VCR DIAPHRAGM VALVE WELDMENT, 3 3300-02311 FTG TBG ELBOW, 117256
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: LOTS OF 3 AMAT 0022-73888 REV 1, BRACKET SUPPORT REV 002, 117581
gy-power
[view on eBay]
Used 5
in stock
$1,989.72
Description: 1PCS APPLIED MATERIALS 0140-63967 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$720.90
Description: 1PCS SCPD3-1682-S113 AMAT 0190-49859 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$4,389.95
Description: 1PCS STI 7830-PES056 AMAT 0040-99949 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$2,125.90
Description: 1PCS AMAT 0190-35388 200MM (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$715.75
Description: 1PCS E48W-H16K 25 TORR 0190-66024 AMAT (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$1,769.98
Description: 1PCS 1080-01809 AMAT 0190-75696 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 10
in stock
$1,881.92
Description: 1PCS AS01696-03 AMAT 0190-38992 HSS696R (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$26,991.00
Description: 1PCS AFC-8000-T2104-052-P-002 AMAT 0190-34538 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$6,011.93
Description: 1PCS AMAT 0010-11440 0060-76128 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$1,022.81
Description: 1PS AMAT 0620-02403 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$1,172.71
Description: 1PCS AMAT 0190-09265 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$2,315.82
Description: 1PCS MKS IDA-T017 AMAT 3870-02162 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$484.90
Description: 1PCS AMAT 0090-77067 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$1,613.99
Description: 1PCS AMAT 0020-28937 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$1,016.01
Description: 1PCS MDC 990428 AMAT 3870-01334 KF25 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$3,794.83
Description: 1PCS SUPPLY 4040351 AMAT 0060-76128 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$2,365.82
Description: 1PCS MATERIALS AMAT 0040-01549 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$857.89
Description: 1PCS SV129-31W2B-X/6277 AMAT 0190-09133 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$4,560.92
Description: 1PCS AMAT 3020-00077 0040-50505 SMC US13394 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$707.92
Description: 1PCS AMAT 0090-05790 (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$6,822.89
Description: 1PCS NOVELLUS 03-122190-00 (3 Months warranty SHIP FedEx)
maxisemi1349
[view on eBay]
Used 1
in stock
$275.00
Description: 15-032185-00 PIN,CENTERING, lot of 3
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$9,250.56
Description: 0040-04233 / AMAT LCM 300MM TXZ RP CHAMBER 3, 421992-PJ-ZCH3 /APPLIED MATERIALS
jtechsemi
[view on eBay]
NEW 1
in stock
$5,000.00
Description: Applied Materials 0520-01011 ENDURA GATE VALVE 3 POSITION AMAT
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: 3 AMAT 3300-03902 FTG HOSE 1"H 1-5/16-12 37DEG SWIVEL BARB SST, 118256
farmoninc
[view on eBay]
NEW 1
in stock
$650.00
Description: 3 AMAT 0190-76147 OBS, SPEC LOGIC BOX, LL PUMP MANUAL START SWITCH, 118349
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: 3 AMAT 0020-29143 CUP OUTER, RIGID COIL SUPPORT, SST, VECT, 118384
farmoninc
[view on eBay]
NEW 1
in stock
$180.00
Description: 3 AMAT 0020-24010 AL DOOR HOLDER, 118382
farmoninc
[view on eBay]
NEW 1
in stock
$360.00
Description: LOTS OF 3 AMAT 0200-20439 INSULATOR COIL SUPPORT, RIGID ELECTRA, 118715
yiannisshop
[view on eBay]
Used 1
in stock
$288.00
Description: AMAT 0100-00470 Schematic 0130-00470 PCB MOTION CONTROL ECP new no box 3 availab
farmoninc
[view on eBay]
NEW 1
in stock
$2,750.00
Description: 3 AMAT 0021-06457 INSERT, MIXING BLOCK, LID PRODUCER 200MM, 118844
farmoninc
[view on eBay]
NEW 1
in stock
$500.00
Description: AMAT 1200-01577 AC MAGNETIC CONTACTOR 3 POLE, CE15FN3Y1, 118996
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0150-40261 CABLE, MAINFRAME BULKHEA D #3, 116031
katiil3
[view on eBay]
Used 1
in stock
$349.00
Description: Applied materisl AMAT 3300-04678 New open box qty 3
farmoninc
[view on eBay]
Used 1
in stock
$95.00
Description: AMAT 0050-20073 Gas Line, Chamber 3 Heater, 116204
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: LOT OF 3 AMAT 0270-20083 TOOL, 8 LIFT HOOP, WIDE BODY, 116625
gy-power
[view on eBay]
Used 10
in stock
$949.92
Description: 1PCS AMAT 0200-36649 HOOP (3 Months warranty SHIP FedEx)
gy-power
[view on eBay]
Used 5
in stock
$1,905.77
Description: 1PCS AMAT 0200-10286 (3 Months warranty SHIP FedEx)
farmoninc
[view on eBay]
Used 19
in stock
$750.00
Description: LOT OF 3 AMAT 0021-04252 OUTER CUP COIL SUPPORT ELECTRA, 0021-04252CU, 119650
farmoninc
[view on eBay]
Used 17
in stock
$750.00
Description: LOT OF 3 AMAT 0021-04252 OUTER CUP COIL SUPPORT ELECTRA, 0021-04252CU, 119649
farmoninc
[view on eBay]
Used 4
in stock
$750.00
Description: LOT OF 3 AMAT 0021-21311 CUP OUTER RIGID COIL SPRT ELECTRA, 119658
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: LOT OF 3 AMAT 0021-04252 OUTER CUP COIL SUPPORT ELECTRA, RIGID(FFVSP), 119656
farmoninc
[view on eBay]
Used 8
in stock
$750.00
Description: LOT OF 3 AMAT 0021-21311 CUP OUTER RIGID COIL SPRT ELECTRA IMP-CU, 119678
farmoninc
[view on eBay]
Used 5
in stock
$750.00
Description: LOT OF 3 AMAT 0021-04252 OUTER CUP COIL SUPPORT ELECTRA, 119676
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: NOVELLUS 34-028027-40 Circuit Breaker 3 Pole, D 40A, 3DR40, SERIE V-EA, 119829
cosplity
[view on eBay]
Used 1
in stock
$650.00
Description: LAM RESEARCH 810-800256-004 NODE BOARD TYPE 3 , USED AS IS
farmoninc
[view on eBay]
Used 3
in stock
$210.00
Description: 3 AMAT 0200-20375 LABRINTH, RIGID COIL SPRT ELECTRA IMP-CU, 0200-20375-TA,120382
farmoninc
[view on eBay]
NEW 1
in stock
$440.00
Description: 3 AMAT 0020-76434 BRACKET, PIVOT, ORIENTER HINGE, 120440
yiannisshop
[view on eBay]
NEW 3
in stock
$519.30
Description: Applied Materials AMAT Ceramic Filter, 4020-01199 NEW 3 AVAILABLE sealed bags
olemanjohnson
[view on eBay]
NEW 1
in stock
$4,200.00
Description: 28242 APPLIED MATERIALS HUB, CENTER, DUAL GAS FEED SIDE 3, 12" 0200-08585
farmoninc
[view on eBay]
NEW 1
in stock
$950.00
Description: AMAT 0050-20950 UPPER GAS LINE CH 3 MFC 12 VCR, 120006
visionsemi
[view on eBay]
Used 1
in stock
$2,500.00
Description: NOVELLUS 61-351750-00 3 MODULE FE-HD EIOC 2 RF RACK & FAC REV A CONTROLLER
farmoninc
[view on eBay]
NEW 1
in stock
$3,000.00
Description: LOT OF 3 AMAT 0150-21977 CABLE ASSY, 70 FT MDX MINI PNL, 121402
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: LOT OF 3 AMAT 0020-38980 COVER, CONDUIT EXTENSION BOX RTP, 27-9910323100, 121505
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: LOT OF 3 AMAT 0020-32326 CAPACITOR MOUNT, 121560
goldenstateelectronics
[view on eBay]
NEW 1
in stock
$595.00
Description: LOT OF 3 AMAT 0020-10316 BLOCKPOWER DISTRIBUTION, BLOCK POWER
maxisemi1349
[view on eBay]
Used 1
in stock
$625.00
Description: 22-177518-00 O-RING,FLUOROSILICON, 50.50ID X .275W, lot of 3
farmoninc
[view on eBay]
Used 4
in stock
$360.00
Description: LOT OF 3 AMAT 0200-20439 INSULATOR COIL, SUPPORT RIGID, ELECTRA I, 121785
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: LOT OF 3 AMAT 0020-22497 BLOCK, WATER, 460G0042, 121763
farmoninc
[view on eBay]
Used 1
in stock
$360.00
Description: LOT OF 3 AMAT 0200-20439 INSULATOR COIL, SUPPORT RIGID, ELECTRA I, 121762
farmoninc
[view on eBay]
NEW 1
in stock
$360.00
Description: LOT OF 3 AMAT 0200-20439 INSULATOR COIL, SUPPORT RIGID, ELECTRA I, 121761
farmoninc
[view on eBay]
Used 1
in stock
$225.00
Description: LOT OF 3 AMAT 0021-21307 BUSHING COIL SUPPORT, ELECTRA IMP-CU, 430C0035, 121796
farmoninc
[view on eBay]
Used 5
in stock
$225.00
Description: LOT OF 3 AMAT 0021-21307 BUSHING COIL SUPPORT, ELECTRA IMP-CU, 430C0035, 121793

This tag has been viewed 1 time

Most recent views:

Singapore Thursday, May/09/2024 at 4:45 am CST
Malaysia Thursday, May/09/2024 at 4:21 am CST
Canada Thursday, May/09/2024 at 4:19 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 4:16 am CST
China Thursday, May/09/2024 at 4:13 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 4:06 am CST
Viet Nam Thursday, May/09/2024 at 4:02 am CST
Hong Kong Thursday, May/09/2024 at 4:01 am CST
Korea (Republic of) Thursday, May/09/2024 at 3:59 am CST
Korea (Republic of) Thursday, May/09/2024 at 3:56 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
athomemarket NEW - $63.99 0 Aug/12/12 Sep/11/12
Description: AMAT 5.X Flex 3 Configuration Checker Kit 0242-19389
visionsemi NEW - $25.00 0 Sep/14/11 Oct/03/13
Description: LAM RESEARCH 955-091372-001 TUBE CORRUGATED TFLN BLACK (QTY 3)
jjja727 Used - $2,750.00 1 Jul/10/12 Dec/02/15
Description: ASTECH ATL100-RA .3 to 1MHz matching network 1kw power - advanced energy AE AMAT
systasemi Used - $120.00 0 Nov/07/12 Dec/07/12
Description: VERIFLO 945AOPLPNCSFSMM2 .3, Type : VCR male / 1/4 ″
usedeqsales Used - $250.11 1 Oct/05/11 Dec/06/12
Description: Lot of 3 MKS HPS Angle Valves UHV-25-AKC-ENVN KF25 Flange Working
athomemarket NEW - $64.99 0 Dec/10/12 Jan/09/13
Description: AMAT 5.X Flex 3 Configuration Checker Kit 0242-19389
bobsgoodies NEW - $12.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 3080-01105 Drive Belt Gates PowerGrip 120XL037 (Lot of 3) 1/5P
bobsgoodies Used - $450.00 1 Dec/17/12 Dec/18/12
Description: MIRRA AMAT Electro-Pneumatic Regulator SMC IT1001-N31-X4 E/P Assembly (Lot of 3)
farmoninc Used - $185.00 1 Nov/01/12 Mar/09/22
Description: Swagelok 6LVV-DPA333P-C Novellus 60-316783-00 3 way valve
visionsemi Used - $500.00 1 Oct/08/12 Jan/02/13
Description: APPLIED MATERIALS ADV 101 WB LIFT HOOP 3 PIN AMAT 0020-27103 ENDURA
capitolareatech NEW - $2,800.00 0 Jan/04/13 Jun/03/13
Description: AMAT 0010-03060 300MM SST PR CH SLIT VALVE DR REV 3 ASSE
equipment_recycling Used - $250.00 0 Jan/06/13 Jan/13/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
bobsgoodies Used - $157.00 0 Jan/07/13 Feb/06/13
Description: AMAT 0090-00555 Switch 3300 SCCM AIR, 3 POS MR CAP Assembly an Aluminum Bracket
tdindustrial NEW - $149.50 1 Jan/09/13 Jan/10/13
Description: AMAT Part No: 0190-20015 Gas Line No. 3 Preclean Chamber (Reactive Preclean)
athomemarket NEW - $58.49 0 Jan/09/13 Jan/24/13
Description: AMAT 5.X Flex 3 Configuration Checker Kit 0242-19389
bobsgoodies NEW - $35.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0040-04757 Plate, Blank-off, Watlow (Lot of 3)
bobsgoodies NEW - $29.50 0 Jan/11/13 Feb/10/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
equipment_recycling Used - $150.00 0 Jan/13/13 Jan/20/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
bobsgoodies NEW - $12.00 1 Jan/14/13 Feb/11/13
Description: Applied Materials 3080-01105 Drive Belt Gates PowerGrip 120XL037 (Lot of 3) 1/5P
intertecmodulestore NEW - $14,999.00 0 Jan/14/13 Feb/13/13
Description: AMAT 0920-00043 GEN RF 1600W/50OHMS 208VAC 3 PHASE
visionsemi Used - $75.00 1 Apr/28/10 Jan/14/13
Description: Tescom Pressure Regulator 12-1B11AAED-029 Lot of 3
hdsemispares Used - $5.00 0 Jan/18/13 Jan/28/13
Description: AMAT ASSY, UPA DC DISTRIBUTION BOX, CONTOUR 3 P/N 0010-27688
athomemarket NEW - $93.99 0 Jan/20/13 Feb/19/13
Description: 3 NEW Entegris UT12-16-12FN-1 PFA Tee Reducer Union Fittings 1" to 3/4" Flaretek
medical.surplus-now NEW - $19.99 0 Jan/14/13 Jan/21/13
Description: AAF Part No. 3061397-002 ASTROPAK FILTER, 18 X 30 X 3 1/16 Inches (HEPA) Filter
dvkelectronics NEW - $225.00 0 Dec/22/12 Jan/21/13
Description: New KIT HPS VALVE REPAIR w/ 1 BELLOWS NW-40 ASSY KIT, 3 0-RING 2.609 ID X .139
hdsemispares NEW - $5.00 1 Jan/24/13 Jan/31/13
Description: VALVE PNEU DIAPH NC 1/4 2PORT 1-1/8SQ SURFACE MOUNT AMAT 3870-00537 (LOT OF 3)
hdsemispares NEW - $5.00 0 Jan/24/13 Jan/31/13
Description: CKD VALVE PNEU DIAPH NC 3 PORT 1-1/8" SQ SUR AMAT 3870-00898 (lot of 3)
hdsemispares Used - $5.00 0 Jan/24/13 Jan/31/13
Description: HAM-LET UCV HMS30 4VKC REV A AMAT 3870-03344 (lot of 3)
hdsemispares Used - $5.00 1 Jan/24/13 Jan/31/13
Description: LFD 300MM RADIANCE PCB 0100-01749 AMAT APPLIED MATERIALS (lot of 3)
bobsgoodies Used - $300.00 0 Jan/28/13 Feb/27/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
bruce135 Used - $99.00 0 Jan/28/13 Feb/27/13
Description: Lot of 3 Keyence AP-31A Pressure Sensors / Switches / Vacuum Gauge Used
capitolareatech NEW - $5,000.00 0 Jan/29/13 Aug/14/13
Description: AMAT 0240-77388 KIT, PIVOT ARM,SPLASH; KIT INCLUDES: (3) 0020-78303, (2) 0040-77
bruce135 Used - $49.00 1 Jan/31/13 Feb/28/13
Description: Lot of 3 CKD TMD30-X0222 High Purity Type Valves with Handle Used
equipment_recycling Used - $150.00 0 Feb/01/13 Feb/08/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
csi.usa NEW - $499.00 1 Jan/31/13 Feb/01/13
Description: SBS BIT 3 0PERATIONS 85154556 REV.A VME PCB BOARD
usedeqsales Used - $109.12 1 Sep/04/12 Jan/31/13
Description: Applied Materials AMAT Quantum Leap 3 Wafer on Heatsink Assy 9010-00536ITL Used
usedeqsales Used - $109.12 1 Sep/04/12 Jan/31/13
Description: Applied Materials AMAT Quantum Leap 3 Wafer Heatsink Sensor 9010-01347ITL Used
usedeqsales Used - $205.12 1 May/31/12 Jan/31/13
Description: MKS Pressure Transducer 131882-G3 Lot of 3 Used Working
kan_az_plumber1967 NEW - $150.00 1 Feb/01/13 Feb/08/13
Description: Lot of (3) New Swagelok SS-45S8-SC11 S.S. Ball Valves ½"
esolutions1 NEW - $299.00 1 Feb/02/13 Dec/09/14
Description: LOT OF 3 AMAT APPLIED MATERIALS 0020-13959 CAP, HTHU 8" HEATER NEW
esolutions1 NEW - $89.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials 3870-00134 VALVE LOCK OUT 15-150PSIG 3PORT 1/4NPT 3 AMAT NEW
nic433 NEW - $45.00 1 Jan/22/13 Feb/02/13
Description: Lot of 3 Swagelok SS-400-61 Tube Fitting, Bulkhead Union
capitolareatech NEW - $10.00 0 Feb/05/13 Jun/05/13
Description: LAM 853-021959-001 ASSY, CABLE CORD AC POWER 3 COND SHIELD ISOTROPIC
nevadasurplus-com Used - $2,099.99 1 Feb/06/13 Sep/19/13
Description: ENI / AMAT OEM-12 / OEM-12B-02 / 0190-76028 1250W 13.56MHz 3 Phase Working!
bobsgoodies Used - $157.00 0 Feb/08/13 Mar/07/13
Description: AMAT 0090-00555 Switch 3300 SCCM AIR, 3 POS MR CAP Assembly an Aluminum Bracket
ab-international NEW - $77.18 0 Feb/08/13 Mar/30/23
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
bobsgoodies NEW - $39.00 0 Feb/11/13 Mar/07/13
Description: AMAT 0910-01200 Fuse Very Fast Acting 600V 30A Class T JJS-50 (Lot of 3)
bobsgoodies NEW - $35.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0040-04757 Plate, Blank-off, Watlow (Lot of 3)
bobsgoodies NEW - $29.50 0 Feb/11/13 Mar/13/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $39.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0200-55508 Support, 1600 PVD Module Rev 3, (Lot of 3)
bobsgoodies NEW - $160.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0020-20626 Block, Mounting, Shut-Off Valve. (3 bags of 2)
farmoninc Used - $450.00 0 Feb/11/13 Mar/29/18
Description: 3 Chemtec Equipment co. TQ504115, Flow Switch, 100ml/min Novellus 34-160330-00
farmoninc NEW - $131.25 0 Feb/11/13 May/13/19
Description: 3 NEW ASYST 05096-001 Novellus 19-166404-00 Solenoid sub assembly
intertecmodulestore NEW - $14,999.00 0 Feb/14/13 Mar/16/13
Description: AMAT 0920-00043 GEN RF 1600W/50OHMS 208VAC 3 PHASE
hdsemispares Used - $200.00 0 Feb/15/13 Mar/17/13
Description: AMAT ASSY, UPA DC DISTRIBUTION BOX, CONTOUR 3 P/N 0010-27688
equipment_recycling Used - $150.00 0 Feb/16/13 Feb/23/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
visionsemi Used - $375.00 0 Feb/18/13 Jun/18/13
Description: AMAT APPLIED MATERIALS NORCAL ISOLATION VALVE 3 WAY 3870-01212
hdsemispares Used - $25.00 0 Feb/20/13 Mar/22/13
Description: HAM-LET UCV HMS30 4VKC REV A AMAT 3870-03344 (lot of 3)
ifstech NEW - $2,500.00 4 Feb/26/13 Mar/06/13
Description: Applied Materials 3 Port VPA-B 0190-15378
sellyoursurplus NEW - $125.00 0 Feb/26/13 Mar/05/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
bobsgoodies NEW - $337.00 0 Feb/28/13 Mar/18/13
Description: AMAT 3390-90045 Controller, temp display Newport Q2001P Q2001-P 3 1/2" Meter
athomemarket Scrap, for parts - $468.99 0 Feb/28/13 Mar/07/13
Description: ENI OEM-12B3-02 1250W RF Power Generator AMAT 0190-76028 OEM12B 3 Phase Input
equipment_recycling Used - $150.00 0 Mar/01/13 Mar/08/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
bobsgoodies Used - $300.00 0 Mar/04/13 Mar/18/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
farmoninc NEW - $650.00 3 Mar/04/13 Nov/02/20
Description: 3 NEW AMAT 0020-30347 external cylinder
ifstech NEW - $2,500.00 0 Mar/05/13 Oct/22/13
Description: Applied Materials Mirra / Reflexion Contour Head 3 Port UPA - A 0190-15377
ab-international Used - $37.17 0 Mar/05/13 Feb/23/24
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
bobsgoodies Used - $157.00 0 Mar/07/13 Apr/06/13
Description: AMAT 0090-00555 Switch 3300 SCCM AIR, 3 POS MR CAP Assembly an Aluminum Bracket
bobsgoodies NEW - $39.00 0 Mar/07/13 Apr/06/13
Description: AMAT 0910-01200 Fuse Very Fast Acting 600V 30A Class T JJS-50 (Lot of 3)
athomemarket Scrap, for parts - $468.99 1 Mar/11/13 Mar/25/13
Description: ENI OEM-12B3-02 1250W RF Power Generator AMAT 0190-76028 OEM12B 3 Phase Input
usedeqsales Used - $1,003.13 0 Mar/12/13 Oct/22/13
Description: AMAT 0010-99090 Quantum Leap 3 Process Assembly 0020-81401 Used Working
bobsgoodies NEW - $35.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0040-04757 Plate, Blank-off, Watlow (Lot of 3)
bobsgoodies NEW - $39.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0200-55508 Support, 1600 PVD Module Rev 3, (Lot of 3)
bobsgoodies NEW - $29.50 0 Mar/13/13 Apr/12/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
equipment_recycling Used - $150.00 0 Mar/15/13 Mar/22/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
sellyoursurplus NEW - $125.00 0 Mar/17/13 Mar/24/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
bobsgoodies Used - $300.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
bobsgoodies NEW - $337.00 0 Mar/18/13 Apr/17/13
Description: AMAT 3390-90045 Controller, temp display Newport Q2001P Q2001-P 3 1/2" Meter
used1eqsales Used - $10,003.10 0 Mar/19/13 Nov/11/14
Description: AMAT Quantum Leap 3 Process Wheel used 300mm 0040-49084
capitolareatech NEW - $46.87 0 Mar/19/13 Sep/10/14
Description: AMAT 0050-31597 CH A MXP,PROCESS #3 PH II CMN MNFRM,CHAMBER A GAS LINE TO FRAME
intertecmodulestore NEW - $11,000.00 0 Apr/01/13 May/01/13
Description: AMAT 0920-00043 GEN RF 1600W/50OHMS 208VAC 3 PHASE
hdsemispares Used - $200.00 2 Apr/01/13 Oct/02/15
Description: AMAT ASSY, UPA DC DISTRIBUTION BOX, CONTOUR 3 P/N 0010-27688
hdsemispares NEW - $25.00 1 Apr/01/13 Jun/28/22
Description: CKD VALVE PNEU DIAPH NC 3 PORT 1-1/8" SQ SUR AMAT 3870-00898 (lot of 3)
usedeqsales Used - $304.13 2 Apr/01/13 May/04/15
Description: MKS Instruments AS00124-04 MicroNode I/O 509-020 AMAT 0190-25870 lot of 3 used
athomemarket Used - $614.99 0 Apr/01/13 Apr/08/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
athomemarket Used - $628.99 0 Apr/02/13 Apr/09/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
ifstech NEW - $2,500.00 0 Apr/03/13 Dec/27/13
Description: Applied Materials 3 Port UPA-B 0190-15378
equipment_recycling Used - $150.00 0 Apr/04/13 Apr/11/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
sellyoursurplus NEW - $125.00 0 Apr/07/13 Apr/14/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
bobsgoodies Used - $157.00 0 Apr/09/13 May/09/13
Description: AMAT 0090-00555 Switch 3300 SCCM AIR, 3 POS MR CAP Assembly an Aluminum Bracket
bobsgoodies NEW - $39.00 0 Apr/09/13 May/09/13
Description: AMAT 0910-01200 Fuse Very Fast Acting 600V 30A Class T JJS-50 (Lot of 3)
athomemarket Used - $628.99 0 Apr/10/13 May/10/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
athomemarket Used - $614.99 0 Apr/10/13 May/10/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
equipment_recycling Used - $150.00 0 Apr/11/13 Apr/18/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
sellyoursurplus NEW - $125.00 0 Apr/16/13 May/16/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
bobsgoodies NEW - $337.00 0 Apr/17/13 May/17/13
Description: AMAT 3390-90045 Controller, temp display Newport Q2001P Q2001-P 3 1/2" Meter
bobsgoodies Used - $300.00 0 Apr/17/13 May/17/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
equipment_recycling Used - $150.00 0 Apr/18/13 Apr/25/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
equipment_recycling Used - $150.00 0 Apr/25/13 May/02/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
sellyoursurplus NEW - $125.00 0 Apr/25/13 May/02/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
equipment_recycling Used - $150.00 0 May/03/13 May/10/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
intertecmodulestore NEW - $11,000.00 0 May/07/13 Jun/06/13
Description: AMAT 0920-00043 GEN RF 1600W/50OHMS 208VAC 3 PHASE
capitolareatech NEW - $45.00 1 May/08/13 May/20/13
Description: NOVELLUS 22-181438-00 SWAGELOK 6LVV-P1V222P-AC-12129, VALVE, PNEUMATIC 3 WAY WIT
bobsgoodies Used - $157.00 0 May/10/13 May/17/13
Description: AMAT 0090-00555 Switch 3300 SCCM AIR, 3 POS MR CAP Assembly an Aluminum Bracket
bobsgoodies NEW - $39.00 0 May/10/13 May/17/13
Description: AMAT 0910-01200 Fuse Very Fast Acting 600V 30A Class T JJS-50 (Lot of 3)
athomemarket Used - $628.99 0 May/10/13 Jun/09/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
athomemarket Used - $614.99 0 May/10/13 Jun/09/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
equipment_recycling Used - $150.00 0 May/23/13 May/30/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
visionsemi Used - $0.99 1 May/23/13 May/30/13
Description: AMAT APPLIED MATERIALS NORCAL ISOLATION VALVE 3 WAY 3870-01212
supertechshop Used - $299.00 0 May/29/13 Jun/28/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
equipment_recycling Used - $150.00 0 Jun/03/13 Jun/10/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
usedeqsales Used - $7,506.13 0 Jun/06/13 Sep/04/13
Description: Applied Materials 0195-10797 3 Phase Transformer Square D EE225T1755H Used
intertecmodulestore NEW - $11,000.00 0 Jun/06/13 Jul/06/13
Description: AMAT 0920-00043 GEN RF 1600W/50OHMS 208VAC 3 PHASE
bobsgoodies NEW - $29.50 0 Jun/07/13 Jul/09/13
Description: Applied Materials 1040-01018 Texmate PM-35U Panel Meter 3 1/2" Digit
bobsgoodies NEW - $39.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0200-55508 Support, 1600 PVD Module Rev 3, (Lot of 3)
bobsgoodies NEW - $160.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0020-20626 Block, Mounting, Shut-Off Valve. (3 bags of 2)
athomemarket Used - $628.99 1 Jun/09/13 Jun/19/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
athomemarket Used - $614.99 0 Jun/09/13 Jul/09/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
equipment_recycling Used - $150.00 0 Jun/11/13 Jun/18/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
usedeqsales Used - $306.13 1 Jun/11/13 Jul/02/13
Description: Aera FCPI980CBAXDIDJAA Mass Flow Controller AMAT 0190-34214 Lot of 3 Used
sellyoursurplus NEW - $125.00 0 Jun/16/13 Jun/19/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
usedeqsales Scrap, for parts - $606.13 2 Jun/19/13 Jun/25/13
Description: Malema MFC8000-T2104-052-P-001 Mass Flow Controller AMAT 0190-34538 Lot of 3
capitolareatech NEW - $18.75 0 Jun/19/13 Sep/17/13
Description: AMAT 0090-20042 ASSY,3 WAY VALVE
capitolareatech NEW - $18.75 0 Jun/19/13 Jun/20/13
Description: AMAT 0090-20042 ASSY, 3 WAY VALVE
capitolareatech NEW - $2.39 0 Jun/19/13 Sep/12/14
Description: LAM RESEARCH 715-008004-001 ROCKER, 3 POSITION
sellyoursurplus NEW - $125.00 0 Jun/20/13 Jun/30/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
usedeqsales Scrap, for parts - $306.13 0 Jun/21/13 Aug/21/13
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27880 Lot of 3 As-Is
capitolareatech NEW - $53.61 0 Jun/25/13 Sep/18/14
Description: AMAT 0150-11305 CABLE ASSY, GASLINE HEATER JACKET PWR, 3
capitolareatech NEW - $1.00 0 Jun/25/13 Sep/18/14
Description: AMAT 3880-01616 WSHR FLAT .500OD X .380ID X .060THK NYLON PKG 3
usedeqsales Used - $606.13 0 Jun/25/13 May/04/15
Description: SMC VV5Q11-08-DAJ00314 Pneumatic Manifold AMAT 0190-23114 Lot of 3 Used
capitolareatech NEW - $750.00 1 Jun/28/13 Oct/25/13
Description: LAM RESEARCH 810-015987-003 PCB, ASSEMBLY AUTOTUNE TCP REV 3
capitolareatech NEW - $159.38 2 Jun/28/13 Jun/25/14
Description: NOVELLUS 22-026085-00 SWAGELOK, 6LVV-P1V222P-AA, NUPRO VALVE, 3 PORT, 2 ACTUATO
equipment_recycling Used - $150.00 0 Jul/01/13 Jul/08/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
supertechshop Used - $299.00 0 Jul/02/13 Aug/01/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
oka1298 NEW - $1.49 0 Jul/17/13 Dec/04/15
Description: Applied Materials AMAT SS Screw, 5/16-18x1 3690-01210 ( lot of 3)
odysseystudios Used - $2,900.00 0 Jul/16/13 Sep/12/17
Description: Applied Materials AMAT MOLB-II 0195-01346 Rev 3 with 60 day warranty
athomemarket Used - $553.49 0 Jul/10/13 Aug/09/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
usedeqsales Used - $1,507.13 0 Jul/24/13 May/01/15
Description: Horiba STEC LF-F40M-A-EVD Liquid Mass Flow Meter AMAT 3030-15270 Lot of 3 As-Is
usedeqsales Scrap, for parts - $1,207.13 1 Jul/24/13 Feb/05/14
Description: Horiba STEC IV-2410AV-02H-N Injection Valve AMAT 0190-33934 Lot of 3 As-Is
svo413 NEW - $800.00 0 Jul/25/13 Aug/24/13
Description: Fujikin 3 Way v-block Valve, AMAT P/N 3870-00722
farmoninc NEW - $150.00 0 Jul/25/13 May/18/23
Description: 3 new AMAT 0140-21288 harness assy. water leak detector, gen. rack
farmoninc NEW - $450.00 0 Jul/25/13 May/18/23
Description: 3 new AMAT 0150-39342 cable assy, water leak detector, sensor
farmoninc NEW - $50.00 0 Jul/26/13 Apr/14/14
Description: 3 new AMAT 0020-12752 nut, thumb, block, clean, gas feed
farmoninc NEW - $900.00 0 Jul/26/13 May/18/23
Description: 3 new AMAT 0150-36834 cable assy. heater DRV. control
farmoninc NEW - $60.00 0 Jul/30/13 May/18/23
Description: 3 new AMAT 3220-00052 CPLG SHAFT FLEX 6MM BORE 16MMOD X 17.4MM
equipment_recycling Used - $150.00 0 Aug/01/13 Aug/08/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
supertechshop Used - $299.00 0 Aug/01/13 Aug/31/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
yayais2012 Scrap, for parts - $250.00 0 Aug/03/13 Sep/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
farmoninc NEW - $180.00 1 Aug/03/13 Apr/19/23
Description: 3 AMAT 0021-38827 Sleeve Inconel Cassette Handler 323519
farmoninc NEW - $150.00 0 Aug/05/13 May/18/23
Description: 3 AMAT 0020-36221 Bushing Fluorozoy K 312 ID Wafor Lift 323477
usedeqsales Used - $408.13 1 Aug/06/13 Aug/18/13
Description: Pearson 6164 Current Monitor 0.05 Volts/Amp AMAT 1360-01144 Lot of 3 Used
farmoninc NEW - $75.00 0 Aug/06/13 May/18/23
Description: 3 AMAT 0021-21905 Bracket, 323474
farmoninc NEW - $50.00 0 Aug/06/13 May/18/23
Description: AMAT 0021-90128 Liner Base .3 VAPO.ION SRC Allen Bradley 800E, 323473
usedeqsales Used - $408.13 1 Aug/07/13 Oct/29/13
Description: Sanyo Denki P30B04010DXS1CM AC Servo Motor AMAT 0190-15759 Lot of 3 Used
usedeqsales Used - $308.13 1 Aug/07/13 Jan/21/14
Description: Sensor Technics SQ99770 Pressure Transducer AMAT 0090-77297 Lot of 3 Used
usedeqsales Used - $1,506.11 0 Aug/07/13 May/01/15
Description: Celerity AAPGD40W1 Mass Flow Controller IN3XP AMAT 0190-19977 CO Lot of 3
usedeqsales Scrap, for parts - $1,506.11 0 Aug/07/13 May/01/15
Description: Celerity AARGD40W1 Mass Flow Controller IN3XP AMAT 0190-19978 CF4 Lot of 3
usedeqsales Scrap, for parts - $1,506.11 0 Aug/07/13 Jan/29/14
Description: Celerity AARGD40W1 Mass Flow Controller IN3XP AMAT 0190-19978 HBr Lot of 3
usedeqsales Scrap, for parts - $1,506.11 0 Aug/07/13 May/01/15
Description: Celerity AAPGD40W1 Mass Flow Controller IN3XP AMAT 0190-19977 N2 Lot of 3
usedeqsales Scrap, for parts - $1,506.11 0 Aug/07/13 May/01/15
Description: Celerity AAPGD40W1 Mass Flow Controller IN3XP AMAT 0190-19977 HC1 Lot of 3
usedeqsales Scrap, for parts - $1,506.11 0 Aug/07/13 May/01/15
Description: Celerity AATGD40W1 Mass Flow Controller IN3XP AMAT 0190-19980 O2 Lot of 3
athomemarket Used - $553.49 0 Aug/09/13 Sep/08/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
farmoninc NEW - $60.00 1 Aug/10/13 Aug/09/16
Description: 3 new AMAT 0010-02228 assy N2 Blowout vent W/Silencer
systasemi Used - $5,000.00 0 Aug/12/13 Sep/11/13
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
farmoninc NEW - $450.00 0 Aug/12/13 Oct/20/23
Description: AMAT 0090-00212 ELEC assy. LTESC STD body POS 2,3,C
farmoninc NEW - $750.00 0 Aug/14/13 Mar/06/15
Description: 3 new AMAT 0050-40928 gas line IHC DUMP 2 ULTIMA HDPCVD
equipment_recycling Used - $150.00 0 Aug/17/13 Aug/24/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
athomemarket Scrap, for parts - $2,999.99 0 Aug/19/13 Aug/26/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
bruce135 Used - $299.00 0 Aug/21/13 Sep/20/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
farmoninc NEW - $150.00 0 Aug/22/13 May/23/18
Description: 3 new AMAT 1270-02953 SWHEAD FLUSH PUSH BUTTON RED
sellyoursurplus NEW - $125.00 0 Aug/23/13 Aug/30/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
intertecmodulestore NEW - $11,000.00 0 Aug/23/13 Sep/22/13
Description: AMAT 0920-00043 GEN RF 1600W/50OHMS 208VAC 3 PHASE
equipment_recycling Used - $150.00 0 Aug/24/13 Aug/31/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
athomemarket Scrap, for parts - $2,700.99 0 Aug/27/13 Sep/03/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
farmoninc Used - $5,700.00 0 Aug/29/13 Sep/17/13
Description: AMAT 0190-76028 ENI OEM-12B3-02 3 Phase RF Generator
usedeqsales Scrap, for parts - $458.13 0 Aug/29/13 May/05/15
Description: Unit UFC-1100 Mass Flow Controller AMAT 3030-01683 3 SLM O2 Lot of 2
usedeqsales Scrap, for parts - $458.13 0 Aug/29/13 May/05/15
Description: Unit UFC-1100 Mass Flow Controller AMAT 3030-01053 3 SLM N2 Lot of 2 As-Is
j316gallery Used - $98.51 1 Aug/29/13 Dec/29/21
Description: 3765 EUROTHERM INVENSYS MULTI-LOOP PROCESS CNTLR (3 I/O MODULES) DEVICENET MINI8
pohyh Used - $18,000.00 0 Aug/29/13 Nov/17/15
Description: 357 (3) AMAT 0920-01076 CENTURA ASTEX RF RACK 80-PO1-HP
farmoninc Used - $3,500.00 0 Aug/28/13 Sep/17/13
Description: AMAT 0190-76028 ENI OEM-12B3-02 3 Phase RF Generator
farmoninc Used - $3,500.00 0 Aug/28/13 Sep/17/13
Description: AMAT 0190-76028 ENI OEM-12B3-02 3 Phase RF Generator
farmoninc Used - $3,500.00 0 Aug/28/13 Sep/17/13
Description: AMAT 0190-76028 ENI OEM-12B3-02 3 Phase RF Generator
equipment_recycling Used - $150.00 0 Aug/31/13 Sep/07/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
sellyoursurplus NEW - $125.00 0 Aug/31/13 Sep/07/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
yayais2012 Scrap, for parts - $250.00 0 Sep/02/13 Oct/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
bobsgoodies NEW - $39.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0200-55508 Support, 1600 PVD Module Rev 3, (Lot of 3)
equipment_recycling Used - $150.00 0 Sep/07/13 Sep/14/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
athomemarket Scrap, for parts - $2,430.89 0 Sep/04/13 Oct/04/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $553.49 0 Sep/08/13 Oct/08/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
systasemi Used - $5,000.00 0 Sep/11/13 Oct/11/13
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
capitolareatech NEW - $13.13 0 Sep/12/13 Oct/07/14
Description: AMAT 0090-70026 ASSY RECEPT. 2P 3 PHASE W/10 AWG WIRES,
equipment_recycling Used - $150.00 0 Sep/15/13 Sep/22/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
supertechshop Used - $199.00 0 Sep/15/13 Oct/15/13
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
usedeqsales NEW - $259.13 0 Sep/16/13 May/04/15
Description: Pall T90041100300 Filter Cartridge .1UM Telfon Gasket AMAT 4020-01402 Lot of 3
capitolareatech Used - $1,200.00 1 Sep/17/13 Sep/24/13
Description: AMAT 0040-49285 LINER, CATHODE, DIRECT-COOLED, BAFFLE, 3
capitolareatech Refurbished - $1,125.00 0 Sep/17/13 Feb/24/14
Description: AMAT 0040-13730 ASS BELLOWS/YOKE NCR SLIT VALVE, 972-BLF ASSY,REV 3
capitolareatech NEW - $1,350.00 0 Sep/17/13 Feb/24/14
Description: Novellus 15-127251-00 AIN-PEC AND CONCEPT 3 SPEED INJECTOR SET (36 PIECES)
pohyh Used - $500.00 3 Sep/20/13 Dec/28/13
Description: 548 (3) AMAT CERAMIC DOME 0200-10297
equipment_recycling Used - $150.00 0 Sep/22/13 Sep/29/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
usedeqsales Scrap, for parts - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2900MEP5 Mass Flow Controller AMAT 3030-08700 Lot of 3 As-Is
usedeqsales Scrap, for parts - $1,509.13 0 Sep/23/13 May/01/15
Description: Tylan FC-2900MEP5 Mass Flow Controller AMAT 3030-09020 Lot of 3 As-Is
bruce135 Used - $299.00 0 Sep/24/13 Oct/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
wideerp01 NEW - $149.00 0 Sep/25/13 Oct/02/13
Description: APPLIED MATERIALS 3 ZONE INJECTOR BAFFLE 0200-35159 REV B
jammin928 Refurbished - $300.00 0 Sep/28/13 Oct/28/13
Description: Lam Research PN 853-017163-101 Rev 3 50 turn RF sense box Working
jammin928 Used - $350.00 0 Sep/28/13 Oct/28/13
Description: Lam Research PN 810-800256-004 Rev. F Node Board Type 3
equipment_recycling Used - $150.00 0 Oct/01/13 Oct/08/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
nri-industrial Used - $38.00 2 Jun/28/13 Feb/28/14
Description: SWAGELOK SS-45XS8 3 WAY 1500PSIG STAINLESS 1/2 IN NPT BALL VALVE B215345
yayais2012 Scrap, for parts - $250.00 0 Oct/03/13 Nov/02/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
athomemarket Scrap, for parts - $2,700.99 0 Oct/04/13 Nov/03/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
adickson NEW - $24.75 1 Oct/05/13 Oct/10/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
supertechshop Used - $299.00 0 Oct/05/13 Nov/04/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
equipment_recycling Used - $300.00 0 Oct/07/13 Oct/14/13
Description: AMAT 0020-21707 8" Lifter lot of (3)
prism_electronics7 Used - $97.43 0 Oct/07/13 Jul/27/22
Description: Novellus Part 10-294276-00 T-Valve Assembly Rev. 3
athomemarket Used - $614.99 0 Oct/08/13 Nov/07/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
adickson NEW - $24.75 0 Oct/10/13 Oct/15/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
pohyh Used - $500.00 0 Oct/11/13 Oct/06/14
Description: 763 (3) AMAT 0040-52040 REV003
systasemi Used - $5,000.00 0 Oct/14/13 Nov/13/13
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
visionsemi NEW - $90.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
visionsemi NEW - $25.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 955-091372-001 TUBE CORRUGATED TFLN BLACK (QTY 3)
wideerp01 NEW - $149.00 0 Oct/15/13 Oct/22/13
Description: APPLIED MATERIALS 3 ZONE INJECTOR BAFFLE 0200-35159 REV B
equipment_recycling Used - $150.00 0 Oct/16/13 Oct/23/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
equipment_recycling Used - $300.00 1 Oct/16/13 Oct/23/13
Description: AMAT 0020-21707 8" Lifter lot of (3)
adickson NEW - $24.75 0 Oct/17/13 Oct/22/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
bobsgoodies NEW - $125.00 0 Oct/18/13 Jun/17/14
Description: Applied Materials 0680-01124 Square D QDB 20 Amp Circuit Breaker 3 pole 240 VAC
supertechshop Used - $199.00 0 Oct/20/13 Nov/19/13
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
yayais2012 Used - $450.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
usedeqsales Scrap, for parts - $610.13 0 Oct/21/13 May/04/15
Description: MKS Instruments 640A-27866 Pressure Controller AMAT 0190-19522 Lot of 3 As-Is
adickson NEW - $24.75 0 Oct/22/13 Oct/27/13
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
bruce135 Used - $299.00 0 Oct/25/13 Nov/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
wideerp01 NEW - $149.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS 3 ZONE INJECTOR BAFFLE 0200-35159 REV B
usedeqsales Used - $310.13 0 Oct/25/13 May/05/15
Description: SMC NCQ2B40-UIA990830 Pneumatic Cylinder AMAT 3020-00306 Lot of 3 Used
usedeqsales Used - $460.13 1 Oct/25/13 Oct/29/13
Description: SMC 13618600 28-Port Pneumatic Manifold AMAT 0190-18038 Lot of 3 Used
sparepartssolution Used - $500.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-29346 SHIELD 8" CLMPD .190"THK AL 3 SPRTS VECT USED
visionsemi NEW - $90.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
visionsemi NEW - $25.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 955-091372-001 TUBE CORRUGATED TFLN BLACK (QTY 3)
usedeqsales Used - $310.13 1 Oct/28/13 Aug/13/14
Description: SMC NCDQ2B69-UIA981030 Pneumatic Cylinder AMAT 0010-70822 Lot of 3 Used Working
adickson NEW - $24.75 10 Oct/28/13 Feb/27/14
Description: 5 NEW Applied Materials 1040-01018 Texmate PM-35U Panel Meters 3 1/2" Digital
equipment_recycling Used - $180.00 0 Oct/29/13 Nov/05/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
sparepartssolution Used - $20.00 0 Nov/01/13 Mar/12/15
Description: AMAT APPLIED MATERIALS 3550-01096 PIN QUICK RELEASE 3/8 DIA 3 GRIP LENGTH USED
wideerp01 NEW - $149.00 0 Nov/01/13 Dec/31/13
Description: APPLIED MATERIALS 3 ZONE INJECTOR BAFFLE 0200-35159 REV B
used1eqsales Used - $505.57 1 Nov/01/13 Nov/25/13
Description: 9010-00504 AMAT Quantum Leap 3 Process Module Electrode Assembly 0020-81401
athomemarket Scrap, for parts - $2,700.99 0 Nov/03/13 Dec/03/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
yayais2012 Scrap, for parts - $250.00 0 Nov/04/13 Dec/04/13
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
usedeqsales Used - $311.13 0 Nov/04/13 May/05/15
Description: AMAT Applied Materials 9321-02 DeviceNet Passive Drop Box 0190-16372 Lot of 3
supertechshop Used - $299.00 0 Nov/04/13 Dec/04/13
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
equipment_recycling Used - $150.00 0 Nov/05/13 Nov/12/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
sparepartssolution Used - $1,500.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3 USED
usedeqsales Scrap, for parts - $1,511.13 0 Nov/07/13 May/01/15
Description: Unit UFC-8160 UltraClean Metal Seal mass Flow AMAT 3030-07130 Lot of 3 As-Is
athomemarket Used - $614.99 0 Nov/07/13 Dec/07/13
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
systasemi Used - $5,000.00 0 Nov/14/13 Dec/14/13
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
trees_for_a_better_tomorrow NEW - $295.00 0 Nov/25/13 Dec/02/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
bruce135 Used - $239.00 0 Nov/25/13 Dec/25/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
equipment_recycling Used - $150.00 0 Dec/01/13 Dec/08/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
trees_for_a_better_tomorrow NEW - $295.00 0 Dec/02/13 Dec/09/13
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
athomemarket Scrap, for parts - $2,700.99 0 Dec/03/13 Jan/02/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
yayais2012 Scrap, for parts - $250.00 0 Dec/04/13 Jan/03/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
tdindustrial NEW - $49.95 1 Dec/04/13 Jun/02/14
Description: LAM Cover 714-021881-001 3 CMP, New
keykorea Used - $120.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-78045 CABLE, SENSOR-CONTROL, BLKHD 3, SCRUBBER, WORKING
keykorea Used - $130.00 0 Dec/06/13 Apr/11/18
Description: AMAT 0140-01880 CABLE, SENSOR-CONTROL, BLKHD 3, SCRUBBER, WORKING
supertechshop Used - $299.00 0 Dec/06/13 Jan/05/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
athomemarket Used - $614.99 0 Dec/07/13 Jan/06/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
keykorea Used - $250.00 2 Dec/08/13 Sep/19/18
Description: AMAT 0140-77053 PLATEN 2 & 3, MP8-DVR 7& 8, WORKING
keykorea Used - $130.00 0 Dec/08/13 Feb/02/17
Description: AMAT 0140-77002 HARNESS, PAD 3, MANIF, WORKING
keykorea Used - $480.00 0 Dec/10/13 Jun/14/20
Description: AMAT 0140-78295 CABLE, PWR, PLATEN 3, LOT BOX-MIRRA BLKH, WORKING
equipment_recycling Used - $150.00 0 Dec/12/13 Dec/19/13
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
capitolareatech NEW - $154.16 0 Dec/12/13 Jul/01/14
Description: AMAT 0020-25773 RING 3 DUAL ROBOT
capitolareatech NEW - $27.54 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-02128 HEATER ASSEMBLY, PRODUCER ETCH WALL #3
semipart NEW - $200.00 1 Dec/13/13 Dec/14/13
Description: APPLIED MATERIALS 0190-21067 Filter Diffuser, Assy. New, Sealed 3 available
systasemi Used - $5,000.00 0 Dec/16/13 Jan/15/14
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
ischoi3 Used - $420.00 1 Dec/16/13 Dec/23/13
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
semipart NEW - $40.00 0 Dec/19/13 Jan/06/14
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
supertechshop Used - $199.00 0 Dec/19/13 Jan/18/14
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
yayais2012 Used - $450.00 0 Dec/21/13 Jan/20/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
usedeqsales Used - $312.13 3 Dec/26/13 Apr/18/14
Description: AMAT Applied Materials 10762200 RF Cable 50 FT 0620-02885 Lot of 3 Used Working
bruce135 Used - $239.00 0 Dec/26/13 Jan/25/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
ischoi3 Used - $420.00 0 Dec/25/13 Jan/24/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
equipment_recycling Used - $150.00 0 Dec/26/13 Jan/02/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
visionsemi NEW - $90.00 0 Dec/27/13 Feb/25/14
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/02/14 Jan/09/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
athomemarket Scrap, for parts - $2,726.99 0 Jan/02/14 Feb/01/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
pohyh Used - $300.00 5 Jan/03/14 Feb/09/21
Description: 1319 AMAT FACTORY INTERFACE SERVICE LIFT 0242-12663 REV 3
visionsemi NEW - $25.00 0 Jan/02/14 Jun/20/16
Description: LAM RESEARCH 955-091372-001 TUBE CORRUGATED TFLN BLACK (QTY 3)
yayais2012 Scrap, for parts - $250.00 0 Jan/03/14 Feb/02/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
semipart NEW - $40.00 0 Jan/06/14 Feb/05/14
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
athomemarket Used - $616.99 0 Jan/06/14 Feb/05/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
bobsgoodies NEW - $25.00 0 Jan/07/14 Jun/17/14
Description: AMAT 0021-77402 Rev P1 Pin UHV cleaned Applied Materials (Lot of 3 Pins)
pohyh Used - $2,500.00 0 Jan/07/14 Oct/05/14
Description: 1348 (3) AMAT 0010-20030 ORIENTAL VEXTA 5 PHASE STEPPING MOTOR A3761-9215HG
semipart NEW - $40.00 0 Jan/12/14 Jan/30/14
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/11/14 Jan/18/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
keykorea Used - $130.00 1 Jan/14/14 Jun/17/16
Description: AMAT 0140-06296 CABLE SENSOR-CONTROL BLKHD 3 SRD (SMOOTH SHIELD CNTRL), WORKING
keykorea Used - $80.00 0 Jan/14/14 Apr/11/18
Description: AMAT 0140-01844 CABLE OM SENSOR-CNTRL BLKHD 3 SYSTEM, WORKING
partskorea1 Used - $499.00 1 Jan/15/14 Nov/18/15
Description: Lam Research Node Board Type 3 810-800256-004 REV.E
farmoninc NEW - $250.00 1 Jan/17/14 Dec/01/14
Description: NEW AMAT 3870-00787 Valve assymbely Pneumatic Diaphram NC/NC 3 way valve
farmoninc Used - $225.00 0 Jan/17/14 Feb/24/14
Description: 3 TELEMECANIQUE Hoist Control XAC-A2014, AMAT 0090-02607
systasemi Used - $5,000.00 0 Jan/15/14 Feb/14/14
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
equipment_recycling Used - $150.00 0 Jan/19/14 Jan/26/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
trees_for_a_better_tomorrow NEW - $295.00 0 Jan/19/14 Jan/26/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
supertechshop Used - $199.00 0 Jan/20/14 Feb/19/14
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
farmoninc NEW - $250.00 1 Jan/21/14 May/02/14
Description: NEW AMAT 3870-90121 Swagelok SS-HBVCR4-CM, 3 Port Solenoid valve N/C
yayais2012 Used - $450.00 0 Jan/22/14 Feb/21/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
dr.fantom Scrap, for parts - $500.00 0 Jan/23/14 Feb/12/15
Description: lot of 3 Applied Materials 0021-21260 Rev 001
usedeqsales Used - $3,201.14 4 Jan/24/14 May/01/15
Description: ENI OEM-12B3-02 RF Generator 3 Phase AMAT 0190-76028 Tested Working
usedeqsales Scrap, for parts - $1,501.14 1 Jan/24/14 Jan/29/14
Description: ENI OEM-12B3-02 RF Generator 3 Phase AMAT 0190-76028 Not Working
yayais2012 NEW - $75.00 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
equipment_recycling Used - $150.00 0 Jan/26/14 Feb/02/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
ischoi3 Used - $420.00 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
athomemarket Used - $2,999.99 0 Jan/27/14 Feb/03/14
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $3,399.99 0 Jan/27/14 Feb/03/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
bruce135 Used - $219.00 0 Jan/28/14 Feb/27/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
used1eqsales Used - $705.15 0 Jan/31/14 May/26/17
Description: MEI A022-0001 Rev. 3 XMP Circuit Board AMAT Centura 300mm working 0190-03601
athomemarket Scrap, for parts - $2,726.99 0 Feb/01/14 Mar/03/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
bntyhunter07 Used - $165.14 9 Feb/01/14 Oct/08/14
Description: CTI Cryogenics Stainless 3 FT Braided Hose 8081617G010 AMAT 3400-01084
yayais2012 Scrap, for parts - $250.00 0 Feb/03/14 Mar/05/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
trees_for_a_better_tomorrow NEW - $295.00 0 Feb/03/14 Feb/10/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
equipment_recycling Used - $150.00 0 Feb/04/14 Feb/11/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
athomemarket Used - $3,060.99 0 Feb/04/14 Feb/11/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $2,700.99 0 Feb/04/14 Feb/11/14
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
bobsgoodies Used - $257.00 1 Feb/05/14 Feb/18/14
Description: AMAT 0190-77016 Yaskawa Electric 100W AC Servo Motor SGM-01U3B4L (Lot of 3)
farmoninc NEW - $90.00 1 Feb/05/14 Sep/09/15
Description: 3 AMAT 0690-01910 MKS HPS NW40 Aluminum KF40 Toggle Clamp
athomemarket Used - $616.99 0 Feb/05/14 Mar/07/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
pohyh Used - $500.00 2 Feb/10/14 Oct/08/14
Description: 1553 (3) AMAT 0090-04309
pohyh Used - $500.00 2 Feb/10/14 Oct/08/14
Description: 1567 (3) LAM 853-540253-006
equipment_recycling Used - $150.00 0 Feb/12/14 Feb/19/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
semipart NEW - $40.00 0 Feb/12/14 Mar/14/14
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
athomemarket Used - $2,700.99 0 Feb/12/14 Mar/14/14
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $3,060.99 0 Feb/12/14 Mar/14/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
supertechshop Used - $299.00 0 Feb/13/14 Mar/15/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
pohyh Used - $500.00 3 Feb/13/14 Jun/15/15
Description: 1678 (3) AMAT SERIPLEX CONTROL BUS 0190-35762
bobsgoodies Used - $257.00 1 Feb/18/14 Feb/24/14
Description: AMAT 0190-77016 Yaskawa Electric 100W AC Servo Motor SGM-01U3B4L (Lot of 3)
systasemi Used - $5,000.00 0 Feb/17/14 Mar/19/14
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
farmoninc NEW - $200.00 0 Feb/21/14 Jan/30/17
Description: 3 AMAT 0020-75323 Face Plate 120V CB
supertechshop Used - $199.00 0 Feb/21/14 Mar/23/14
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
yayais2012 Used - $450.00 0 Feb/22/14 Mar/24/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
bobsgoodies Used - $257.00 1 Feb/24/14 Feb/26/14
Description: AMAT 0190-77016 Yaskawa Electric 100W AC Servo Motor SGM-01U3B4L (Lot of 3)
prism_electronics5 Used - $69.99 0 Feb/24/14 Jun/20/22
Description: Lam Research 3' Cable 853-014772-006 Rev. A 1002685 0630
visionsemi NEW - $75.00 0 Feb/25/14 Mar/18/16
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
ischoi3 Used - $420.00 1 Feb/26/14 Mar/25/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
yayais2012 NEW - $75.00 0 Feb/27/14 Mar/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
alvin1462 Used - $1,888.00 5 Feb/27/14 Jan/09/15
Description: ENI OEM-12B-02 RF Generator 3 Phase AMAT 0190-70080
bruce135 Used - $219.00 0 Feb/28/14 Mar/30/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
equipment_recycling Used - $160.00 0 Feb/28/14 Mar/30/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/12/14 Mar/19/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
dr.fantom NEW - $150.00 0 Mar/12/14 Feb/12/15
Description: lot of 3 AMAT 0021-70378 REV 001 Manifold Water Input TXZ
athomemarket Used - $616.99 0 Mar/07/14 Apr/06/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
semipart NEW - $25.00 5 Mar/14/14 Apr/26/15
Description: AMAT Applied Materials 0090-20133 ASSY VALVE 3 WAY 24V, 10 Available
athomemarket Used - $2,700.99 0 Mar/15/14 Apr/14/14
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $3,060.99 0 Mar/15/14 Apr/14/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
trees_for_a_better_tomorrow NEW - $295.00 0 Mar/16/14 Mar/23/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
systasemi Used - $5,000.00 0 Mar/19/14 Apr/18/14
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
farmoninc NEW - $750.00 0 Mar/22/14 May/18/17
Description: 3 AMAT 0090-36313 Assy, HV ESC, 300MM Cathode DPS
trees_for_a_better_tomorrow NEW - $295.00 0 Mar/23/14 Mar/30/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
yayais2012 Used - $450.00 0 Mar/25/14 Apr/24/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
supertechshop Used - $199.00 0 Mar/26/14 Apr/25/14
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/27/14 Mar/30/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $75.00 0 Mar/30/14 Apr/02/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $295.00 0 Mar/30/14 Apr/06/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
equipment_recycling Used - $160.00 0 Mar/31/14 Apr/30/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
yayais2012 NEW - $75.00 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
bruce135 Used - $197.10 0 Apr/01/14 May/01/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
athomemarket Scrap, for parts - $2,726.99 0 Apr/03/14 May/03/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
adam_tech3 Used - $492.04 1 Apr/04/14 Nov/21/19
Description: Applied Materials P5000 AMAT RF Match MN 0010-09750 13.56Mhz 3 kV
yayais2012 Scrap, for parts - $250.00 0 Apr/06/14 May/06/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
bobsgoodies Used - $165.00 1 Apr/07/14 Jun/06/14
Description: AMAT 0090-75007 Brade Motor w/Encoder Vexta C5347-9212M (Lot of 3)
bobsgoodies Used - $165.00 0 Apr/07/14 Jun/17/14
Description: AMAT 0090-75008 Brade Motor w/Encoder Vexta C5347-9212M (Lot of 3)
usedeqsales Used - $504.14 0 Apr/07/14 May/05/15
Description: VAT 26432-KA11-BJI1 Pneumatic Angle Valve AMAT 3870-04912 Lot of 3 Used Working
athomemarket Used - $616.99 0 Apr/07/14 May/07/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
athomemarket Used - $2,700.99 0 Apr/14/14 May/14/14
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $3,060.99 0 Apr/14/14 May/14/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
trees_for_a_better_tomorrow NEW - $295.00 0 Apr/17/14 Apr/24/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/17/14 Apr/20/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
supertechshop Used - $299.00 0 Apr/17/14 May/17/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
systasemi Used - $5,000.00 0 Apr/20/14 May/20/14
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
trees_for_a_better_tomorrow NEW - $75.00 0 Apr/26/14 Apr/29/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $295.00 0 Apr/26/14 May/03/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
yayais2012 Used - $450.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
keykorea Used - $220.00 0 Apr/27/14 Mar/14/21
Description: AMAT 0140-77134 HD ROT 3,PWR,DVR-CNTRL, USED
grandbirdnet Used - $160.00 0 Apr/27/14 Jan/05/18
Description: AMAT 0140-77006 H/A PAD 3, DVRS-CONT BLKHD COND & SWP EN, USED
farmoninc NEW - $950.00 1 Apr/28/14 Sep/07/18
Description: 3 AMAT 0020-33001 Drive Gear, Coupler, P 5000 MC Robot
supertechshop Used - $199.00 0 Apr/28/14 May/28/14
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
equipment_recycling Used - $160.00 0 Apr/30/14 May/30/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
bobsgoodies NEW - $517.00 0 Apr/30/14 Jun/17/14
Description: SMC Digital Flow Switch Assy, PF2W720-03-27-Q, (Assembly of 3), 0010-00013
yayais2012 NEW - $75.00 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
usedeqsales NEW - $304.14 0 Apr/30/14 May/04/15
Description: Omega PX154-025DI Pressure Transducer AMAT 1220-00044 Lot of 3 New
athomemarket Scrap, for parts - $2,726.99 0 May/03/14 Jun/02/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
farmoninc NEW - $150.00 0 May/16/14 May/23/18
Description: 3 NEW AMAT 0200-00574 Insulator, Left Lamp
athomemarket Used - $2,700.99 0 May/14/14 Jun/13/14
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $3,060.99 0 May/14/14 Jun/13/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
arizindo Used - $125.00 4 May/11/14 Jul/09/14
Description: SWAGELOK - 6LVV-P1V222P-AA - NUPRO VALVE, NOVELLUS 22-026085-00 3 PORT - Used
wenfeng2010 NEW - $30.00 0 May/18/14 Jun/17/14
Description: LOT OF 3 APPLIED MATERIAL PARTS, p/n: 0020-03469
adam_tech3 Used - $493.55 1 May/19/14 May/12/19
Description: Applied Materials P5000 AMAT RF Match MN 0010-09750 13.56Mhz 3 kV
supertechshop Used - $299.00 0 May/20/14 Jun/19/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
farmoninc NEW - $400.00 1 May/22/14 May/21/18
Description: 3 AMAT 1360-01087 XFMR CURRENT MONITORS WIDE BAND
systasemi Used - $5,000.00 0 May/22/14 Jun/21/14
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
usedeqsales NEW - $305.14 0 May/23/14 May/04/15
Description: CKD SUS316L Pneumatic N.C. Diaphragm Valve AMAT 3870-03336 Lot of 3 New
dr.fantom NEW - $100.00 1 May/26/14 Sep/05/14
Description: 3 Applied Materials 0990-01004 Kit HTR TAPE END SEAL FOR SLF-REG Raychem PMKG-LE
yayais2012 Used - $450.00 0 May/27/14 Jun/26/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
sagatron-inc NEW - $475.00 0 May/27/14 Aug/20/15
Description: 1567 (3) LAM 853-540253-006
harrington2howry NEW - $40.00 0 May/27/14 Jun/26/14
Description: AMAT 0021-38052 SLEEVE INCONEL CASSETTE HANDLER, LONG 625 (lot of 3)
grandbirdnet Used - $1,500.00 1 May/29/14 Dec/24/21
Description: AMAT 3870-02365 3 WAY N.C. MODULE , USED
equipment_recycling Used - $160.00 0 May/30/14 Jun/29/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
yayais2012 NEW - $75.00 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
equipment_recycling Used - $160.00 1 Jul/29/14 Aug/25/14
Description: AMAT 0100-20038 Relay Control PCB (lot of 3)
pohyh Used - $200.00 0 Jul/11/14 Oct/09/14
Description: 2544 (3) LAM RESEARCH TCP 853-015982-001
systasemi Used - $5,000.00 0 Jul/23/14 Aug/22/14
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
capitolareatech NEW - $215.86 0 Jul/22/14 Sep/20/14
Description: NOVELLUS 15-167054-01 SHOWERHEAD, VERSION 3, STATION 2-5,GAM
yayais2012 NEW - $75.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Scrap, for parts - $2,726.99 0 Aug/01/14 Aug/31/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
farmoninc NEW - $150.00 0 Aug/04/14 Jun/01/23
Description: AMAT 0680-00080 Circuit Breaker SPCL 3 Pole 480 VAC 50 Amp 50/60HZ K-Curve
athomemarket Used - $616.99 0 Aug/05/14 Sep/04/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
used1eqsales Used - $1,508.14 1 Aug/14/14 Sep/15/14
Description: AMAT 9090-00785 High Voltage Module AMAT Quantum Leap 3 used working
used1eqsales Used - $678.66 0 Aug/14/14 Dec/12/14
Description: AMAT 9010-00504 0020-81401 Electron Assembly AMAT Quantum Leap 3 used working
athomemarket Used - $3,060.99 0 Aug/12/14 Sep/11/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $2,700.99 0 Aug/12/14 Sep/11/14
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
used1eqsales Used - $903.66 0 Aug/15/14 Aug/19/15
Description: AMAT 9090-00742 Beamline Monitoring Module Rev. B Quantum Leap 3 used working
wenfeng2010 NEW - $30.00 0 Aug/16/14 Sep/15/14
Description: LOT OF 3 APPLIED MATERIAL PARTS, p/n: 0020-03469
trees_for_a_better_tomorrow NEW - $125.00 0 Aug/17/14 Aug/27/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/17/14 Aug/27/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
used1eqsales Used - $1,008.14 0 Aug/18/14 May/26/17
Description: AMAT Quantum Leap 3 0150-97185 Electrode 0040-01275 0020-05447 0021-14963 works
farmoninc NEW - $150.00 0 Aug/19/14 Jun/01/23
Description: 3 AMAT 0020-14013 Bumper End Effector
farmoninc NEW - $1,200.00 0 Aug/21/14 Mar/08/21
Description: 3 AMAT 0040-40810 Liner, Lid, 300MM IBC, IECP
microwavetechnology NEW - $179.99 0 Aug/24/14 Aug/08/16
Description: Applied Materials 0051-25162 WELDMENT, 3 PORT
systasemi Used - $5,000.00 0 Aug/25/14 Sep/24/14
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
farmoninc NEW - $150.00 0 Aug/25/14 Jun/01/23
Description: AMAT 0190-06098 Hose Assembly, Chamber Bottom To EX Elbow, 3
farmoninc NEW - $350.00 1 Aug/25/14 Oct/14/22
Description: AMAT 0090-02879 Switch 3300 SCCM Air 3 POS
supertechshop Used - $299.00 0 Aug/25/14 Sep/24/14
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
usedeqsales Used - $708.14 1 Aug/26/14 Jan/17/17
Description: Lam Research 810-800256-005 Node Board Type 3 PCB 800256-005 Used Working
usedeqsales NEW - $1,008.14 19 Aug/26/14 Dec/20/16
Description: Lam Research 810-800256-005 Node Board Type 3 PCB 800256-005 New
bobsgoodies NEW - $517.00 0 Aug/27/14 Nov/16/15
Description: SMC Digital Flow Switch Assy, PF2W720-03-27-Q, (Assembly of 3), 0010-00013
bobsgoodies Used - $125.00 0 Aug/27/14 Apr/16/15
Description: MIRRA AMAT 0021-79484 Dual Blade Wafer Robot Plastic cover Brackets (Lot of 3)
yayais2012 Used - $450.00 0 Aug/27/14 Sep/26/14
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
trees_for_a_better_tomorrow NEW - $125.00 0 Aug/28/14 Sep/07/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $60.00 0 Aug/28/14 Sep/07/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
farmoninc NEW - $350.00 0 Aug/28/14 Jun/01/23
Description: 3 AMAT 0010-99045 Cassette Tray Rails (right)
farmoninc NEW - $150.00 0 Aug/29/14 Jun/01/23
Description: 3 AMAT 0140-09675 Harn, Multiple HE Switches
athomemarket Scrap, for parts - $2,726.99 0 Aug/31/14 Sep/30/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
yayais2012 NEW - $75.00 0 Sep/02/14 Oct/02/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
exper-tech NEW - $105.00 3 Sep/04/14 Feb/09/15
Description: AMAT 3870-02798 Manual Diaphragm Valve 1/4"MFVCR 1/4" Short HDL, Lot of 3, New
prism_electronics5 Used - $118.99 1 Sep/04/14 Oct/23/17
Description: Lot of 3 Lam Research SFT, SPRT, CHMBR, PM, 715-310007-003
athomemarket Used - $616.99 0 Sep/04/14 Oct/04/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
farmoninc NEW - $200.00 0 Sep/08/14 Aug/16/16
Description: 3 AMAT 3300-00697 FTG Stem 3/8FNPT X Male WTM Disc Deso SS
exper-tech NEW - $35.00 0 Sep/09/14 Mar/01/19
Description: AMAT Applied Materials 3700-02353 Chemraz Greene Tweed O-ring, Lot of 3, New
yayais2012 Scrap, for parts - $250.00 0 Sep/10/14 Oct/10/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
trees_for_a_better_tomorrow NEW - $60.00 0 Oct/04/14 Oct/11/14
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $125.00 0 Oct/04/14 Oct/11/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
farmoninc NEW - $50.00 0 Oct/04/14 Nov/30/16
Description: 3 AMAT 3320-01163 GSKT VCR 1/4 SST CO NToured RTNR
capitolareatech NEW - $8.50 0 Sep/27/14 Dec/26/14
Description: LAM RESEARCH (LAM) 853-021959-001 Cable Assembly Cord AC Power 3 COND Shield
semiexpert NEW - $250.00 0 Oct/12/14 Nov/11/14
Description: AMAT 0010-09161 FINGER ASSY PEEK LONG WALKING BEAM LSG 3
athomemarket Used - $616.99 0 Oct/05/14 Nov/04/14
Description: Novellus 02-341029-00 Digital Dynamics Vector Extreme FE-HD +3 EIOC 1 Controller
athomemarket Used - $3,060.99 0 Oct/12/14 Nov/11/14
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $2,700.99 0 Oct/12/14 Nov/11/14
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
yayais2012 Scrap, for parts - $250.00 0 Oct/10/14 Nov/09/14
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
systasemi Used - $5,000.00 0 Dec/01/14 Dec/31/14
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
pete-sigep Used - $750.00 0 Nov/18/14 Dec/18/14
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
aaaportal Used - $99.49 1 Dec/03/14 Feb/11/16
Description: Lam Research 810-800256-106 Node Board PCB Control Type 3 Card with LCD Screen
farmoninc Used - $3,900.00 1 Dec/05/14 Dec/19/14
Description: RFPP LF-5 RF GENERATOR WITH ASTECH ATL-100RA RF MATCH, 3 CABLES, AMAT 0920-01014
capitolareatech NEW - $5.00 0 Dec/08/14 Jul/30/15
Description: Applied Materials (AMAT) 0720-90261 CONN.SOCKET 25 WAY CRIMP ***3 PACK***
capitolareatech NEW - $10.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 3700-90425 O RING BS 148 69.52 ID X 2.62. *** 3 PACK **
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/08/14 Dec/11/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
esolutions1 NEW - $269.10 0 Dec/09/14 Dec/21/14
Description: LOT OF 3 AMAT APPLIED MATERIALS 0020-13959 CAP, HTHU 8" HEATER NEW
yayais2012 Scrap, for parts - $250.00 0 Dec/11/14 Jan/10/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
athomemarket Used - $3,062.99 0 Dec/11/14 Jan/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $2,702.99 0 Dec/11/14 Jan/10/15
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/11/14 Dec/14/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
usedeqsales NEW - $309.11 1 Sep/17/14 Dec/11/14
Description: AMAT Applied Materials 0190-10292 8 Inch Upper Shield Bearing Lot of 3 New
visionsemi NEW - $65.00 1 Sep/25/14 Nov/13/14
Description: APPLIED MATERIALS AMAT SIMPLE CATHODE SHEET INSERT SHIM 0020-34316 lot of 3 ea
katiil3 Used - $690.00 1 Oct/20/14 Nov/13/14
Description: APPLIED MATERIALS AMAT 0010-13445 Monitor lot of 3
trees_for_a_better_tomorrow NEW - $200.00 0 Dec/14/14 Dec/21/14
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
farmoninc Used - $1,750.00 0 Dec/15/14 Sep/17/16
Description: AMAT 0190-00398 AGL D13450 Microwave Control Module 3 Kilowatt Controller 399627
supertechshop Used - $199.00 0 Dec/17/14 Jan/16/15
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
ace449parts2010 Used - $750.00 0 Dec/17/14 Oct/20/16
Description: 3 APPLIED MATERIALS 0040-09944 BELLOWS, GASLINE, NEW
bruce135 Used - $149.00 0 Dec/17/14 Jan/16/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
farmoninc NEW - $600.00 0 Dec/17/14 Aug/15/18
Description: 3 AMAT 0020-30560 Water Manifold, Wolfe Engr 10980208
alvin1462 NEW - $1,888.00 1 Dec/17/14 Dec/18/14
Description: 3 APPLIED MATERIALS 0040-09944 BELLOWS, GASLINE, NEW
semiexpert NEW - $250.00 0 Dec/18/14 Jan/17/15
Description: AMAT 0010-09161 FINGER ASSY PEEK LONG WALKING BEAM LSG 3
pete-sigep Used - $750.00 0 Dec/19/14 Jan/18/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
capitolareatech NEW - $600.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0040-23526 PLATE, VITON FACE SEAL REV 3 AL PROC SLI
capitolareatech NEW - $230.44 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0140-77110 Pad Cond 3 Power, Control-MNF
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-04098 MOLEX 22-03-5035 Connector HDR PIN PC MTG 3
capitolareatech NEW - $3.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-06835 CONN WEATHERTIGHT, MINI, 3 PIN, MALE, SO
capitolareatech NEW - $4.39 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90214 Connector, SKT Free 3 Pin
capitolareatech NEW - $748.86 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-48885 CKT BRKR,3 POLE,250 AMP
capitolareatech NEW - $240.99 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1060-00003 GROUP 3 FTR CONV RS232/ Fiber Optic VERSALI
capitolareatech NEW - $335.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00225 Andover Corp ANDV9046 FLTR OPTIC BANDPASS 3
capitolareatech NEW - $125.00 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 0900-00079 Shaffner FN 258P-55-34 3-Phase Filter, 3 X
capitolareatech NEW - $3.20 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01291 BUSSMANN BC6032S Fuse Block 2 POLE 600VAC 3
capitolareatech NEW - $18.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3060-90112 Bearing Track Role Stud Type, Pack of 3
capitolareatech NEW - $36.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3020-90051 SMC ECQ2B32-30D CQ2 COMPACT CYLINDER LIFT 3
capitolareatech NEW - $7.50 0 Dec/27/14 Jul/30/15
Description: LAM RESEARCH (LAM) 715-008004-001 ROCKER, 3 POSITION
capitolareatech NEW - $429.53 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10102 QUARTZ COVER, INNER, 6"STEP 3, ESC
capitolareatech NEW - $3.01 1 Sep/27/14 Dec/26/14
Description: LAM RESEARCH (LAM) 734-007334-001 O-RING ARM DRIVE ASSY 3 X 3/32", 2.987 ID X
mtd627 NEW - $30.00 0 Dec/29/14 Jan/28/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
athomemarket Scrap, for parts - $2,728.99 0 Dec/30/14 Jan/29/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
yayais2012 Used - $450.00 0 Jan/01/15 Jan/31/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 NEW - $75.00 0 Jan/01/15 Jan/31/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/02/15 Jan/09/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $100.00 0 Jan/02/15 Jan/09/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
farmoninc NEW - $120.00 1 Jan/03/15 Jan/21/15
Description: 3 AMAT 1140-00460 PSL PQ1 POWER QUALITY RELAY
supertechshop Used - $299.00 0 Jan/04/15 Feb/03/15
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
systasemi Used - $5,000.00 0 Jan/04/15 Feb/03/15
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
esolutions1 NEW - $99.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials 3870-00134 VALVE LOCK OUT 15-150PSIG 3PORT 1/4NPT 3 AMAT NEW
farmoninc NEW - $60.00 1 Jan/08/15 Jan/11/15
Description: 3 AMAT 3300-01722 Fitting, Parker SH3-62 Stainless Steel Quick Coupling 3/8"
farmoninc Used - $7,500.00 1 Jan/08/15 Jan/15/15
Description: CTI Cryogenics Helix 0116142G001, 8F Cryopump On-board, AMAT 0190-13372 3 phase
yayais2012 Scrap, for parts - $250.00 0 Jan/10/15 Feb/09/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
athomemarket Used - $2,986.99 0 Jan/10/15 Feb/09/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $2,627.99 0 Jan/10/15 Feb/09/15
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
wideerp01 Used - $1,300.00 0 Jan/12/15 Apr/08/15
Description: Applied Materials phase 3 robot 0010-76015 testee
ewastepals Used - $129.99 0 Jan/12/15 Aug/17/15
Description: Lam Research 716-044668-430 Lot Of 3
gigabitpartsolutions NEW - $500.00 0 Jan/13/15 Jul/06/15
Description: WATER MANIFOLD ASSY, SUPPLY, RPG CHBR, 3 0010-23425 AMAT
wenfeng2010 NEW - $30.00 0 Jan/14/15 Feb/13/15
Description: LOT OF 3 APPLIED MATERIAL PARTS, p/n: 0020-03469
bruce135 Used - $134.10 0 Jan/16/15 Feb/15/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pete-sigep Used - $750.00 0 Jan/19/15 Feb/18/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
supertechshop Used - $199.00 0 Jan/19/15 Feb/18/15
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
farmoninc Used - $25.00 0 Jan/19/15 Mar/02/23
Description: 3 AMAT 3300-02431 FTG, Fitting, Conn, Connector Male 3/8" OD 3/4" NPT Brass
usedeqsales NEW - $302.12 1 Feb/02/12 Jan/19/15
Description: Pall Ultikleen 20" Filter Cartridge ABF2UFT25EH15 lot of 3 T46141-20
trees_for_a_better_tomorrow NEW - $150.00 0 Jan/25/15 Feb/04/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
mtd627 NEW - $30.00 0 Jan/28/15 Feb/27/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
athomemarket Scrap, for parts - $2,677.99 0 Jan/29/15 Feb/28/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
yayais2012 Used - $405.00 0 Feb/01/15 Mar/03/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 NEW - $75.00 0 Feb/01/15 Mar/03/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
jlx580 NEW - $120.00 1 Feb/01/15 Jan/20/17
Description: LAM Research - 713-011980-001 HOUSING PLUNGER, Lot 3 Pcs
systasemi Used - $5,000.00 0 Feb/05/15 Mar/07/15
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
supertechshop Used - $299.00 0 Feb/05/15 Mar/07/15
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
trees_for_a_better_tomorrow NEW - $150.00 0 Feb/09/15 Feb/16/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
yayais2012 Scrap, for parts - $250.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
athomemarket Used - $2,986.99 0 Feb/09/15 Mar/11/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $2,627.99 0 Feb/09/15 Mar/11/15
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
dr.fantom NEW - $50.00 0 Feb/12/15 Dec/01/19
Description: Applied Materials 3300-01639 FTG TBG UN TEE 3 X 3/8T 316 SST
dr.fantom Used - $180.00 0 Feb/12/15 Dec/01/19
Description: LOT OF 3 Applied Materials 0150-35708 ktc cable
wenfeng2010 NEW - $30.00 0 Feb/13/15 Mar/15/15
Description: LOT OF 3 APPLIED MATERIAL PARTS, p/n: 0020-03469
bruce135 Used - $149.00 0 Feb/17/15 Mar/19/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pete-sigep Used - $750.00 0 Feb/18/15 Mar/20/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
supertechshop Used - $199.00 0 Feb/19/15 Mar/21/15
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
dr.fantom NEW - $75.00 1 Feb/23/15 Sep/22/17
Description: Lot of 3 Applied Materials 0021-16908 DAMPING GASKET
athomemarket Scrap, for parts - $2,677.99 0 Feb/28/15 Mar/30/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
trees_for_a_better_tomorrow NEW - $85.00 0 Mar/01/15 Mar/08/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
grandbirdnet Used - $1,200.00 3 Mar/01/15 Sep/06/21
Description: AMAT 0045-00126 PUMPING CHANNEL, ANODIZED, PRODUCER 200M, 3 PIECE , NEW
trees_for_a_better_tomorrow NEW - $150.00 0 Mar/02/15 Mar/09/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
wideerp01 NEW - $200.00 0 Mar/04/15 Nov/11/15
Description: Applied Materials Qtz Insert Inject, 3 zone Part# 0200-35916
mtd627 NEW - $30.00 0 Mar/05/15 Mar/15/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
yayais2012 NEW - $75.00 0 Mar/05/15 Apr/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 Used - $450.00 0 Mar/08/15 Apr/07/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
supertechshop Used - $299.00 0 Mar/08/15 Apr/07/15
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
systasemi Used - $5,000.00 0 Mar/09/15 Apr/08/15
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
gigabitpartsolutions NEW - $27.50 1 Mar/10/15 May/17/16
Description: Gasket NOVELLUS 19-163075-00 MOD,1/4 VCR GSKT,ORIFICE,.103 PKG 3
yayais2012 Scrap, for parts - $250.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
athomemarket Used - $2,627.99 0 Mar/11/15 Apr/10/15
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $2,986.99 0 Mar/11/15 Apr/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
offerandown NEW - $305.00 1 Mar/13/15 Mar/20/15
Description: Set of 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVO DRIVES
wenfeng2010 NEW - $30.00 0 Mar/15/15 Apr/14/15
Description: LOT OF 3 APPLIED MATERIAL PARTS, p/n: 0020-03469
usedeqsales NEW - $603.15 0 Mar/16/15 May/04/15
Description: AMAT Applied Materials 3400-00301 300mm RPG Chamber Hose Lot of 3 New
mtd627 NEW - $27.00 0 Mar/17/15 Mar/27/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
bruce135 Used - $149.00 0 Mar/19/15 Apr/18/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
offerandown NEW - $250.00 0 Mar/20/15 Mar/27/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVO DRIVES
pete-sigep Used - $750.00 0 Mar/20/15 Apr/19/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
supertechshop Used - $199.00 0 Mar/24/15 Apr/23/15
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
onlinesemi Used - $499.88 0 Mar/26/15 Mar/02/23
Description: Lot Of 3, AMAT 3870-03341 Ham-Let HMS20-4VKLQ-LD Valve, Shipsameday R#18
offerandown NEW - $250.00 1 Mar/27/15 Apr/03/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVO DRIVES
athomemarket Scrap, for parts - $2,677.99 0 Mar/30/15 Apr/29/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
yayais2012 NEW - $75.00 0 Apr/04/15 May/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
mtd627 NEW - $27.00 0 Apr/05/15 May/05/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
yayais2012 Used - $450.00 0 Apr/08/15 May/08/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
xl-t_com NEW - $3.00 0 Apr/09/15 Aug/31/16
Description: LAM Research - 734-000799-001 - O-RING 3 1/4 X 1/8 C/S VITON
offerandown NEW - $250.00 0 Apr/10/15 Apr/17/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVO DRIVES
yayais2012 Scrap, for parts - $250.00 0 Apr/10/15 May/10/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
athomemarket Used - $2,986.99 0 Apr/10/15 May/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $2,627.99 0 Apr/10/15 May/10/15
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
offerandown Used - $199.00 0 Apr/13/15 May/13/15
Description: 3 VEXTA PH265-05B-C1 2-Phase S/ Motors w/ dual ended 1/4" shaft AMAT 0090-09003
farmoninc Used - $450.00 0 Apr/16/15 Mar/02/23
Description: 3 New AMAT 0190-09918 Lip Seal, Oring 401315
gigabitpartsolutions NEW - $823.90 0 Apr/17/15 Jun/10/16
Description: OEM Part Applied Materails (AMAT) 0040-13730 ASSY,REV 3 BELLOWS/YOKE NCR SLIT VA
offerandown NEW - $250.00 0 Apr/17/15 Apr/24/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVOPACKS
pete-sigep Used - $750.00 0 Apr/19/15 May/19/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Apr/20/15 May/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
farmoninc NEW - $200.00 0 Apr/22/15 Feb/09/18
Description: AMAT 0200-35159 Baffle Inject, 3 Zone, 401476
redbarnstuff Used - $24.99 1 Mar/24/15 Apr/22/15
Description: Whitey SS-43XVCR4 3 Way Ball Valve
icru2 NEW - $17.50 0 Apr/24/15 May/04/15
Description: Timken Torrington FNTA-2035 Needle Bearing 3 piece lot
offerandown NEW - $250.00 0 Apr/24/15 May/01/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVOPACKS
athomemarket Scrap, for parts - $2,677.99 0 Apr/29/15 May/29/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
gigabitpartsolutions NEW - $445.50 0 Apr/30/15 Mar/26/16
Description: Valve Applied Materails (AMAT) 0040-13888 PLATE,VITON FACE SEAL REV 3 AL LLC SLI
offerandown NEW - $355.00 1 May/01/15 May/03/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVOPACKS
offerandown NEW - $250.00 1 May/03/15 May/10/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVOPACKS
yayais2012 NEW - $75.00 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
systasemi Used - $5,000.00 0 May/06/15 Jun/05/15
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
yayais2012 Used - $450.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
offerandown NEW - $250.00 0 May/10/15 May/17/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVOPACKS
yayais2012 Scrap, for parts - $250.00 0 May/10/15 Jun/09/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
athomemarket Used - $2,627.99 0 May/11/15 Jun/10/15
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $2,986.99 0 May/11/15 Jun/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
used1eqsales Used - $4,005.15 1 May/12/15 May/13/15
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura AMAT used sold as is
svcompucycle Used - $299.00 0 May/13/15 Jun/12/15
Description: Lot 3 AMAT Ring 300mm Ceramic Liner DSP APC 0200-02349 / 0200-00189 / 0200-03969
used1eqsales Used - $4,005.15 1 May/14/15 May/14/15
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura P500 AMAT used sold as i
athomemarket Used - $64.99 0 May/14/15 Jun/13/15
Description: (Lot of 3) CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless
farmoninc NEW - $575.00 0 May/15/15 Oct/12/15
Description: 3 AMAT 0150-09616 SPAN IPS 122 TYPE 1 8-30VDC 12W CABLE PRESSURE SWITCH A 401889
offerandown NEW - $250.00 0 May/18/15 May/25/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVOPACKS
svcompucycle Used - $199.00 0 May/19/15 Jun/18/15
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
pete-sigep Used - $750.00 0 May/19/15 Jun/18/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 May/20/15 Jun/19/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
offerandown NEW - $250.00 0 May/25/15 Jun/01/15
Description: 3 AMAT 0870-01010 (NIB) YASKAWA ELECTRIC SGDA-01AS AC SERVOPACKS
farmoninc NEW - $300.00 0 May/29/15 Oct/26/15
Description: 3 novellus 03-120926-00 MFC, interface cable for 4 MFC mass flow controller
athomemarket Scrap, for parts - $2,677.99 0 May/30/15 Jun/29/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
mister_harddrive NEW - $39.95 0 Jun/01/15 Oct/20/17
Description: Applied Materials AMAT 0040-01260 Magnet, LP 3 Strong - #560
offerandown NEW - $250.00 0 Jun/01/15 Jun/08/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
gigabitpartsolutions NEW - $27.50 0 Jun/02/15 Apr/22/20
Description: MFC Talon 220-34262 LAM RESEARCH (LAM) 765-037590-001 K1S, MFC FLANGE CAP PKG 3
gigabitpartsolutions NEW - $2,198.90 0 Jun/02/15 Jun/26/16
Description: OEM Part NOVELLUS 15-127251-00 AIN-PEC AND CONCEPT 3 SPEED INJECTOR SET (36 PIEC
mtd627 NEW - $27.00 0 Jun/05/15 Jul/05/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
visionsemi NEW - $55.00 0 Jun/05/15 Jun/29/16
Description: LAM RESEARCH 734-007524-290 CHEMRAZ O-RING, SSE38, 9.880ID 3 PACK
yayais2012 NEW - $75.00 0 Jun/06/15 Jul/06/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
systasemi Used - $5,000.00 0 Jun/08/15 Jul/08/15
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
gigabitpartsolutions NEW - $49.50 0 Jun/08/15 Jun/02/16
Description: OEM Part Novellus 15-260932-04 Shim, Lift Pin 8.0-2in, Sapphire PKG 3
offerandown NEW - $250.00 1 Jun/08/15 Jun/15/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
yayais2012 Used - $450.00 0 Jun/09/15 Jul/09/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 Scrap, for parts - $250.00 0 Jun/10/15 Jul/10/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
athomemarket Used - $2,627.99 0 Jun/10/15 Jul/10/15
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $2,986.99 0 Jun/10/15 Jul/10/15
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $64.99 1 Jun/13/15 Jun/19/15
Description: (Lot of 3) CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless
offerandown NEW - $250.00 1 Jun/16/15 Jun/23/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
svcompucycle Used - $199.00 0 Jun/18/15 Jul/18/15
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
pete-sigep Used - $750.00 0 Jun/19/15 Jul/19/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Jun/22/15 Jul/22/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
offerandown NEW - $250.00 0 Jun/23/15 Jun/30/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
athomemarket Scrap, for parts - $2,677.99 1 Jun/29/15 Dec/04/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $64.99 0 Jul/02/15 Nov/03/15
Description: (Lot of 3) CKD Valves AMAT 3870-03348 Manual Diaphragm Valve 1/4" VCR Stainless
offerandown NEW - $250.00 0 Jul/02/15 Jul/09/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
mtd627 NEW - $27.00 0 Jul/05/15 Aug/04/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
usedeqsales Scrap, for parts - $157.15 0 Jul/09/15 Dec/06/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24834 Lot of 3 As-Is
yayais2012 NEW - $75.00 0 Jul/09/15 Aug/08/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 Used - $450.00 0 Jul/09/15 Aug/08/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
athomemarket Used - $2,986.99 0 Jul/10/15 Jun/29/17
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $2,627.99 0 Jul/10/15 Dec/07/15
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
yayais2012 Scrap, for parts - $250.00 0 Jul/11/15 Aug/10/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
offerandown NEW - $250.00 1 Jul/11/15 Jul/18/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
systasemi Used - $5,000.00 0 Jul/14/15 Aug/13/15
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
usedeqsales Used - $257.15 0 Jul/15/15 Jul/06/22
Description: AMAT Applied Materials 0015-02570 FTG Elbow 90 Degree Lot of 3 New
offerandown NEW - $250.00 0 Jul/19/15 Jul/26/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
pete-sigep Used - $750.00 0 Jul/19/15 Aug/18/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Jul/22/15 Aug/21/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
offerandown NEW - $250.00 0 Jul/28/15 Aug/04/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
trees_for_a_better_tomorrow NEW - $75.00 0 Jul/28/15 Aug/04/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
gigabitpartsolutions NEW - $49.50 0 Jul/29/15 Mar/26/16
Description: OEM Part Novellus 15-260932-01 SHIM, LIFT PIN, 5.0E-2IN, SPAHIRE PKG 3
trees_for_a_better_tomorrow NEW - $50.00 0 Jul/30/15 Aug/06/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
svcompucycle Used - $199.00 0 Aug/03/15 Sep/02/15
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
mtd627 NEW - $27.00 0 Aug/05/15 Sep/04/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
offerandown NEW - $250.00 0 Aug/05/15 Aug/12/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
electronicpals Used - $39.99 0 Aug/07/15 Sep/06/15
Description: 833-800999-067 Rev. A 2J2A Lam Research Patch Cable Lot Of 3 Used
yayais2012 NEW - $75.00 0 Aug/11/15 Sep/10/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
offerandown NEW - $250.00 0 Aug/12/15 Aug/19/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
yayais2012 Used - $450.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 Scrap, for parts - $250.00 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
systasemi Used - $5,000.00 0 Aug/16/15 Sep/15/15
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
pete-sigep Used - $750.00 0 Aug/19/15 Sep/18/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Aug/21/15 Sep/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
offerandown NEW - $250.00 0 Aug/22/15 Aug/29/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
ajnjj Used - $390.00 0 Aug/25/15 Sep/24/15
Description: AMAT Applied Materials 0100-00398 Rev 3 SEI Board Original Packaging - Clean
gigabitpartsolutions NEW - $33.00 0 Aug/27/15 Mar/25/16
Description: O-Ring GREEN TWEED 9208-SSE38 LAM RESEARCH (LAM) 734-007524-208 CHEMRAZ PKG 3
intek22 Scrap, for parts - $99.99 0 Aug/28/15 Sep/27/15
Description: Lot of 3 Applied Materials Power Supplies - HF10-783 / 1140-00480 & 101294-01
offerandown NEW - $250.00 0 Sep/01/15 Sep/08/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
svcompucycle Used - $199.00 0 Sep/02/15 Oct/02/15
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
mtd627 NEW - $27.00 0 Sep/04/15 Oct/04/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
offerandown NEW - $250.00 0 Sep/08/15 Sep/15/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
yayais2012 NEW - $75.00 0 Sep/11/15 Oct/11/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
yayais2012 Scrap, for parts - $250.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $450.00 0 Sep/14/15 Oct/14/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
offerandown NEW - $355.00 1 Sep/15/15 Sep/17/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
gigabitpartsolutions NEW - $38.50 0 Sep/16/15 Nov/15/15
Description: Adaptor Applied Materails (AMAT) 0150-05435 FLEX 3 E84 POD D LOOPBACK ADAPTOR
gigabitpartsolutions NEW - $8.80 0 Sep/17/15 Jun/13/16
Description: Switch LAM RESEARCH (LAM) 661-090296-008 LENS, ROUND WHITE PKG 3
gigabitpartsolutions NEW - $27.50 0 Sep/17/15 Jun/13/16
Description: Seal Novellus 22-120472-00 C,2-PORT,TOP,NI PKG 3 Perkin elmer 16-06985
offerandown NEW - $250.00 0 Sep/17/15 Sep/24/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
gigabitpartsolutions NEW - $27.50 0 Sep/17/15 Jun/13/16
Description: OEM Part LAM RESEARCH (LAM) 714-011222-001 SCREEN VACUUM PORT LL PKG 3
pete-sigep Used - $750.00 0 Sep/18/15 Oct/18/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Sep/21/15 Oct/21/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
offerandown NEW - $100.00 0 Sep/24/15 Oct/01/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
ajnjj Used - $390.00 0 Sep/24/15 Oct/24/15
Description: AMAT Applied Materials 0100-00398 Rev 3 SEI Board Original Packaging - Clean
intek22 Scrap, for parts - $49.99 1 Sep/28/15 May/31/17
Description: Lot of 3 Applied Materials Power Supplies - HF10-783 / 1140-00480 & 101294-01
systasemi Used - $5,000.00 0 Sep/30/15 Oct/30/15
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
offerandown NEW - $102.50 1 Oct/01/15 Oct/08/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
usedparts-pk Used - $459.99 1 Oct/02/15 Nov/18/15
Description: LAM NODE BOARD TYPE 3 ASSY : 810-800256-004 REV.E
auctionrus NEW - $75.00 1 Oct/02/15 Mar/15/23
Description: 3 AMAT 0020-34055 Screw, Captive, 410074
svcompucycle Used - $199.00 0 Oct/02/15 Nov/01/15
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
frostliquidation Used - $75.00 0 Oct/05/15 Nov/04/15
Description: SANYO DENKI PMDPC1C3PA1 TYPE C 5-PHASE PM DRIVER / AMAT 1080-00153 - LOT OF 3
mtd627 NEW - $27.00 0 Oct/07/15 Nov/06/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
used1eqsales Used - $310.15 0 Oct/08/15 Nov/07/15
Description: AMAT 0020-70497 2-D PSD Sensors 0020-53481 Lot of 3 AMAT Quantum X used working
offerandown NEW - $122.50 1 Oct/08/15 Oct/15/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
yayais2012 NEW - $75.00 0 Oct/11/15 Nov/10/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
bobsgoodies2 NEW - $31.00 0 Oct/15/15 Mar/31/22
Description: AMAT Applied Materials 3700-02749 ORING ID 2.234 CSD .139 VITON 75 DURO UHP (3)
yayais2012 Used - $450.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
yayais2012 Scrap, for parts - $250.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
offerandown NEW - $82.00 1 Oct/16/15 Oct/23/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
electronicpals Used - $39.99 0 Oct/18/15 Nov/16/15
Description: 833-800999-067 Rev. A 2J2A Lam Research Patch Cable Lot Of 3 Used
pete-sigep Used - $750.00 0 Oct/19/15 Nov/18/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Oct/21/15 Nov/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
offerandown NEW - $109.49 1 Oct/23/15 Oct/30/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
ajnjj Used - $390.00 1 Oct/24/15 Nov/14/15
Description: AMAT Applied Materials 0100-00398 Rev 3 SEI Board Original Packaging - Clean
ssssayag NEW - $250.00 0 Oct/26/15 Nov/25/15
Description: Applied Materials AMAT 0020-75731 Water Manifold 3 PTFE HDP-CVD
usedeqsales Used - $130.15 0 Oct/27/15 Nov/26/15
Description: Turck VB 804Z-* Junction Box AMAT 0090-03978 Lot of 3 Used Working
offerandown NEW - $122.50 1 Oct/30/15 Nov/06/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
systasemi Used - $5,000.00 0 Nov/04/15 Dec/04/15
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
offerandown NEW - $179.50 1 Nov/06/15 Nov/13/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
mtd627 NEW - $27.00 0 Nov/09/15 Dec/09/15
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
auctionrus NEW - $250.00 0 Nov/10/15 Mar/30/17
Description: AMAT 0240-00593 KIT SPARES LEVEL ONE 3 MONTH PM-BASIC. 411090
usedeqsales Used - $261.15 0 Nov/10/15 Nov/13/15
Description: UNIT Instruments UFC-1660 Mass Flow Controller AMAT 3030-01881 3 SLM N2 Used
gemrkim1109 Used - $515.00 2 Nov/10/15 Apr/21/17
Description: Lam NODE BOARD TYPE 3 810-800256-107 REV C / Free Expedited Shipping
gemrkim1109 Used - $320.00 1 Nov/10/15 Feb/06/17
Description: Lam NODE BOARD TYPE 3 ASSY: 810-800256-005 / Free Expedited Shipping
yayais2012 NEW - $75.00 0 Nov/10/15 Dec/10/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
offerandown NEW - $163.50 1 Nov/13/15 Nov/20/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
yayais2012 Scrap, for parts - $250.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
yayais2012 Used - $450.00 0 Nov/14/15 Dec/14/15
Description: APPLIED MATERIALS LEAK DET CONFIG ASSY 0100-35563 REV 001 LOT OF 3
bobsgoodies NEW - $517.00 0 Nov/18/15 Aug/09/17
Description: SMC Digital Flow Switch Assy, PF2W720-03-27-Q, (Assembly of 3), 0010-00013
partskorea1 Used - $499.00 4 Nov/18/15 Jan/06/16
Description: Lam Research Node Board Type 3 810-800256-004
pete-sigep Used - $750.00 0 Nov/18/15 Dec/18/15
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
surplusssam NEW - $12.99 1 Nov/20/15 Aug/30/16
Description: 3 NEW APPLIED MATERIALS 3320-01165 NICKEL GASKETS 1/4" VCR PARKER 50-4V-NI-R
bruce135 Used - $149.00 0 Nov/20/15 Dec/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
bobsgoodies Used - $1,800.00 0 Nov/21/15 Nov/24/15
Description: AMAT MIRRA 0240-77490 Assy Yaskawa Servo Motor SGMS-50A6AB SH10VA/P04U3 #3
offerandown NEW - $89.89 1 Nov/24/15 Dec/01/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
ssssayag NEW - $198.00 1 Nov/25/15 Dec/15/15
Description: Applied Materials AMAT 0020-75731 Water Manifold 3 PTFE HDP-CVD
tdindustrial Used - $50.00 0 Nov/27/15 Nov/19/21
Description: (H5) AMAT 0050-04758 Rev P3, FORLINE #3, COMMON 316L, 5200 IPS/SHIMAD, 10430100
ab-international NEW - $100.00 0 Nov/28/15 Dec/05/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
offerandown NEW - $159.50 1 Dec/02/15 Dec/09/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
ab-international NEW - $40.00 0 Dec/06/15 Dec/16/15
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
ab-international NEW - $100.00 0 Dec/06/15 Dec/13/15
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
athomemarket Used - $70.99 2 Jun/17/15 Nov/28/15
Description: Lam Research Type 3 Node Board 810-800256 w/ Echelon 50020R-10 Daughter Card
pete-sigep Used - $750.00 0 Dec/21/15 Jan/20/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
systasemi Used - $5,000.00 0 Dec/08/15 Jan/07/16
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
jllanning NEW - $550.00 1 Nov/25/15 Dec/29/15
Description: Hitachi, Ltd WJ200-055HF 400 volt, 3 phase,7.5CT (10VT)HP, 14.8CT inverter vfd
youngauctioneer Used - $149.95 1 Oct/31/14 Dec/23/15
Description: LOT OF 3 HITACHI MBM400HR6G POWER MODULE USED (A04)
offerandown NEW - $26.00 1 Dec/30/15 Jan/06/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
offerandown NEW - $152.50 1 Dec/23/15 Dec/30/15
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
capitolareatech NEW - $350.00 3 Dec/27/14 Dec/30/15
Description: YAMADA NDP-5FPT DIAPHRAGM PUMP PolyPo 3 GPM
kiera512 NEW - $89.00 0 Jan/04/16 Jan/11/16
Description: Millipore Filter # PFFG 01D 8S, 0.2um *NIB* 5 boxes of 3 filters per box
offerandown NEW - $81.00 1 Jan/06/16 Jan/13/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
mtd627 NEW - $20.25 0 Jan/08/16 Feb/07/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
y.t.r2011 NEW - $200.00 0 Jan/09/16 Jun/07/16
Description: New AMAT Applied Materials 0021-78086 Plate, Output, Wafer Station 3
yayais2012 NEW - $75.00 0 Jan/12/16 Feb/11/16
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
supertechshop Used - $74.95 0 Jan/12/16 Feb/11/16
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
systasemi Used - $5,000.00 0 Jan/13/16 Feb/12/16
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
yayais2012 Scrap, for parts - $250.00 0 Jan/14/16 Jul/12/16
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
ab-international NEW - $40.00 0 Jan/14/16 Jan/24/16
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
offerandown NEW - $501.00 1 Jan/15/16 Jan/22/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
bruce135 Used - $149.00 0 Jan/20/16 Feb/19/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pete-sigep Used - $750.00 0 Jan/20/16 Feb/19/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
ecomicron NEW - $1,900.00 0 Jan/21/16 Apr/03/18
Description: 0190-35791, Applied Materials, MULTIPLEXED I/O CONTROL BD, AUX 3
capitolareatech NEW - $102.94 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90304 SMC VZ110-5MNZ-M5 Valve, MIN. Solenoid, 3 P
capitolareatech NEW - $46.98 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90166 SMC VZ512-5G-01 VALVE, 3 PORT N/C PNEU SOLE
capitolareatech NEW - $119.54 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90114 VALVE DOUBLE SOLENOID 3 POSN
capitolareatech NEW - $35.26 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03848 SMC SYJA314-M5 3 Port Air Operated Valve; n
capitolareatech NEW - $231.81 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01195 PARKER V405P-6-8 VALVE TRUCK ANGLE 1/2MPT 3
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01094 Swagelok SS-4BK-10-9ALAA VALVE PNEU BLWS 3
capitolareatech NEW - $751.56 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00559 EDWARDS VACUUM NXC 28400 VALVE, 3 WAY
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01596 HTR JKT 30 MIL B LAYER ZONE 3 USG CHAMBE
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01595 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01594 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00012 BRISK HEAT APM215010 Heater Jacket Liquid 3
capitolareatech NEW - $368.40 0 Jan/23/16 Feb/24/16
Description: NOVELLUS 15-167054-01 SHOWERHEAD, VERSION 3, STATION 2-5,GAM
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-00295 ALLEN-BRADLEY 100-C30DJ10 Relay Contactor 3
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00225 Andover Corp ANDV9046 FLTR OPTIC BANDPASS 3
capitolareatech NEW - $359.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1060-00003 GROUP 3 FTR CONV RS232/ Fiber Optic VERSALI
capitolareatech NEW - $1,200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01611 TURCK RS 4.4T-0.3 Cable Assembly DNET I/O 3
capitolareatech NEW - $130.98 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01157 AIRPAX 229-3-1-66-8-7-35 CB MAG 3P 480VAC 3
capitolareatech NEW - $73.71 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-90022 WESTINGHOUSE HQP3030H CIRCUIT 3 POLE 30 AMP
capitolareatech NEW - $275.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-42314 Finger Assembly Peek, Long Walking Beam 3
capitolareatech NEW - $275.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-42315 Finger Assembly Peek Short Walking Beam 3
us-dealway NEW - $1,499.99 2 Jan/24/16 Feb/09/16
Description: AMAT 3870-02365 3 WAY N.C. MODULE Mirra CMP Pneumatic Module
auctionrus NEW - $495.00 0 Jan/26/16 Dec/26/21
Description: AMAT 0140-02576, Harness, Assembly, Serial/Source Interlock, 3., 413877
gigabitpartsolutions NEW - $82.50 0 Jan/28/16 Jun/26/16
Description: OEM Part Applied Materails (AMAT) 0021-17450 BLOCK, PRESSURE GAUGE, ANNL CHMBR 3
offerandown NEW - $54.00 1 Jan/29/16 Feb/05/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
best4industrie Used - $1,199.00 1 Jan/31/16 Feb/26/18
Description: AKT XP 101540-04 0190-73524 REV 3 UPS AMAT Applied Materials
offerandown NEW - $91.00 1 Feb/05/16 Feb/10/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
mtd627 NEW - $22.95 0 Feb/09/16 Mar/10/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
offerandown NEW - $57.00 1 Feb/10/16 Feb/17/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
ypspare NEW - $75.00 1 Feb/11/16 Apr/01/17
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
eisale1535 Used - $3,890.00 0 Feb/11/16 May/05/17
Description: AMAT 0010-13068 Rev 3 Plasma cell Assy, EPD, ENDPOINT DETECTOR PRODUCER
supertechshop Used - $74.95 0 Feb/12/16 Mar/13/16
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
bruce135 Used - $149.00 0 Feb/19/16 Mar/20/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pete-sigep Used - $750.00 0 Feb/19/16 Mar/20/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
systasemi Used - $5,000.00 0 Feb/18/16 Mar/19/16
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
offerandown NEW - $100.01 1 Feb/24/16 Mar/02/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
capitolareatech NEW - $2,800.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-03060 300MM SST PR CH SLIT VALVE DR REV 3 ASSE
capitolareatech NEW - $35.00 0 Feb/24/16 Mar/26/16
Description: Applied Materials 0020-37548 Block, Spacer, 3 COND Fuse Holder
capitolareatech NEW - $38.96 0 Feb/25/16 Jun/24/16
Description: AMAT 0140-21007 HARNESS 3 POS EXTENSION 4 FT
capitolareatech NEW - $125.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0200-02929 Insert Ring, Columnar SI, #1, Step .06, 3
capitolareatech NEW - $73.71 0 Feb/25/16 Jun/24/16
Description: AMAT 0680-90022 CIRCUIT 3 POLE 30 AMP
capitolareatech NEW - $150.00 0 Feb/25/16 Jun/24/16
Description: AMAT 0680-01619 Cutler-Hammer QC2035T Circuit Breaker; MAG THERM 2P 120/240VAC 3
capitolareatech NEW - $280.88 0 Feb/25/16 Jun/24/16
Description: AMAT 0680-00665 Circuit Breaker 3 Pole T1(50A)
capitolareatech NEW - $120.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1410-01595 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $120.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1410-01594 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $225.00 0 Feb/25/16 Jun/24/16
Description: AMAT 1410-00012 Heater Jacket Liquid 3WAY Valve, 115V, 0.28A, Size: 0.9 x 6.6, 3
capitolareatech NEW - $368.40 0 Feb/25/16 Jun/24/16
Description: NOVELLUS 15-167054-01 SHOWERHEAD, VERSION 3, STATION 2-5,GAM
capitolareatech NEW - $102.94 0 Feb/25/16 Jun/24/16
Description: AMAT 3870-90304 Valve, MIN. Solenoid, 3 PORT N/C, 0~0.7MPa
capitolareatech NEW - $46.98 0 Feb/25/16 Jun/24/16
Description: AMAT 3870-90166 VALVE, 3 PORT N/C PNEU SOLENOID
capitolareatech NEW - $119.54 0 Feb/25/16 Jun/24/16
Description: AMAT 3870-90114 SMC VZ5423-5MN-01 VALVE DOUBLE SOLENOID 3 POSN
capitolareatech NEW - $35.26 0 Feb/25/16 Jun/24/16
Description: AMAT 3870-03848 3 Port Air Operated Valve; normally closed, Port Size: M5 Port W
usedeqsales Used - $252.16 1 Feb/26/16 Aug/22/16
Description: AMAT Applied Materials 0010-04235 Servo Motor Vexta PK243A2A-SG36 Lot of 3 Used
capitolareatech NEW - $1,372.17 0 Feb/29/16 Jun/28/16
Description: AMAT 0090-20361 ELEC ASSY WIDE BODY, 3 & C HTESC
capitolareatech NEW - $206.93 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-06748 Weldment 5RA Supply SLD 3 CHMBR Line B&C
capitolareatech NEW - $206.93 0 Feb/29/16 Jun/28/16
Description: AMAT 0050-06747 WELDMENT 5RA SUPPLY SLD 3 CHMBR LINE A&B
ecomicron NEW - $1,800.00 0 Mar/01/16 Feb/07/18
Description: 0660-01879, AMAT, APPLIED MATERIALS, CARD DEVICENET DIGITAL I/O CONTROLLER 3
dr.dantom NEW - $120.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0190-17725 PURCHASE SPECIFICATION 300MM ENDURA REV 3 EQU.
offerandown NEW - $100.00 1 Mar/02/16 Mar/09/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
offerandown Used - $42.00 1 Mar/09/16 Mar/14/16
Description: 3 VEXTA PH265-05B-C12 STEPPER MOTORSHP HEDS-5600 E06 ENCODERS AMAT PN 0090-75009
ecomicron NEW - $100.00 0 Mar/10/16 Oct/30/18
Description: 0090-20042, AMAT, ASSY,3 WAY VALVE
mtd627 NEW - $24.30 0 Mar/10/16 Apr/09/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
prism_electronics2 Used - $499.99 1 Mar/11/16 Jan/18/17
Description: Lam Research NODE BOARD TYPE 3 ASSY 810-800256-005 Rev F
visionsemi NEW - $229.00 2 Mar/11/16 Oct/10/17
Description: AMAT STEC MASS FLOW CONTROLLER SEC-7340 HORIBA N2 3 SLM 3030-11041
supertechshop Used - $74.95 1 Mar/14/16 Mar/22/16
Description: Lot 3 AMAT 0100-00014 Detect Board OPTO Isolator 10-Channel Module / Warranty
capitolareatech NEW - $250.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0150-11927 CABLE,POWER,SMC 496 CHILLER 3
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0020-22518 Buss Bar 400 Amp CB Line #3
capitolareatech NEW - $50.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0020-22518 Buss Bar 400 Amp CB Line #3
pete-sigep Used - $750.00 0 Mar/21/16 Apr/20/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Mar/21/16 Apr/20/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
partskorea1 Used - $499.00 0 Mar/25/16 Dec/07/16
Description: Lam Research Node Board Type 3 810-800256-004
gigabitpartsolutions NEW - $33.00 1 Mar/26/16 Jan/31/20
Description: O-Ring GREEN TWEED 9208-SSE38 LAM RESEARCH (LAM) 734-007524-208 CHEMRAZ PKG 3
outback6stk Scrap, for parts - $1,500.00 0 Mar/28/16 Apr/04/16
Description: D125569 AMAT 0010-07727 FIC 3 Port Revision:1 Controller CP3308-S000297
outback6stk NEW - $100.00 0 Apr/01/16 Apr/08/16
Description: Z125754 Applied Materials AMAT 0020-19973 Hoop 300MM w/ (3) 0020-27999 - NEW
offerandown NEW - $68.89 1 Apr/04/16 Apr/07/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
capitolareatech NEW - $20.00 0 Apr/06/16 Jul/05/16
Description: AMAT 3300-02730 FTG NIPPLE HEX 3/4 *** 3 PACK ***
capitolareatech NEW - $20.00 0 Apr/06/16 Jul/05/16
Description: AMAT 3700-90419 O-RING BS147 ***3 PACK***
outback6stk Scrap, for parts - $600.00 1 Apr/07/16 Dec/05/16
Description: D125569 AMAT 0010-07727 FIC 3 Port Revision:1 Controller CP3308-S000297
offerandown NEW - $99.50 1 Apr/07/16 Apr/14/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
outback6stk NEW - $75.00 1 Apr/11/16 Mar/09/17
Description: Z125754 Applied Materials AMAT 0020-19973 Hoop 300MM w/ (3) 0020-27999 - NEW
mtd627 NEW - $27.00 0 Apr/11/16 May/11/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
systasemi Used - $5,000.00 0 Apr/11/16 May/11/16
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
onlinesmt Refurbished - $45.00 0 Apr/19/16 May/19/16
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT) – Lot of Three 3
bobsgoodies2 Used - $875.00 0 Apr/20/16 Mar/31/22
Description: AMAT 0021-12747 Rev 3 BRACKET,CH SPRT,FRONT,RIGHT, 300MM EMAX
bruce135 Used - $149.00 0 Apr/20/16 May/20/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
capitolareatech NEW - $14.35 0 Apr/20/16 Sep/15/16
Description: AMAT 0720-03019 Twist Lock Receptacle, 30A, 125V, 2 Pole 3 Wire Grounding
capitolareatech NEW - $17.36 0 Apr/20/16 Sep/15/16
Description: AMAT 3870-01454 Solenoid Valve, 3 Port, Series NVJ100 N.O., 24VDC, 0~71PSI, VAC.
capitolareatech NEW - $17.19 0 Apr/20/16 Sep/15/16
Description: AMAT 3400-90011 1" I.D. (25mm), 565-PSI, FLEXOR 3, SAE 100R3 EN854 R3-DN25-
capitolareatech NEW - $10.00 0 Apr/21/16 Sep/15/16
Description: AMAT 0020-70181 STOP DOOR SIDE DLL *** 3 PACK ***
capitolareatech NEW - $30.00 0 Apr/21/16 Sep/15/16
Description: AMAT 0010-91852 LATCH ROLLER ASSY (SHORT) ***3 PACK***
capitolareatech NEW - $15.00 0 Apr/21/16 Sep/15/16
Description: AMAT 1370-01008 TRANSISTOR, 2N4400 ***3 PACK***
pete-sigep Used - $750.00 0 Apr/21/16 May/21/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
offerandown NEW - $77.60 1 Apr/21/16 Apr/28/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
offerandown NEW - $67.89 1 Apr/28/16 May/05/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
ecomicron NEW - $1,200.00 0 Apr/28/16 Jun/02/16
Description: 0226-44356, AMAT, UNIT- MFC UNIT 1400 3 SLM HE
capitolareatech NEW - $18.00 0 May/02/16 Jul/01/16
Description: AMAT 3700-01894 SEAL CTR RING ASSY NW25 W/SILICONE ORING SST ***3 PACK***
capitolareatech NEW - $15.00 0 May/02/16 Jul/01/16
Description: AMAT 1290-01202 TERM BLK 2 TERM FEEDTHRU 20 A *** 3 PACK ***
capitolareatech NEW - $3.01 0 May/02/16 Jul/01/16
Description: LAM 734-007334-001 O-RING ARM DRIVE ASSY 3 X 3/32", 2.987 ID X .103
offerandown NEW - $105.23 1 May/05/16 May/12/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
ecomicron NEW - $1,500.00 0 May/06/16 Jun/22/23
Description: 3030-01538, AMAT, UNIT, MFM UNIT 9150 3 SLM HE HBD
offerandown NEW - $109.50 1 May/12/16 May/19/16
Description: 3 YASKAWA ELECTRIC SERVOPACKS SGDA-01AS (NIB) AMAT 0870-01010
spsglobal Used - $1,500.00 0 May/12/16 May/18/20
Description: AMAT APPLIED MATERIALS 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3 USED
mtd627 NEW - $27.00 0 May/20/16 Jun/19/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
pete-sigep Used - $750.00 0 May/22/16 Jun/21/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 May/23/16 Jun/22/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
onlinesmt Refurbished - $45.00 0 May/25/16 Jun/09/16
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT) – Lot of Three 3
systasemi Used - $5,000.00 0 May/31/16 Jun/30/16
Description: NOVELLUS 02-144985-00, WTS 3 FOUP, SIOC CONTROLLER, 27-053659-00
usedeqsales Used - $206.16 0 Jun/06/16 Apr/02/23
Description: DuPont AS-568A O-Ring Seal Kalrez Sahara AMAT 3700-00209 Lot of 3 New
gigabitpartsolutions NEW - $434.50 0 Jun/08/16 Jun/14/17
Description: OEM Part Applied Materails (AMAT) 0040-13888 PLATE,VITON FACE SEAL REV 3 AL LLC
y.t.r2011 NEW - $200.00 0 Jun/08/16 Jul/03/17
Description: New AMAT Applied Materials 0021-78086 Plate, Output, Wafer Station 3
onlinesmt Refurbished - $20.00 0 Jun/09/16 Jun/16/16
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT) – Lot of Three 3
gigabitpartsolutions NEW - $715.00 0 Jun/13/16 Jun/08/17
Description: OEM Part Applied Materails (AMAT) 0040-13730 ASSY,REV 3 BELLOWS/YOKE NCR SLIT VA
intek22 Used - $50.00 1 Jun/15/16 Jun/30/18
Description: Applied Materials MVME AMAT Pentium Interface Boards 0100-77042 Rev P3 #3
testeqe NEW - $399.99 0 Jun/16/16 Jul/11/17
Description: 3: NEW AMAT Applied Materials PN: 4020-00004 Guardian 10" HPX Filter .2µm Kit
visionsemi NEW - $229.00 2 Jun/17/16 Jun/20/16
Description: AMAT STEC MASS FLOW CONTROLLER SEC-4400 HORIBA H2 3 SLM 0227-04079
mtd627 NEW - $18.90 0 Jun/20/16 Jul/20/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
exper-tech NEW - $55.00 0 Jun/21/16 Jun/16/17
Description: AMAT Applied Materials 3700-01087 Centering Ring Assy NW40 Viton Oring,Lot of 3
pete-sigep Used - $750.00 0 Jun/22/16 Jul/22/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Jun/22/16 Jul/22/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
visionsemi NEW - $25.00 0 Jun/23/16 Jan/19/17
Description: LAM RESEARCH 955-091372-001 TUBE CORRUGATED TFLN BLACK (QTY 3)
visionsemi NEW - $285.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0140-04845 PLATEN 3 DVR CONTROL BULKHEAD
sparesllc09 NEW - $555.10 0 Jul/05/16 Aug/01/19
Description: 61-337124-00 / MANF,3,VMS DOSING,PILLAR / LAM
capitolareatech NEW - $35.00 0 Jul/08/16 Sep/15/16
Description: Applied Materials 0020-37548 Block, Spacer, 3 COND Fuse Holder
capitolareatech NEW - $100.41 0 Jul/08/16 Sep/15/16
Description: AMAT 0100-00112 PCB OPTO SW 3 Assembly F/A INDXR
capitolareatech NEW - $35.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0090-70026 ASSY RECEPT. 2P 3 PHASE W/10 AWG WIRES,
capitolareatech NEW - $1,372.17 0 Jul/08/16 Sep/15/16
Description: AMAT 0090-20361 ELEC ASSY WIDE BODY, 3 & C HTESC
capitolareatech NEW - $84.98 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-02389 Cable Assembly, Postheat A TC, TST K Type, 3
capitolareatech NEW - $230.44 0 Jul/08/16 Sep/15/16
Description: AMAT 0140-77110 Pad Cond 3 Power, Control-MNF
capitolareatech NEW - $96.38 0 Jul/08/16 Sep/15/16
Description: AMAT 0190-04026 Hose Assembly CH Body Out TO HX Return CH B, 3 Feet Long
capitolareatech NEW - $748.86 0 Jul/08/16 Sep/15/16
Description: AMAT 0226-48885 CKT BRKR,3 POLE,250 AMP
capitolareatech NEW - $125.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0900-00079 3-Phase Filter, 3 X 480, 275V, 50/60Hz
capitolareatech NEW - $60.75 0 Jul/08/16 Sep/15/16
Description: AMAT 1200-01531 Relay Contactor 3 Pole Open, Type: AUX: 1 NO-Side, Coil: 24V, 50
capitolareatech NEW - $120.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01596 HTR JKT 30 MIL B LAYER ZONE 3 USG CHAMBE
capitolareatech NEW - $120.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01595 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $120.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-01594 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $225.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1410-00012 Heater Jacket Liquid 3WAY Valve, 115V, 0.28A, Size: 0.9 x 6.6, 3
capitolareatech NEW - $368.40 0 Jul/08/16 Sep/15/16
Description: NOVELLUS 15-167054-01 SHOWERHEAD, VERSION 3, STATION 2-5,GAM
capitolareatech NEW - $20.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3700-90419 O-RING BS147 ***3 PACK***
capitolareatech NEW - $102.94 0 Jul/09/16 Sep/15/16
Description: AMAT 3870-90304 Valve, MIN. Solenoid, 3 PORT N/C, 0~0.7MPa
capitolareatech NEW - $46.98 0 Jul/09/16 Sep/15/16
Description: AMAT 3870-90166 VALVE, 3 PORT N/C PNEU SOLENOID
capitolareatech NEW - $119.54 0 Jul/09/16 Sep/15/16
Description: AMAT 3870-90114 SMC VZ5423-5MN-01 VALVE DOUBLE SOLENOID 3 POSN
capitolareatech NEW - $18.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3700-01894 SEAL CTR RING ASSY NW25 W/SILICONE ORING SST ***3 PACK***
capitolareatech NEW - $20.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3300-02730 FTG NIPPLE HEX 3/4 *** 3 PACK ***
capitolareatech NEW - $15.00 0 Jul/09/16 Sep/15/16
Description: AMAT 1290-01202 TERM BLK 2 TERM FEEDTHRU 20 A *** 3 PACK ***
ypspare Scrap, for parts - $250.00 1 Jul/13/16 Mar/10/17
Description: APPLIED MATERIALS DIGITAL I/O BOARD 0100-01321 LOT OF 3
jabedow NEW - $100.00 0 Jul/17/16 Feb/03/17
Description: AMAT 0140-03457 Cable, OM Sensor/Door ILK, BLKHD 3, System 402148
farmoninc NEW - $450.00 1 Jul/19/16 Aug/18/16
Description: AMAT 0021-43577 HRS-300 Edge Ring Alum SST Heater 3, 329919
edgkinternational Used - $2,150.00 1 Jul/24/16 Aug/26/16
Description: NOVELLUS Assy, Controller, MC4, Ethernet p/n 02-415129-00 Rev 3 74-383373-00
mtd627 NEW - $18.90 0 Jul/25/16 Aug/24/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
pete-sigep Used - $750.00 0 Jul/25/16 Aug/24/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Jul/25/16 Aug/24/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
sammy_etek NEW - $1,900.00 1 Jul/29/16 Nov/06/18
Description: 0100-16014, Applied Materials, ASSY,PCB VIDEO/SERIAL FOR 3 MONITORS, CE
autoquip7 NEW - $700.00 0 Jul/31/16 Jul/25/22
Description: 0050-30833, APPLIED MATERIALS, VERIFLO WELDMENT VALVE MANIFOLD 3 STATION RIGHT
autoquip7 NEW - $3,900.00 0 Jul/31/16 Jul/25/22
Description: 0100-00610, APPLIED MATERIALS, PCB ASSEMBLY, INTERLOCK SELECT, ENDURA 3
pohyh NEW - $45.00 0 Aug/02/16 Jul/31/18
Description: 4974 APPLIED MATERIAL FLEX 3 INTERLOCKS IO LOOPBACK ADAPTOR ( 0140-05011 REV 002
j316gallery NEW - $29.76 0 Aug/02/16 Aug/11/22
Description: 4992 APPLIED MATERIALS FLEX 3 E84 POD D LOOPBACK ADAPTOR (NEW) 0150-05435
j316gallery NEW - $38.26 0 Aug/02/16 Aug/11/22
Description: 4988 APPLIED MATERIALS FLEX 3 E84 PODS A B & C LOOPBACK ADPTR NEW 0150-05437
vipermn Used - $80.00 0 Aug/04/16 Sep/06/16
Description: STEC SEC-7340MC AMAT 3030-06512 MASS FLOW CONTROLLER 3 SLM NF3
pohyh Used - $50.00 0 Aug/08/16 Dec/29/20
Description: 5361 APPLIED MATERIAL BALL BEARING 253FT 6250DX 196W SST (LOT OF 3) 3060-01630
pohyh Used - $1,500.00 1 Aug/08/16 Aug/11/16
Description: 5357 DEUBLIN AMAT 0190-01973 3 PORT ROTARY UNION, DEUBLIN 971-600
ecomicron NEW - $160.00 1 Aug/17/16 May/17/22
Description: CUTLER-HAMMER D15CR31TB MULTI-POLE RELAY 600V, 24V COIL, 4 POLE, 3 N.O./1 N.C.
ecomicron NEW - $540.00 2 Aug/19/16 Aug/03/21
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR
j316gallery Used - $831.05 1 Aug/23/16 Aug/04/22
Description: 5071 NOVELLUS 12" INCH CONCEPT 3 REPAIR 15-265814-00
pete-sigep Used - $750.00 0 Aug/24/16 Sep/23/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $149.00 0 Aug/24/16 Sep/23/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
mtd627 NEW - $18.09 0 Aug/26/16 Sep/25/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
bornalliancecom NEW - $1,050.00 1 Aug/31/16 Feb/13/17
Description: Applied Materials 0200-00221 Insulator, 200 MM PCII (LOT of 3)
xl-t_com Used - $85.00 0 Sep/02/16 Oct/19/21
Description: UNIT UFC-1100A - MFC - AMAT 0225-10135 - Range: 3 SLM / Gas: AR
xl-t_com Used - $85.00 0 Sep/02/16 Jan/17/19
Description: UNIT UFC-1100A - MFC - AMAT 0225-10135 - Range: 3 SLM / Gas: AR
exper-tech NEW - $200.00 5 Sep/02/16 May/22/17
Description: Fujikin FBDV-6.35-2B3-316LP-PA-AQP, 3 Port Block Valve, AMAT 3870-00722, NEW
kakkisung-6 Used - $4,999.00 0 Sep/04/16 Mar/08/17
Description: AMAT 0190-36625 WAFER TEMPERATURE MONITOR, 3 CHANNEL, 950-4006-01
sammy_etek NEW - $1,800.00 1 Sep/06/16 Mar/31/20
Description: 0050-06171, Applied Materials, WLDMT 3 FINAL VALVES FUJIKIN ULTIMA HDP
kakkisung-6 Used - $1,999.00 1 Sep/08/16 Oct/21/20
Description: AMAT 0190-15915 CARD CONTROLLER CPCI 166MHZ PENTIUM 3
tdindustrial Refurbished - $50.00 0 Sep/12/16 Sep/19/16
Description: LAM PCB Heartbeat 810-017012-002 Rev. 3 Board
tdindustrial Refurbished - $50.00 0 Sep/19/16 Sep/26/16
Description: LAM PCB Heartbeat 810-017012-002 Rev. 3 Board
capitolareatech NEW - $100.41 0 Sep/19/16 Nov/18/16
Description: AMAT 0100-00112 PCB OPTO SW 3 Assembly F/A INDXR
capitolareatech NEW - $39.51 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-04396 CABLE, SHELF #3
capitolareatech NEW - $95.73 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-03585 CABLE ASSY. SERIAL INTF(VME TO MB) PVD 3
capitolareatech NEW - $130.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-02578 CABLE ASSY, LASER COVER 3, INTERLOCK
capitolareatech NEW - $1,500.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-15888 ASSEMBLY, HEATER, SLD SEG 3
capitolareatech NEW - $669.53 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-13913 Driver/Controller, Sensor Cable (3), VER
capitolareatech NEW - $125.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-10205 Specification Link Interlock Card, CH 3
capitolareatech NEW - $179.67 0 Sep/19/16 Nov/18/16
Description: AMAT 0600-00113 Fan Tray, 19", 1U, 3 Fan, 240V AC
capitolareatech NEW - $29.22 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-90170 SWITCH, 3 POSN.MAINT.3 NO/3 NC
capitolareatech NEW - $24.61 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-01273 WASCO, SW Press SPDT 50 PSIG INCR, 1A, 3 20AWG, 115 VAC, 125 PS
capitolareatech NEW - $120.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01596 HTR JKT 30 MIL B LAYER ZONE 3 USG CHAMBE
capitolareatech NEW - $120.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01595 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $120.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-01594 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $225.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1410-00012 Heater Jacket Liquid 3WAY Valve, 115V, 0.28A, Size: 0.9 x 6.6, 3
capitolareatech NEW - $2.75 0 Sep/20/16 Nov/19/16
Description: AMAT 3880-01724 Washer Flat 1.00OD X .34ID 5/16 Fender SST, Pack of 3
capitolareatech NEW - $102.94 0 Sep/20/16 Nov/19/16
Description: AMAT 3870-90304 Valve, MIN. Solenoid, 3 PORT N/C, 0~0.7MPa
capitolareatech NEW - $46.98 0 Sep/20/16 Nov/19/16
Description: AMAT 3870-90166 VALVE, 3 PORT N/C PNEU SOLENOID
capitolareatech NEW - $119.54 0 Sep/20/16 Nov/19/16
Description: AMAT 3870-90114 SMC VZ5423-5MN-01 VALVE DOUBLE SOLENOID 3 POSN
capitolareatech NEW - $35.26 0 Sep/20/16 Nov/19/16
Description: AMAT 3870-03848 3 Port Air Operated Valve; normally closed, Port Size: M5 Port W
capitolareatech NEW - $7.50 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 715-008004-001 ROCKER, 3 POSITION
capitolareatech NEW - $18.75 6 Sep/20/16 Nov/19/16
Description: AMAT 0090-20042 ASSY,3 WAY VALVE
capitolareatech Used - $145.00 0 Sep/20/16 Nov/19/16
Description: LAM 853-482268-001 Pneumatic Valve Manifold +6 SYJ314M-5LOU +3 SYJ3333-5LOZ Sole
pohyh Used - $150.00 0 Sep/21/16 Sep/18/17
Description: 1856 APPLIED MATERIAL SS FLEXIBLE HOSE 1/2" FTG 3 FT w/ Y-SHAPE JOINT 3400-01568
bruce135 Used - $149.00 0 Sep/26/16 Oct/26/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
autoquip7 NEW - $3,200.00 0 Oct/03/16 Jul/25/22
Description: 0040-21367, APPLIED MATERIALS, PEDESTAL,UNIVERSAL 200 MM 3 POINT
farmoninc NEW - $40.00 0 Oct/03/16 Mar/16/23
Description: AMAT 3870-03848, Valve Pneu NC 3 Port M5X.8 Base Mount 416773
pete-sigep Used - $750.00 0 Oct/03/16 Nov/02/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
farmoninc Used - $35.00 0 Oct/10/16 Mar/16/23
Description: 3 AMAT 3300-05054, FTG TBG EL 4MMT x 4MMT ONE-TOUCH. 417087
tdindustrial Refurbished - $495.00 1 Oct/11/16 Feb/13/18
Description: LAM PCB Heartbeat 810-017012-002 Rev. 3 Board
mtd627 NEW - $18.90 0 Oct/12/16 Nov/11/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
prism_electronics11 NEW - $77.99 0 Oct/14/16 Jan/20/22
Description: Lam Research 3' Cable 853-014772-008 Rev.B 1002685-0639
farmoninc NEW - $200.00 0 Oct/21/16 Dec/22/22
Description: AMAT 1140-01280, Power Supply, DC 3 Outputs 15V 15V 24V FLATPAC. 415284
tdindustrial NEW - $10.00 0 Oct/25/16 Nov/19/21
Description: AMAT O-Ring ID: .426 CSD: .07 EPDM 80DURO BLK, 3700-03421, LOT OF 3, New, Sealed
bruce135 Used - $149.00 0 Oct/26/16 Nov/25/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pete-sigep Used - $750.00 0 Nov/03/16 Dec/03/16
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
be4049 NEW - $600.00 0 Nov/03/16 Aug/04/20
Description: 0021-11863 AMAT Cover Ring 8", 101 TIN TTN CH POS 2& 3
jericotagl Used - $50.00 0 Nov/06/16 Feb/25/17
Description: STEC SEC-7340M MASS FLOW CONTROLLER, 3 SLM, NF3 - AMAT 3030-06512
ypspare Refurbished - $85.00 1 Nov/08/16 Jun/02/18
Description: AMAT APPLIED MATERIALS 0200-20441 SUPPORT CENTER VENTED PEDESTAL LOT OF 3
atxdeals4u Used - $1,350.00 0 Nov/10/16 Nov/16/16
Description: Applied Materials 3 Channel Heater/Susceptor Leveling System P/N 0500-01083
mtd627 NEW - $18.09 0 Nov/14/16 Dec/14/16
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
farmoninc NEW - $50.00 1 Nov/16/16 Jan/06/19
Description: AMAT 0680-01570, Square D QOB320VH5237, 3 Pole Circuit Breaker, 22KA. 418672
caps86 Used - $1,200.00 0 Nov/21/16 Dec/21/16
Description: AMAT Applied Materials, Inc. LIFT RING, 8" WXZ 0040-31981 This a lot of 3.
lonym Used - $595.00 0 Nov/17/16 Dec/17/16
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
bruce135 Used - $149.00 0 Nov/28/16 Dec/28/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
atxdeals4u Used - $350.00 0 Nov/28/16 Oct/26/17
Description: SBS Technologies Rev. A CPMC1 Circuit Board AMAT Centura 300mm 0190-17952 Rev. 3
farmoninc Used - $300.00 1 Nov/29/16 Jan/31/22
Description: 3 AMAT 0021-07872 Rev.P1, Home Sensor Flag. 419395
pete-sigep Used - $750.00 0 Dec/05/16 Jan/04/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
gesemiconductor NEW - $120.00 0 Dec/09/16 Dec/19/17
Description: APPLIED MATERIALS 0015-33186 MODIFIED VALVE, 3 WAY
mtd627 NEW - $27.00 0 Dec/14/16 Jan/13/17
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
farmoninc NEW - $150.00 0 Dec/14/16 Sep/26/18
Description: AMAT 1010-01468, Lamp Teardrop 3' Fixture 120VAC 24VDC B. 420026
lonym Used - $525.00 0 Dec/18/16 Jan/17/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
capitolareatech NEW - $1,002.09 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-35995 Line 3 NUPRO Chamber A
capitolareatech NEW - $38.96 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-21007 HARNESS 3 POS EXTENSION 4 FT
capitolareatech NEW - $65.72 0 Dec/19/16 Jun/19/20
Description: AMAT 0140-13152 HARN ASSY, ISRM INTC, 3 STD PLATENS, REF
capitolareatech NEW - $125.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0900-00079 3-Phase Filter, 3 X 480, 275V, 50/60Hz
capitolareatech NEW - $29.22 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-90170 SWITCH, 3 POSN.MAINT.3 NO/3 NC
capitolareatech NEW - $24.61 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-01273 WASCO, SW Press SPDT 50 PSIG INCR, 1A, 3 20AWG, 115 VAC, 125 PS
capitolareatech NEW - $120.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-01596 HTR JKT 30 MIL B LAYER ZONE 3 USG CHAMBE
capitolareatech NEW - $120.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-01595 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $120.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-01594 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $225.00 0 Dec/19/16 Jun/19/20
Description: AMAT 1410-00012 Heater Jacket Liquid 3WAY Valve, 115V, 0.28A, Size: 0.9 x 6.6, 3
capitolareatech NEW - $368.40 0 Dec/19/16 Sep/10/19
Description: NOVELLUS 15-167054-01 SHOWERHEAD, VERSION 3, STATION 2-5,GAM
capitolareatech NEW - $18.00 0 Dec/20/16 Jun/20/20
Description: AMAT 3060-90112 Bearing Track Role Stud Type, Pack of 3
capitolareatech NEW - $20.00 0 Dec/20/16 Jun/20/20
Description: AMAT 3700-90419 O-RING BS147 ***3 PACK***
capitolareatech NEW - $10.00 0 Dec/20/16 Nov/20/17
Description: AMAT 0020-70181 STOP DOOR SIDE DLL *** 3 PACK ***
capitolareatech NEW - $30.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0010-91852 LATCH ROLLER ASSY (SHORT) ***3 PACK***
capitolareatech NEW - $18.75 7 Dec/20/16 Dec/22/16
Description: AMAT 0090-20042 ASSY,3 WAY VALVE
capitolareatech Used - $145.00 0 Dec/20/16 Sep/25/17
Description: LAM 853-482268-001 Pneumatic Valve Manifold +6 SYJ314M-5LOU +3 SYJ3333-5LOZ Sole
caps86 Used - $1,200.00 1 Dec/21/16 Jan/20/17
Description: AMAT Applied Materials, Inc. LIFT RING, 8" WXZ 0040-31981 This a lot of 3.
spsglobal Used - $800.00 0 Dec/22/16 Jun/07/17
Description: AMAT APPLIED MATERIALS 3870-02332 VALVE ASSY HEATED 3 PORT 208VAC 316SST USED
spsglobal Used - $40.00 0 Dec/22/16 Dec/22/21
Description: 352-0502// AMAT APPLIED 0090-20042 ASSY, 3 WAY VALVE [NEW]
gigabitpartsolutions Used - $1,485.00 2 Dec/28/16 Aug/14/17
Description: Controller Applied Materials (AMAT) 0050-01083 3 channel Heater/Susceptor Leveli
bruce135 Used - $149.00 0 Dec/28/16 Jan/27/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
farmoninc NEW - $45.00 0 Dec/29/16 Mar/05/21
Description: 3 AMAT 0020-04351 Clamp, Coupling, Shuttle Position, 420398
zuse81 Used - $85.00 1 Jan/03/17 Jun/16/17
Description: Unit UFC-8165 AMAT 0190-24840 3 SLM GAS NF3 MFC Mass Flow Controller
alvin1462 NEW - $888.00 2 Jan/04/17 Jul/10/17
Description: APPLIED MATERIALS AMAT 0190-28951 KVM SWITCH, 4 PC INPUT, 3 USER LOCATION NEW
ntc_tech Used - $999.99 1 Jan/04/17 May/11/17
Description: Lam Research 810-800256-005 Rev. F Node Board Type 3 W/ 810-802902-088 Rev. D
pete-sigep Used - $750.00 0 Jan/05/17 Feb/04/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
visionsemi NEW - $1,285.00 1 Jan/06/17 Oct/06/20
Description: APPLIED MATERIALS AMAT 0020-23093 WASHER INSULATOR ENDURA PVD LIFTER LOT of 3
athomemarket Used - $199.99 0 Jan/06/17 Jan/13/17
Description: LAM 685-801852-005 Spectrometer Ocean Optics S2000/7DM Rev J Run 3
jinhyucle_0 NEW - $1,200.00 0 Jan/12/17 Dec/18/17
Description: AMAT 0050-06171 WLDMT 3 FINAL VALVES FUJIKIN ULTIMA HDP-CVD
athomemarket Used - $199.99 1 Jan/16/17 Jan/31/17
Description: LAM 685-801852-005 Spectrometer Ocean Optics S2000/7DM Rev J Run 3
lonym Used - $525.00 0 Jan/17/17 Feb/16/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
mtd627 NEW - $16.47 0 Jan/24/17 Feb/23/17
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
bruce135 Used - $149.00 0 Jan/30/17 Mar/01/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
texassemicontech NEW - $1,896.00 0 Jan/31/17 Jun/29/18
Description: Lam Research OnTrak 853-370499-002 - ASSY CA RF GEN #3 INTFC - NEW
visionsemi NEW - $285.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0140-04845 PLATEN 3 DVR CONTROL BULKHEAD
visionsemi NEW - $25.00 0 Feb/01/17 May/26/17
Description: LAM RESEARCH 955-091372-001 TUBE CORRUGATED TFLN BLACK (QTY 3)
pete-sigep Used - $750.00 0 Feb/05/17 Mar/07/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
farmoninc Used - $4,500.00 0 Feb/08/17 Mar/23/21
Description: Comdel CLX-2500 RF Generator, AMAT 0190-13837, 350 KHz-LF, 480V, 3 Phase, 421031
farmoninc Used - $4,500.00 0 Feb/08/17 Mar/23/21
Description: Comdel CLX-2500 RF Generator, AMAT 0190-13837, 350 KHz-LF, 480V, 3 Phase, 421030
lonym Used - $425.00 0 Feb/16/17 Mar/18/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
usedeqsales NEW - $122.17 0 Feb/17/17 Jun/16/17
Description: AMAT Applied Materials 3400-00003 Stainless Steel Braided Hose Lot of 3 New
cubit001 Used - $4,000.00 0 Feb/21/17 Mar/23/17
Description: APPLIED MATERIALS 0010-06002 300MM NON-ENP REV 3 SLIT VALVE ASSY EQ LINE
bobsgoodies NEW - $145.00 1 Feb/22/17 Apr/09/17
Description: AMAT 0150-76156 Cable Assy, Insertion Flow Sensor (Lot of 3) Applied Materials
kenyaman66 NEW - $5.00 0 Feb/28/17 Apr/13/17
Description: Hytron 4060-00100 K1S bracket, AMAT / Applied Materials 220-32227-000 Rev 3
kenyaman66 NEW - $80.00 0 Feb/28/17 Apr/13/17
Description: 3 Pc. Hytron 4060-00072 K1S block, AMAT / Applied Materials 220-32205-000, Rev 5
usedeqsales Used - $1,151.58 1 Mar/01/17 Oct/14/21
Description: AMAT Applied Materials 0010-22567 PVD Chamber SOURCE 3 CPI-VMO Missing Parts
athomemarket Used - $199.99 1 Mar/01/17 Aug/16/17
Description: LAM 685-801852-005 Spectrometer Ocean Optics S2000/7DM Rev J Run 3
usedeqsales Used - $2,003.17 0 Mar/01/17 Aug/31/22
Description: AMAT Applied Materials 0021-11382 CPI-VCM Chamber Source 3 Magnet Assembly Used
bruce135 Used - $149.00 0 Mar/03/17 Apr/02/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pohyh Used - $600.00 0 Mar/05/17 Apr/24/17
Description: 7901 LAM RESEARCH NODE BOARD TYPE 3 810-800256-004
allforsale555 Used - $299.00 1 Mar/07/17 Aug/16/17
Description: Applied Materials 0100-20086 PCB, Wafer Map LED (LOT OF 3 )
pete-sigep Used - $750.00 0 Mar/07/17 Apr/06/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
mtd627 NEW - $19.71 0 Mar/08/17 Apr/07/17
Description: (3) AMAT 0020-14315 Collar, Front Inject Nozzle
farmoninc Used - $7,900.00 0 Mar/08/17 Apr/28/17
Description: CTI Cryogenics 8116142G001 On-Board 8F Cryopump AMAT 0190-13331, 3 Phase, 422539
farmoninc Used - $7,900.00 0 Mar/08/17 Apr/28/17
Description: CTI Cryogenics 8116071G001 On-Board 8F Cryopump AMAT 0190-13369, 3 Phase, 422540
caps86 Used - $800.00 1 Mar/15/17 Jul/13/17
Description: AMAT, APPLIED 0020-01271 Plate, Pumping, 200mm, WXZ 3 PLATES
lonym Used - $295.00 0 Mar/18/17 Apr/17/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
yericomfg NEW - $190.00 0 Mar/23/17 Aug/08/18
Description: Fujikin FBDV-6.35-2B3-316LP-PA-AQP, 3 Port Block Valve, AMAT 3870-00722, NEW
j316gallery Used - $400.00 0 Mar/27/17 Apr/24/17
Description: 7648 LAM RESEARCH PCB NODE BOARD TYPE 3 810-800256-005
j316gallery Used - $3,055.25 0 Mar/28/17 Nov/02/20
Description: 8120 APPLIED MATERIAL KVM SWITCH, 4 PC INPUT, 3 USER LOCATION OUTPUT, 0190-37616
katiil3 Used - $1,499.00 1 Mar/30/17 Jun/13/17
Description: Assembly Cable Fiber Optic 20 Ft. AMAT 0190-09459 Applied Materials ( LOT OF 3 )
biggbsurplus NEW - $499.50 0 Apr/02/17 May/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
bruce135 Used - $149.00 0 Apr/03/17 May/03/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pete-sigep Used - $750.00 0 Apr/07/17 May/07/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
capitolareatech NEW - $19.95 0 Apr/07/17 Aug/13/20
Description: Applied Materials (AMAT) 0020-18659 BRKT 3 FINAL VALVE FUJIKIN ULTIMA HDP-CV
bobsgoodies NEW - $20.00 13 Apr/12/17 May/16/18
Description: BUSSMAN HPF Panel Mount FUSE HOLDER; HPF 30A 600V. (LOT OF 3 ) AMAT 0910-01208
lonym Used - $195.00 0 Apr/17/17 May/17/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
biggbsurplus NEW - $499.50 0 May/03/17 Jun/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
bruce135 Used - $149.00 0 May/04/17 Jun/03/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
bornalliancecom NEW - $250.00 0 May/07/17 Apr/08/21
Description: Unit, MFC UFC-1400A 3 SLM He AMAT # 0226-44356
pete-sigep Used - $750.00 0 May/08/17 Jun/07/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
katiil3 Used - $150.00 1 May/15/17 Jun/15/20
Description: AMAT 0020-18797 BRCKET, RIGHT DUAL GAS SPRING RETROFIT U (2) 0020-18796(1) QYT 3
bobsgoodies2 Used - $200.00 0 May/15/17 Mar/31/22
Description: AMAT 3510-01058 MOTT RSTR Flow restriction 2000 SCCM H2 1/4VCR ( Lot of 3 )
lonym Used - $75.00 0 May/17/17 Jun/16/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
biggbsurplus NEW - $499.50 0 Jun/02/17 Jul/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
visionsemi NEW - $25.00 0 May/30/17 Feb/22/21
Description: LAM RESEARCH 955-091372-001 TUBE CORRUGATED TFLN BLACK (QTY 3)
bruce135 Used - $149.00 0 Jun/05/17 Jul/05/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
farmoninc Used - $7,900.00 0 Jun/05/17 Feb/05/18
Description: CTI Cryogenics 8116142G001 On-Board 8F Cryo pump AMAT 0190-13331, 3 Phase 422539
farmoninc Used - $7,900.00 0 Jun/05/17 Feb/05/18
Description: CTI Cryogenics 8116071G001 On-Board 8F Cryo pump AMAT 0190-13369, 3 Phase 422540
logansemi Used - $180.00 3 Jun/07/17 Dec/06/17
Description: FUJIKIN, 043926, 316L-P 3 WAY VALVE, AMAT 3820-02221
used1eqsales Used - $1,008.14 0 Jun/08/17 Mar/27/18
Description: AMAT Quantum Leap 3 0150-97185 Electrode 0040-01275 0020-05447 0021-14963 works
spsglobal Used - $2,000.00 0 Jun/09/17 Apr/24/23
Description: 136-0501// AMAT APPLIED 0010-09331 (#3) AMPULE/CHAMBER ASSY, TEOS USED
pete-sigep Used - $750.00 0 Jun/09/17 Jul/09/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
used1eqsales Used - $3,509.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $2,804.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0010-22567 CPI-VMO Chamber Source 3 Rev 003 used sold a is
j316gallery Used - $500.00 0 Jun/13/17 Dec/07/17
Description: 8787 APPLIED MATERIAL CABLE ASSY CHAMBER 3 INTCNT 50FT (15.24M) 0150-21232
ok24odef Used - $25.00 0 Jun/18/17 Jul/18/17
Description: Aera, Applied Materials 3030-07508, 10 Ra FC-D980C, Gas N2, Flow Rate 3 SLM
spsglobal Used - $10,000.00 0 Jun/19/17 Oct/18/21
Description: 108-0501// AMAT APPLIED 0010-20223 (#3) (CLEAN) wMAGNET REM 11.3"TIN ASY USED
yericomfg Used - $500.00 0 Jun/21/17 Aug/08/18
Description: Novellus 02-290255-00 HDSIOC 3 PDL OXIDE
lonym Used - $75.00 0 Jun/23/17 Jul/23/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
testeqe NEW - $399.99 0 Jun/28/17 Aug/27/17
Description: 3: NEW AMAT Applied Materials PN: 4020-00004 Guardian 10" HPX Filter .2µm Kit
athomemarket Used - $2,627.99 0 Jun/28/17 Oct/20/18
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $1,499.99 0 Jun/28/17 Oct/20/18
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
bobsgoodies NEW - $55.00 0 Jun/29/17 Oct/18/17
Description: AMAT 0150-76156 Cable Assy, Insertion Flow Sensor (Lot of 3) Applied Materials
gophersales Used - $1,900.00 0 Jun/29/17 Jul/29/17
Description: Applied Materials 0500-01083 3 Channel Heater Susceptor Leveling System
biggbsurplus NEW - $499.50 0 Jul/03/17 Aug/02/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
bruce135 Used - $149.00 0 Jul/05/17 Aug/04/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pete-sigep Used - $750.00 0 Jul/10/17 Aug/09/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
lonym Used - $75.00 0 Jul/23/17 Aug/22/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
qrecycle Used - $1,500.00 1 Jul/24/17 Jul/24/17
Description: Applied material RF Match Etch 0010-09416 lot of 3 and 6 cvd 0010-9750
vizko2017 Used - $120.00 1 Jul/29/17 Aug/09/18
Description: PEPPERL+FUCHS NBN12-18GM50-E0 AMAT APPLIED MATERIALS 0090-02923 LOT OF 3
biggbsurplus NEW - $499.50 0 Aug/02/17 Sep/01/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
bruce135 Used - $149.00 0 Aug/08/17 Sep/07/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
bobsgoodies NEW - $517.00 2 Aug/09/17 Oct/24/17
Description: SMC Digital Flow Switch Assy, PF2W720-03-27-Q, (Assembly of 3), 0010-00013
ok24odef Used - $35.00 0 Aug/10/17 Sep/09/17
Description: Aera, Applied Materials 3030-07508, 10 Ra FC-D980C, Gas N2, Flow Rate 3 SLM
pete-sigep Used - $750.00 0 Aug/10/17 Sep/09/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
gesemiconductor NEW - $240.00 0 Aug/17/17 Dec/19/17
Description: Applied Materials 0200-35916 Insert, QTZ, Inject, 3 Zone
ssssayag NEW - $39.00 0 Aug/21/17 Sep/20/17
Description: AMAT 3700-02945 O-Ring ID: 1.424 CSD .103 Chemraz SS513 80 Duro WHT, 1 lot of 3
j316gallery Used - $600.00 2 Aug/22/17 Oct/16/17
Description: 7901 LAM RESEARCH NODE BOARD TYPE 3 810-800256-004
lonym Used - $75.00 0 Aug/23/17 Sep/22/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
spsglobal NEW - $15,000.00 0 Aug/24/17 Aug/20/20
Description: 000-0000// AMAT 0010-26043 PAD CONDITIONER ASSY, LK POLISHER, CMP 3 [NEW]
biggbsurplus NEW - $499.50 0 Sep/01/17 Oct/01/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
bruce135 Used - $149.00 0 Sep/07/17 Oct/07/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
pete-sigep Used - $750.00 0 Sep/12/17 Oct/12/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
cubit001 NEW - $4,000.00 0 Sep/18/17 Oct/18/17
Description: AMAT 0010-06002 300MM NON-ENP REV 3 SLIT VALVE ASSY NEW
lonym Used - $75.00 0 Sep/22/17 Oct/22/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
ssssayag NEW - $39.00 0 Sep/30/17 Oct/30/17
Description: AMAT 3700-02945 O-Ring ID: 1.424 CSD .103 Chemraz SS513 80 Duro WHT, 1 lot of 3
biggbsurplus NEW - $499.50 0 Oct/01/17 Oct/31/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
j316gallery Used - $660.00 1 Oct/08/17 May/10/19
Description: 4433 LAM RESEARCH PCB PCBA NODE TYPE 3 PHASE II ROHS 810-800256-015
bruce135 Used - $149.00 0 Oct/11/17 Nov/10/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
dcdirecttech Used - $184.95 0 Oct/14/17 Oct/21/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $259.95 0 Oct/14/17 Oct/21/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
allforsale555 Used - $400.00 0 Oct/15/17 Feb/21/18
Description: AMAT/Applied Materials 0100-20012 Isolation Amp PCB ( LOT OF 3 )
pete-sigep Used - $750.00 0 Oct/18/17 Nov/17/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
melissdeherrer0 Used - $100.00 0 Oct/19/17 Oct/21/17
Description: SANYO DENKI / AMAT PMDPC1C3PA1 / 0180-00153 PM DRIVER - LOT OF 3
allforsale555 Used - $999.00 1 Oct/21/17 Oct/23/17
Description: APPLIED MATERIAL PCB - TC FILTER BOARD 0100-18051 ( LOT OF 3 )
dcdirecttech Used - $174.95 0 Oct/21/17 Oct/28/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $249.95 0 Oct/21/17 Oct/28/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
lonym Used - $75.00 0 Oct/22/17 Nov/21/17
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
ok24odef Used - $30.00 1 Oct/23/17 Oct/24/17
Description: Aera, Applied Materials 3030-07508, 10 Ra FC-D980C, Gas N2, Flow Rate 3 SLM
allforsale555 Used - $999.00 0 Oct/24/17 Nov/20/18
Description: APPLIED MATERIAL PCB - TC FILTER BOARD 0100-18051 ( LOT OF 3 )
atxdeals4u Used - $350.00 1 Oct/26/17 Oct/31/17
Description: SBS Technologies Rev. A CPMC1 Circuit Board AMAT Centura 300mm 0190-17952 Rev. 3
spsglobal Used - $1,600.00 0 Oct/26/17 Jun/18/23
Description: 348-0501//AMAT APPLIED 0040-21367 PEDESTAL,UNIVERSAL 200 MM 3 POI 2ND SOURCE NEW
spsglobal Used - $700.00 0 Oct/26/17 Apr/22/19
Description: AMAT APPLIED MATERIALS 0020-29346 SHIELD 8" CLMPD .190"THK AL 3 SPRTS VECT USED
melissdeherrer0 Used - $100.00 0 Oct/26/17 Nov/05/17
Description: SANYO DENKI / AMAT PMDPC1C3PA1 / 0180-00153 PM DRIVER - LOT OF 3
allforsale555 Used - $549.00 1 Oct/29/17 Oct/15/18
Description: APPLIED MATERIAL VALVE ISOLATION 90DEG ELBOW NW-40 0190-00879 ( lot of 3 )
spsglobal NEW - $2,500.00 0 Oct/31/17 Oct/22/18
Description: 119-0101// AMAT APPLIED 0040-08492 PLATE, GAS DISTRIBUTION, TXZ 3 NEW
allforsale555 Scrap, for parts - $499.00 1 Nov/01/17 May/08/18
Description: APPLIED MATERIAL ANALOG I/O BOARD ASSY 0100-00825 (1) 0100-20100 (3)( lot of 4 )
vizko2017 Used - $2,000.00 0 Nov/03/17 Oct/24/19
Description: AMAT Applied Materials 0010-22567 CPI-VMO Chamber Source 3
biggbsurplus NEW - $499.50 1 Nov/03/17 Nov/17/17
Description: Amat 0150-21665 rev003 cable assy, Mainframe 4, Chamber 1 2 3 4 ACD, Microwave
dcdirecttech Used - $234.95 0 Nov/05/17 Nov/12/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
dcdirecttech Used - $164.95 0 Nov/05/17 Nov/12/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
farmoninc NEW - $550.00 0 Nov/06/17 Mar/29/18
Description: Novellus 02-117299-00 Assy, Probe, Keyed, Chemic, 3, 6, 23, ATMI, 321345
semipart NEW - $15.00 0 Nov/07/17 Sep/18/19
Description: 1 Pack 3 AMAT 3690-02203 SCR MACH SHLDR 4-40X.1245ODX3/16L
j316gallery NEW - $500.00 0 Nov/08/17 Jun/06/18
Description: 10048 APPLIED MATERIAL VALVE, GAS LINE #3 PRECLEAN CHAMBER (NEW) 0190-20015
j316gallery NEW - $40.00 0 Nov/08/17 Jan/08/22
Description: 10068 APPLIED MATERIALS ASSY, 3 WAY VALVE (NEW) 0090-20042
melissdeherrer0 Used - $100.00 0 Nov/08/17 Nov/08/17
Description: SANYO DENKI / AMAT PMDPC1C3PA1 / 0180-00153 PM DRIVER - LOT OF 3
allforsale555 Used - $299.00 0 Nov/12/17 Jul/29/21
Description: Applied Materials 1270-01169 SW ADPTR FULL VOLTAGE TW SERIES ( lot of 3 )
bruce135 Used - $149.00 0 Nov/13/17 Dec/13/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
dcdirecttech Used - $154.95 0 Nov/13/17 Nov/20/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $224.95 0 Nov/14/17 Nov/21/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
allforsale555 Used - $449.00 1 Nov/14/17 Feb/14/18
Description: AMAT APPLIED MATERIALS 3020-01126 CYL AIR 63MM BORE 25MM STROKE W/AUTO(LOT OF 3)
pete-sigep Used - $750.00 0 Nov/19/17 Dec/19/17
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
melissdeherrer0 Used - $45.00 0 Nov/20/17 Dec/02/17
Description: SANYO DENKI / AMAT PMDPC1C3PA1 / 0180-00153 PM DRIVER - LOT OF 3
dcdirecttech Used - $149.95 0 Nov/22/17 Nov/29/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $209.95 0 Nov/22/17 Nov/29/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
ab-international NEW - $40.00 0 Dec/08/17 Dec/18/17
Description: Lot of 3 New AMAT Applied Materials 3870-00537 Valves SEALED
ab-international NEW - $25.00 0 Dec/08/17 Dec/18/17
Description: New AMAT Applied Materials 0150-04244 Mirra 300mm Cable Assembly Platen 3 Analog
dcdirecttech Used - $124.95 0 Dec/10/17 Dec/17/17
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $184.95 0 Dec/10/17 Dec/17/17
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
dy-global Used - $399.90 0 Dec/12/17 Mar/27/19
Description: NODE BOARD TYPE 3 800-800256-004 REV.H LAM RESEARCH 853-031860-00 SEM-I-121=6A36
dy-global Used - $399.90 0 Dec/12/17 Dec/21/17
Description: LAM RESEARCH Node Board Type 3 810-800256-005 REV B 710-80290 SEM-I-123=6A36
dy-global Used - $399.90 0 Dec/12/17 Dec/21/17
Description: LAM RESEARCH Used NODE BOARD TYPE 3 810-800256-005 REV.A 714-0325 SEM-I-124=6A36
bruce135 Used - $99.00 0 Dec/14/17 Jan/13/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
farmoninc Used - $2,950.00 0 Dec/18/17 Mar/03/22
Description: Astex FI20162-2 Gen Microwave Magnetron Head AMAT 0920-01111 2.45 GHz, 3, 423976
usedeqsales NEW - $120.00 0 Dec/20/17 Jan/02/18
Description: APPLIED MATERIALS 0015-33186 MODIFIED VALVE, 3 WAY
usedeqsales NEW - $601.18 0 Dec/20/17 Feb/12/18
Description: Applied Materials 0200-35916 Insert, QTZ, Inject, 3 Zone
dcdirecttech Used - $114.95 0 Dec/26/17 Jan/02/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $174.95 0 Dec/27/17 Jan/03/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
lonym Used - $75.00 0 Dec/28/17 Jan/27/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
pete-sigep Used - $750.00 0 Jan/03/18 Feb/02/18
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
dcdirecttech Used - $104.95 0 Jan/04/18 Jan/11/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $164.95 0 Jan/04/18 Jan/11/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
bobsgoodies2 Used - $72.00 0 Jan/10/18 Mar/31/22
Description: 3 AMAT 0190-36351 OSRAM 410Q/JKT/2PIN/AM-ULS 410W 82V HALOGEN LAMP 0190-36351-A
dcdirecttech Used - $99.95 0 Jan/13/18 Jan/20/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
motorman45 Used - $1,750.00 0 Jan/13/18 Feb/12/18
Description: AMAT 3 Channel Heater/Susceptor Leveling System P/N 0500-01083
dcdirecttech Used - $154.95 0 Jan/13/18 Jan/20/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
bruce135 Used - $99.00 0 Jan/15/18 Feb/14/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
capitolareatech NEW - $1,095.00 0 Jan/19/18 Sep/19/19
Description: Applied Materials (AMAT) 0200-10054 RING INNER ALN, SML, 8", 3 mm, 20 1/194, NOT
dcdirecttech Used - $89.95 0 Jan/21/18 Jan/28/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $149.95 0 Jan/21/18 Jan/28/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
capitolareatech NEW - $495.00 0 Jan/22/18 Jun/22/20
Description: Applied Materials (AMAT) 0050-32432 WELDMENT,MANIFOLD,3 VALVE,APTECH-17393201
bornalliancecom Used - $2,595.00 0 Jan/22/18 Oct/30/18
Description: Applied Materials 0010-09088 Robot Alignment Tool AMAT Precision 5000 (LOT OF 3)
capitolareatech NEW - $295.00 0 Jan/23/18 Nov/25/19
Description: Applied Materials (AMAT) 3870-01767 Fujikin Incorporated--Diaphragm Valve O.P. 3
capitolareatech NEW - $744.95 0 Jan/26/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-35916 Insert, QTZ, Inject, 3 Zone
lonym Used - $75.00 0 Jan/27/18 Feb/26/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
dcdirecttech Used - $74.95 0 Jan/28/18 Feb/04/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $134.95 0 Jan/28/18 Feb/04/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
usedsemiequipment NEW - $2,600.00 1 Jan/30/18 Feb/14/19
Description: LAM CPU 3 Board, PN: 810-017034-003
allforsale555 Used - $99.00 0 Jan/31/18 Jul/29/21
Description: Applied materials / AMAT 0020-09376 ORING, O-RING ( lot of 3 )
dcdirecttech Used - $64.95 0 Feb/04/18 Feb/11/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $124.95 0 Feb/04/18 Feb/11/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
j316gallery Used - $136.75 0 Feb/05/18 Jan/04/23
Description: 3 APPLIED MATERIALS CABLE ASSY PWR 3M PUMP TURBO NEMA 6-15P 0620-02559
noam-tech Used - $1,000.00 0 Feb/05/18 Jul/23/20
Description: Applied Materials Cable, 0140-21260 / BLF Cabel / With 3 Plugs / Applied Materia
pete-sigep Used - $750.00 0 Feb/05/18 Mar/07/18
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
capitolareatech Used - $575.00 0 Feb/07/18 Aug/13/20
Description: LAM RESEARCH 716-028768-001 3, CL,LWR ELCTD, Lower Electrode Clamp ESC
farmoninc NEW - $350.00 0 Feb/09/18 Feb/12/18
Description: AMAT 0200-35159 Baffle Inject, 3 Zone, 401476
bobsgoodies2 Used - $11.00 1 Feb/10/18 Mar/31/22
Description: AMAT 0910-01017 LITTLEFUSE 251.500 PICO FUSE 1.2 AMP (Lot of 3)
noam-tech Used - $1,000.00 0 Feb/11/18 Jul/23/20
Description: Applied Materials Cover, Lid Assy / 0020-62693 / Rev 001 / PSC / Black / from 3
dcdirecttech Used - $54.95 0 Feb/12/18 Feb/19/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $109.95 0 Feb/12/18 Feb/19/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
bruce135 Used - $89.00 0 Feb/14/18 Mar/16/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
dcdirecttech Used - $99.95 0 Feb/19/18 Feb/26/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
dcdirecttech Used - $49.95 0 Feb/19/18 Feb/26/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
20041014625pm Used - $1,195.00 0 Feb/20/18 Mar/22/18
Description: Lam Research 853-012261-001 Inner Gate Assembly Lot Of (3)
ok24odef NEW - $29.00 0 Feb/21/18 Mar/23/18
Description: Applied Materials Robot Drive Belt Pulley 0020-78432, 3 1/4"
capitolareatech NEW - $135.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0090-20459 ASSY VALUE 3 WAY N.C. 24VDC
ab-international Used - $30.62 0 Feb/24/18 Nov/18/21
Description: Lot of 3 New Amat Applied Materials 0140-06782 Harness Assy
motorman45 Used - $1,750.00 1 Feb/25/18 Feb/26/18
Description: AMAT 3 Channel Heater/Susceptor Leveling System P/N 0500-01083
lonym Used - $75.00 0 Feb/26/18 Mar/28/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
best4industrie Used - $1,199.00 1 Feb/27/18 Dec/12/18
Description: AKT XP 101540-04 0190-73524 REV 3 UPS AMAT Applied Materials
dcdirecttech Used - $74.95 0 Feb/27/18 Mar/06/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
capitolareatech NEW - $29.95 1 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-70181 STOP DOOR SIDE DLL *** 3 PACK ***
dcdirecttech Used - $39.95 0 Feb/28/18 Mar/07/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
capitolareatech NEW - $425.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-13872 ARM,RIGHT,300MM ROBOT REV 3
dcdirecttech Used - $59.95 0 Mar/07/18 Mar/14/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
dcdirecttech Used - $34.95 0 Mar/08/18 Mar/15/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
pete-sigep Used - $750.00 0 Mar/12/18 Apr/11/18
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
usedeqsales Used - $1,252.07 0 Mar/16/18 Nov/08/21
Description: AMAT Applied Materials 0010-25068 PVD Chamber 3 CPI-VMO Rev. 002 Endura As-Is
usedeqsales Used - $1,252.07 0 Mar/16/18 Nov/08/21
Description: AMAT Applied Materials 0010-22567 PVD Chamber SOURCE 3 CPI-VMO Missing Cover
dcdirecttech Used - $29.95 0 Mar/17/18 Mar/24/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
dcdirecttech Used - $49.95 0 Mar/17/18 Mar/24/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
bruce135 Used - $89.00 0 Mar/19/18 Apr/18/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
usedeqsales Used - $1,008.14 0 Mar/19/18 Jan/28/19
Description: AMAT Applied Materials 0150-97185 Electrode 0040-01275 Quantum Leap 3 Used
usedeqsales Used - $2,504.58 1 Mar/20/18 Oct/14/21
Description: AMAT Applied Materials 0010-14528 Magnetic Source 3 CPI-VMO Endura Cu Working
usedeqsales Used - $3,504.15 0 Mar/20/18 Nov/08/21
Description: AMAT Applied Materials 0010-22567 PVD Chamber SOURCE 3 CPI-VMO Rev. 003 As-Is
lucky_ducky_sells_online NEW - $12.60 3 Mar/20/18 Sep/11/18
Description: BUSSMAN HPF Panel Mount FUSE HOLDER; HPF 30A 600V. (LOT OF 3 ) AMAT 0910-01208
20041014625pm Used - $1,195.00 0 Mar/22/18 Apr/21/18
Description: Lam Research 853-012261-001 Inner Gate Assembly Lot Of (3)
dcdirecttech Used - $39.95 0 Mar/25/18 Apr/01/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
dcdirecttech Used - $19.95 0 Mar/26/18 Apr/02/18
Description: AMAT Applied Materials 0100-11002 Rev. F Digital I/O Card PCB VME Card P5000 #3
lonym Used - $75.00 0 Mar/28/18 Apr/27/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
ok24odef NEW - $29.00 0 Mar/31/18 Apr/10/18
Description: Applied Materials Robot Drive Belt Pulley 0020-78432, 3 1/4"
honeybunny1215 Used - $179.99 0 Apr/03/18 Jan/31/19
Description: 3 Lam Research VAT Pin Lifters 765-430126-007
dcdirecttech Used - $29.95 0 Apr/03/18 Apr/10/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
intek22 Used - $450.00 1 Apr/09/18 Oct/30/18
Description: QTY 10 * Novellus Concept 3 Cables 03-257667-00/A 03-257667-01/A 03-257667-02
dcdirecttech Used - $19.95 0 Apr/10/18 Apr/17/18
Description: AMAT Applied Materials 0100-00003 Rev. C Stepper Drive PCB VME Card P5000 #3
pete-sigep Used - $750.00 0 Apr/11/18 May/11/18
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
bruce135 Used - $89.00 1 Apr/18/18 May/18/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
bobsgoodies NEW - $80.00 1 Apr/20/18 Jun/12/18
Description: AMAT 3300-03862, SS-4-VCR-61, 1/4 VCR Face Seal Bulkhead Union W/nut (Lot of 3)
20041014625pm Used - $1,195.00 0 Apr/21/18 May/21/18
Description: Lam Research 853-012261-001 Inner Gate Assembly Lot Of (3)
lonym Used - $75.00 0 Apr/28/18 May/28/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
automotiveapple Used - $476.19 3 May/02/18 Sep/02/19
Description: As-Is Lam 810-800256-005 NODE BOARD TYPE 3
spsglobal NEW - $10.00 0 May/03/18 Jul/04/18
Description: 307-0202// AMAT APPLIED 0720-01243 CONN RCPT 2 POLE 3 WIRE AC BRN UL NEW
storemanager-2009 NEW - $250.00 0 Mar/07/18 Jun/18/18
Description: CH 3 INTERCONNECT, 35'
storemanager-2009 NEW - $400.00 0 Mar/07/18 Jun/18/18
Description: CABLE ASSY.,CH 3 INTC., 50FT
katiil3 Used - $399.00 0 May/07/18 Oct/23/21
Description: APPLIED MATERIALS 0041-06736 04 ( LOT OF 3 )
capitolareatech NEW - $115.75 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-00558 SIDE SHIELD 3
capitolareatech NEW - $1,473.75 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3
capitolareatech NEW - $155.00 0 May/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-21031 HARNESS ASSY, HTESC, STD BODY, POS 2 & 3
pete-sigep Used - $750.00 0 May/12/18 Jun/11/18
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
capitolareatech NEW - $495.00 1 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-36556 ASSY, HOSE, AMAT-1/STEELHEAD-1, 3, OR 5,
capitolareatech NEW - $44.95 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 3300-04754 HPS-MKS 93-4892 FTG ELBOW 90R KF25 X 2.04M 3
capitolareatech NEW - $295.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-45784 LINE, EXHAUST POST-VALVE OVERPRESSURE, 3
20041014625pm Used - $1,195.00 0 May/22/18 Jun/21/18
Description: Lam Research 853-012261-001 Inner Gate Assembly Lot Of (3)
usedeqsales NEW - $256.18 1 Jun/07/18 Jan/29/19
Description: AMAT Applied Materials 3700-01664 Duro White O-Ring Reseller Lot of 3 New
qrecycle NEW - $99.00 0 Jun/07/18 Jun/12/18
Description: applied materials 3 pcs 0150-35216
usedeqsales Used - $256.18 0 Jun/07/18 Mar/03/22
Description: AMAT Applied Materials 0090-36323 AC Boomerang Cover Cable Sensor Lot of 3 New
j316gallery Used - $440.00 2 Jun/08/18 May/09/19
Description: 7648 LAM RESEARCH PCB NODE BOARD TYPE 3 810-800256-005
qrecycle NEW - $99.00 0 Jun/15/18 Jun/17/18
Description: applied materials 3 pcs 0150-35216
pete-sigep Used - $750.00 0 Jun/11/18 Jul/11/18
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
pnpsemi Used - $500.00 0 Jun/19/18 Jul/19/22
Description: AMAT Applied-Materials 0100-40031 PCB AC 3 PHASE VOLTAGE SENSE Board
20041014625pm Used - $1,195.00 1 Jun/21/18 Jul/09/18
Description: Lam Research 853-012261-001 Inner Gate Assembly Lot Of (3)
spsglobal NEW - $220.00 0 Jun/26/18 Apr/13/21
Description: 323-0401// AMAT APPLIED 0090-16018 (#3) SENSOR ASSY., LOADLOCK CASSETTE NEW
lonym Used - $55.00 0 Jun/27/18 Jul/27/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
dnd_surplus Used - $800.00 0 Jun/29/18 Jul/29/18
Description: AMAT Applied Materials Seriplex 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3
global-trade-tech8 Used - $200.00 0 Jul/02/18 Jan/04/24
Description: New AMAT Applied Materials 0021-78086 Plate, Output, Wafer Station 3
katiil3 Used - $499.00 1 Jul/10/18 Oct/01/18
Description: AMAT, Applied Materials, plasma detect ultima clean PCB 0100-18035 ( lot of 3 )
usedeqsales Used - $307.18 0 Jul/10/18 Nov/30/18
Description: Lam Research 810-009281-001 Gap Driver Board PCB Lot of 3 Used
novusferro NEW - $79.00 0 Jul/12/18 Sep/03/20
Description: Applied Material 305244R01-D5 Mainframe Regulator 3800-01034 (3 Port)
j316gallery Used - $395.23 0 Jul/12/18 Aug/03/21
Description: 11247 APPLIED MATERIALS VLV GAS LINE #3 PRECLEAN CHMBR 0190-20015
yericomfg NEW - $160.00 0 Jul/12/18 Aug/08/18
Description: AMAT 0020-99758 Pad PBI Rear Ht 3,8 Mm Modular End Effector 300mm
pete-sigep Used - $750.00 0 Jul/12/18 Aug/11/18
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
sparesllc09 NEW - $95,000.00 0 Jul/18/18 Oct/12/18
Description: 575-800325-417 **3 PIECE SET** / 2300 FLEX EX +PM CH W GAS BOX AND RF CART/ LAM
lonym Used - $55.00 0 Jul/27/18 Aug/26/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
dnd_surplus Used - $800.00 0 Jul/29/18 Aug/28/18
Description: AMAT Applied Materials Seriplex 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3
asmtk Used - $500.00 0 Jul/30/18 Oct/01/21
Description: APPLIED MATERIALS 0040-76631 GARAGE, SHUTTER, NEW SENSOR, RPG CHBR, 3 AMAT
usedeqsales Used - $508.18 0 Aug/06/18 Jun/30/22
Description: AMAT Applied Materials 0150-21026 Mainframe Cable CH 3 Heater New
usedeqsales Used - $508.18 0 Aug/07/18 Jun/30/22
Description: AMAT Applied Materials 0150-21028 Mainframe Cable CH 3 Heater New
yericomfg Used - $3,900.00 0 Aug/08/18 Aug/27/19
Description: Distribution panel, 400A, 3 Phase, AMAT 0242-60869
storemanager-2009 NEW - $632.00 0 Aug/09/18 Aug/09/18
Description: 1270-01448 SW FLOW 1/4""FNPT ADJ RLY 3
yericomfg Used - $500.00 0 Aug/08/18 Aug/27/19
Description: Novellus 02-290255-00 HDSIOC 3 PDL OXIDE
yericomfg NEW - $160.00 0 Aug/08/18 Aug/27/19
Description: AMAT 0020-99758 Pad PBI Rear Ht 3,8 Mm Modular End Effector 300mm
wyse_avenue NEW - $300.00 0 Aug/13/18 Sep/12/18
Description: AMAT 3870-00134 VALVE 3 PORT LOCK, NEW
pete-sigep Used - $750.00 0 Aug/13/18 Aug/16/18
Description: CAL9900 X 3 - Lam Research 853-034160-001 Controller
spsglobal Used - $10.00 0 Aug/14/18 Jun/30/22
Description: 342-0501// AMAT APPLIED 0021-39098 BRKT, BLNK, TRIPLE GAS LINE, 3 NEW
nissiglobal NEW - $37.49 0 Aug/15/18 Jun/30/22
Description: AMAT 0150-08125 411544-PJ CABLE ION BAR CONTROL BAR 2- BAR 3
usedeqsales Used - $508.18 0 Aug/16/18 Mar/03/22
Description: Lam Research 715-140124-001 Facing Plate/Electrode 715-140125-001 Lot of 3 Used
offerandown NEW - $630.00 1 Aug/17/18 Aug/24/18
Description: 3 AMAT 0090-00923 STEPPER MOTOR/ ENCODER ASSY, LONG ROBOT MIRRA CMP 200MM
offerandown NEW - $1.00 1 Aug/22/18 Aug/22/18
Description: 3 AMAT 0090-00925 001 STEPPER MOTORs and Lenovo 420 I7 owed to marzusa
falcor88 NEW - $19.99 0 Aug/23/18 Sep/22/18
Description: Lot of 3 Applied Materials 3700-02757 Seal CTR Ring NW40 Alum
falcor88 NEW - $9.99 4 Aug/24/18 Sep/23/18
Description: Applied Materials 3700-03274 C-SEAL K1S 3 PORT SST W/ RETAINER
falcor88 NEW - $9.99 0 Aug/25/18 Sep/24/18
Description: Turck PKGV 3M 3 PSG Cable 1108U Applied Materials 0150-18581
lonym Used - $55.00 0 Aug/26/18 Sep/25/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
dnd_surplus Used - $800.00 0 Aug/28/18 Sep/27/18
Description: AMAT Applied Materials Seriplex 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3
kc.dak NEW - $499.99 1 Aug/29/18 Oct/28/20
Description: AMAT 0190-37616 KVM SWITCH, 4 PC INPUT, 3 USER LOCATION OUTPUT, TOUCHSCREEN, NEW
prism_electronics7 Used - $1,190.00 0 Sep/06/18 Feb/25/20
Description: EDWARDS IQDP40 / IQMB250 3 PHASE TURBO PUMP MODULE D37207000 AMAT 3620-01245
dy-global Used - $399.90 0 Sep/09/18 Nov/09/20
Description: Lam Research Used 810-033620-008 REV.A MATCH INTERFACE 3 CAP PCB-I-E-761=6BX3
prism_electronics5 Used - $5,100.00 1 Sep/18/18 Sep/04/19
Description: APPLIED MATERIALS AMAT 1110-01025 MATCH M/W2.45GHZ 3 ASTEX FI20065 SMARTMATCH
cubit001 Used - $5,500.00 0 Sep/18/18 Dec/18/22
Description: AMAT 0760-01012 3 Port Rotary Union
maxisemi1349 Used - $450.00 0 Sep/19/18 May/10/23
Description: 0200-00303 PIN, LIFT, .120 DIA HOLE, SAPPHIRE, lot of 3
wyse_avenue NEW - $300.00 0 Sep/20/18 Oct/20/18
Description: AMAT 3870-00134 VALVE 3 PORT LOCK, NEW
falcor88 NEW - $19.99 0 Sep/23/18 Oct/23/18
Description: Lot of 3 Applied Materials 3700-02757 Seal CTR Ring NW40 Alum
lonym Used - $55.00 0 Sep/25/18 Oct/25/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
farmoninc NEW - $45.00 1 Sep/26/18 Apr/19/19
Description: 3 MKS HPS 100318903 Clamp, Bulkhead, NW25, Fitting, AMAT 3300-02348, 450215
dnd_surplus Used - $800.00 0 Sep/27/18 Oct/27/18
Description: AMAT Applied Materials Seriplex 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3
prism_electronics5 Used - $425.00 0 Sep/27/18 Aug/16/22
Description: APPLIED MATERIALS AMAT 4580-05940 VALVE 3 PORT TUBE FEM TUBE NC
falcor88 NEW - $9.99 0 Sep/30/18 Oct/30/18
Description: Applied Materials 3700-03274 C-SEAL K1S 3 PORT SST W/ RETAINER
falcor88 NEW - $9.99 0 Sep/30/18 Oct/30/18
Description: Turck PKGV 3M 3 PSG Cable 1108U Applied Materials 0150-18581
farmoninc Used - $10.00 0 Oct/01/18 Jun/15/23
Description: AMAT 3860-01105 TBG Plastic 1/4OD x 1/8ID Duro 85 Translucent Orange 3', 450382
farmoninc Scrap, for parts - $20.00 0 Oct/03/18 Oct/08/18
Description: 3 AMAT 5070-01027 Lubt Grease Tribolube-2 Tube S, 450296
prism_electronics8 Used - $199.99 0 Oct/04/18 Aug/30/22
Description: APPLIED MATERIALS 0140-70072 3 Pump Frame EMO Distribution Harness Assembly
adam_tech3 Used - $633.39 1 Oct/08/18 Sep/18/19
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV
sparesllc09 Used - $6,500.00 0 Oct/08/18 Jul/29/20
Description: 02-324753-00 / LEVEL 3 ALTUS/VECTOR SPINDLE /NOVELLUS SYSTEMS INC
prism_electronics8 Used - $59.99 0 Oct/09/18 Aug/23/22
Description: APPLIED MATERIALS 3420-01161 1/4 X 3 Insulator
tchaban88 Used - $250.00 0 Oct/14/18 Oct/21/18
Description: APPLIED MATERIALS AMAT 0150-18207 J40 (MC #3 ) - #2 - P17
jens.pens Used - $950.00 0 Oct/15/18 Oct/12/21
Description: Applied Materials 0010-29958 Rev 001 CCM Hart 3 Mainframe
goldllc7 Used - $569.00 0 Oct/16/18 Feb/13/19
Description: Applied Materials P5000 AMAT RF Match MN 0010-09750 13.56Mhz 3 kV
dr.dantom NEW - $100.00 1 Oct/18/18 Nov/20/18
Description: LOT OF 3 Applied Materials 0200-01904 PIN PEDESTAL ALIGNMENT
spsglobal Used - $210.00 1 Oct/19/18 Apr/28/22
Description: 150-0501// AMAT APPLIED 0150-01895 CABLE ASSY,SER COMM,FIC TO PDO TRAY,2W 3 NEW
wyse_avenue NEW - $300.00 0 Oct/20/18 Nov/19/18
Description: AMAT 3870-00134 VALVE 3 PORT LOCK, NEW
athomemarket Used - $524.98 0 Oct/20/18 Jan/18/20
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
athomemarket Used - $839.98 0 Oct/20/18 Jan/18/20
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
falcor88 NEW - $19.99 0 Oct/24/18 Nov/23/18
Description: Lot of 3 Applied Materials 3700-02757 Seal CTR Ring NW40 Alum
sparesllc09 NEW - $750.03 0 Nov/02/18 Mar/18/20
Description: 10-153079-00 /TUBE ASSY. ILDS, 3 CH, CTG, VTR / LAM RESEARCH CORPORATION
lonym Used - $55.00 0 Oct/25/18 Nov/24/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
wyse_avenue NEW - $300.00 0 Nov/19/18 Dec/19/18
Description: AMAT 3870-00134 VALVE 3 PORT LOCK, NEW
qrecycle Refurbished - $888.00 0 Nov/21/18 Nov/26/18
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
lonym Used - $55.00 0 Nov/24/18 Dec/24/18
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
usedeqsales Used - $311.18 1 Nov/26/18 Jul/02/19
Description: VAT 81105-01-117 Gate Valve Housing AMAT 0190-09436 Reseller Lot of 3 Used
dnd_surplus Used - $800.00 0 Nov/26/18 Dec/26/18
Description: AMAT Applied Materials Seriplex 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3
falcor88 NEW - $19.99 0 Nov/26/18 Dec/26/18
Description: Lot of 3 Applied Materials 3700-02757 Seal CTR Ring NW40 Alum
qrecycle Refurbished - $888.00 0 Nov/27/18 Dec/04/18
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
atxdeals4u Scrap, for parts - $2,000.00 0 Nov/27/18 Dec/14/18
Description: (3) AMAT 0010-02372 HIGH EFF.-BIAS VECTRA IMP PVD RF MATCHES "FOR PARTS/REPAIR"
xichao_liu NEW - $60.00 0 Dec/01/18 Jan/30/19
Description: LOT OF 3 AMAT 3700-07970 1.171*0.139 CHEMRAZ XPE CLASS 1000 PACKAGE
qrecycle Refurbished - $888.00 0 Dec/04/18 Dec/11/18
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
falcor88 NEW - $9.99 0 Dec/04/18 Jan/03/19
Description: Applied Materials 3700-03274 C-SEAL K1S 3 PORT SST W/ RETAINER
falcor88 NEW - $9.99 0 Dec/04/18 Jan/03/19
Description: Turck PKGV 3M 3 PSG Cable 1108U Applied Materials 0150-18581
farmoninc Refurbished - $7,120.00 0 Dec/04/18 Dec/27/18
Description: ENI OEM-12B3 3 Phase RF Generator, OEM-12B3-02, AMAT 0190-76028, 1250W, Tested
maxisemi1349 NEW - $900.00 0 Dec/05/18 Jan/04/19
Description: 0020-06231 COVER,CATHODE HEX,125MM ALUM, lot of 3
spsglobal Used - $1,700.00 0 Dec/06/18 Mar/22/19
Description: 124-0102// AMAT APPLIED 0020-27372 (#3) CLAMP RING 8" JMF COLD AL/TI 6 USED
bornalliancecom NEW - $995.00 0 Dec/13/18 Feb/16/20
Description: Applied Materials 0200-35160 Insert, Quartz 3 Zone AMAT
vincent-senior Used - $450.00 0 Dec/13/18 Jan/12/19
Description: LAM RESEARCH, Node Board Type 3, 810-800256-004
techshop7777 NEW - $329.99 0 Dec/12/18 Jan/11/19
Description: New Lam Research 810-800256-015 PCBA Node Type 3 Board Phase II
dom0808 Used - $544.50 0 Dec/20/18 Feb/11/22
Description: LAM Research VIOP PHASE 3 VIOP PHASE III 810-099175-009
qrecycle Refurbished - $1,888.00 0 Dec/25/18 Dec/28/18
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
lonym Used - $55.00 0 Dec/26/18 Jan/25/19
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
dnd_surplus Used - $800.00 0 Dec/26/18 Jan/25/19
Description: AMAT Applied Materials Seriplex 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3
farmoninc Refurbished - $11,500.00 0 Dec/27/18 Jan/10/19
Description: ENI OEM-12B3 3 Phase RF Generator, OEM-12B3-02, AMAT 0190-76028, 450686, Tested
farmoninc Used - $11,500.00 0 Dec/27/18 Jan/10/19
Description: ENI OEM-12B3 3 Phase RF Generator, OEM-12B3-02, AMAT 0190-76028, 1250W, 413348
qrecycle NEW - $999.00 0 Dec/30/18 Jan/04/19
Description: Applied materials 0540-00054 lot of 3 units
qrecycle Refurbished - $1,888.00 0 Dec/30/18 Jan/02/19
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
falcor88 NEW - $19.99 0 Dec/30/18 Jan/29/19
Description: Lot of 3 Applied Materials 3700-02757 Seal CTR Ring NW40 Alum
zindchau15 NEW - $230.00 0 Jan/03/19 Oct/23/21
Description: APPLIED MATERIALS LOT OF 3 0090-06950 ASSAY PS, PNEUMATIC BOX, PLATENS,REFLEXION
qrecycle Refurbished - $1,888.00 0 Jan/04/19 Jan/07/19
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
qrecycle NEW - $999.00 0 Jan/04/19 Jan/09/19
Description: Applied materials 0540-00054 lot of 3 units
qrecycle Refurbished - $1,888.00 0 Jan/07/19 Jan/10/19
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
falcor88 NEW - $9.99 4 Jan/07/19 Feb/06/19
Description: Applied Materials 3700-03274 C-SEAL K1S 3 PORT SST W/ RETAINER
falcor88 NEW - $9.99 0 Jan/07/19 Feb/06/19
Description: Turck PKGV 3M 3 PSG Cable 1108U Applied Materials 0150-18581
qrecycle Refurbished - $1,888.00 0 Jan/11/19 Jan/14/19
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
qrecycle NEW - $999.00 0 Jan/11/19 Jan/16/19
Description: Applied materials 0540-00054 lot of 3 units
techshop7777 NEW - $329.99 0 Jan/11/19 Feb/10/19
Description: New Lam Research 810-800256-015 PCBA Node Type 3 Board Phase II
j316gallery Used - $760.39 2 Jan/15/19 Jun/07/21
Description: 7901 LAM RESEARCH PCB NODE BOARD TYPE 3 810-800256-004
qrecycle Refurbished - $1,888.00 0 Jan/15/19 Jan/18/19
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
vizvik16 NEW - $50.00 1 Jan/18/19 Jun/20/19
Description: APPLIED MATERIALS AMAT 3700-01351 SEAL CENTER RING LOT OF 3
wyse_avenue NEW - $300.00 0 Jan/18/19 Feb/17/19
Description: AMAT 3870-00134 VALVE 3 PORT LOCK, NEW
qrecycle NEW - $999.00 0 Jan/18/19 Jan/25/19
Description: Applied materials 0540-00054 lot of 3 units
qrecycle Refurbished - $1,888.00 0 Jan/18/19 Jan/25/19
Description: applied materials RPSC applicator 0010-02146 LOT OF 3 PCS
lonym Used - $55.00 0 Jan/25/19 Feb/24/19
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
dnd_surplus Used - $800.00 0 Jan/25/19 Feb/24/19
Description: AMAT Applied Materials Seriplex 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3
techshop7777 NEW - $573.00 0 Jan/31/19 Mar/02/19
Description: NEW HORIBA STEC SEC-Z512MGX MASS FLOW CONTROLLER N2 3 SLM NOVELLUS 22-399262-10
sparesllc09 Used - $515.26 1 Feb/11/19 Feb/07/21
Description: 0190-26810 / ASSEMBLY PCB MAINFRAME INTERLOCK 3 REL / APPLIED MATERIALS / AMAT
vincent-senior Used - $450.00 0 Feb/13/19 Mar/15/19
Description: LAM RESEARCH, Node Board Type 3, 810-800256-004
techshop7777 NEW - $329.99 0 Feb/14/19 Mar/16/19
Description: New Lam Research 810-800256-015 PCBA Node Type 3 Board Phase II
it_recycle_milptas NEW - $999.00 5 Feb/17/19 Feb/17/19
Description: AMAT 0160-05328 Rev.001 PAD COND 3 Power, Control -75ft New
wyse_avenue NEW - $300.00 0 Feb/17/19 Mar/19/19
Description: AMAT 3870-00134 VALVE 3 PORT LOCK, NEW
it_recycle_milptas NEW - $999.00 1 Feb/18/19 Feb/18/19
Description: AMAT 0160-05328 Rev.001 PAD COND 3 Power, Control -75ft New
techshop7777 NEW - $573.00 0 Mar/04/19 Apr/03/19
Description: NEW HORIBA STEC SEC-Z512MGX MASS FLOW CONTROLLER N2 3 SLM NOVELLUS 22-399262-10
techshop7777 NEW - $329.99 2 Mar/18/19 Apr/17/19
Description: New Lam Research 810-800256-015 PCBA Node Type 3 Board Phase II
senior-inc Used - $450.00 1 Mar/19/19 Jun/24/21
Description: LAM RESEA Node Board Type 3, 810-800256-004
wyse_avenue NEW - $300.00 0 Mar/19/19 May/03/19
Description: AMAT 3870-00134 VALVE 3 PORT LOCK, NEW
usedeqsales NEW - $203.19 1 Mar/20/19 Mar/11/21
Description: AMAT Applied Materials 0020-22839 Pin Preclean Lift Reseller Lot of 3 New
betone_store Used - $35.00 1 Mar/24/19 Apr/02/19
Description: AMAT 0090-20042 ASSY,3 WAY VALVE
dnd_surplus Used - $800.00 1 Mar/26/19 Jun/02/19
Description: AMAT Applied Materials Seriplex 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3
lonym Used - $55.00 1 Mar/27/19 Apr/01/21
Description: LAM RESEARCH HEARTBEAT BD PCB 810-017012-001 REV 3 BOARD
usedeqsales NEW - $303.19 1 Mar/27/19 Sep/02/19
Description: AMAT Applied Materials 0020-30482 Flex Coupling Reseller Lot of 3 New Surplus
getspares.com_sparesllc09 Used - $4,375.26 0 Mar/29/19 Nov/22/22
Description: 0010-08480 / ASSEMBLY, HEATER, BLOCK, LINE/FVC, TXZ 3 / APPLIED MATERIALS AMAT
expertsurplus NEW - $35.00 0 Apr/02/19 Nov/14/23
Description: AMAT Applied Materials 3700-02353 Chemraz Greene Tweed O-ring, Lot of 3, New
techshop7777 NEW - $418.00 2 Apr/04/19 Jan/01/20
Description: NEW HORIBA STEC SEC-Z512MGX MASS FLOW CONTROLLER N2 3 SLM NOVELLUS 22-399262-10
quange141004 NEW - $20.00 0 Apr/08/19 Jul/31/20
Description: LAM 766-009446-001, Valve Solenoid 3 Way SMC SYJ314M-5LOZ. 24V
bobsgoodies2 Used - $229.00 0 Apr/08/19 Mar/31/22
Description: AMAT 0040-33775 7.25" Cable, Lift Assembly DPS Chamber (3 cables in the bag)
spsglobal NEW - $50.00 0 Apr/12/19 Jan/05/20
Description: 344-0401// AMAT APPLIED 0020-18423 CLAMP, SIDE, HDPCVD, REV 3 NEW
getspares.com_sparesllc09 Used - $45,000.90 0 Apr/11/19 Jan/03/23
Description: 9090-00801 A1031250 / PRE ACCEL POWER SUPPLY QUANTUM 3 / AMAT HITEK POWER
techshop7777 NEW - $329.99 2 Apr/17/19 May/22/19
Description: New Lam Research 810-800256-015 PCBA Node Type 3 Board Phase II
asmtk NEW - $100.00 0 Apr/30/19 Oct/01/21
Description: Applied Materials 0020-18375 BRACKET,CHAMBER HDPCVD REV 3 AMAT
getspares.com_sparesllc09 NEW - $6,500.82 0 Apr/26/19 Feb/20/23
Description: 04-308076-00 / KIT, ATH SHIELD, CELL 3, 300MM(SABRE NON 3D) / NOVELLUS / LAM
getspares.com_sparesllc09 NEW - $5,500.82 0 Apr/26/19 Feb/20/23
Description: 02-463840-00 / ASSY,PUMP DOSE,3 CHEM,BATH 2(SABRE 3D) / NOVELLUS SYSTEMS / LAM
cosplity NEW - $450.00 0 May/05/19 Sep/05/22
Description: AMAT 0150-11940 CABLE ASS'Y, PLATEN 3, ANALOG I/O, REFLEXION LK
usedeqsales Used - $1,605.19 0 May/09/19 Jun/29/23
Description: Lam Research 810-707060-001 PM Node 3 PCB Panel 810-707150-001 Continuum Spare
cosplity NEW - $900.00 0 May/10/19 Sep/10/22
Description: AMAT 0150-14284 CABLE ASSY, 3 PHASE PWR, AC BOX TO HEAD
plccenter Used - $5.50 0 May/22/19 May/31/19
Description: APPLIED MATERIALS 0100-11002 REV 3 / 010011002REV3 (REPAIR EVALUATION ONLY)
bt_store1 Used - $50.00 0 Jun/05/19 Jun/17/21
Description: AMAT 0150-21383 CABLE LIGHT PEN SELECT W 3 MONITORS
bt_store1 Used - $225.00 0 Jun/05/19 Jul/15/21
Description: AMAT 0150-20016 OBSOLETE CABLE ASSY CHAMBER 3 INTERCONECT 25FT
autoquip7 NEW - $3,770.00 0 Jun/03/19 Jul/25/22
Description: 0200-01142, AMAT; SUPPORT EDGE LIFT , 3 FINGER, CONE TIP
farmoninc NEW - $1,125.00 1 Jun/06/19 Nov/23/20
Description: Lot of 3 AMAT 0045-00126 Pumping Channel, Anodized, Producer 200MM, 451389
dy-global Used - $350.00 1 Jun/08/19 Jun/16/19
Description: Lam RESEARCH USED Node Board, Type 3 810-800256-005 Water Detect SEM-I-261=6B37
dy-global Used - $350.00 2 Jun/08/19 Jun/16/19
Description: Node Board Type 3 810-800256-005 Water Detector 810-800156-101 SEM-I-260=6B37
j316gallery NEW - $685.51 0 Jun/18/19 Aug/03/21
Description: 10048 APPLIED MATERIALS VALVE, GAS LINE #3 PRECLEAN CHAMBER (NEW) 0190-20015
kokoy666 NEW - $55.00 1 Jun/27/19 Jun/27/19
Description: Lot 3 New Applied Materials (Amat) PCB ASSY, OPTO SWITCH AMAT 0100-09042
dom0808 Used - $1,494.90 0 Jul/01/19 Feb/11/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-106 PCB ASSY MB NODE 2 PM HE
katiil3 Used - $149.00 1 Jun/30/19 Aug/30/20
Description: Applied Materials CDN496 PCB I/O BOARD AMAT 0190-04736, DIP-240-020 lot of 3
dom0808 Used - $1,957.00 0 Jul/02/19 Feb/11/22
Description: Lam Research NODE BOARD TYPE 3 810-800256-005 WATER DETECTOR 810-800156-002
dom0808 Used - $2,088.90 0 Jul/02/19 Feb/11/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-207 NODE 2 MB PM HE
svcstore Used - $179.99 0 Jul/02/19 Sep/16/19
Description: LAM Research 810-707056-002 Rev.E1 TM Node 3,4 I/O Motherboard Assembly Unit
zindchau15 NEW - $50.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 0021-33903 BRACKET 300MM DTESC GUIDE PIN, LOT OF 3
zindchau15 NEW - $100.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 4060-00073 K1S Modular 3 Port Female End Block, LOT OF 2
zindchau15 NEW - $140.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 0021-33904 300MM DTESC GUIDE PIN, LOT OF 3
j316gallery Used - $505.46 0 Jul/03/19 May/24/23
Description: 13800 APPLIED MATERIALS EMC COMP., CABLE ASSY, PUMP UMBILICAL, 3 0150-06604
zindchau15 NEW - $100.00 2 Jul/09/19 Mar/12/20
Description: APPLIED MATERIALS 3400-00301 HOSE ASSY 12" LG FLEXIBLE RPG CHBR 3
j316gallery Used - $500.00 2 Jul/10/19 Sep/25/19
Description: 7648 LAM RESEARCH PCB NODE BOARD TYPE 3 810-800256-005
j316gallery Used - $9,333.00 0 Jul/10/19 Jan/20/20
Description: 7343 ENI 3 PHASE RF GENERATOR, AMAT P/N: 0190-76048 OEM-12B-07
svcstore Used - $179.99 0 Jul/11/19 Sep/16/19
Description: LAM Research 810-707056-003 Rev.A TM Node 3,4 I/O Motherboard Assembly
svcstore Used - $179.99 0 Jul/12/19 Sep/16/19
Description: LAM Research 810-707056-003 Rev.E1 TM Node 3,4 I/O Motherboard Assembly Unit
goldllc7 Used - $399.00 1 Jul/12/19 Feb/13/20
Description: Applied Materials P5000 AMAT RF Match MN 0010-09750 13.56Mhz 3 kV
tchaban88 Used - $10.00 0 Jul/15/19 Jul/22/19
Description: APPLIED MATERIALS AMAT 0150-18207 J40 (MC #3 ) - #2 - P17
bt_store1 Used - $10.00 0 Jul/17/19 Aug/22/19
Description: AMAT 3080-01044 BELT TMG 3 8 PICH 1 2 W NEO GLASS
smartelektronikgmbh Used - $200.00 0 Jul/17/19 Nov/10/20
Description: 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
bt_store1 Used - $20.00 0 Jul/18/19 Aug/22/19
Description: AMAT 3610-01043 Pul Time Belt 3 8 Pitch Alum 1 2 Width 1 2 Bore
usedeqsales Used - $311.18 0 Jul/19/19 Aug/08/19
Description: VAT 81105-01-117 Gate Valve Housing AMAT 0190-09436 Reseller Lot of 3 Used
bt_store1 Used - $50.00 0 Jul/31/19 Jul/15/21
Description: AMAT 3060-01192 BRG PILLOW BLK 3 4SFT NON EXPANSION NKL PLT
roundtable1 NEW - $25.00 0 Jul/31/19 Mar/14/24
Description: Applied Materials 0020-23632 Vent Line Bracket 2 Of 3 NEW
spsglobal Used - $50.00 0 Jul/30/19 Jun/29/23
Description: 345-0102// AMAT APPLIED 0190-09153 SPECIAL EAGLE 3 WAY OUTLET NEW
maxisemi1349 NEW - $60.00 0 Jul/30/19 Apr/14/21
Description: 22-122199-00 SEAL,INNER ,200MM, LOT of 3
zindchau15 NEW - $140.00 0 Jul/30/19 Oct/23/21
Description: Applied Materials 4060-00075 K1S MODULAR, 3 PORT MALE END BLOCK, LOT OF 2
getspares.com_sparesllc09 Used - $415.98 0 Aug/01/19 Nov/14/23
Description: 61-337124-00 / MANF,3,VMS DOSING,PILLAR / LAM
spsglobal Used - $60.00 1 Aug/05/19 Jul/10/21
Description: 141-0501// AMAT APPLIED 0150-20584 CABLE ASSY LOFT CH 3 4 C& D NEW
spsglobal Used - $200.00 0 Aug/05/19 Jun/29/23
Description: 141-0502// AMAT APPLIED 0140-01889 HARNESS ASSY, WATER LEAK DETECTOR, SEG 3 NEW
roundtable1 NEW - $189.00 0 Aug/02/19 Jun/22/21
Description: APPLIED MATERIALS (AMAT) 0021-21002 MANIFOLD WATER NESLAB B101@CH1,2,3,4
zindchau15 Used - $140.00 3 Aug/02/19 Oct/23/21
Description: AMAT Applied Materials 0200-02398 LIFT PIN, LOT OF 3
russell_jake Used - $1,500.00 1 Aug/05/19 Aug/10/19
Description: [AMAT] 0010-24341, Heat Exchanger Supply-Return Hose Assy 3, ULTIMA-X CVD 300MM
catalystparts Used - $400.00 7 Aug/08/19 May/25/21
Description: AMAT Applied Material 0040-05142 Weldment Heater Conn HPTXZ Chamber 3
j316gallery Used - $576.05 0 Aug/20/19 Jan/15/21
Description: 15341 APPLIED MATERIALS INSERT QUARTZ,INJECT,3 ZONE 0200-35160
dom0808 Used - $32,000.00 0 Aug/19/19 Nov/18/19
Description: Applied Materials 8" DPS CATHODE 0010-30134 AMAT Refurbished 3 Months Warranty
dom0808 Used - $7,900.00 0 Aug/19/19 Nov/18/19
Description: Applied Materials Centura-9067 Auto Indexer B 0010-20286 AMAT 3 Months Warranty
zindchau15 Used - $100.00 0 Aug/21/19 Oct/23/21
Description: SUPERMICRO POWER SUPPLY PWS-563-1H20 AMAT 0190-51450 REV 3 PWS-563-1H20-AI031
dom0808 Used - $1,925.00 0 Aug/27/19 Feb/11/22
Description: Lam Research SABRE 3 SRD/P-EBR/XMM 61-445173-00
capitolareatech Used - $229.95 0 Aug/29/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-04484 3 IPE SUPPORT CENTER
roundtable1 NEW - $55.00 0 Aug/31/19 Sep/07/19
Description: AMAT 0090-20113 ASSY VALVE 3 WAY 24V
capitolareatech Used - $16.95 0 Sep/02/19 Nov/19/19
Description: Applied Materials (AMAT) 3700-01380 SEAL RADIAL 1/8CS .475 ID FLUOROLOY G 3
bt_store1 Used - $20.00 0 Sep/04/19 Jun/17/21
Description: AMAT 3610-01043 Pul Time Belt 3 8 Pitch Alum 1 2 Width 1 2 Bore
bt_store1 Used - $10.00 0 Sep/04/19 Jun/17/21
Description: AMAT 3080-01044 BELT TMG 3 8 PICH 1 2 W NEO GLASS
spsglobal Used - $100.00 0 Sep/04/19 Oct/12/23
Description: 141-0603// AMAT APPLIED 0140-12298 HARNESS TBU1 TO CBU2, 3, 4 REFLEXION NEW
j316gallery Used - $180.94 1 Sep/05/19 Jan/04/23
Description: 15263 LAM RESEARCH ROCKER, 3 POSITION 715-008004-001
capitolareatech Used - $1,235.30 0 Sep/05/19 Sep/19/19
Description: Applied Materials ( Amat ) 0020-31164 Anneau, Focusing, Alun , 150 mm, Prsp 3
capitolareatech Used - $15.00 0 Sep/05/19 Sep/27/19
Description: Applied Materials AMAT 1370-01008 TRANSISTOR 2N4400 3 PACK
nissiglobal Used - $129.99 0 Sep/07/19 Oct/12/23
Description: TYLAN 2900 SERIES FC-2900MEP APPLIED MAT. 0224-43258 3 SLPM O2
roundtable1 NEW - $55.00 0 Sep/07/19 Sep/14/19
Description: AMAT 0090-20113 ASSY VALVE 3 WAY 24V
maxisemi1349 Used - $60.00 0 Sep/09/19 Oct/12/23
Description: 04-714075-01 INSERT,CLIP RING 6", LOT OF 3
capitolareatech Used - $368.40 0 Sep/11/19 Aug/13/20
Description: NOVELLUS 15-167054-01 SHOWERHEAD, VERSION 3, STATION 2-5,GAM
roundtable1 NEW - $55.00 0 Sep/14/19 Sep/21/19
Description: AMAT 0090-20113 ASSY VALVE 3 WAY 24V
svcstore Used - $161.99 0 Sep/16/19 Dec/27/22
Description: LAM Research 810-707056-002 Rev.E1 TM Node 3,4 I/O Motherboard Assembly Unit
auctionrus Used - $15.00 0 Sep/16/19 May/05/22
Description: 3 AMAT 3700-01089 Seal Center Ring Assy, NW25 w/ O-ring SST, 451919
visionsemi NEW - $300.00 1 Sep/18/19 Dec/11/19
Description: APPLIED MATERIALS AMAT HOLDER LIFT PIN FLOATING DPSII 300MM 0040-88046 PACK OF 3
visionsemi NEW - $75.00 0 Sep/18/19 Jan/20/21
Description: APPLIED MATERIALS AMAT WELDMENT 3 N2 SUPPLY 0051-22325
visionsemi NEW - $50.00 0 Sep/18/19 Sep/08/22
Description: APPLIED MATERIALS MNT VIB 15/160D 1/4-20X1/2L-STUD 20LB RBR 3480-00009 PCK OF 3
svcstore Used - $161.99 0 Sep/18/19 Dec/27/22
Description: LAM Research 810-707056-003 Rev.E1 TM Node 3,4 I/O Motherboard Assembly Unit
svcstore Used - $161.99 0 Sep/18/19 Dec/27/22
Description: LAM Research 810-707056-003 Rev.A TM Node 3,4 I/O Motherboard Assembly
pdcsystems Used - $80.00 0 Sep/21/19 Jun/07/20
Description: Applied Materials AMAT 0021-26609 rev 3 SHUTTER DISK A101, TTN CENTER PIN, BLU
roundtable1 NEW - $35.00 0 Sep/21/19 Sep/28/19
Description: AMAT 0090-20113 ASSY VALVE 3 WAY 24V
j316gallery Used - $935.00 0 Sep/24/19 Sep/24/19
Description: 5081 APPLIED MATERIALS .650" 300MM 3 CHANNEL HEATER LVL CALIBRATN REF 0190-11355
j316gallery Used - $1,500.00 1 Sep/25/19 Oct/02/19
Description: AUCTION APPLIED MATERIALS .650" 300MM 3 CHANNEL HEATER LVL CALIBRATN 0190-11355
roundtable1 NEW - $35.00 0 Sep/28/19 Oct/05/19
Description: AMAT 0090-20113 ASSY VALVE 3 WAY 24V
grandbirdnet Used - $12,500.00 0 Oct/02/19 Sep/02/21
Description: AMAT 0010-27688 ASSY, UPA DC DISTRIBUTION BOX, CONTOUR 3, USED
roundtable1 NEW - $35.00 0 Oct/05/19 Oct/06/19
Description: AMAT 0090-20113 ASSY VALVE 3 WAY 24V
roundtable1 NEW - $35.00 0 Oct/06/19 Sep/10/21
Description: AMAT 0090-20113 ASSY VALVE 3 WAY 24V
dy-global Used - $349.90 2 Oct/07/19 Oct/09/19
Description: LAM RESEARCH Used Node Board Type 3 810-800256-005 714 032717 SEM-I-286=6B47
kellaninc Used - $49.98 0 Oct/09/19 Sep/08/22
Description: Applied Materials Precision Etch 8300 Schematics May 1990 Edition 3 0230-00019
bobsgoodies2 Used - $2,225.00 0 Oct/12/19 Oct/14/19
Description: (3) AMAT 0010-76317 VEXTA 5-PHASE STEPPING MOTOR, A3723-9215 (Lot of 3 )
partskorea1 Used - $499.00 3 Oct/21/19 Feb/09/20
Description: LAM ASSY 810-800256-005 NODE BOARD TYPE 3
auctionrus NEW - $350.00 1 Oct/24/19 Jul/26/21
Description: Square D QOB3205237 Circuit Breaker, 20A, 3 Pole, AMAT 0680-01353, 452346
dom0808 Used - $1,995.00 0 Oct/25/19 Feb/11/22
Description: Lam Research NODE BOARD TYPE 3 810-800256-005 NODE1 PM COMMON 710-802901-307 Box
dom0808 Used - $1,673.00 0 Oct/25/19 Feb/11/22
Description: Lam Research NODE BOARD TYPE 3 810-800256-005 Motherboard NODE 2 PM
dom0808 Used - $490.00 3 Oct/24/19 Feb/09/20
Description: Lam Research NODE BOARD TYPE 3 810-800256-005
dom0808 Used - $1,980.00 0 Oct/25/19 Feb/11/22
Description: Lam Research NODE Board Control TYPE 3 810-800256-207 NODE 2 MB PM HE 802902-034
dom0808 Used - $1,534.50 0 Oct/25/19 Feb/11/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-207
usedeqsales Used - $2,505.10 0 Oct/30/19 Mar/10/23
Description: AMAT Applied Materials 0010-27504 PVD Chamber SOURCE 3 CPI-AMO Copper Cu Working
getspares.com_sparesllc09 Used - $10,000.70 0 Nov/04/19 Mar/16/22
Description: 0010-23334 / AMAT SYSTEM 411931-PJ-ECH3 SOURCE 3 CP / APPLIED MATERIALS
dom0808 Used - $1,527.90 0 Nov/06/19 Feb/11/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-207
usedeqsales Scrap, for parts - $6,011.19 1 Nov/07/19 Nov/11/19
Description: Novellus 02-415129-00 MC4 Controller Rev. 3 SBC 26-369711-00 Copper As-Is
usedeqsales Scrap, for parts - $6,011.19 0 Nov/13/19 Nov/13/19
Description: Novellus 02-415129-00 MC4 Controller Rev. 3 SBC 26-369711-00 Copper As-Is
dom0808 Used - $1,530.10 0 Nov/19/19 Feb/11/22
Description: Lam Research Node Board Control Type 3 810-800256-207
novusferro Used - $299.00 1 Nov/20/19 Apr/26/21
Description: Lam Research 810-800256-004 Rev F Node Board, Type 3
adam_tech3 Used - $756.99 1 Nov/25/19 Nov/25/19
Description: Applied Materials P5000 AMAT RF Match MN 0010-09750 13.56Mhz 3 kV
best4industrie Used - $1,348.34 0 Dec/01/19 Dec/05/19
Description: Act XP 101540-04 0190-73524 Rev 3 Ups Amat Applied Material
capitolareatech Used - $669.53 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0190-13913 DriverController Sensor Cable 3 VER
capitolareatech Used - $10.17 0 Dec/06/19 Dec/14/19
Description: Applied Materials AMAT 0150-20584 CABLE ASSY LOFT CH 3 4 C D
capitolareatech Used - $34.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0150-00080 AFC 3 RIBBON CABLE
capitolareatech Used - $1,425.00 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0050-36242 LINE 3 3-PORT MANIFOLD
capitolareatech Used - $695.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0200-09533 CLAMP RING, 150/147 3 FLT
capitolareatech Used - $285.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0100-00208 PCB, GAS PANEL 3 BOARD 
capitolareatech Used - $1,195.00 0 Dec/07/19 Aug/13/20
Description: LAM RESEARCH (LAM) 716-014843-360 RING, WRAP, QTZ, GENERIC, 17.07ID, 3
salenycusa Scrap, for parts - $599.00 0 Dec/13/19 Dec/13/19
Description: AMAT 0190-09459 CABLE ASSY FIBER OPTIC Lot of 3
salenycusa Scrap, for parts - $599.00 1 Dec/13/19 Dec/14/19
Description: AMAT 0190-09459 CABLE ASSY FIBER OPTIC Lot of 3
capitolareatech Used - $29.95 0 Dec/18/19 Jun/18/20
Description: Applied Materials 3870-03848 SMC SYJA314-M5 Valve Pneu NC 3 Port M5X.8
oskar505 NEW - $55.00 0 Dec/19/19 Jan/04/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
sellingtechstuff2012 Used - $1,025.00 1 Dec/19/19 Dec/26/19
Description: APPLIED MATERIALS 0010-09933 HV MODULE ESC 3 units.
roundtable1 NEW - $199.99 1 Jan/02/20 Nov/02/23
Description: Applied Materials 0020-29640 REST BUTTON,ADV. 101,3.4 MM, FULL-COV TIM Lot Of 3
capitolareatech Used - $119.95 0 Dec/31/19 Aug/13/20
Description: Applied Materials (AMAT) 0620-01189 CABLE, ASSY. VALVE-PLUG 3000MMLG L-H TMP 3
capitolareatech NEW - $44.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0150-20084 CABLE, ASSY, PNEUMATICS 3 WAY VALVE SYS
capitolareatech NEW - $16.95 0 Jan/15/20 Aug/13/20
Description: Applied Materials (AMAT) 0060-00278 LABEL (PACK OF 3)
visionsemi NEW - $300.00 0 Jan/16/20 Jan/25/22
Description: APPLIED MATERIALS AMAT HOLDER LIFT PIN FLOATING DPSII 300MM 0040-88046 PACK OF 3
athomemarket Used - $839.98 0 Jan/18/20 Mar/16/21
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $524.98 0 Jan/18/20 Mar/16/21
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
oskar505 NEW - $45.00 0 Jan/19/20 Jan/28/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
logansemi Used - $210.00 1 Jan/20/20 Apr/19/23
Description: AMAT LIFT PINS 0200-10284, LOT OF 3, NOS
logansemi NEW - $300.00 1 Jan/20/20 Jan/20/20
Description: AMAT Applied Materials RESISTOR CERAMIC NEAR, P/N 0200-35525, LOT OF 3, NOS
capitolareatech NEW - $52.95 0 Jan/21/20 Jun/21/20
Description: Applied Materials (AMAT) 0090-20133 ASSY VALVE 3 WAY 24V
j316gallery Used - $232.64 1 Jan/21/20 Apr/07/22
Description: 15735 LAM RESEARCH PCB MATCH INTFC, 3 CAP CONT 810-033620-006
autoquip7 NEW - $425.00 0 Jan/23/20 Sep/03/21
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR
capitolareatech NEW - $34.95 0 Jan/27/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-76097 Spacer Set 3 Robot Drive
oskar505 NEW - $40.00 0 Jan/28/20 Feb/17/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
j316gallery NEW - $25.31 0 Jan/30/20 Jan/23/23
Description: 4974 APPLIED MATERIALS FLEX 3 INTERLOCKS IO LOOPBACK ADAPTOR (NEW) 0140-05011
getspares.com_sparesllc09 Refurbished - $2,000.00 0 Jan/30/20 Mar/12/20
Description: OEM-12B-02 / AMAT 0190-70080 / RF GENERATOR 13.56 MHZ 1250WATT- 1PH 3 WIRE/ ENI
dom0808 Used - $995.00 1 Jan/30/20 Nov/17/20
Description: Lam Research PCBA,NODE TYPE 3,PHASE II,ROHS Lam P/N 810-800256-015
dom0808 Used - $1,164.90 0 Jan/30/20 Aug/02/21
Description: Lam Research NODE BOARD, TYPE 3 Lam P/N 810-800256-005
spsglobal Used - $6,000.00 0 Feb/03/20 Jan/10/22
Description: 353-0401// AMAT APPLIED 3620-01124 (#3) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
jollyg00d Used - $44.00 1 Feb/04/20 Jan/17/21
Description: (3) Clippard Minimatic R481 4-Way Electronic Valves LAM 766-002089-002 SP-14977
katiil3 Used - $499.00 1 Feb/07/20 Sep/07/20
Description: Applied materials 0090-07304 Pyro DSP Board Lot of 3
katiil3 Used - $999.00 1 Feb/07/20 Sep/07/21
Description: Applied materials 0190-62179 MKS AS03615-3 cPCI-3615FEP/N455/M1G SBC Lot of 3
capitolareatech NEW - $139.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0150-38895 CABLE HEATER, GPLIS CH D, SEG 3, CENTURA 
capitolareatech NEW - $399.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-24438 GASLINE BP/BSP MANIFOLD CVD-AL @ 3
esprprts Scrap, for parts - $1,650.00 1 Feb/14/20 Feb/17/20
Description: APPLIED MATERIALS P5000 VIDEO CONTROLLER BOARD 0100-00793 REV 3
visionsemi Used - $500.00 1 Feb/17/20 Dec/17/20
Description: NOVELLUS DIGITAL DYNAMICS INC HDSI0C 3 PDL OXIDE 02-290255-00
oskar505 NEW - $30.00 0 Feb/17/20 Mar/12/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
spsglobal Used - $10.00 0 Feb/20/20 Aug/25/22
Description: 352-0402// AMAT APPLIED 0015-09070 THRD ROD 3 NEW
visionsemi NEW - $125.00 0 Feb/20/20 Aug/25/22
Description: APPLIED MATERIALS AMAT NORTHEAST FLUIDICS 3 WAY LOCKOUT VALVE MN 2012 0010-70668
getspares.com_sparesllc09 Used - $300.99 0 Feb/21/20 Feb/17/22
Description: 5070-01063 / GRS FOMBLIN IN Y VAC 3 HIGH VACUUM -20 TO 200*C / AMAT
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 3700-90244 O-RING 262CSx18382ID VITON 3 PACK
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 3460-01006 MKR WIRE 2 ONE CARD EQUALS 3
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 0950-01056 IC LATCH 8 BIT 74LS373 3 STATE D TYPE 10-PACK
capitolareatech NEW - $9.95 0 Feb/22/20 Jun/22/20
Description: Applied Materials AMAT 1270-01482 SW SPST 125V NEON SGL POLE LIGHTED RE 3 PACK
prism_electronics12 Used - $3,499.99 0 Feb/25/20 Nov/01/21
Description: EDWARDS IQDP40 / IQMB250 3 PHASE TURBO PUMP MODULE D37207000 AMAT 3620-01245
dom0808 Used - $1,089.00 1 Feb/27/20 Feb/11/22
Description: Lam Research PCBA,NODE TYPE 3,PHASE II 810-800256-015
dom0808 Used - $1,160.50 1 Feb/27/20 Aug/02/21
Description: Lam Research NODE Board, Type 3 810-800256-005
dom0808 Used - $1,529.00 0 Feb/27/20 Feb/11/22
Description: Lam Research NODE Board, Control Type 3 Lam P/N 810-800256-107
dom0808 Used - $1,531.20 0 Feb/27/20 Feb/11/22
Description: Lam Research NODE Board, Control Type 3 Lam P/N 810-800256-207
capitolareatech NEW - $12.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 1200-90102 Relay 24VDC 3 NO
capitolareatech NEW - $8.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 0720-02593 CONN RCPT PNL MTG 3 POS CIRC MS3102
farmoninc NEW - $350.00 0 Mar/13/20 Feb/17/22
Description: AMAT 3870-07626 Valve Pneumatic K1H PI Seat-High Temperature, 3 Port, N0, 452908
oskar505 NEW - $25.00 0 Mar/14/20 Mar/30/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
cjcollective2012 Used - $50.00 0 Mar/17/20 Feb/04/22
Description: Applied Materials 0190-54240 Rev. 3 Line Heater Jacket w/ WCSH-0240-0000
getspares.com_sparesllc09 Used - $750.03 1 Mar/18/20 Jun/08/23
Description: 10-153079-00 / TUBE ASSY. ILDS, 3 CH, CTG, VTR / LAM RESEARCH CORPORATION
usedeqsales Used - $353.20 1 Mar/20/20 Oct/18/21
Description: AMAT Applied Materials 0020-34034 Cylinder Lifter Etch Chamber Lot of 3 New
cjcollective2012 Used - $50.00 0 Mar/31/20 Feb/04/22
Description: Applied Materials AMAT 0190-54237 Ver 3 208V 71W Heater Jacket
cjcollective2012 Used - $50.00 0 Mar/31/20 Feb/04/22
Description: Applied Materials AMAT 0190-54236 Ver 3 208V 35W Heater Jacket
cjcollective2012 Used - $50.00 0 Mar/31/20 Feb/04/22
Description: Applied Materials AMAT 0190-54241 Ver 3 208V 55W Heater Jacket
cjcollective2012 Used - $50.00 0 Mar/31/20 Feb/04/22
Description: (4) Applied Materials AMAT 0190-54244 Rev 3 Heater Sleeves
partskorea1 Used - $499.00 5 Apr/13/20 Sep/23/20
Description: Lam Research 810-800256-005 NODE BOARD TYPE 3
oskar505 NEW - $25.00 0 Apr/17/20 Apr/30/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
maxisemi1349 Used - $100.00 1 Apr/28/20 Feb/01/22
Description: 0200-20216 PIN,COVER RF SCREW,VECTRA IMP , lot of 3
oskar505 NEW - $15.00 0 Apr/30/20 May/10/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
oskar505 NEW - $15.00 0 May/25/20 Jun/13/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
katiil3 Used - $299.00 0 Jun/11/20 Oct/23/21
Description: Applied materials / AMAT 0050-40157 Weldment Elbow 3/4 CPV Face Seal Lot of 3
katiil3 Used - $1,499.00 0 Jun/11/20 Oct/23/21
Description: Applied Materials AMAT Filter Weldment Assy, 0050-41313 Lot of 3
oskar505 NEW - $15.00 0 Jun/13/20 Jul/06/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
atxdeals4u Used - $500.00 1 Jun/16/20 Jul/21/20
Description: LAM R02-351783-00 Rev A 785-179350-002 Rev B HDSIOC 3 POST PLATE A76
wtamu2015 NEW - $290.00 0 Jun/19/20 Jun/26/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long lot of 3
wtamu2015 NEW - $290.00 0 Jun/26/20 Jul/03/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long lot of 3
bobsgoodies2 Used - $205.00 0 Jun/29/20 Oct/27/21
Description: (3) AMAT 0010-70089 Assy, Actuator Slit Valve, SMC NCDQ1B32-G0069-100
pdcsystems Used - $80.00 1 Jun/30/20 Dec/14/20
Description: Applied Materials AMAT 0021-26609 rev 3 SHUTTER DISK A101, TTN CENTER PIN, BLU
wtamu2015 NEW - $290.00 0 Jul/03/20 Jul/10/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long lot of 3
spsglobal Used - $820.00 1 Jul/09/20 Oct/10/22
Description: 103-0601// AMAT APPLIED 3870-02364 3 WAY N.C. MODULE W/ V2 PLUGGE [NEW]
wtamu2015 NEW - $290.00 0 Jul/10/20 Jul/17/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long lot of 3
bobsgoodies2 Used - $225.00 1 Jul/11/20 Jul/13/20
Description: 3690-03269 Applied Materials Bearing Lead Screw & Nut Assembly (Lot of 3)
oskar505 NEW - $15.00 0 Jul/17/20 Aug/07/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
wtamu2015 NEW - $290.00 0 Jul/17/20 Jul/24/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long lot of 3
wtamu2015 NEW - $290.00 0 Jul/24/20 Jul/31/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long lot of 3
getspares.com_sparesllc09 Used - $1,900.00 1 Jul/29/20 Jan/24/22
Description: 02-324753-00 / LEVEL 3 ALTUS/VECTOR SPINDLE /NOVELLUS SYSTEMS INC
wtamu2015 NEW - $290.00 0 Jul/31/20 Aug/07/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long lot of 3
earth_enterprises Used - $1,500.00 1 Aug/05/20 Aug/07/20
Description: LOT OF 3: Applied Materials RF Cable 0150-21692 Rev. A, Right Angle
spsglobal Used - $10.00 0 Aug/05/20 Mar/08/22
Description: 344-0101// AMAT APPLIED 0910-01109 FUSE PWR DISTR FAST SEMICOND 3 [NEW]
oskar505 NEW - $15.00 0 Aug/07/20 Aug/21/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
wtamu2015 NEW - $290.00 0 Aug/07/20 Aug/14/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long lot of 3
capitolareatech NEW - $12.94 0 Aug/08/20 Aug/13/20
Description: Applied Materials (AMAT) 0910-01110 CLASS T FUSE; FUSE PWR DISTR FAST SEMICOND 3
capitolareatech NEW - $29.22 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-90170 SWITCH, 3 POSN.MAINT.3 NO/3 NC
capitolareatech NEW - $24.61 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-01273 WASCO, SW Press SPDT 50 PSIG INCR, 1A, 3 20
spsglobal Used - $130.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-70093 GAS LINE #3 CH D, PCII [2ND NEW]
spsglobal Used - $720.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-24649 GAS LINE,CH 3,W/B,MFC,COH TI/TIN [2ND NEW]
wtamu2015 NEW - $290.00 0 Aug/14/20 Aug/21/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long lot of 3
capitolareatech Used - $977.95 0 Aug/18/20 May/18/23
Description: Lam Research (LAM) 716-028768-001 3, CL,LWR ELCTD, Lower Electrode Clamp ESC
visionsemi Used - $450.00 0 Aug/19/20 Aug/24/21
Description: AMAT APPLIED MATERIAL NOR CAL 3 HIGH VACUUM PNEUMATIC GATE VALVE 3870-07012
j316gallery Used - $800.00 0 Aug/20/20 Dec/07/20
Description: 4433 LAM RESEARCH PCB PCBA NODE TYPE 3 PHASE II ROHS 810-800256-015
storefarm Used - $1,498.00 0 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-271697-00 / WTS-HV SIOC 3, Rev.A, 1pcs
capitolareatech Used - $323.95 0 Aug/24/20 Aug/31/23
Description: Applied Materials (AMAT) 0150-20070 Cable, Assy. Neslab 3 Interconnect
capitolareatech Used - $179.95 0 Aug/26/20 May/10/23
Description: Applied Materials (AMAT) 0050-43587 WELDMENT OUTLET IHC MANIFOLD DUAL ZONE 3
capitolareatech Used - $219.95 0 Aug/28/20 May/10/23
Description: Applied Materials (AMAT) 0050-18974 WLDMT TOP RIGHT PRG 1,3,8 FUJIKIN ULTIMA
capitolareatech Used - $219.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-25111 GAS LINE ASSY, 3 MALE FITTINGS
novusferro Used - $149.00 0 Sep/04/20 Jan/23/22
Description: Unit UFC-8161 Mass Flow Controller 3 SLM NF3 AMAT 3030-00083
spsglobal Used - $2,500.00 0 Sep/03/20 Dec/23/21
Description: 010-0201// ADVANCED 0190-19021 (#3) 3156113-006 D APEX 3013 [Warranty 1 month]
oskar505 NEW - $15.00 0 Sep/04/20 Oct/06/20
Description: Lot of 3 – Applied Materials 0021-10566 Conductive Lift Pins * New
spsglobal Used - $5,000.00 0 Sep/07/20 Jul/18/21
Description: 167-0101// AMAT APPLIED CONTROL COMPUTER, EYED, ROHS VERSION 3 [USED]
capitolareatech Used - $350.95 0 Sep/08/20 Mar/07/24
Description: Applied Materials (AMAT) 0050-36235 Line, 3 Way Valve, Dopant source
techequipsales Used - $850.00 1 Sep/10/20 Aug/17/21
Description: LAM 810-800256-004 C Node Board Type 3 810-800156-001 Water Detector Board Assy
capitolareatech NEW - $189.95 0 Sep/11/20 Nov/11/21
Description: Applied Materials 0150-22541 CABLE, ASSY SMOKE DETECT 3 GEN RACKS 25FT
j316gallery Used - $9,900.00 0 Sep/17/20 Jan/17/24
Description: 21181 APPLIED MATERIALS TEMP CTRL THERMOMETER 3 CH 0190-36523
partskorea1 Used - $899.00 5 Sep/23/20 Feb/23/21
Description: Lam Research 810-800256-005 NODE BOARD TYPE 3
usedeqsales Used - $509.20 1 Sep/29/20 Feb/22/21
Description: K-Tec Technology WKE Current Transformer AMAT 1360-00099 1360-00098 Lot of 3
j316gallery Used - $400.00 0 Sep/30/20 Aug/05/21
Description: 20722 LAM RESEARCH PCB NODE BOARD TYPE 3 (PARTS) 810-800256-004
atxdeals4u Used - $600.00 0 Oct/12/20 Oct/26/21
Description: AMAT 0020-47048 High Speed Spindle Reflection Coupling MT Bracket Lot of 3
lagpat Used - $280.00 0 Oct/13/20 Apr/13/24
Description: AMAT 0150-11940 Cable Assy Platen 3 Analog I/O REFLE
coolgizmos Used - $495.00 2 Oct/19/20 May/18/22
Description: Applied Materials Luxtron 3 1/4" Sapphire High Temperature Probe Set 0190-03567
j316gallery Used - $555.50 0 Nov/02/20 May/26/22
Description: 8120 APPLIED MATERIALS KVM SWITCH 4 PC INPUT 3 USER 0190-37616
senior-inc Used - $200.00 0 Nov/03/20 May/26/22
Description: AMAT 0150-14714 CABLE ASSY, W812 CH-Y DNET HUB TO AC REMOTE, EPI 3
senior-inc Used - $200.00 0 Nov/03/20 May/26/22
Description: AMAT 0150-14710 CABLE ASSY, 2804 CH-X DNET HUB TO AC REMORTE, EPI 3
bestoneshop-korea Used - $279.99 0 Nov/05/20 May/26/22
Description: 1PC AMAT 0190-02748 REV 003 Flex Scanner Transition Module Board #3
dy-global Used - $399.95 1 Nov/09/20 May/26/22
Description: Lam Research Used 810-033620-008 REV.A MATCH INTERFACE 3 CAP PCB-I-E-761=6BX3
techequipsales Used - $2,500.00 1 Nov/09/20 Apr/21/21
Description: LAM Celerity VDMW300022V1L 796-055344-003 B 3 SLPM Vapor Delivery Module
xiahk82 Used - $600.00 0 Nov/10/20 Dec/12/21
Description: 3 pcs Applied materials 1350-00681+1 pcs 1350-00683 CAPACITANCE MANOMETER
j316gallery Used - $333.30 0 Nov/12/20 May/26/22
Description: 21992 APPLIED MATERIALS PCB CARD DEVICENET DIGI I/O CTLR 3 DIP CDN491 0660-01879
spsglobal Used - $12,000.00 0 Dec/01/20 Apr/18/21
Description: 114-0101// AMAT APPLIED 0920-01019 TESTED GENRF 400KHZ 208VAC 3 PHASE [USED]
spsglobal Used - $5,000.00 0 Dec/01/20 Nov/22/21
Description: 011-0101// AMAT APPLIED 0920-01019 GENRF 400KHZ 208VAC 3 PHASE [NOT WORKING]
spsglobal Used - $400.00 0 Dec/03/20 Nov/09/21
Description: 351-0303// AMAT APPLIED 0190-70091 TC ASSY. 3 PROBE [2ND SOURCE]
autoquip7 NEW - $475.00 0 Dec/04/20 Apr/04/22
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR(AMAT)
suzhousanyanxin Used - $200.00 0 Dec/22/20 Oct/23/21
Description: AMAT 0021-01918 3 WAY VALVE
us-dealway NEW - $95.00 3 Dec/25/20 Apr/26/21
Description: Parker 3 way valve PV-2-1344 *NOS*. AMAT P/N 3870-02360
getspares.com_sparesllc09 Used - $227.60 1 Dec/30/20 Aug/03/21
Description: 0190-20015 / GAS LINE #3 PRECLEAN CHAMBER / APPLIED MATERIALS AMAT
20041014625pm Refurbished - $500.00 0 Jan/06/21 Jan/18/21
Description: Lam Research 854-011153-002 Lead Screw Driven Sub Assembly Set Of 3 EA.
banyanteam NEW - $239.49 1 Jan/11/21 Jan/16/21
Description: Applied Materials 1200-01081 Contactor Relay Cutler Hammer CE15FN3Y1 3 Pole
maxisemi1349 Used - $165.00 1 Jan/18/21 Jan/19/21
Description: 0010-00070 STEPPER MOTOR 2.25"VAC,"AS IS", lot of 3
20041014625pm Refurbished - $500.00 1 Jan/18/21 Jan/22/21
Description: Lam Research 854-011153-002 Lead Screw Driven Sub Assembly Set Of 3 EA.
logansemi Used - $235.00 1 Jan/19/21 Jul/26/21
Description: SCHNEIDER Square D QOB3205237 Circuit Breaker, 20A, 3 Pole, AMAT 0680-01212, NOS
lagpat Used - $450.00 1 Jan/19/21 May/13/21
Description: Lam Research Node Board Type 3, 810-800256-004
joymusic Used - $570.00 1 Jan/20/21 Sep/02/22
Description: 3 pcs Applied materials 1350-00681+1 pcs 1350-00683 CAPACITANCE MANOMETER Used
auctionrus Used - $90.00 0 Jan/21/21 Feb/09/23
Description: 3 AMAT 3870-00898 Pneumatic N.C. Diaphragm Valve, SUS316L, 453677
eisale1535 Used - $2,799.00 1 Jan/21/21 May/12/21
Description: AMAT 0010-23716 ASSY, PMAX PYROMETER 300M Radiance RTP Probe 3
auctionrus Used - $450.00 0 Jan/25/21 Oct/19/23
Description: 3 Novellus 34-160330-00 Assy, Flow Switch, ECI, 100 ml/min, 100018
getspares.com_sparesllc09 Used - $500.03 0 Feb/08/21 Jun/14/21
Description: 810-800256-004 / NODE BOARD, TYPE 3 PCB / LAM RESEARCH CORPORATION
atxdeals4u Used - $1,000.00 0 Feb/08/21 Oct/26/21
Description: Novellus E-HD 3 POST PLT SBR-XT P/N 02-351783-00 Rev. A
prism_electronics12 Used - $499.99 1 Feb/09/21 Jun/01/21
Description: LAM RESEARCH 810-800256-004 BOARD TYPE 3
partskorea1 Used - $3,600.00 1 Feb/23/21 Mar/07/21
Description: Lam Research 810-800256-005 NODE BOARD TYPE 3
novusferro Used - $499.00 1 Feb/24/21 Jun/18/21
Description: Lam Research 810-800256-015 PCBA, Node Type 3, Phase II PCB Board
farmoninc NEW - $250.00 0 Mar/03/21 Feb/15/22
Description: AMAT 3870-01424 Valve Nupro BN Bellows 3 Port, Swagelok SS-BN3847-C, 100381
ccc256 NEW - $200.00 0 Mar/05/21 Mar/12/21
Description: Lot of 3, Linear Actuator Feed-Through Lam Research 853-246752-102
rtxparts Used - $4,250.00 0 Mar/10/21 Jul/12/21
Description: 3 laser Robot Characterization Fixture (RCF) Model 0240-27279
ccc256 NEW - $175.00 0 Mar/15/21 Mar/22/21
Description: Lot of 3, Linear Actuator Feed-Through Lam Research 853-246752-102
athomemarket Used - $839.98 0 Mar/16/21 May/14/22
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $524.98 0 Mar/16/21 May/14/22
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
visionsemi NEW - $250.00 0 Mar/18/21 Jan/27/22
Description: APPLIED MATERIALS AMAT MOUNT STEP MOTOR PIN LIFT TXZ 3 0040-45251 NEW
mooresemi Used - $25.00 0 Mar/20/21 May/03/22
Description: Clippard EV-3M-24VDC Solenoid Valve 3 Way NC, AMAT 3870-01050, EV3M24
visionsemi Used - $200.00 0 Mar/29/21 Sep/12/22
Description: APPLIED MATERIALS AMAT SIDE MOUNT BRACKET 4.0MF 0020-32026 NEW LOT OF 3
xl-t_com Used - $40.00 0 Mar/29/21 Oct/19/21
Description: APPLIED MATERIALS - 0200-09002 - WINDOW SLIT CVD CHBR (3 1/2inch by 3/4inch)
logansemi Used - $600.00 1 Apr/01/21 Apr/05/21
Description: Lam Research Power Module, 853-015686-005 Rev B, LOT OF 3
logansemi Used - $600.00 0 Apr/07/21 Apr/12/21
Description: Lam Research Power Module, 853-015686-005 Rev B, LOT OF 3
pneumatplus10 Used - $799.00 0 Apr/14/21 Nov/14/22
Description: LAM Research 810-800256-005 Rev F Node Board,Type 3,Used,Mal^7159
pneumatplus10 Used - $1,189.00 0 Apr/14/21 Jan/19/22
Description: LAM Research 810-800256-207 Rev E Node Board,Control Type 3,Used,US^7158
visionsemi Used - $2,000.00 1 Apr/20/21 Oct/25/22
Description: LAM REASEARCH HDSOIC 3 POST PLATE A76 02-351783-00
epmstuff Used - $1,200.00 1 Apr/22/21 Apr/23/21
Description: Astex TS90 WMicroware Tuner 3 stub, Manual, ASP, AMAT 0190-09246
usedeqsales Used - $454.21 1 Apr/27/21 Mar/11/22
Description: MKS Instruments 10000-1419 NW40 Bellows Kit Lot of 3 Lam 766-009866-040 New
gemrkim1109 Used - $399.00 0 Apr/28/21 May/18/23
Description: Unused Lot Of 3 Fujikin FP-UDDFC-21-6, 35KBD LAM# 766-208308-002 / Free Shipping
usedeqsales Used - $505.21 0 May/05/21 Feb/25/22
Description: AMAT Applied Materials 0021-77074 Mirra Lower Platen Bracket Lot of 3 Working
sgcequipment NEW - $55.00 0 May/11/21 May/18/23
Description: Applied Materials (AMAT) 3300-06492 FTG TBG SEAL - LOK CAP 1" T ORFS BRS (PKG 3
suzhousanyanxin Used - $500.00 0 May/14/21 Oct/23/21
Description: Lam Research NODE Board, Type 3 810-800256-005
usraj-9fiaknj2 Used - $26,999.00 0 May/28/21 Jun/22/21
Description: AMAT, Applied Materials, 0090-03467, PCB SBC Board, Synergy V452 Lot of 3
dok39 Used - $25.96 1 Jun/16/21 Mar/25/22
Description: DANFOSS CTI 15 CIRCUIT BREAKER RANGE 1.6-2.5A 3 POLE SCREW TERMINAL
gasteel.llc NEW - $56.00 11 Jun/16/21 Dec/16/22
Description: 1/8" (11Ga 0.125" or 12Ga 0.100") HRO Steel Plate 12" x 24" A36 3 pieces set
visionsemi Used - $2,200.00 1 Jun/25/21 Dec/25/21
Description: APPLIED MATERIALS AMAT 3 CHANNEL HEATER SUSCEPTOR LEVELING SYS 0500-01083
farmoninc Used - $175.00 0 Jul/03/21 Dec/22/22
Description: 3 AMAT 1270-00886 SW Reed 24 VDC, 3M Lead Wire, W/Out Indic, 101464
actechinc Used - $199.99 0 Jul/03/21 Dec/01/22
Description: (3) APPLIED MATERIALS 0090-90967 / DAQ, MKll TYPE H
capitolareatech Used - $3,495.95 0 Jul/03/21 Dec/22/22
Description: Applied Materials (AMAT) 0100-00610 PCB ASSEMBLY INTERLOCK SELECT ENDURA 3
capitolareatech NEW - $4,495.95 0 Jul/03/21 Jun/01/22
Description: Applied Materials (AMAT) 0021-19581 PEDESTAL COVER, 3 BOSSES, 8" A101, 200MM
spsglobal Used - $1,500.00 0 Jul/03/21 Aug/25/21
Description: 321-0303// AMAT APPLIED 0090-35731 (#3) STPR MTR DRIVER, ASP+ ENHANCED LIF ASIS
j316gallery Used - $230.00 0 Jul/04/21 Jan/04/23
Description: 25600 LAM RESEARCH PCB, ECAT NODE TYPE 3, ROHS, 853-235210-003 810-132688-003
farmoninc NEW - $75.00 0 Jul/10/21 May/04/23
Description: 3 AMAT 1270-00301 SW Press SPST .1-.5" H20 Teflon Diaph, 101665
farmoninc NEW - $25.00 0 Jul/10/21 May/04/23
Description: 3 AMAT 1370-01063 XSTR PN3643 AMPL & SW NPN To-92 Case, 101742
farmoninc NEW - $95.00 0 Jul/10/21 May/04/23
Description: 3 AMAT 1290-01232 Term Lug CPRSN 5/16Bolt 1/0AWG Pink 600, 101764
rtxparts Used - $4,250.00 0 Jul/12/21 Sep/14/21
Description: 3 laser Robot Characterization Fixture (RCF) Model 0240-27279
farmoninc NEW - $5.00 0 Jul/19/21 Feb/03/23
Description: 3 AMAT 3880-01011 Washer Flat 0.817OD X 0.411ID X 0.060THK SST, 101976
nevadasurplus-com Used - $8,999.99 0 Jul/28/21 Jul/28/21
Description: Refurbished ENI OEM-12B3 1250W RF Generator AMAT 0190-76028 3 Phase GUARANTEED
usedeqsales Used - $7,503.61 1 Jul/29/21 Dec/18/21
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
j316gallery Used - $4,999.00 0 Aug/05/21 Aug/24/21
Description: 25794 APPLIED MATERIALS 3 CHANNEL HTR/SUSCEPTOR LEVELING SYS 0500-01083
usedeqsales Used - $7,508.21 1 Aug/22/21 Apr/13/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Working Spare
usedeqsales Used - $5,004.11 1 Aug/22/21 Apr/08/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Cleaned Working
usedeqsales Used - $7,508.21 0 Aug/22/21 Aug/20/21
Description: Novellus 02-260547-00 300mm Electrostatic Chuck ESC Concept 3 C3 HDP-CVD Spare
therightstuff619 Used - $5,995.00 1 Aug/22/21 Aug/20/21
Description: CTI CRYOGENICS Cryo-Torr Cryopump 9600 Helium Compressor, 3 Ph
usedeqsales Used - $1,508.21 0 Aug/22/21 Mar/16/23
Description: Novellus 02-260547-00 300mm Electrostatic Chuck ESC Concept 3 C3 HDP-CVD Spare
usedeqsales Used - $1,508.21 0 Aug/22/21 Mar/16/23
Description: Novellus 02-260547-00 Concept 3 300mm Electrostatic Chuck ESC C3 HDP-CVD Working
j316gallery Used - $4,311.05 1 Sep/07/21 Mar/25/24
Description: 26066 APPLIED MATERIALS FACEPLATE, 26 MIL CENTER HOLE, RF CAP, 3 0040-87766
usedeqsales Used - $4,555.75 0 Sep/07/21 Jun/15/23
Description: Phasetronics P1038A 3 Phase Lamp Driver AMAT 0015-09091 P5000 Precision 5000 New
usedeqsales Used - $609.21 0 Sep/08/21 Sep/10/21
Description: Nikon 2S070-031-4 Microscope Keypad 2.5x-150x PCB 2S700-386 OPTISTATION 3 Spare
micntahoe Used - $999.00 0 Sep/11/21 Dec/25/22
Description: Nikon 4S025-575 (Carrier Module 3) 4S025-171 4T081-541 PCB NSR
roundtable1 NEW - $199.99 1 Sep/11/21 Nov/11/22
Description: applied materials 0020-34131 Base, RF Part Kit (lot Of 3)
j316gallery Used - $459.60 0 Sep/14/21 Mar/02/23
Description: 20722 LAM RESEARCH PCB NODE BOARD TYPE 3 (PARTS) 810-800256-004
j316gallery Used - $760.00 0 Sep/14/21 Oct/18/22
Description: 7901 LAM RESEARCH PCB NODE BOARD TYPE 3 810-800256-004
j316gallery Used - $950.00 0 Sep/14/21 Sep/15/22
Description: 4433 LAM RESEARCH PCB PCBA NODE TYPE 3 PHASE II ROHS 810-800256-015
j316gallery Used - $700.00 2 Sep/14/21 Oct/29/21
Description: 7648 LAM RESEARCH PCB NODE BOARD TYPE 3 810-800256-005
rtxparts Used - $4,250.00 0 Sep/15/21 Dec/09/21
Description: 3 laser Robot Characterization Fixture (RCF) Model 0240-27279
usedeqsales Used - $609.21 0 Sep/15/21 Aug/25/22
Description: Nikon 2S070-031-4 Microscope Keypad 2.5x-150x PCB 2S700-386 OPTISTATION 3 Spare
avro66 Used - $875.00 1 Sep/29/21 Nov/29/21
Description: ON BOARD 3 PH Motor Control CTI-CRYOGENICS CRYOGENICS 3PH MTR CONTROL HELIX
j316gallery Used - $1,900.00 0 Oct/07/21 Apr/11/22
Description: 26407 LAM RESEARCH PCB NODE 1PM, COMMON, NODE BD TYPE 3 810-802901-305
j316gallery Used - $1,900.00 0 Oct/07/21 Nov/18/21
Description: 5796 CTI-CRYOGENICS ON-BOARD 3 PHASE MOTOR CONTROLLER 8043062-G002 8124063G001
katiil3 Used - $599.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0040-77438 SUPPORT, L.H. COVER, PLATEN BELT ENDPOIN Qty 3
katiil3 Used - $899.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0090-00444 PROX. SENSOR PC HOME & SLURRY ARM INTERL Qty 3
katiil3 Used - $349.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0051-90031 DRAIN PLATEN 3, CMP 300MM
katiil3 Used - $149.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0150-05475 CABLE ASSY, HEAD 3 UPA, DIGITAL I/O, MIR
katiil3 Used - $199.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0140-08688 CABLE SLURRY ARM INTERLOCK, PLATEN 2,3
40-30sourcing Used - $1,699.00 0 Oct/13/21 Dec/08/21
Description: ON-BOARD 3 PHASE MOTOR CONTROLLER
40-30sourcing Used - $1,699.00 0 Oct/13/21 Feb/17/22
Description: ON-BOARD 3 PHASE MOTOR CONTROLLER
j316gallery Used - $400.00 0 Oct/25/21 May/27/22
Description: 26398 LAM RESEARCH PCB NOBE BOARD TYPE 3 (PARTS) 810-800256-005
bobsgoodies2 Used - $205.00 0 Oct/28/21 Mar/31/22
Description: (3) AMAT 0010-70089 Assy, Actuator Slit Valve, SMC NCDQ1B32-G0069-100
j316gallery Used - $2,183.10 0 Oct/28/21 May/03/23
Description: 26456 LAM RESEARCH PCB NODE 1PM, NODE TYPE 3 PHASE 810-802901-305
usedeqsales Used - $3,210.21 0 Nov/01/21 Oct/05/23
Description: Digital Dynamics 03-160402-00 WTS-HV SIOC 3 Control Novellus 02-161289-00 Spare
prism_electronics12 Used - $3,499.99 0 Nov/01/21 Nov/01/21
Description: EDWARDS IQDP40 / IQMB250 3 PHASE TURBO PUMP MODULE D37207000 AMAT 3620-01245
j316gallery Used - $600.00 1 Nov/03/21 Jul/22/22
Description: 26599 APPLIED MATERIALS PCB, MAINFRAME INTERLOCK 3 RELAY, AS00627-01 0190-26810
capitolareatech Used - $199.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 0050-46130 LINE, INTERCONNECT, HTR HUB, ENDURA SL 3
spsglobal Used - $1,420.00 0 Nov/09/21 Nov/09/21
Description: 130-0701// AMAT APPLIED 0010-70058 (#3) STOR ELEV ASSY, 29 POSN [USED]
katiil3 Used - $599.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0040-77438 SUPPORT, L.H. COVER, PLATEN BELT ENDPOIN Qty 3
katiil3 Used - $899.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0090-00444 PROX. SENSOR PC HOME & SLURRY ARM INTERL Qty 3
katiil3 Used - $1,499.00 1 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT Filter Weldment Assy, 0050-41313 Lot of 3
katiil3 Used - $349.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0051-90031 DRAIN PLATEN 3, CMP 300MM
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: APPLIED MATERIALS 0041-06736 04 ( LOT OF 3 )
capitolareatech Used - $12,996.00 0 Nov/10/21 Jun/10/22
Description: Applied Materials (AMAT) 0190-76028 1915 ENI 3 PHASE RF GENERATOR OEM 12B3
prism_electronics8 Used - $4,250.00 0 Nov/17/21 Aug/26/22
Description: NIKON NEOCAM1200NA-R 4T071-354 4-Slot Controller with 3 Modules Installed
maxisemi1349 Used - $100.00 0 Nov/18/21 May/24/23
Description: 15-114331-00 SCR,1/4-20X.50LG,NICKEL,CAP, lot of 3
maxisemi1349 Used - $850.00 0 Nov/18/21 Sep/14/23
Description: 02-120403-00 THERMOCOUPLE SPRING LOADED 300MM, lot of 3
usedparts-semifa Used - $499.90 1 Nov/22/21 Jan/27/22
Description: LAM Research 810-800256-207 Rev E Node Board, Control Type 3 free ship
camel-toe Used - $1,200.00 3 Nov/24/21 Feb/15/22
Description: Advanced Energy AE Pinnacle 10KW Power Supply 3152419-206B,480 VAC 3 Phase Input
avro66 Used - $875.00 1 Nov/29/21 Nov/30/21
Description: ON BOARD 3 PH Motor Control CTI-CRYOGENICS CRYOGENICS 3PH MTR CONTROL HELIX
goldllc7 Used - $7,900.00 0 Nov/30/21 Jan/30/22
Description: 0190-38324 REV 3 APPLIED MATERIALS
getspares.com_sparesllc09 Used - $5,507.03 0 Nov/30/21 Dec/01/21
Description: OEM-12B-02 / RF GENERATOR 13.56MHZ 1250W-1PH 3 WIRE AMAT 0190-70080 / ENI
chick666995 Used - $500.00 1 Dec/02/21 Dec/03/21
Description: 853-096430-002 LAM RESEARCH MODEL EP1001, VOLTS:100-260, 3 AMPS
rtxparts Used - $4,250.00 0 Dec/09/21 Feb/21/22
Description: 3 laser Robot Characterization Fixture (RCF) Model 0240-27279
best4industrie Used - $1,199.00 1 Dec/11/21 May/19/22
Description: AKT XP 101540-04 0190-73524 REV 3 UPS AMAT Applied Materials
equipplus Used - $159.00 0 Dec/12/21 Oct/12/23
Description: Lot of 3 Lam Research 790-213441-911 Rev D,no Motor,Used,US&7625
llumag Used - $149.95 0 Dec/15/21 Mar/01/23
Description: AMAT Applied Materials Universal Gauge 0190-26769 1126 Torr w/ 3 Extra Sensors
spsglobal Used - $50.00 5 Dec/22/21 Jan/17/22
Description: 351-0403// AMAT APPLIED 3870-01035 VALVE POPPET 3 WAY NC 0-30PSI [NEW]
kc.dak NEW - $399.99 1 Dec/30/21 Jul/11/22
Description: APPLIED MATERIALS NW50 ISO VALVE W/ XPE ORING POLISHED 3 3870-07621 LPJ-32789
visionsemi Used - $1,500.00 0 Jan/08/22 Jun/30/22
Description: NIKON NSR S306 STEPPER SCANNER COMPACT PCI AGPCI 750 3 4S015-324 PCB BOARD
visionsemi Used - $1,523.75 0 Jan/08/22 May/30/23
Description: NIKON NSR S306 STEPPER SCANNER COMPACT PCI AGPCI 750 3 4S015-324 PCB BOARD
athomemarket Used - $89.99 0 Jan/11/22 Jan/18/22
Description: (Lot of 3) CTI-Cryogenics by Helix 3788039G001 Cryo Helium 90 degree Elbow
ericfire78 NEW - $3,100.00 2 Jan/18/22 May/18/22
Description: AE ADVANCED ENERGY 23KW 1000V 3 PHASE SOLAR STRING INVERTER 3TL-23
athomemarket Used - $89.99 1 Jan/19/22 Mar/29/22
Description: (Lot of 3) CTI-Cryogenics by Helix 3788039G001 Cryo Helium 90 degree Elbow
chick666995 Used - $500.00 1 Jan/19/22 Mar/12/22
Description: 853-096430-002 LAM RESEARCH MODEL EP1001, VOLTS:100-260, 3 AMPS
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
bobsgoodies2 Used - $225.00 1 Jan/25/22 Jan/25/22
Description: AMAT 0020-23783, SMC 0010-75181, Bracket Pressure Switch lot of 3 as in photos
merakii-uk Used - $1.00 0 Jan/29/22 Jan/29/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
eabani1111 Used - $1.00 0 Jan/29/22 Jan/29/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
excessdealer Used - $500.00 0 Feb/03/22 Jan/30/24
Description: LAM RESEARCH Used 853-053304-102 ( LOT OF 3)
automotiveapple Used - $1,191.00 0 Feb/03/22 Sep/03/23
Description: As-Is LAM Research 810-800256-207 NODE BOARD, CONTROL TYPE 3
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
guitaranatomy Used - $1.00 0 Feb/08/22 Feb/08/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
spsglobal Used - $3,500.00 0 Feb/09/22 Jun/30/22
Description: 000-0000// AMAT APPLIED 0242-13107 (#3) HEAT EXCHANGER FOR CVD CHAMBERS [ASIS]
spsglobal Used - $3,500.00 0 Feb/09/22 Oct/13/22
Description: 000-0000// AMAT APPLIED 0010-70066 (#3) AMAT-0 ASSY HEAT EXCHANGER [ASIS]
excessdealer Used - $199.00 1 Feb/10/22 May/12/22
Description: LAM Research 810-800256-207 Rev E Node Board, Control Type 3 free ship
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
sootysmtg Used - $1.00 0 Feb/12/22 Feb/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
rtxparts Used - $4,250.00 0 Feb/21/22 Aug/21/22
Description: 3 laser Robot Characterization Fixture (RCF) Model 0240-27279
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
love_my_cottage Used - $1.00 0 Feb/26/22 Feb/26/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
bmc.london Used - $1.00 0 Feb/26/22 Feb/26/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
usedparts-semifa Used - $499.90 0 Feb/27/22 Jun/29/23
Description: LAM Research 810-800256-207 Rev E Node Board, Control Type 3 free ship
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
soldtou1 Used - $199.99 0 Mar/03/22 Aug/31/23
Description: Lam Research 853-085340-003 853-085340 P-Vac Met 3 Cable Assembly
soldtou1 Used - $199.99 0 Mar/04/22 Sep/15/22
Description: Lam Research 853-085353-001 1002685-1007 J VAC Met 3 Cable Assembly Harness AMAT
soldtou1 Used - $199.99 0 Mar/04/22 Sep/15/22
Description: Lam Research 853-085332-003 1009318-1033 P301 P 3 Cable Assembly Harness AMAT
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
rxollc Used - $190.00 1 Mar/12/22 Mar/18/22
Description: CTI Cryogenics 8135903G001 Interface, to operate 3 pumps from one compressor
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
rxollc Used - $190.00 1 Mar/18/22 Mar/22/22
Description: CTI Cryogenics 8135903G001 Interface, to operate 3 pumps from one compressor
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
getspares.com_sparesllc09 Used - $2,850.68 1 Mar/22/22 Aug/15/22
Description: 0920-01111 / GEN MICROWAVE MAGNETRON HEAD 2.45GHZ 3 ASTEX F120162-2 / AMAT
equipplus Used - $299.00 0 Mar/24/22 Feb/24/23
Description: Lotof 3 Lam Research 810-072687-119 Rev C Interlock Control,Cap Drop off,pt&7806
semipartslab Used - $200.00 0 Mar/29/22 Aug/31/23
Description: AMAT 0021-01918 3 WAY VALVE, sold as is, no return
theswerve0 Used - $1.00 0 Apr/02/22 Apr/04/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
dom0808 Used - $544.50 0 Apr/04/22 Apr/10/22
Description: LAM Research VIOP PHASE 3 VIOP PHASE III 810-099175-009
dom0808 Used - $1,494.90 0 Apr/04/22 Apr/10/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-106 PCB ASSY MB NODE 2 PM HE
dom0808 Used - $1,957.00 0 Apr/04/22 Apr/10/22
Description: Lam Research NODE BOARD TYPE 3 810-800256-005 WATER DETECTOR 810-800156-002
dom0808 Used - $2,088.90 0 Apr/04/22 Apr/10/22
Description: Lam Research NODE BOARD CONTROL TYPE 3 810-800256-207 NODE 2 MB PM HE
dom0808 Used - $1,925.00 0 Apr/04/22 Apr/10/22
Description: Lam Research SABRE 3 SRD/P-EBR/XMM 61-445173-00
sgcequipment Used - $300.00 0 Apr/05/22 Mar/25/24
Description: Applied Materials (AMAT) 3700-02926 O-RING, ENCAP ID14.475 CSD.275 TEF (PKG 3)
semipartslab Used - $750.00 0 Apr/06/22 May/16/23
Description: Lam Research NODE Board, Type 3 810-800256-005, sold as is, no return
sgcequipment NEW - $250.00 0 Apr/07/22 Dec/07/23
Description: Applied Materials (AMAT) 0090-20042 ASSY, 3 WAY VALVE
j316gallery Used - $6,000.00 0 Apr/13/22 Apr/21/22
Description: 25794 APPLIED MATERIALS 3 CHANNEL HTR/SUSCEPTOR LEVELING SYS 0500-01083
ea-1173 NEW - $1,400.00 0 Apr/16/22 Apr/26/22
Description: Amat 0190-62009 Rev 3 MOTION ENGINEERING MEI XMP-CPCI-3U T001-0024 A022-0001
spsglobal Used - $40.00 0 Apr/20/22 Oct/27/22
Description: 352-0502// AMAT APPLIED 0090-20042 (#1) ASSY,3 WAY VALVE [NEW]
spsglobal Used - $40.00 0 Apr/20/22 Jun/15/23
Description: 352-0502// AMAT APPLIED 0090-20042 ASSY,3 WAY VALVE [NEW]
ea-1173 NEW - $775.00 0 Apr/26/22 May/18/22
Description: Amat 0190-62009 Rev 3 MOTION ENGINEERING MEI XMP-CPCI-3U T001-0024 A022-0001
equipplus Used - $299.00 0 Apr/26/22 Feb/26/23
Description: Lot of 3 Lam Research 810-253279-003 Rev E,G Chamber MUX II,Part,US*7840
farmoninc Used - $750.00 0 Apr/27/22 Jan/02/23
Description: 3 AMAT 0200-09994 WXZ 200MM INNER EXCLUSION RING, 105204
usedeqsales Used - $7,508.21 0 Apr/28/22 May/16/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Working Spare
usedeqsales Used - $505.22 1 May/05/22 Jun/29/22
Description: UNIT UFC-1660 Mass Flow Controller MFC Reseller Lot of 3 AMAT 3030-04968 Working
der-werkzeugmann-de Used - $409.60 1 May/05/22 Jun/15/22
Description: PQ3033 Node board type 3 Lam Research 810-800256-005 Rev D
auctionrus Used - $15.00 1 May/06/22 Apr/18/23
Description: 3 AMAT 3700-01089 Seal Center Ring Assy, NW25 w/ O-ring SST, 451919
usedeqsales Used - $7,508.21 1 May/13/22 May/20/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Working
usedeqsales Used - $10,008.20 1 May/13/22 May/17/22
Description: Novellus 15-045913-00 300mm Electrostatic Chuck ESC Concept 3 C3 Cleaned Working
athomemarket Used - $399.97 0 May/14/22 Jul/12/23
Description: Novellus 02-355935-00 Rev. 3 Heater Pedestal 300mm/12" Assy. MCA Pin VXT
athomemarket Used - $249.97 0 May/14/22 Jul/12/23
Description: Novellus 02-298156-00 Rev. 3 Heater Pedestal 300mm Assy. MCA Pin C3VCTR
ea-1173 NEW - $800.00 1 May/18/22 Aug/03/22
Description: Amat 0190-62009 Rev 3 MOTION ENGINEERING MEI XMP-CPCI-3U T001-0024 A022-0001
excessdealer Used - $149.99 0 May/18/22 May/26/22
Description: Novellus Systems 03-164888-00 Power Converter Board (Lot of 3)
ericfire78 NEW - $2,480.00 2 May/19/22 May/09/23
Description: AE ADVANCED ENERGY 23KW 1000V 3 PHASE SOLAR STRING INVERTER 3TL-23
farmoninc Used - $450.00 0 May/20/22 Aug/25/22
Description: AMAT 3870-01212 Norcal Isolation valve, 3 way KF 40, NW40 rebuilt, 105763
farmoninc Used - $450.00 0 May/20/22 Aug/31/23
Description: AMAT 3870-01212 Norcal Isolation valve, 3 way TEE KF 40, rebuilt, 105764
farmoninc Used - $300.00 0 Jun/02/22 Mar/02/23
Description: AMAT 3870-01212 Norcal Isolation Valve, 3 Way TEE KF 40, 106202
farmoninc Used - $2,500.00 0 Jun/06/22 Jun/15/23
Description: AMAT 0190-01681 CALIBRATION REFERENCE 0.6500, 3 CHANNEL, 106284
j316gallery Used - $700.00 0 Jun/06/22 Jun/14/22
Description: 7648 LAM RESEARCH PCB NODE BOARD TYPE 3 810-800256-005
farmoninc Used - $900.00 0 Jun/07/22 Nov/30/23
Description: 3 AMAT 0200-18073 Tube Sapphire Microwave Applicator, HDP-CVD CHAMBER, 106270
farmoninc Used - $900.00 0 Jun/07/22 Nov/30/23
Description: 3 AMAT 0200-18073 Tube Sapphire Microwave Applicator, HDP-CVD CHAMBER, 106269
sherwoodforestfinearts Used - $36.89 0 Jun/12/22 Jun/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
hirose1117 Used - $36.89 0 Jun/12/22 Jun/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
ladydidog Used - $36.89 0 Jun/13/22 Jun/14/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
j316gallery Used - $700.00 0 Jun/14/22 Oct/18/22
Description: 7648 LAM RESEARCH PCB NODE BOARD TYPE 3 810-800256-005
autoquip7 NEW - $475.00 0 Jun/15/22 Jul/25/22
Description: 0190-20015, AMAT, GAS LINE No 3 PRECLEAN CHAMBER REACTIVE PR(AMAT)
duraelectro NEW - $37.00 0 Jun/18/22 Jun/19/22
Description: 3 PC AMAT APPLIED 1290-01034 TERM BLK 2P 2ROW 16-14AWG 250V 20A 7/16 NEW
michelleshellmark Used - $36.89 0 Jun/21/22 Jun/21/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
j316gallery Used - $250.00 0 Jun/24/22 Jun/27/22
Description: 28718 LAM RESEARCH 3 WAY VALVE ASSY, 1/4" VCR FEMALE-FEMALE-MALE 839-024328-100
trendysavers-uk Used - $36.89 0 Jun/24/22 Jun/25/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
usedeqsales Used - $1,306.22 2 Jun/29/22 Jan/04/23
Description: MKS Instruments CV16-B4B4-NCV-VT12 Valve Novellus 19-051812-00 Lot of 3 New
farmoninc NEW - $350.00 0 Jul/12/22 Jun/15/23
Description: 3 NEW AMAT 0020-30510 PLATE CONNERS CVD, 108066
usedeqsales Used - $1,507.22 1 Jul/12/22 Aug/15/22
Description: Fuji EL90PO Earth Leakage Relay and Current Sensor AMAT 0680-50075 Lot of 3 New
farmoninc NEW - $50.00 1 Jul/12/22 Oct/05/22
Description: 3 AMAT 3700-01217 ORING ID .237 CSD .103 , 75URO BLK, 109027
usedeqsales Used - $1,007.22 0 Jul/12/22 Mar/30/23
Description: Novellus Systems 03-166293-00 Nano Fill-Toggle Clean PCB Reseller Lot of 3 Spare
tolleyshops NEW - $169.00 0 Jul/14/22 Aug/14/22
Description: 3 Applied Materials AMAT 4020-00717 FLTR CARTRIDGE 9-3/4 L 25 MICRON, NEW
farmoninc NEW - $300.00 0 Jul/15/22 Oct/13/22
Description: AMAT 0242-19389 5.X FLEX 3 (FIC) CONFIG CHECKER TEST KIT, 108102
sgcequipment NEW - $50.00 1 Jul/18/22 Aug/18/23
Description: Applied Materials/AMAT 3840-01048 1/8 THK 2W GRAY SILIC TAPE PRESS 3 FT
protechsupply NEW - $399.00 1 Jul/26/22 Sep/28/23
Description: Set of 3 Applied Materials Chemraz 300mm O-Ring ID 3700-02515 w/ WARRANTY
spsglobal Used - $600.00 0 Jul/29/22 Jun/18/23
Description: 999-9999// AMAT APPLIED 0190-70091 (DELIVERY 28 DAYS) TC ASSY. 3 PROBE
usedeqsales Used - $1,507.20 0 Aug/01/22 Oct/31/22
Description: CTI-Cryogenics 3 Output On-Board Frequency Converter Working Surplus
time-4-dreamz Used - $36.89 0 Aug/02/22 Aug/03/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
getspares.com_sparesllc09 Used - $487.23 0 Aug/03/22 Oct/24/22
Description: 0020-25773 / RING 3 DUAL ROBOT / APPLIED MATERIALS AMAT
j316gallery Used - $250.00 0 Aug/04/22 Dec/26/22
Description: 28718 LAM RESEARCH 3 WAY VALVE ASSY, 1/4" VCR FEMALE-FEMALE-MALE 839-024328-100
visionsemi Used - $975.00 1 Aug/08/22 Aug/08/23
Description: AMAT 0195-15752 REV 03 DELPHIN ENDURA VIDEO SERIAL BOARD W/ 3 VIDEO PORTS
southweststeam1 Used - $36.89 0 Aug/08/22 Aug/08/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
farmoninc NEW - $500.00 1 Aug/11/22 Sep/28/22
Description: AMAT 3870-01212 Norcal Isolation valve, 3 way KF 40, 108881
railhome Used - $36.89 0 Aug/12/22 Aug/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
railhome Used - $29.02 0 Aug/12/22 Aug/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
top_formalwear-accessories Used - $36.89 0 Aug/13/22 Aug/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
vizvik16 Used - $200.00 0 Aug/14/22 Mar/16/23
Description: Nikon 2S700-601 Pulse Motor Control PCB Board 2S003-017-1 OPTISTATION 3
hawkg2 Used - $36.89 0 Aug/14/22 Aug/14/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
liquiditech Used - $900.00 0 Aug/15/22 May/09/23
Description: SMC Slit Valve Pneumatic Cylinder 3020-00077 AMAT 0242-34890 #3
farmoninc NEW - $150.00 0 Aug/16/22 Aug/25/22
Description: AMAT 0050-82476 WATER HOSE, CH F RETURN #3, FS#4 OUT, EPI 200MM, 108946
visionsemi Used - $20,000.00 0 Aug/16/22 Sep/13/22
Description: NOVELLUS ALTUS 3 ATM ROBOT 02-343723-00 300MM
sgcequipment Used - $250.00 0 Aug/19/22 Mar/07/24
Description: Applied Materials/AMAT 0090-20042 ASSY, 3 WAY VALVE
maxisemi1349 Used - $2,100.00 1 Aug/22/22 Aug/22/22
Description: 0020-42080 PLATE,PUMPING,6" SR, WxZ, lot of 3
j316gallery Used - $1,900.00 0 Aug/25/22 Sep/14/22
Description: 29079 CTI CRYOGENICS ON-BD 3 PHASE MTR CTLR, 8043695G-002 3620-00619
farmoninc NEW - $300.00 0 Aug/26/22 Aug/31/23
Description: 1 Lot of 3 AMAT 0200-09886 FINGER, 6, MCVD, 109564
tolleyshops NEW - $169.00 0 Aug/31/22 Sep/30/22
Description: 3 Applied Materials AMAT 4020-00717 FLTR CARTRIDGE 9-3/4 L 25 MICRON, NEW
visionsemi Used - $2,000.00 0 Sep/06/22 Aug/06/23
Description: LAM RESEARCH 61-353934-00 FE-HD EIOC 0 TOP PLT CONTOLLER REV 3 FIRMWARE 1.507
ordipackdepannage Used - $36.89 0 Sep/08/22 Sep/09/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
ebon625 Used - $36.89 0 Sep/10/22 Sep/10/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
carolyns_cosmetics Used - $3,735.89 0 Sep/12/22 Sep/12/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
riccardinocatania Used - $36.89 0 Sep/13/22 Sep/13/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
j316gallery Used - $1,900.00 0 Sep/20/22 May/18/23
Description: 29079 CTI CRYOGENICS ON-BD 3 PHASE MTR CTLR, 8043695G-002 3620-00619
goldenagecycles Used - $36.89 0 Sep/25/22 Sep/25/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
cbnumismatique Used - $36.89 0 Sep/25/22 Sep/25/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
riccardinocatania Used - $36.89 0 Sep/27/22 Sep/27/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
j316gallery Used - $600.00 0 Sep/29/22 Aug/23/23
Description: 29900 LAM RESEARCH PCBA, NODE TYPE 3, PHASE II, ROHS W/ 50020R-10 810-800256-015
tolleyshops NEW - $169.00 0 Sep/30/22 Oct/30/22
Description: 3 Applied Materials AMAT 4020-00717 FLTR CARTRIDGE 9-3/4 L 25 MICRON, NEW
liquiditech Used - $269.10 0 Oct/03/22 Mar/02/23
Description: AMAT Applied Materials 0040-06361 ISM SWLL 300mm Bellows Assembly #3
horner_shearing Used - $36.89 0 Oct/04/22 Oct/04/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
tolleyshops Used - $69.00 0 Oct/10/22 Nov/09/22
Description: 3 new ~ AMAT APPLIED 3300-02614 FTG HOSE BARB 3/8H X 9/16-18SAE 37DEG
goldenagecycles Used - $36.89 0 Oct/10/22 Oct/10/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
farmoninc Used - $1,950.00 0 Oct/11/22 Feb/25/23
Description: AMAT 0010-20021 TRANSFER SLIT VALVE ASSY, SMC NCDQ1B80, CHAMBER #3, 110455
j316gallery Used - $500.00 0 Oct/21/22 Aug/23/23
Description: 30002 LAM RESEARCH PCB, NODE TYPE 3, PHASE II, ROHS (PARTS) 810-800256-015
napoleon155 Used - $2.84 0 Oct/29/22 Oct/29/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
visionsemi Used - $20,000.00 1 Oct/31/22 Jun/02/23
Description: NOVELLUS ALTUS 3 ATM ROBOT 02-343723-00 300MM
farmoninc Used - $12,500.00 0 Nov/01/22 Mar/02/23
Description: AMAT 0240-27279 KIT, 3 LASER ROBOT CHAR FIXURE, ATM-20, NAIS MICRO LASER, 110971
mmcgolfer NEW - $74.99 0 Nov/08/22 Nov/12/22
Description: Lot of 3 APPLIED MATERIALS 3700-01608 O-RING
mmcgolfer NEW - $29.99 0 Nov/08/22 Nov/12/22
Description: Lot of 3 NEW APPLIED MATERIALS AMAT 3700-01229 ORING ID 1.609
farmoninc NEW - $200.00 1 Nov/09/22 Jun/01/23
Description: 2 AMAT 0010-39665 ASSY, LOCKOUT VALVE W/ONE TOUCH FTGS, 3 Way, 111285
mmcgolfer NEW - $74.99 0 Nov/12/22 Nov/30/22
Description: Lot of 3 APPLIED MATERIALS 3700-01608 O-RING
mmcgolfer NEW - $29.99 0 Nov/12/22 Nov/30/22
Description: Lot of 3 NEW APPLIED MATERIALS AMAT 3700-01229 ORING ID 1.609
ramix-part NEW - $7,064.63 0 Nov/13/22 Feb/09/23
Description: AMAT Applied Materials 0041-89207 REFLECTOR 3,COMMON CH, OLYMPIA /P/N:0041-89207
techse11 NEW - $1,100.00 9 Nov/14/22 Mar/17/23
Description: AMAT 0190-38516 LAMP 10-PACK, RADIANCE, W/INT, ORING, 3
equipplus Used - $429.00 1 Nov/14/22 Nov/15/22
Description: LAM Research 810-800256-005 Rev F Node Board,Type 3,Used,Mal&7159
spsglobal Used - $600.00 0 Nov/14/22 Jun/18/23
Description: 999-9999 AMAT APPLIED 0190-70091 (DELIVERY 28 DAYS) TC ASSY, 3 PROBE 2ND SOURCE
sgcequipment Used - $100.00 0 Nov/17/22 Dec/18/23
Description: Lam Research PN: 839-180243-002
farmoninc NEW - $3,000.00 2 Nov/17/22 Sep/12/23
Description: AMAT 0190-00899 3 CHANNEL DEVICE NET PRO VME INTERFACE, 111545
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
ramix-part Used - $1,758.49 0 Nov/24/22 Feb/09/23
Description: AMAT Applied Materials 0041-56724 REV 09 REFLECTOR 3,COMMON CH, OLYMPIA
ramix-part NEW - $2,211.30 0 Nov/24/22 Feb/09/23
Description: AMAT Applied Materials 0041-52280 REV 10 REFLECTOR 3,COMMON CH, OLYMPIA
comwaysind Used - $37.10 0 Nov/26/22 Feb/26/24
Description: Applied Materials 3880-99070 WASHER FLAT M6.6.4MM ID X 12 Lot of 3
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
voltapaginanet Used - $8.92 0 Nov/29/22 Nov/30/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
comwaysind Used - $61.48 0 Nov/30/22 Feb/29/24
Description: Applied Materials 1400-01056 Sensor Photo Interrupter T-Shape W/LED & A Lot of 3
comwaysind Used - $153.70 0 Nov/30/22 Feb/29/24
Description: AMAT 0140-75294 HARNESS Asembly Rotaion ESC Chuck Pwr 3 Condct Applied Materials
kurumi-shop Used - $2,600.00 0 Dec/05/22 Dec/07/22
Description: APPLIED MATERIALS 0500-01083 3 CHANNEL HEATER/SUSPECTOR LEVELING SYSTEM
079gabriel2005 Used - $2.84 0 Dec/05/22 Dec/05/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
mmcgolfer NEW - $15.00 1 Dec/07/22 Dec/27/22
Description: Lot of 3 NEW APPLIED MATERIALS AMAT 3700-01229 ORING ID 1.609
kurumi-shop Used - $2,600.00 1 Dec/08/22 Jan/12/23
Description: APPLIED MATERIALS 0500-01083 3 CHANNEL HEATER/SUSPECTOR LEVELING SYSTEM
mmcgolfer NEW - $74.99 1 Dec/08/22 Dec/27/22
Description: Lot of 3 APPLIED MATERIALS 3700-01608 O-RING
waste-not-recycling Used - $1,500.00 2 Dec/12/22 Dec/19/22
Description: Brooks Automation CTI-Cryogenics/Helix Technology 3 Phase Motor Controller
usedeqsales Used - $1,212.22 0 Dec/16/22 Mar/16/23
Description: AMAT Applied Materials 0010-75090 Monitor Base & Light Pen Lot of 3 P5000 Spare
giftwearonline Used - $2.84 0 Dec/18/22 Dec/18/22
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
jtechsemi Used - $4,000.00 0 Dec/28/22 Apr/03/23
Description: Applied Materials 0050-88884 WELDMENT DUAL GAS FEED 3 LEGS 300MM DPS AMAT
farmoninc Used - $950.00 0 Dec/28/22 Nov/30/23
Description: 3 AMAT 0200-18073 Tube Sapphire Microwave Applicator, HDP-CVD CHAMBER , 112676
ace_stellar_seller Used - $17,999.00 0 Jan/04/23 Feb/04/23
Description: APPLIED MATERIALS KIT 0240-27279, 3 LASER ROBOT CHAR FIXTURE ATM-20
farmoninc Used - $950.00 0 Jan/06/23 Oct/12/23
Description: AMAT 0190-36109 IPS LAMPS DRIVER ASSEMBLY, 3 ZONE, 5200, 113093
bigg.logistics101 NEW - $599.99 6 Jan/10/23 Feb/21/23
Description: APPLIED MATERIALS INSERT QTZ, INJECT 3 ZONE 0200-35916
mppatrick Used - $2.84 0 Jan/14/23 Jan/14/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
liquiditech Used - $89.10 0 Jan/17/23 May/09/23
Description: Eaton GDB-D 14k Industrial Circuit Breaker 20 AMPS 3 Pole amat 0680-01687
itrecyclenow Used - $100.00 0 Jan/17/23 May/01/23
Description: CTI-Cryogenics Balden Cable 3 Pin Female + 3 pin male Approx 13.11in
20041014625pm Used - $3,795.00 0 Jan/18/23 Feb/24/23
Description: Lam Research 853-013750-001 APM Lifter Set OF 3 Units
farmoninc NEW - $575.00 1 Jan/18/23 Apr/26/24
Description: 3 AMAT 0150-09616 SPAN IPS 122 Type 1, 8-30VDC, Cable Pressure Switch, 113635
tolleyshops NEW - $169.00 0 Jan/23/23 Feb/23/23
Description: 3 Applied Materials AMAT 4020-00717 FLTR CARTRIDGE 9-3/4 L 25 MICRON, NEW
tolleyshops Used - $69.00 0 Feb/04/23 Mar/03/23
Description: 3 new ~ AMAT APPLIED 3300-02614 FTG HOSE BARB 3/8H X 9/16-18SAE 37DEG
tfstech Used - $99.99 0 Feb/07/23 May/06/23
Description: Norcal AMAT 3870-01212 Isolation Valve kf40 3 Way High Vacuum Valve
labstd Used - $1,008.78 0 Feb/16/23 Mar/02/23
Description: Lam Research 810-800256-005 rev D NODE B, Type 3
part-soulution Used - $959.32 0 Feb/22/23 Mar/22/23
Description: LAM Research Node Board, Type 3 810-800256-004 Rev.F (As-Is)
tolleyshops NEW - $169.00 0 Feb/23/23 Mar/22/23
Description: 3 Applied Materials AMAT 4020-00717 FLTR CARTRIDGE 9-3/4 L 25 MICRON, NEW
eleganthairextensions Used - $2.84 0 Feb/26/23 Feb/26/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
getspares.com_sparesllc09 Used - $6,999.03 0 Mar/01/23 Apr/17/23
Description: 0100-76042 / ASSY,PCB EWOB ENHANCED OTF-CENTERFINDER WITH RS232 WITH EX 3 LED
equipplus Used - $279.00 1 Mar/05/23 Mar/07/23
Description: Lot of 3 Lam Research 810-253279-003 Rev E,G Chamber MUX II,Part,US*7840
ledamoiseau Used - $2.84 0 Mar/05/23 Mar/06/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
papyrus10 Used - $2.84 0 Mar/12/23 Mar/13/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
astrade2012 Used - $8,999.00 0 Mar/16/23 Apr/20/23
Description: AMAT Centura System C942 ETO rack APPLIED MATERIALS 0190-18181 lot of 3
punki64 Used - $2.84 0 Mar/20/23 Mar/20/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
ghis6969 Used - $2.84 0 Mar/25/23 Mar/26/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
getspares.com_sparesllc09 Used - $1,995.00 1 Mar/27/23 Mar/26/24
Description: 0500-00711 / 300MM 3 CHANNEL LEVELING SYSTEM W/O BACKLIT DISPLAY / AMAT
j316gallery Used - $1,150.00 0 Mar/29/23 May/24/23
Description: 4433 LAM RESEARCH PCB PCBA NODE TYPE 3 PHASE II ROHS 810-800256-015
bo19531 Used - $8.12 0 Apr/02/23 Apr/03/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
j316gallery Used - $2,200.00 0 Apr/05/23 Oct/12/23
Description: 32526 NOVELLUS SESIOC 3 IXT WTS HV, WTS-HV SIOC 3 (PARTS) 02-266873-00
j316gallery Used - $2,500.00 0 Apr/05/23 Oct/12/23
Description: 32522 NOVELLUS SESIOC 3 IXT WTS HV 02-271697-00
athomemarket NEW - $89.99 0 Apr/10/23 Apr/17/23
Description: (Lot of 3) NEW AMAT 0690-01037 Clamp Hinged NW50 Wing-Nut & SCR-Closure
part-soulution Used - $959.32 0 Apr/12/23 Apr/20/23
Description: LAM Research Node Board, Type 3 810-800256-004 Rev.F (As-Is)
usedeqsales Used - $604.23 1 Apr/14/23 May/01/23
Description: AMAT Applied Materials 0100-03500 Enhanced OTF Centerfinder PCB Card Rev. 3
athomemarket NEW - $81.29 0 Apr/17/23 Dec/27/23
Description: (Lot of 3) NEW AMAT 0690-01037 Clamp Hinged NW50 Wing-Nut & SCR-Closure
rtxparts Used - $10,500.00 0 Apr/26/23 Oct/09/23
Description: Applied Materials Kit 3 Laser robot Char AMAT 0240-27279
katiil3 Used - $299.00 1 Apr/26/23 Nov/26/23
Description: Applied materisl AMAT 3300-04678 Lot of 3
farmoninc NEW - $150.00 0 Apr/27/23 May/04/23
Description: 3 AMAT 0020-24011 AL" FINGER HOLDER, 115593
farmoninc Used - $3,500.00 1 Apr/27/23 Apr/27/23
Description: AMAT 0240-76028 Phase 3 Storage Elevator, P5000, 0010-76001 Assy, 115506
yiannisshop NEW - $20.00 0 Apr/30/23 Aug/25/23
Description: 30 Fuses AMAT 0910-01052 littelfuse 314020 3 package of 10 $27 free shipping
itrecyclenow Used - $90.00 0 May/01/23 Jun/22/23
Description: CTI-Cryogenics Balden Cable 3 Pin Female + 3 pin male Approx 13.11in
grandbirdnet Used - $3,500.00 0 May/01/23 Jun/29/23
Description: AMAT 0190-05611 CARD CONTROLLER CPCI 166MHZ PENTIUM 3U 3 , USED
alvin1462 Used - $55,555.00 1 May/04/23 May/05/23
Description: AMAT CENTURA 0010-54810 , 300MM VHP ROBOT , E COOLED 0040-03661 , 2 , 3 & 4
globaltech18 Used - $749.00 1 May/04/23 Dec/05/23
Description: APPLIED MATERIALS PCB 26599 MAINFRAME INTERLOCK 3 RELAY AS00627-01 0190-26810
earth_enterprises Used - $100.00 0 May/04/23 Sep/26/23
Description: Lot of 3 AMAT 0140-20656 HARNESS ASSY DC PWR 2ND SET DISK DR Applied Materials
tolleyshops NEW - $169.00 0 May/09/23 Jul/09/23
Description: 3 Applied Materials AMAT 4020-00717 FILTER CARTRIDGE 9-3/4 L 25 MICRON
earth_enterprises Used - $275.33 0 May/11/23 Sep/26/23
Description: Lot of 3 AMAT Applied Materials 0140-20113 DC POWER FLOPPY D-DRIVE Harness Assy
tfstech Used - $89.99 1 May/14/23 Aug/05/23
Description: Norcal AMAT 3870-01212 Isolation Valve kf40 3 Way High Vacuum Valve
gy-power Used - $1,354.80 0 May/23/23 Jun/15/23
Description: 1PCS AMAT 0190-15905 (3 Months warranty SHIP FedEx)
gy-power Used - $1,008.96 0 May/23/23 May/24/23
Description: 1PCS AMAT 0190-28703 (3 Months warranty SHIP FedEx)
alvin1462 Used - $55,555.00 0 May/24/23 Jul/07/23
Description: AMAT CENTURA 0010-54810 , 300MM VHP ROBOT , E COOLED 0040-03661 , 2 , 3 & 4
ssarah712 Used - $2.84 0 May/28/23 May/28/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
generalbonaparte Used - $2.84 0 Jun/04/23 Jun/04/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
maxisemi1349 Used - $45.00 0 Jun/07/23 Oct/15/23
Description: 17-103987-00 STRAP,RF, lot of 3
athomemarket Used - $8,100.00 1 Jun/07/23 Jun/14/23
Description: CTI Cryogenics OnBoard 8 Vacuum Pump 4000 l/s Cryopump Tested Clean Shielded 3Ø
hhulo Used - $2.84 0 Jun/11/23 Jun/11/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
labedge Used - $15,000.00 0 Jun/17/23 Jun/27/23
Description: Edwards CTI CRYOGENICS 9600 COMPRESSOR 8135901G001 380 to 460 VAC 3 Phase
itrecyclenow Used - $85.00 0 Jun/22/23 Sep/07/23
Description: CTI-Cryogenics Balden Cable 3 Pin Female + 3 pin male Approx 13.11in
outletmp3 Used - $2.84 0 Jun/24/23 Jun/24/23
Description: Novellus Systems 02-259925-00 300mm Speed Dome 15-150623-00 Concept 3 C3 Spare
labedge Used - $11,250.00 0 Jun/27/23 Jul/07/23
Description: Edwards CTI CRYOGENICS 9600 COMPRESSOR 8135901G001 380 to 460 VAC 3 Phase
spsglobal Used - $40.00 1 Jun/28/23 Jun/28/23
Description: 352-0502 AMAT APPLIED 0090-20042 ASSY,3 WAY VALVE [NEW]
visionsemi NEW - $50.00 1 Jun/30/23 Mar/25/24
Description: APPLIED MATERIALS AMAT ENDURA CONDUCTOR FLEX DC BIAS 0020-29409 LOT OF 3
labedge Used - $8,437.47 0 Jul/08/23 Jul/12/23
Description: Edwards CTI CRYOGENICS 9600 COMPRESSOR 8135901G001 380 to 460 VAC 3 Phase
goldenstateelectronics NEW - $595.00 0 Jul/11/23 Nov/02/23
Description: LOT OF 3 AMAT 0020-10314 BUSS BARGROUND, BAR GROUND