[click to login]
WSEMI


TAGS > gasline

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 0190-11964 Gasline, Heated Delivery Line #2, 200MM T
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: NEW AMAT 0190-11966 Gasline, Heated, 200mm
farmoninc
[view on eBay]
NEW 2
in stock
$75.00
Description: AMAT 0050-46707 GASLINE POS3, SEG III UPPER
grandbirdnet
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0050-92389 GASLINE, AR FAC TO PURGE MODULE, 300MM ALD TAN (RPG), NEW
auctionrus
[view on eBay]
NEW 1
in stock
$225.00
Description: AMAT 0050-53491 Weldment, ZDV Final Gasline, 410903
auctionrus
[view on eBay]
NEW 1
in stock
$145.00
Description: AMAT 0150-11305 Cable Assembly, Gasline Heater Jacket Power, 3 414137
arizindo
[view on eBay]
Used 4
in stock
$95.00
Description: Applied Materials AMAT Gasline, HP TxZ Transducer -- 0050-46663 -- New
j316gallery
[view on eBay]
NEW 1
in stock
$86.02
Description: 4997 APPLIED MATERIALS BRKT GASLINE CLAMP PLATE PROD SE MKII NEW 0021-26241
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0050-43656, Gasline, X14,A-,B8,C-D,D-,MLD,10RA. 418337
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: AMAT 3800-01084 Regulator Gasline Pressure Controller, 419129
j316gallery
[view on eBay]
NEW 1
in stock
$246.09
Description: 3258 APPLIED MATERIALS GASLINE, HE PROCESS (NEW) 0050-06957
farmoninc
[view on eBay]
NEW 1
in stock
$50.00
Description: AMAT 0050-28965 Gasline MNL VLV to BLK VLV GPLIS III Pro, 420790
expertsurplus
[view on eBay]
NEW 1
in stock
$205.00
Description: AMAT Applied Materials 0050-00023 Solid Transition Gasline X-P Chamber, Lot of 9
j316gallery
[view on eBay]
Used 1
in stock
$968.84
Description: 13499 APPLIED MATERIALS GASLINE INSERT, CHBR FEED THRU, 300MM 0050-90653
j316gallery
[view on eBay]
Used 1
in stock
$1,463.70
Description: 14183 APPLIED MATERIALS GASLINE ISOLATOR, SUBZERO BESC 0010-02902
dr.dantom
[view on eBay]
Used 1
in stock
$100.00
Description: APPLIED MATERIALS 0050-21123 GASLINE CHU MANIFOLD 402168R02-PE
dr.dantom
[view on eBay]
Used 1
in stock
$50.00
Description: Applied Materials / AMAT 0050-80924 GASLINE BACKSIDE GAS PIB 300MM ESC DT
dr.dantom
[view on eBay]
Used 2
in stock
$50.00
Description: Applied Materials 0050-92389 GASLINE AR FAC TO PURGE MODULE 300MM ALD TAN (RPG)
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 327-0402// AMAT APPLIED 0050-75357 GASLINE EXTNSN MIXED [NEW]
j316gallery
[view on eBay]
NEW 1
in stock
$166.23
Description: 18492 APPLIED MATERIALS GASLINE (NEW IN OPEN PACK) 0051-29491
j316gallery
[view on eBay]
NEW 1
in stock
$139.45
Description: 18634 APPLIED MATERIALS GASLINE (NEW IN OPEN PACK) 0051-17953
j316gallery
[view on eBay]
NEW 1
in stock
$139.45
Description: 18636 APPLIED MATERIALS GASLINE (NEW IN OPEN PACK) 0051-18341
j316gallery
[view on eBay]
NEW 4
in stock
$40.17
Description: 18635 APPLIED MATERIALS GASLINE (NEW IN OPEN PACK) 0051-03222
expertsurplus
[view on eBay]
NEW 1
in stock
$75.00
Description: AMAT, 0050-00539, Gasline, Outlet From Valves SWLL 300MM, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-76886, Gasline Diff-Upper NBLL-B Centura Comm, New
expertsurplus
[view on eBay]
NEW 17
in stock
$150.00
Description: AMAT, 0050-26778, Gasline, Top Vent Feed, Line 3, New
j316gallery
[view on eBay]
NEW 1
in stock
$233.18
Description: 20150 APPLIED MATERIALS GASLINE ASSY, 23CM, 3 WAY (NEW) 0050-62436
spsglobal
[view on eBay]
Used 1
in stock
$520.00
Description: 176-0403// AMAT APPLIED 0050-75741 GASLINE LOWER,HEATER BYPASS,WB,MIXED [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 176-0403// AMAT APPLIED 0050-25223 GASLINE,PRCS.EDGE PRG AXZ/TXZ AT 3 M/F [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$210.00
Description: 177-0401// AMAT APPLIED 0050-25244 GASLINE, PRCS DUMP CH3 AXZ [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 176-0404// AMAT APPLIED 0050-21777 GASLINE H2O COOLED CH C VENT (W/CH 1) [NEW]
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 177-0501// AMAT APPLIED 0050-21703 GASLINE CH 1 LOWER VENT (W/CH C) [NEW]
capitolareatech
[view on eBay]
NEW 1
in stock
$144.95
Description: Applied Materials (AMAT) 0020-38647 CLAMP,.25 OD GASLINE,RP ADAPTER PLATE,RT
capitolareatech
[view on eBay]
NEW 18
in stock
$45.95
Description: Applied Materials (AMAT) 0020-39576 Bracket, Raceway Gasline Clamp
capitolareatech
[view on eBay]
Used 1
in stock
$188.95
Description: Applied Materials (AMAT) 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHZ
capitolareatech
[view on eBay]
Used 1
in stock
$269.95
Description: Applied Materials (AMAT) 0021-06924 CLAMP, FLEX GASLINE DZ IHC
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 137-0403// AMAT APPLIED 0050-25242 GASLINE, ROUGH AXZ @3 [USED]
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 176-0103// AMAT APPLIED 0050-22361 GASLINE VALVE W/ELBOW GAS PANEL CAJON [ASIS]
spsglobal
[view on eBay]
Used 5
in stock
$150.00
Description: 176-0103// AMAT APPLIED 0050-21529 GASLINE VALVE W/ELBOW GAS PANEL [ASIS]
capitolareatech
[view on eBay]
Used 1
in stock
$189.95
Description: Applied Materials (AMAT) 0050-20951 GASLINE, MANIFOLD PRCS CH 3, MFC 2 & 3 V
usedeqsales
[view on eBay]
Used 1
in stock
$309.20
Description: AMAT Applied Materials 0050-44837 300mm PVD Chamber Vent Gasline New Surplus
svcheck
[view on eBay]
Used 4
in stock
$81.41
Description: AMAT APPLIED MATERIALS 0050-31457 Gasline
expertsurplus
[view on eBay]
Used 2
in stock
$60.00
Description: AMAT Applied Materials 0050-00023 Solid Transition Gasline X-P Chamber, Used
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT, Gasline, 0050-00136, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, GASLINE MIX BYPASS UPPER, 0050-75360, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, GASLINE #5 SYSTEM MANIFOLD, 0050-20406, New
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, GASLINE MNL VLV TO BLK VLV GPLIS III PRO, 0050-28965, New
expertsurplus
[view on eBay]
Used 1
in stock
$125.00
Description: AMAT, GASLINE 5RA SPOOL M/F 3.72 PRODUCER, 0050-00721, New
j316gallery
[view on eBay]
Used 1
in stock
$63.95
Description: 21329 APPLIED MATERIALS GASLINE 0051-25131
j316gallery
[view on eBay]
Used 1
in stock
$89.52
Description: 21363 APPLIED MATERIALS GASLINE 0051-18072
j316gallery
[view on eBay]
Used 1
in stock
$51.15
Description: 21373 APPLIED MATERIALS GASLINE 0051-26538
j316gallery
[view on eBay]
Used 2
in stock
$89.52
Description: 21365 APPLIED MATERIALS GASLINE 0051-18085
j316gallery
[view on eBay]
Used 1
in stock
$51.15
Description: 21371 APPLIED MATERIALS GASLINE 0051-18055
j316gallery
[view on eBay]
Used 1
in stock
$89.52
Description: 21361 APPLIED MATERIALS GASLINE 0050-84054
j316gallery
[view on eBay]
Used 1
in stock
$150.43
Description: 21372 APPLIED MATERIALS GASLINE 0050-89579
j316gallery
[view on eBay]
Used 1
in stock
$163.23
Description: 21326 APPLIED MATERIALS GASLINE 0050-82505
j316gallery
[view on eBay]
Used 1
in stock
$163.23
Description: 21324 APPLIED MATERIALS GASLINE 0051-03306
j316gallery
[view on eBay]
Used 1
in stock
$127.89
Description: 21339 APPLIED MATERIALS GASLINE 0041-55478
j316gallery
[view on eBay]
Used 1
in stock
$63.95
Description: 21321 APPLIED MATERIALS GASLINE 0051-25231
j316gallery
[view on eBay]
Used 1
in stock
$289.86
Description: 21364 APPLIED MATERIALS GASLINE 0051-18051
j316gallery
[view on eBay]
Used 1
in stock
$163.23
Description: 21320 APPLIED MATERIALS GASLINE 0051-89794
j316gallery
[view on eBay]
Used 1
in stock
$60.44
Description: 21323 LAM RESEARCH GASLINE, 715-067889-001 839-088417-701
j316gallery
[view on eBay]
Used 1
in stock
$201.59
Description: 21322 LAM RESEARCH GASLINE 8X 220-32224-000 220-3221-000 839-067827-818
j316gallery
[view on eBay]
Used 1
in stock
$150.43
Description: 21342 LAM RESEARCH GASLINE 839-212901-271
j316gallery
[view on eBay]
Used 1
in stock
$289.86
Description: 21366 LAM RESEARCH GASLINE 839-076970-713
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, GASLINE SLD EVEN MNL VLV EXT BOT FEED, 0050-41039, New
farmoninc
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0051-07087 Gasline Assy w/ 2 Nupro 6LV-DLBW4 Valves, Isolation, 453785
sunshine*road
[view on eBay]
NEW 5
in stock
$199.99
Description: Applied Materials (AMAT) 0050-80259 CHAMBER EXTENSION GASLINE
sunshine*road
[view on eBay]
NEW 5
in stock
$199.99
Description: Applied Materials (AMAT) 0050-83772 MANIFOLD GASLINE
sunshine*road
[view on eBay]
NEW 3
in stock
$299.99
Description: Applied Materials (AMAT) 0050-80460 Gasline Upper Vent Chamber
sunshine*road
[view on eBay]
NEW 3
in stock
$299.99
Description: Applied Materials (AMAT) 0050-93965 Gasline, Carrier, Stick 5 ALD TAN
farmoninc
[view on eBay]
Used 1
in stock
$4,900.00
Description: AMAT 0010-13150 Gasline Assy, MKS 1159B-13197---S, 122A-11063, 400713
sunshine*road
[view on eBay]
NEW 3
in stock
$199.99
Description: Applied Materials (AMAT) 0050-44837 GASLINE CHAMBER VENT 300MM
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-12706 Bracket, Outer, Vertical, Gasline, 300mm, 101452
capitolareatech
[view on eBay]
Used 1
in stock
$699.95
Description: Applied Materials (AMAT) 0050-25246 GASLINE, PRCS CARRIER CH3 AXZ
capitolareatech
[view on eBay]
Used 5
in stock
$239.95
Description: Applied Materials (AMAT) 0050-44650 GASLINE WELDMENT
capitolareatech
[view on eBay]
Used 1
in stock
$299.95
Description: Applied Materials (AMAT) 0050-44014 GASLINE N2P MNFLD FNL VLV TO BLV VLV GPL
katiil3
[view on eBay]
Used 1
in stock
$83.30
Description: Applied Materials AMAT 0050-85778 GASLINE,FORELINE CH A,THRU FLOOR,H2 PUMP 200MM
katiil3
[view on eBay]
Used 1
in stock
$69.30
Description: AMAT 0021-18018 SPACER 1/4'' GASLINE BKT 2''MOUNTING
expertsurplus
[view on eBay]
NEW 4
in stock
$100.00
Description: AMAT, Gasline Fitting, 0226-32556, New
expertsurplus
[view on eBay]
NEW 31
in stock
$175.00
Description: AMAT, Gasline Fitting, 0050-33843, New
expertsurplus
[view on eBay]
NEW 6
in stock
$250.00
Description: AMAT, Gasline Fitting, 0050-30407, New
expertsurplus
[view on eBay]
NEW 2
in stock
$250.00
Description: AMAT, Gasline Fitting, 0050-37512, New
expertsurplus
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT, Gasline, 10-179500-00, New
expertsurplus
[view on eBay]
NEW 2
in stock
$250.00
Description: Novellus, Gasline, 10-101255-00, New
expertsurplus
[view on eBay]
NEW 5
in stock
$175.00
Description: AMAT, Gasline Fitting, 0040-31851, New
katiil3
[view on eBay]
Used 1
in stock
$69.30
Description: Applied materials AMAT 0021-18017 Bracket 1/4'' DIA Gasline 3-SLOT 2'' Mounting
vizvik16
[view on eBay]
NEW 1
in stock
$110.00
Description: Applied Materials 0240-49992 KIT CH ARGON GASLINE INTEGRATION E2 CR
farmoninc
[view on eBay]
NEW 1
in stock
$300.00
Description: AMAT 0050-20951 GASLINE, MANIFOLD PRCS CH 3, MFC 2 & 3 V Rev C, 109800
farmoninc
[view on eBay]
NEW 1
in stock
$135.00
Description: AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHZ REV P1, 112304
farmoninc
[view on eBay]
NEW 1
in stock
$135.00
Description: AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHZ REV P1, 112340
liquiditech
[view on eBay]
Used 3
in stock
$99.00
Description: Applied Materials AMAT 0050-93965 Gasline, Carrier, Stick 5 ALD TAN
farmoninc
[view on eBay]
NEW 1
in stock
$275.00
Description: AMAT 0050-25088 GASLINE, MANIF G-3 MET SIDE INJ, 113067
j316gallery
[view on eBay]
Used 1
in stock
$277.91
Description: 1343 APPLIED MATERIALS BRACKET, TXZ CH PROCESS HEATED GASLINE M 0020-29493
farmoninc
[view on eBay]
NEW 1
in stock
$270.00
Description: 2 AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHC, 118328
farmoninc
[view on eBay]
NEW 1
in stock
$270.00
Description: 2 AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHC, 118327
farmoninc
[view on eBay]
NEW 1
in stock
$450.00
Description: LOTS OF 6 AMAT 0020-02313 Bracket 1, ESC N2 Purge , 0.25" Gasline, 118350
farmoninc
[view on eBay]
NEW 10
in stock
$135.00
Description: AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHZ REV P1, 118359
farmoninc
[view on eBay]
Used 1
in stock
$135.00
Description: AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHC, 118357
farmoninc
[view on eBay]
NEW 2
in stock
$1,000.00
Description: LOT OF 20 AMAT 0020-02313 Bracket 1, ESC N2 Purge , 0.25" Gasline, 118385
farmoninc
[view on eBay]
NEW 21
in stock
$135.00
Description: AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHC , 118169
farmoninc
[view on eBay]
NEW 3
in stock
$135.00
Description: AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHZ REV P1, 118440
farmoninc
[view on eBay]
NEW 8
in stock
$135.00
Description: AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHZ REV P1, 118720
farmoninc
[view on eBay]
Used 3
in stock
$135.00
Description: AMAT 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHZ REV P1, 118772
farmoninc
[view on eBay]
NEW 9
in stock
$250.00
Description: AMAT 0021-06924 CLAMP, FLEX GASLINE DZ IHC, 116348
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0050-25237 GASLINE, PROCESS CARRIER TXZ @ 2&3 UPPER, 116932
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0050-76537 Gasline, B3, Clean, Segment II, Centura, 119243
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0050-76561 Gasline, B3, Clean, Segment I, Centura, 119242
farmoninc
[view on eBay]
NEW 10
in stock
$290.00
Description: AMAT 0021-06924 CLAMP, FLEX GASLINE DZ IHC, 119875

This tag has been viewed 6 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
bobsgoodies NEW - $45.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0020-23850 Bracket Stauff Clamps Gasline Manifold Applied Materials
semiconusa Used - $950.00 0 Jan/31/13 Jun/10/16
Description: GASLINE INSERT LONG CHBR FEED THRU HT T AMAT
gesemiconductor NEW - $40.00 0 Jan/31/13 Dec/19/17
Description: New ASM 16-401285-01 Clamp Gasline Reactor Shield
gesemiconductor NEW - $65.00 0 Jan/31/13 Dec/19/17
Description: New ASM 1012-272-01 Line Gas OW Adapter Gasline Rev.A
esolutions1 NEW - $1,349.10 0 Feb/01/13 Dec/21/14
Description: Applied Materials 0242-34379 KIT,CH GASLINE PROTECTIVE SHIP COVER REMOTE AMAT
esolutions1 NEW - $809.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials 0050-46077 GASLINE RIGHT ANGLE VALVE AMAT NEW
esolutions1 NEW - $299.00 6 Feb/03/13 Oct/07/13
Description: Applied Materials AMAT 0050-89732 GASLINE XFER CH VENT SW GAUGE REG!!! NEW
bobsgoodies NEW - $45.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0020-23850 Bracket Stauff Clamps Gasline Manifold Applied Materials
bobsgoodies NEW - $125.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0050-21186 Gasline Heater Bypass H2O Chamber
bobsgoodies NEW - $45.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0020-23850 Bracket Stauff Clamps Gasline Manifold Applied Materials
athomemarket Used - $163.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $163.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $163.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
bobsgoodies NEW - $125.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0050-21186 Gasline Heater Bypass H2O Chamber
athomemarket Used - $163.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
capitolareatech NEW - $33.75 0 Jun/19/13 Jul/18/13
Description: AMAT 0040-09944 BELLOWS, GASLINE, W/FLAT
capitolareatech NEW - $41.15 0 Jun/25/13 Sep/18/14
Description: AMAT 0020-38647 CLAMP,.25 OD GASLINE,RP ADAPTER PLATE,RT
capitolareatech NEW - $53.61 0 Jun/25/13 Sep/18/14
Description: AMAT 0150-11305 CABLE ASSY, GASLINE HEATER JACKET PWR, 3
capitolareatech NEW - $525.00 1 Jun/28/13 Oct/08/13
Description: AMAT 0010-02902 GASLINE ISOLATER
capitolareatech NEW - $70.13 0 Jul/19/13 Sep/12/14
Description: AMAT 0040-39558 LHF GASLINE INSERT, SHORT CHBR FEED THRU HT
farmoninc NEW - $50.00 0 Jul/26/13 May/18/23
Description: new AMAT 0020-02314 Bracket 2, 0.25" gasline
farmoninc Used - $450.00 0 Jul/26/13 May/18/23
Description: AMAT 0050-75839 gasline #1, CH D, PCIIE
farmoninc NEW - $400.00 1 Jul/31/13 Sep/13/13
Description: AMAT 0010-13646 gasline isolator cajon, HT BESC
athomemarket Used - $147.59 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $147.59 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $163.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
sparepartssolution Used - $100.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0050-54970 GASLINE, 3/8 OD BACKSIDE GAS, 300MM ESC, USED
sparepartssolution Used - $1,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-13647 0050-75733 0050-75732 GASLINE, ISOLATOR, USED
athomemarket Used - $163.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $165.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $165.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $165.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $165.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $165.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
exper-tech NEW - $65.00 0 Sep/02/14 Jun/23/16
Description: AMAT Applied Materials 0050-00023 Gasline SLD Transition, Lot of 2, New
exper-tech NEW - $205.00 0 Sep/04/14 Mar/01/19
Description: AMAT Applied Materials 0050-00023 Solid Transition Gasline X-P Chamber, Lot of 9
ace449parts2010 Used - $750.00 0 Dec/17/14 Oct/20/16
Description: 3 APPLIED MATERIALS 0040-09944 BELLOWS, GASLINE, NEW
alvin1462 NEW - $1,888.00 1 Dec/17/14 Dec/18/14
Description: 3 APPLIED MATERIALS 0040-09944 BELLOWS, GASLINE, NEW
capitolareatech NEW - $14.40 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0021-00151 BRACKET, SIMPLE CATHODE HE FEED GASLINE
capitolareatech NEW - $40.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-00152 BRACE, SIMPLE CATHODE HE FEED GASLINE
capitolareatech Refurbished - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39558 SWAGELOK LHF GASLINE INSERT, SHORT CHBR FEE
capitolareatech NEW - $233.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39558 SWAGELOK LHF GASLINE INSERT, SHORT CHBR FEE
capitolareatech NEW - $76.29 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39557 GASLINE INSERT, LONG CHBR FEED THRU HT T
capitolareatech NEW - $40.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-00152 BRACE, SIMPLE CATHODE HE FEED GASLINE
sacramento_liquidators NEW - $45.00 0 Feb/23/16 Mar/24/16
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT AMAT 0050-80924
capitolareatech NEW - $45.00 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-39576 Bracket, Raceway Gasline Clamp
capitolareatech NEW - $82.29 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-38647 CLAMP,.25 OD GASLINE,RP ADAPTER PLATE,RT
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0050-33105 WELDMENT,ADAPTER,GASLINE TO P5000 UNIV C
capitolareatech NEW - $50.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0050-33105 WELDMENT,ADAPTER,GASLINE TO P5000 UNIV C
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0050-28965 Gasline MNL VLV to BLK VLV GPLIS III PRO
capitolareatech NEW - $50.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0050-28965 Gasline MNL VLV to BLK VLV GPLIS III PRO
capitolareatech NEW - $50.00 0 Mar/16/16 Mar/16/16
Description: AMAT 0050-07014 GASLINE, SPOOL, 9.01" F/F 1/4 VCR
capitolareatech NEW - $50.00 0 Mar/16/16 Sep/15/16
Description: AMAT 0050-07014 GASLINE, SPOOL, 9.01" F/F 1/4 VCR
sacramento_liquidators NEW - $45.00 0 Mar/24/16 Apr/23/16
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT AMAT 0050-80924
ecomicron Used - $1,550.00 1 Apr/14/16 Feb/20/18
Description: 0090-04904, AMAT, ASSEMBLY, GASLINE TEMP CONTROLLER, PRODUCER
sacramento_liquidators NEW - $45.00 0 Apr/23/16 May/23/16
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT AMAT 0050-80924
capitolareatech NEW - $170.00 0 May/19/16 Sep/15/16
Description: AMAT 0050-21255 GASLINE VENT #1 CH1 VAR 5RA
capitolareatech NEW - $27.14 0 Jul/08/16 Sep/15/16
Description: AMAT 0020-26926 Flange, Blank Off, Adapter Gasline
capitolareatech NEW - $233.75 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-39558 GASLINE INSERT, SHORT CHBR FEED THRU HT
capitolareatech NEW - $76.29 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-39557 GASLINE INSERT, LONG CHBR FEED THRU HT T
farmoninc NEW - $250.00 1 Jul/13/16 Jan/07/19
Description: AMAT 0050-20236 Gasline Heater Bypass, 329869
capitolareatech NEW - $25.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0020-07128 CLAMP, 3/8 IN GASLINE, BOTTOM
capitolareatech NEW - $10.00 0 Sep/20/16 Nov/19/16
Description: AMAT 0020-07124 CLAMP, 1/4 IN GASLINE, TOP
spsglobal Used - $100.00 0 Oct/24/16 Mar/16/23
Description: 176-0401// AMAT APPLIED 0050-54970 GASLINE, 3/8 OD BACKSIDE GAS, 300MM ESC, USED
spsglobal Used - $1,000.00 1 Oct/27/16 Aug/16/21
Description: 176-0401// AMAT APPLIED 0010-13647 GASLINE, ISOLATOR, FUJIKIN, HT BESC USED
spsglobal Used - $1,000.00 0 Oct/27/16 Sep/13/18
Description: AMAT APPLIED MATERIALS 0010-13645 GASLINE, ISOLATOR, MIXED, HT BESC USED
capitolareatech NEW - $28.01 0 Dec/19/16 Jun/19/20
Description: AMAT 0021-35769 CLAMP, .25 OD GASLINE, RP ADAPTER PLATE
capitolareatech NEW - $1,556.11 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-70157 GASLINE, MANIFOLD PCII AT D, CAJON ONLY
capitolareatech NEW - $195.63 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-53491 WELDMENT, ZDV FINAL GASLINE
capitolareatech NEW - $470.88 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-47386 GASLINE, 1/8" IV-LFC, TEOS, GPLIS IIIA,
capitolareatech NEW - $73.12 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-46707 GASLINE POS3, SEG III UPPER
capitolareatech NEW - $260.46 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-44015 GASLINE PARALLEL HE MANFLD GPLIS III PRO
capitolareatech NEW - $205.41 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-44014 GASLINE N2P MNFLD FNL VLV TO BLV VLV GPL
gigabitpartsolutions NEW - $148.50 0 Dec/20/16 Oct/15/18
Description: OEM Part Applied Materails (AMAT) 0040-93481 GASLINE ASSY
capitolareatech NEW - $25.00 0 Dec/20/16 Jun/20/20
Description: AMAT 0020-07128 CLAMP, 3/8 IN GASLINE, BOTTOM
jinhyucle_0 NEW - $1,500.00 0 Jan/12/17 Dec/18/17
Description: AMAT 0050-95068, 0010-52043 GASLINE, INLET, BOTTOM PORT ELBOW
farmoninc NEW - $45.00 0 Jan/17/17 Apr/11/18
Description: AMAT 0050-28965 Gasline MNL VLV TO BLK VLV GPLIS III Pro, 420790
allforsale555 Used - $149.00 0 Jan/25/17 Jul/29/21
Description: AMAT 0050-85778 GASLINE,FORELINE CH A,THRU FLOOR,H2 PUMP 200MM
katiil3 Used - $99.00 0 May/24/17 Oct/23/21
Description: AMAT 0021-18018 SPACER 1/4'' GASLINE BKT 2''MOUNTING
sacramento_liquidators NEW - $40.00 0 Oct/09/17 Nov/08/17
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT 0050-80924
sacramento_liquidators NEW - $40.00 0 Nov/16/17 Dec/16/17
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT 0050-80924
sacramento_liquidators NEW - $18.88 0 Dec/17/17 Mar/03/22
Description: GASLINE BACKSIDE GAS PIB 300MM ESC DT 0050-80924
svcheck NEW - $243.80 1 Dec/21/17 Mar/20/18
Description: AMAT 0190-20015 Gasline UHP Welded Stainless Steel Diaphragm Valve
farmoninc Used - $500.00 0 Feb/16/18 Mar/03/22
Description: AMAT 0242-07730 Kit CH D Gasline Non-Toxic With No 2nd Manifold RTP, CMF 424274
capitolareatech NEW - $295.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-03587 GASLINE, LMF TO INJ, 1/8 VCR, 2195 DLI
capitolareatech NEW - $195.00 0 May/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-06965 GASLINE, 1/8" IV-LFC, TEPO
gigabitpartsolutions NEW - $22.00 0 Jun/19/18 Apr/22/20
Description: OEM Part Applied Materials (AMAT) 0050-82188 Gasline BSP gas module
gigabitpartsolutions NEW - $22.00 0 Jun/19/18 Apr/22/20
Description: OEM Part Applied Materials (AMAT) 0050-82192 Gasline BP Heaterr
gigabitpartsolutions NEW - $22.00 0 Jun/19/18 Apr/22/20
Description: OEM Part Applied Materials (AMAT) 0050-83468 Gasline EP gasmodule
gigabitpartsolutions NEW - $22.00 0 Jun/19/18 Apr/22/20
Description: OEM Part Applied Materials (AMAT) 0050-89294 Gasline EP MCA Heater
gigabitpartsolutions NEW - $22.00 0 Jun/19/18 Apr/22/20
Description: OEM Part Applied Materials (AMAT) 0050-82191 Gasline BP Heater 300mm
gigabitpartsolutions NEW - $22.00 0 Jun/19/18 Apr/22/20
Description: OEM Part Applied Materials (AMAT) 0050-89293 Gasline BSP MCA Heater
spsglobal Used - $100.00 2 Jun/22/18 Feb/08/22
Description: 341-0501// AMAT APPLIED 0020-28889 BLANK-OFF ADAPTER, GASLINE USED
spsglobal Used - $200.00 0 Jun/22/18 Jun/30/22
Description: 341-0501// AMAT APPLIED 0020-28889 BLANK-OFF ADAPTER, GASLINE NEW
prism_electronics5 Used - $127.50 0 Oct/02/18 Jul/25/22
Description: APPLIED MATERIALS AMAT 0050-27448 OBS GASLINE TEPO FACILITY INLE
novusferro Used - $499.00 1 Jan/02/19 Nov/10/22
Description: Applied Materials 0090-04904 Rev 7 Assembly, Gasline Temp Controller, Producer
atxdeals4u NEW - $399.99 1 Apr/01/19 Oct/22/20
Description: AMAT Applied Materials 0010-02902 Subsero Besc Gasline Isolator New Sealed
spsglobal Used - $200.00 0 May/09/19 Jun/18/23
Description: 176-0401// AMAT APPLIED 0050-21179 GASLINE, PRCS, CH C, PCII, UPP 2ND SOURCE NEW
atxdeals4u Used - $1,250.00 1 May/10/19 Jun/15/21
Description: Applied Materials AMAT 0090-04904 Rev. 9 Assembly Gasline Temperature Controller
bt_store1 Used - $55.00 1 Jun/17/19 Jul/15/21
Description: AMAT 0050-13095 GASLINE SPOOL N2 SHORT
zindchau15 NEW - $120.00 2 Jul/03/19 Jun/02/20
Description: Applied Materials 0050-87953 GASLINE NITROGEN INTEGRATION ENDURA 2 CR
zindchau15 NEW - $150.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 0240-49992 KIT CH ARGON GASLINE INTEGRATION E2 CR
zindchau15 NEW - $90.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 0246-08989 KIT CH VENT GASLINE INTEGRATION E2 CR
zindchau15 NEW - $90.00 2 Jul/09/19 Jun/02/20
Description: APPLIED MATERIALS 0050-87952 GASLINE ARGON INTEGRATION ENDURA2 CR
zindchau15 NEW - $150.00 0 Jul/09/19 Oct/23/21
Description: APPLIED MATERIALS 0050-86772 GASLINE FLEXLINE PEDISTAL CVD ENDURA 2
zindchau15 NEW - $150.00 2 Jul/09/19 Jun/02/20
Description: APPLIED MATERIALS 0050-87950 GASLINE VENT INTEGRATION ENDURA2 CR
roundtable1 Used - $149.99 0 Jul/19/19 Sep/20/21
Description: Applied Materials 0020-28889 Blank-Off Adapter Gasline
roundtable1 NEW - $299.00 0 Jul/25/19 Aug/02/22
Description: Applied Materials 0190-23275 Heater Jacket, Zone 4, Gasline 2.5L, 30
j316gallery Used - $37.26 0 Aug/01/19 Aug/11/22
Description: 14947 APPLIED MATERIALS GASLINE MIXED,UPPER ISOLATOR,HT ESC 0050-75468
j316gallery Used - $37.26 0 Aug/01/19 Jan/26/22
Description: 14946 APPLIED MATERIALS GASLINE MIXED,LOWER ISOLATOR,HT ESC 0050-75469
capitolareatech Used - $199.95 0 Aug/29/19 Aug/13/20
Description: Applied Materials (AMAT) 0021-06924 CLAMP, FLEX GASLINE DZ IHC
capitolareatech Used - $139.95 0 Aug/29/19 Aug/13/20
Description: Applied Materials (AMAT) 0021-06923 CLAMP, BASE, FLEX GASLINE DZ IHZ
auctionrus Used - $695.00 0 Oct/17/19 Sep/08/22
Description: AMAT 0050-14455 Gasline Assy, 3/8", 1/4" to 1/2" VCR, H2, HTF Centura, 452253
capitolareatech Used - $76.29 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 0040-39557 GASLINE INSERT LONG CHBR FEED THRU HT T
capitolareatech NEW - $429.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-20954 GASLINE ADAPTER CH INPUT 1/4VCR
capitolareatech NEW - $399.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0050-24438 GASLINE BP/BSP MANIFOLD CVD-AL @ 3
usedeqsales Used - $202.20 0 Feb/27/20 Aug/25/22
Description: AMAT Applied Materials 0050-87950 Gasline Vent Integration Endura2 CR New Spare
j316gallery Used - $232.64 0 Mar/04/20 Feb/17/22
Description: 1343 APPLIED MATERIALS BRACKET, TXZ CH PROCESS HEATED GASLINE M 0020-29493
manufacturingequipment Used - $371.25 0 Apr/23/20 Sep/21/21
Description: AMAT Applied Materials 0050-70935 GASLINE, AR PROC, PLT-CHAMBER, RPG CHBR, 300MM
j316gallery Used - $110.50 0 Jun/09/20 Jun/15/22
Description: 18988 APPLIED MATERIALS WB HTR AR GASLINE, MIX 0050-76030
farmoninc Used - $3,500.00 0 Jun/10/20 Dec/28/21
Description: AMAT 0010-13150 Gasline Assembly 1159B-00020RV-SPCAL 122A-11063 SS-DLV51, 452937
farmoninc Used - $3,500.00 0 Jun/10/20 Dec/28/21
Description: AMAT 0010-13150 Gasline Assembly 1159B-00020RV-SPCAL 122A-11063 SS-DLV51, 452936
j316gallery NEW - $4,711.50 1 Aug/06/20 Dec/16/21
Description: 20149 APPLIED MATERIALS GASLINE ASSY 300MM PIB BACKSIDE ISOLATOR NEW 0010-15489
spsglobal Used - $250.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-76271 GASLINE, DUAL STAGE VENT ,LLA [2ND NEW]
spsglobal Used - $210.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-20459 GASLINE #0 LEFT 2 STAGE L/L VENT [2ND NEW]
spsglobal Used - $130.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-20131 GASLINE COOLDOWN #2 [2ND NEW]
spsglobal Used - $140.00 0 Aug/13/20 Jun/18/23
Description: 177-0404// AMAT APPLIED 0050-20130 GASLINE COOLDOWN #1 [2ND NEW]
capitolareatech Used - $580.95 0 Aug/15/20 Jun/15/24
Description: Applied Materials (AMAT) 0050-20954 GASLINE ADAPTER CH INPUT 1/4VCR
j316gallery NEW - $1,045.95 1 Aug/23/20 Oct/18/21
Description: 20432 APPLIED MATERIALS GASLINE MIXED, LOWER ISOLATOR, HT BESC (NEW) 0050-75729
capitolareatech Used - $159.95 0 Sep/03/20 May/10/23
Description: Applied Materials (AMAT) 0040-77486 FITTING, GASLINE
j316gallery Used - $2,175.78 0 Sep/30/20 Feb/02/23
Description: 21089 APPLIED MATERIALS GASLINE HTR CTRL UNIT VAS104350-0318 0242-49617
expertsurplus Used - $450.00 1 Oct/13/20 Sep/27/22
Description: AMAT, Gasline Mixed, Lower Isolator, HT Besc, 0050-75729, Used
expertsurplus Used - $200.00 3 Oct/13/20 Dec/06/21
Description: AMAT, Gasline Dual Valve LLC, 0050-20662, Used
expertsurplus Used - $250.00 1 Oct/15/20 Nov/07/22
Description: AMAT, GASLINE, PROCESS CARRIER TXZ @ 2&3 UPPER, 0050-25237, New
expertsurplus Used - $200.00 2 Oct/16/20 Dec/06/21
Description: AMAT, GASLINE DUAL VALVE LLC, 0050-20662, New
j316gallery Used - $50.00 2 Oct/18/20 Nov/23/21
Description: 21360 APPLIED MATERIALS GASLINE 10X 220-34495-000 0051-20109
j316gallery Used - $84.61 0 Oct/18/20 Feb/02/23
Description: 21367 LAM RESEARCH GASLINE 839-076970-715
j316gallery Used - $60.00 1 Oct/18/20 Apr/05/21
Description: 21328 LAM RESEARCH GASLINE, 220-36748-000, 220-32223-000 839-191194-791
j316gallery Used - $60.44 0 Oct/18/20 Jan/10/23
Description: 21331 LAM RESEARCH GASLINE, 715-067889-001, 220-32221-000 839-212901-273
j316gallery Used - $190.36 1 Oct/18/20 Feb/11/24
Description: 21325 LAM RESEARCH GASLINE 839-076829-711
capitolareatech Used - $189.95 1 Nov/10/20 Feb/10/24
Description: Applied Materials (AMAT) 0050-25224 GASLINE, PRCS CARRIER AMPULE
capitolareatech NEW - $95.00 0 Nov/10/20 May/26/22
Description: Applied Materials AMAT 0050-25237 GASLINE PROCESS CARRIER TXZ 23 UPPER
visionsemi Used - $150.00 1 Apr/08/21 Oct/08/22
Description: APPLIED MATERIALS AMAT GASLINE SIH4 WITH VALVE WXZ 0050-41450
sunshine*road NEW - $299.99 0 Apr/20/21 May/18/23
Description: Applied Materials (AMAT) 0051-41470 GASLINE, 1HC2_1 CHAM 1 300MM HDPCVD
j316gallery NEW - $300.75 0 May/11/21 May/18/23
Description: 24821 APPLIED MATERIALS GASLINE ASSY (NEW) 0050-86145
farmoninc NEW - $75.00 0 Jun/29/21 Dec/19/22
Description: AMAT 0020-02313 Bracket 1, ESC N2 Purge , 0.25" Gasline, 101412
katiil3 Used - $119.00 0 Sep/13/21 Oct/23/21
Description: Applied Materials AMAT 0050-85778 GASLINE,FORELINE CH A,THRU FLOOR,H2 PUMP 200MM
40-30sourcing NEW - $240.00 0 Oct/14/21 Jun/07/22
Description: GASLINE 0050-25218
capitolareatech Used - $499.95 0 Nov/08/21 Apr/20/23
Description: Applied Materials (AMAT) 0050-41473 GASLINE, BACKSIDE, AR # 5B, 300MM ESC
expertsurplus NEW - $100.00 0 Jan/08/22 Jun/30/22
Description: AMAT, Weldment Adapter Gasline, 0050-33105, New
expertsurplus NEW - $150.00 0 Jan/08/22 Jun/30/22
Description: AMAT, Gasline Fitting, 0227-25491, New
expertsurplus NEW - $200.00 0 Jan/08/22 Jun/30/22
Description: AMAT, Gasline Fitting, 0050-03173, New
expertsurplus NEW - $150.00 0 Jan/08/22 Jun/30/22
Description: AMAT, Gasline Fitting, 0050-28029, New
vizvik16 NEW - $150.00 0 May/19/22 Jul/19/24
Description: AMAT Applied Materials 0050-87950 Gasline Vent Integration Endura2 CR New
myriadindustrial NEW - $150.00 1 Jun/12/22 Jul/04/23
Description: AMAT 0010-15489 Gasline Assembly 300MM PIB Backside Isolator
surplustechmart Used - $76.50 7 Jun/30/22 Jan/04/23
Description: applied materials 0050-86772 gasline flexline pedistal cvd endura 2
surplustechmart Used - $62.10 1 Aug/17/22 Oct/29/22
Description: APPLIED MATERIALS 0050-86772 Gasline Flexline Pedestal Cvd Endura 2
j316gallery Used - $1,300.00 1 Oct/21/22 May/05/24
Description: 30060 APPLIED MATERIALS 5-WAY GASLINE, PRESSURE MODULE, GAS MODULE XZ 0050-06878
expertsurplus Used - $250.00 1 Nov/07/22 Nov/10/22
Description: AMAT, GASLINE, PROCESS CARRIER TXZ @ 2&3 UPPER, 0050-25237, New
alvin1462 Used - $1,888.00 1 Jun/20/23 Dec/14/23
Description: AMAT 0242-54630 GASLINE HEATER CONTROL UNIT