[click to login]
WSEMI


TAGS > with

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
Used 11
in stock
$7,500.00
Description: Kepco 26372, Programmable Power Supply with 9 DC outputs, Novellus 27-272441-00
farmoninc
[view on eBay]
Used 1
in stock
$425.00
Description: 2 NEW VAT 78175 -R1 KIT, Gate, with seal, Novellus 63-254381-00
farmoninc
[view on eBay]
NEW 2
in stock
$1,400.00
Description: Novellus 60-277428-00 Manifold assembly with 22 MAC solenoid valves & interface
farmoninc
[view on eBay]
Used 10
in stock
$950.00
Description: Brooks 93033-798-21 Hardstop Kit, OEM spares with TBM, Novellus 20-272084-00
farmoninc
[view on eBay]
Used 1
in stock
$850.00
Description: Novellus 60-255761-00 Pneumatic Manifold Assy with 24 SMC Valves on 3 Panels
farmoninc
[view on eBay]
Used 3
in stock
$950.00
Description: NEW Millipore FC-260KZ, AMAT 0190-00029 MFC with AFC, 200 SCCM, BCl3 gas
farmoninc
[view on eBay]
Used 1
in stock
$37.50
Description: NEW AMAT 3300-90963 Centering ring with seal, ISO 160
farmoninc
[view on eBay]
NEW 1
in stock
$900.00
Description: NEW AMAT 0010-06073 PC head module with AGT universal
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0090-91890 Gas and temperature control chassis with certificate
farmoninc
[view on eBay]
Used 7
in stock
$850.00
Description: AMAT 0200-20055 Endura Pre Clean chamber 8 inch Quartz Chuck with antenna, PC II
farmoninc
[view on eBay]
NEW 6
in stock
$1,650.00
Description: NEW AMAT 0190-09348 P5000 CVD Chamber susceptor assy. 200mm, with certificate
farmoninc
[view on eBay]
Used 1
in stock
$2,250.00
Description: AMAT 0021-27877, 3011602-307-003, 0050-88686 gas feed assy, with Extra gas tube
farmoninc
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03369 WxZ Heater Assembly, 8 inch, New in Box with parpers
farmoninc
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0020-18062 HDPCVD Ultima Chamber Ceramic Dome, HDP CVD with Center Hole
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0140-04810 thermal fluid outlet and return hose with QD fittings
farmoninc
[view on eBay]
NEW 1
in stock
$45.00
Description: Novellus cooling hose 11-152327-02 with one connecting fitting
farmoninc
[view on eBay]
Used 1
in stock
$146.25
Description: AMAT 0190-15673 refrigerant hose with insulation
grandbirdnet
[view on eBay]
NEW 1
in stock
$1,000.00
Description: AMAT 0041-02032 BRACKET MOUNTING EXTENDER WITH LOTO BOX 200MM, NEW
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0021-70287 Plate with Vacuum Flange
farmoninc
[view on eBay]
NEW 1
in stock
$400.00
Description: NEW AMAT 0242-36211 KIT, CROSS BARS SLD ENCLOSURE WITH VALVES
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: 2 AMAT 0021-20140 Clamp Ring, 6" JMF, SST, WITH CAPPED
farmoninc
[view on eBay]
NEW 1
in stock
$3,000.00
Description: AMAT 0040-32263 ESC Assembly, Chuck 200MM, Notch with paperwork
farmoninc
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0040-32263 ESC Assembly, Chuck 200 MM, Notch with Paperwork
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0020-09588 Rotary Actuator With Mount, Arm, Switches
farmoninc
[view on eBay]
Used 1
in stock
$1,950.00
Description: 2 AMAT 3870-01549 Valve Injection Control Retrofit With heat
farmoninc
[view on eBay]
NEW 1
in stock
$100.00
Description: AMAT 0040-01161 Roller Support With Helicoil, ENP
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 3870-02053 Regulator Press 1/4 Port Size With Bracket, SMC IT2020-N32B1
farmoninc
[view on eBay]
NEW 1
in stock
$270.00
Description: AMAT 1270-02866 SW FLow Water 3/4NPT 6-30GPM SST with manual
farmoninc
[view on eBay]
NEW 26
in stock
$250.00
Description: AMAT 3870-02053 Regulator Press 1/4 Port Size with bracket
farmoninc
[view on eBay]
NEW 1
in stock
$1,200.00
Description: 8 Sets of AMAT 3700-06063 Centering Ring NW 80, KF80, with Kalrez 9100
grandbirdnet
[view on eBay]
NEW 1
in stock
$30.00
Description: AMAT 3700-00629 SEAL ASSY PERLAST WITH SST NW50 CTR RING, NEW
farmoninc
[view on eBay]
NEW 1
in stock
$800.00
Description: AMAT 0040-78221 Panel, Center Rear With Tool Removable L
grandbirdnet
[view on eBay]
NEW 12
in stock
$1,750.00
Description: AMAT 0020-48304 CLAMP RING, LOWER SHIELD, SST WITH CLEAN , NEW
grandbirdnet
[view on eBay]
NEW 11
in stock
$2,400.00
Description: AMAT 0190-13814 6-VALVE WITH BYPASS DI WATER SUPPLY 1110343 , NEW
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0140-03692 HARNESS ASSY, PNEUMATIC WITH SINGLE IHC 401581
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$165.00
Description: Valve GATE, ALUM WITH 747 VITON ORING 336MM (AMAT) 0190-12797 VAT 237794
auctionrus
[view on eBay]
NEW 1
in stock
$262.50
Description: AMAT 3060-01271 BRG Linear, 110MM With Stopper, THK RSR12WVMUU+110L, 406585
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$640.48
Description: AMAT 0190-12797 / GATE, ALUM WITH 747 VITON O-RING 336MM
farmoninc
[view on eBay]
NEW 1
in stock
$1,400.00
Description: NEW AMAT 0200-10555 Baseplate, With Baffle Quartz
j316gallery
[view on eBay]
NEW 2
in stock
$178.16
Description: 4996 APPLIED MATERIALS FACEPLATE, 4U,4HP WITH HARDWARE (NEW) 0190-12908
j316gallery
[view on eBay]
Used 1
in stock
$1,935.33
Description: 5298 APPLIED MATERIALS HTR WITH ACCESSORIES 0040-99580 & 0040-53142 0020-83936
artsemi
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0100-00011 Chopper driver, Tested good. With 30 day warranty.
farmoninc
[view on eBay]
Used 6
in stock
$450.00
Description: AMAT 0190-02061 Manifold Assy, 42A Slit Valve with Regulator BES-7565-01, 416135
farmoninc
[view on eBay]
Used 1
in stock
$395.00
Description: AMAT 0190-02061 Manifold Assy, 42A Slit Valve with Regulator BES-7565-01, 416124
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 346-0101// AMAT APPLIED 0040-75025 OUTER BUSHING WITH MAGNETS, ORIENTER ROT USED
farmoninc
[view on eBay]
NEW 1
in stock
$14,500.00
Description: AMAT 0010-39736 Etch chamber Lid with Clamp, MXP+ 0021-03277, 0040-39619, 417203
farmoninc
[view on eBay]
NEW 1
in stock
$2,200.00
Description: AMAT 0010-60027, Susceptor Assembly, TOP Mount, 5" with T/C, 125mm. 417344
epic_surplus
[view on eBay]
Used 1
in stock
$289.00
Description: NEW MCG SERVO MOTOR WITH BRAKE 2281-MEB4067 AMAT 1080-01309 1704-0041
epic_surplus
[view on eBay]
Used 1
in stock
$297.50
Description: NEW MCG SERVO MOTOR WITH BRAKE 2281-MEB4067 AMAT P/N 1080-01309 1704-0041
semiconusa
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-10973, H.O.T. DETECTOR MODULE,with ANALYZER CARTRIDGE 442N
spsglobal
[view on eBay]
Used 1
in stock
$15,000.00
Description: 106-0101// AMAT APPLIED 0010-25154 (#1) (WITH COVER) HEATER USED
powersell007
[view on eBay]
Used 1
in stock
$1,999.00
Description: APPLIED MATERIALS 0041-36356 CARRIER, 300MM TITAN AMAT *NEW with CERT*
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 129-0601// AMAT APPLIED 0010-09103 (#2) (WITH BUTTON) STAND ALONE MONITOR USED
spsglobal
[view on eBay]
Used 3
in stock
$250.00
Description: 130-0401// AMAT APPLIED 0100-09099 (#1) (WITH COVER) 0020-09359 INTERCONN USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 130-0203// AMAT APPLIED 0100-09081 (#1) (WITH COVER) 0020-10235 N2-DRYVAC USED
odysseystudios
[view on eBay]
Used 1
in stock
$3,900.00
Description: Applied Materials 0242-14110 300 mm ESC CHM Controller with 14 day warranty
svsurplus
[view on eBay]
Used 1
in stock
$144.62
Description: SMC SS5YJ3-DUL01756 Lam Research 772-800722-010 Manifold with 2 SMC SY114-5MOU
svsurplus
[view on eBay]
Used 1
in stock
$164.64
Description: SMC Lam Research 772-800722-007 Manifold SMC SYJ3233-5LOU with 4 X SY114-5MOU
odysseystudios
[view on eBay]
Used 1
in stock
$2,900.00
Description: Applied Materials 0010-39782 M-DPS Throttle Valve with 14 day warranty
farmoninc
[view on eBay]
NEW 1
in stock
$1,400.00
Description: AMAT 0020-24100 8" Insulator With Anteane PC2 TI, 423997
farmoninc
[view on eBay]
NEW 1
in stock
$595.00
Description: AMAT 0020-00242 Deposition Ring With Anti-Rotation CU BESC, 424060
farmoninc
[view on eBay]
Used 1
in stock
$575.00
Description: Novellus 04-717647-01 Shield, Lower (Use With W94400317), Varian, 424271
usedeqsales
[view on eBay]
Used 22
in stock
$246.68
Description: AMAT Applied Materials 0190-22570 Transponder with Antenna 0190-10813 Spare
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-35775 Pressure Switch With Cable, 424549
dnd_surplus
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT Applied Materials 0650-00029 Disk Drive Floopy 3.5" with 24" cable
usedeqsales
[view on eBay]
Used 3
in stock
$2,010.18
Description: Baldor BSM80A-375BA Brushless AC Servo Motor with AKN 60 AMAT 0040-49320 Used
spsglobal
[view on eBay]
Used 2
in stock
$50.00
Description: 343-0401// AMAT APPLIED 0040-36755 BEARING,PLASTIC WITH O-RING NEW
expertsurplus
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT Applied Materials 0010-20127 Shield Treatment 8in with Cover, Used
dgold32
[view on eBay]
Used 1
in stock
$379.99
Description: LAM 715-031752-208 Lower Electrode Cap, 8 inch with Groove Excellent Condition
surplus_eq
[view on eBay]
Used 1
in stock
$299.95
Description: AMAT 0140-18545 Harness Assembly 11938000 543138-10 with PIN Lift Driver Cables
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0190-12797 Gate, Alum with 747 ORing 336mm, 451402
usedeqsales
[view on eBay]
Used 5
in stock
$406.19
Description: Brooks Automation TLG-I2-AMAT-R1 Transponder Set with AMAT Antenna 0190-10813
j316gallery
[view on eBay]
Used 1
in stock
$2,787.99
Description: 14778 APPLIED MATERIALS PLATE, CH. MOUNTING WITH SLIT VALVE PURG 0040-42565
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.19
Description: SMC US22532 Slit Valve Pneumatic Cylinder with Gate AMAT 0040-41892 Working
sx-space
[view on eBay]
Used 3
in stock
$832.70
Description: Applied Materials BARATRON P/N 1350-00681 Used with warranty Free DHL or EMS
christyhtx
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0010-13242 HTV Robot WRIST ASSEMBLY with 0020-53881 paddle
spsglobal
[view on eBay]
Used 1
in stock
$1,800.00
Description: 116-0203// AMAT APPLIED 0020-24100 8 INSULATOR WITH ANTEANE PC2 NEW
dr.dantom
[view on eBay]
Used 1
in stock
$80.00
Description: Applied Materials 0190-08663 EXTERNAL USB 3.25IN FLOPPY DRIVE WITH CABLE
vizvik16
[view on eBay]
Used 1
in stock
$650.00
Description: APPLIED MATERIALS 0020-48305 COVER RING TI WITH CLEANCOAT 300MM ESIP TA(N)
dr.dantom
[view on eBay]
Used 3
in stock
$69.99
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
sx-space
[view on eBay]
Used 4
in stock
$622.97
Description: CS-G/4A4-ARX/D518 0.3M AMAT 0190-10982 Used with 90days warranty Free DHL or EMS
j316gallery
[view on eBay]
Used 55
in stock
$6.33
Description: 17925 APPLIED MATERIALS CENTERING RING WITH CITON, NW-40 3300-90106
expertsurplus
[view on eBay]
Used 6
in stock
$350.00
Description: AMAT 0040-20733 with Baratron Adaptor, New
expertsurplus
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT, 0050-75055, Exhaust Line with Mounts, New
expertsurplus
[view on eBay]
NEW 2
in stock
$200.00
Description: AMAT, 0050-34024, Stainless Steel Elbow Vacuum Line with 2 Ports, New
expertsurplus
[view on eBay]
NEW 2
in stock
$300.00
Description: AMAT, 0050-32025, Elbow Vacuum Line with 5 Ports, New
expertsurplus
[view on eBay]
NEW 3
in stock
$300.00
Description: AMAT, 0050-37554, Elbow Vacuum Line with 4 Ports, New
expertsurplus
[view on eBay]
NEW 6
in stock
$200.00
Description: AMAT, 0050-76061, Elbow Vacuum Line with Single Port, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-39026, Exhaust Line with 2 Ports, New
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-10860, Stainless Steel Vacuum Tube with 90 Deg Port, New
expertsurplus
[view on eBay]
NEW 3
in stock
$150.00
Description: AMAT, 0050-37209, Stainless Steel Elbow Vacuum Tube with Single Port, New
expertsurplus
[view on eBay]
NEW 2
in stock
$75.00
Description: AMAT, 0050-38128, Stainless Steel 90 Deg Elbow with Single Port, New
expertsurplus
[view on eBay]
NEW 3
in stock
$150.00
Description: AMAT, 0050-36746, Stainless Steel Vacuum Tube with Reducer, New
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT, 0040-20811, Adapter Elbow With Cryo Baffle Roughing, Used
expertsurplus
[view on eBay]
NEW 3
in stock
$100.00
Description: AMAT, 0050-89057, 1" Tubing with 90 Deg bend 4 1/4" X 10" AN Flare, New
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$370.62
Description: 0050-86832 / LINE VAC EXH WITH LKG CHECK PORT CH-B FAC / APPLIED MATERIALS
capitolareatech
[view on eBay]
NEW 2
in stock
$43.95
Description: Applied Materials (AMAT) 1390-01653 Cable Thermo - Couple with Washer "K" CHROM-
capitolareatech
[view on eBay]
NEW 2
in stock
$17.95
Description: Applied Materials (AMAT) 1270-90283 Switch with Light
capitolareatech
[view on eBay]
NEW 2
in stock
$74.95
Description: AMAT 1270-01711 SW AUTO WITH CONN ASSY 6.0\" LG
capitolareatech
[view on eBay]
NEW 3
in stock
$36.95
Description: AMAT 3870-01765 Valve Direct ACT NC 2WAY M3-PORT, 12VDC, 1~100PSI, with LED&MT-B
capitolareatech
[view on eBay]
NEW 6
in stock
$192.95
Description: TERADYNE 3870-01894 NI COATED SLIT VALVE DOOR WITH VITON SEAL
capitolareatech
[view on eBay]
NEW 2
in stock
$2,124.95
Description: APPLIED MATERIALS (AMAT) 0200-00242 DEPOSITION RING WITH ANTI-ROTATION CU BES
capitolareatech
[view on eBay]
NEW 2
in stock
$7.95
Description: Applied Materials AMAT 3300-90106 Centering Ring with Viton
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 176-0402// AMAT APPLIED 3300-02674 FTGTEE WITH 2-3/4 CONFLAT FLANGES [USED]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$10,951.20
Description: 0242-88823 / ASSY, 13" PVD DEGAS LID WITH BLANK SOURCE / APPLIED MATERIALS AMAT
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 350-0202// AMAT APPLIED 1270-01344 SW DUMMY BLOCK USE WITH TYPE W [NEW]
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,200.62
Description: 243786/0520 A-509811 / VAT GATE , SLIT VALVE WITH AMAT ASSEMBLY 0040-81275 / VAT
capitolareatech
[view on eBay]
NEW 1
in stock
$2,617.95
Description: AMAT 0020-31788 DOOR POSITION \"A\" WITH ENDPOINT PHASE II
grandbirdnet
[view on eBay]
Used 1
in stock
$4,000.00
Description: AMAT 0100-00007 MVME CHASSIS WITH OUTPUT CARDS INCLUDING MVME110-1 , 202, USED
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$55,000.00
Description: 853-044438-002 / RF CART 2300 FLEX WITH EXCHANGE / LAM RESEARCH
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Gas Line Weldment with Manual Valve, 0050-02389, Used
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$10,000.80
Description: 0010-28231 / LINER WITH INSERT ASSY PART 3 HART / APPLIED MATERIALS AMAT
smartelektronikgmbh
[view on eBay]
Used 2
in stock
$428.00
Description: AMAT 0190-12806 // GATE, ANODIZED ALUM WITH 513 CHEMRAZ ORI
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$894.00
Description: 0020-28140 / SHIELD, 6 DEGAS WITH 12.9 , NON-TXT / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$706.27
Description: 0020-41381 / PLATE, MOUNTING RF/HV WITH INTERLOCK, 30 / APPLIED MATERIALS AMAT
malin315315
[view on eBay]
NEW 3
in stock
$335.00
Description: Applied Materials Assembly of Sensor Circuit Board with Elbow PC 0660-00384 New
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,500.00
Description: 0190-17434 / NORCAL VALVE PENDULUM WITH INTELLISYS IQ CONTROLLER / AMAT
sfwish
[view on eBay]
Used 2
in stock
$3,950.00
Description: NEW Applied Materials/AMAT 0040-38975 Isolator Teflon with Support Ring 300MM
grandbirdnet
[view on eBay]
NEW 5
in stock
$100.00
Description: AMAT 0010-89100 HEAT SINK ASSEMBLY WITH THERMAL PAD, LAMP, NEW
grandbirdnet
[view on eBay]
NEW 12
in stock
$1,200.00
Description: AMAT 0010-89100 HEAT SINK ASSEMBLY WITH THERMAL PAD, LAMP LOT OF 12, NEW
usedeqsales
[view on eBay]
Used 1
in stock
$2,001.09
Description: AMAT Applied Materials 0010-15222 Lid with Viewport Flange 650247 New Surplus
visionsemi
[view on eBay]
NEW 1
in stock
$125.00
Description: APPLIED MATERIALS AMAT SEAL ETR RING ADAPTER NW25/NW20, 3700-01195
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** Applied Materials 0190-35302 (With 3-Year Warranty!)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: *REPAIR EVALUATION ONLY* Lam Research 810-017086-003 rev b (With 3Year Warranty)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** AMAT 0010-77681 (With 3-Year Warranty!)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** Applied Materials 0100-20100 (With 3-Year Warranty!)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** Applied Materials 0100-00396 (With 3-Year Warranty!)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** Applied Materials 0110-01758 (With 3-Year Warranty!)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** AMAT 0190-17078 (With 3-Year Warranty!)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** AMAT 0010-79026 REV 03 (With 3-Year Warranty!)
j316gallery
[view on eBay]
Used 1
in stock
$326.64
Description: 24914 APPLIED MATERIALS PCB, VME COMMUNICATION WITH PMC CARDS 0100-71278
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: *REPAIR EVALUATION ONLY* Applied Materials 0190-02820 REV (With 3-Year Warranty)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** AMAT 0010-77682 (With 3-Year Warranty!)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** Lam Research 853-028193-101 (With 3-Year Warranty!)
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** Applied Materials 0010-20079 (With 3-Year Warranty!)
spsglobal
[view on eBay]
Used 1
in stock
$2,040.00
Description: 317-0201// AMAT APPLIED 0010-21631 ASSY, CH A OR B LID with VIEWPORTS [ASIS]
ace_stellar_seller
[view on eBay]
NEW 1
in stock
$3,199.00
Description: LAM 605-058238-001 PCBA, CPU, 7671 WITH PMC422, 1GB DRAM
expertsurplus
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT, 0050-10309, ADAPTER WITH KF25 FLANGE, New, Lot of 2
visionsemi
[view on eBay]
Used 1
in stock
$175.00
Description: LAM REASEARCH VALVE WITH FLOW SWITCH 796-003146-003
usedeqsales
[view on eBay]
Used 2
in stock
$2,504.21
Description: AMAT Applied Materials 0200-10555 Quartz Baseplate with Baffle 0200-00715 New
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: *REPAIR EVALUATION ONLY* Lam Research 810-017086-018 re (With 3-Year Warranty!)
usedeqsales
[view on eBay]
Used 1
in stock
$1,504.21
Description: AMAT Applied Materials 0200-10555 Quartz Baseplate with Baffle New Surplus
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$682.80
Description: 1080-01037 / 24VDC .95A MOTOR ASSEMBLY WITH AMAT 0100-00033 DRIVER / BALDOR
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$15,062.00
Description: 0040-48541 / ADAPTER, UPPER, WITH BUSHING, 8 LONG, S / APPLIED MATERIALS AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$1,404.35
Description: AMAT Applied Materials 0020-13814 200mm Blade with Wafer Pocket P5000 Working
alameda_auction
[view on eBay]
NEW 1
in stock
$95.00
Description: NEW Lam Research RF Module 853-800838-011 with Coax & 9-Pin Serial Connections
myriadindustrial
[view on eBay]
Used 3
in stock
$275.00
Description: Parker CPI 6PL-Q8CY-SSP Female With Barb Push-Lok Fitting AMAT 3300-08325
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$777.25
Description: 0015-09077 / THROTTLE VALVE DRIVER WITH VEXTA STEP MOTOR PX245-02AA / AMAT
alameda_auction
[view on eBay]
NEW 1
in stock
$1,275.00
Description: AMAT 0020-24100 Quartz 8" Insulator with Anteane PC2 Applied Materials / SEALED
cresttec111
[view on eBay]
NEW 1
in stock
$93.00
Description: 0190-70044 AMAT Lot Of 4 NEW Valve SOL 1/16 With Orifice
mattron747
[view on eBay]
Used 1
in stock
$1,250.00
Description: Applied Materials 0190-07847 PCB Video SBS with 0190-07910 and 0190-07909
mattron747
[view on eBay]
Used 1
in stock
$1,750.00
Description: Applied Materials 0100-20458 Interlock PCB with HDPCVD 0090-02233
jens.pens
[view on eBay]
Used 1
in stock
$950.00
Description: Nikon 2S701-622 (2S003-061) Board with Filter Wheel
jens.pens
[view on eBay]
Used 1
in stock
$495.00
Description: Nikon KAB11000-3401 Rev 2 MIC-CNT 2S005-230 4S005-342 with 30 day warranty
jens.pens
[view on eBay]
Used 1
in stock
$750.00
Description: Nikon MIC-ISA 2S014-066 Board with 30 day warranty
jens.pens
[view on eBay]
Used 1
in stock
$950.00
Description: Nikon 4S003-058 2S003-041 MIC-I/F Board with 30 day warranty
jens.pens
[view on eBay]
Used 1
in stock
$950.00
Description: Nikon 4S005-343 Sensor Board with 30 day warranty
jens.pens
[view on eBay]
Used 1
in stock
$750.00
Description: Nikon 2S005-229 Board with 30 day warranty
jens.pens
[view on eBay]
Used 1
in stock
$750.00
Description: Nikon 2S005-343 Board with 30 day warranty
jens.pens
[view on eBay]
Used 1
in stock
$650.00
Description: Nikon 4S003-056-1 2S003-056 Board with 30 day warranty
capitolareatech
[view on eBay]
Used 4
in stock
$599.95
Description: Applied Materials (AMAT) 0190-00518 AMAT 8" Susceptor Assy with Thermocouple
katiil3
[view on eBay]
Used 1
in stock
$104.30
Description: AMAT 3800-00097 REGULATOR PRESSURE 0.005-0.8 MPA 1/4 NPT, WITH GAUGE BRACKET 4x
katiil3
[view on eBay]
Used 2
in stock
$45.50
Description: Applied Materials 0190-08663 EXTERNAL USB 3.25IN FLOPPY DRIVE WITH CABLE
usedeqsales
[view on eBay]
Used 1
in stock
$711.21
Description: CTI-Cryogenics Cryopump CryoLine Set of 3 with Tee 8043074 8043075 3918059 Spare
bntyhunter07
[view on eBay]
Used 1
in stock
$638.21
Description: Veriflow diaphragm 2 valve opposing manifold with weldment AMAT 0050-93001 Rev 1
bntyhunter07
[view on eBay]
Used 1
in stock
$468.21
Description: Veriflow diaphragm 1 valve with gas manifold weldment AMAT 0050-09388
bntyhunter07
[view on eBay]
Used 1
in stock
$734.21
Description: FUJIKIN 2 VALVE MANIFOLD WITH WELDMENT AMAT 0050-62049 Rev 002
bntyhunter07
[view on eBay]
Used 1
in stock
$434.21
Description: FUJIKIN 1 VALVE 3 GAS MANIFOLD WITH WELDMENT AMAT 0050-03454 Rev C
bntyhunter07
[view on eBay]
Used 1
in stock
$934.21
Description: FUJIKIN 3 VALVE MANIFOLD WITH WELDMENT AMAT 0050-88204
bntyhunter07
[view on eBay]
Used 1
in stock
$430.21
Description: KITZ SCT diaphragm valve with 3 gas manifold w/weldment AMAT 0050-53169
bntyhunter07
[view on eBay]
Used 1
in stock
$1,234.22
Description: FUJIKIN MANIFOLD 3 + 1 WITH WELDMENT 0050-41467 REV 001 AMAT
bntyhunter07
[view on eBay]
Used 1
in stock
$354.22
Description: FUJIKIN VALVE WITH WELDMENT 0050-18785 REV A AMAT
joseph1506
[view on eBay]
NEW 2
in stock
$1,500.00
Description: Lam RESEARCH LOWER ELECTRODE CAP 6" , P/N. 715-011665-006 , WITH VENT , NEW
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0040-34216 FORELINE WITH PORTS, MKS 200MMNON-DPA PRODL, 103220
j316gallery
[view on eBay]
NEW 1
in stock
$3,909.50
Description: 27088 LAM RESEARCH PCB, JTS GB WITH HE & SIH4 CONNECTOR BD (NEW) 810-073479-306
usedeqsales
[view on eBay]
Used 3
in stock
$1,501.22
Description: AMAT Applied Materials 0200-09602 Quartz Insulating Pipe with Flange Working
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-09911 MXP Sputter, Etch Carrier, Wafer lift with 4 Pin, 103474
usedeqsales
[view on eBay]
Used 1
in stock
$7,002.22
Description: AMAT Applied Materials 0040-34784 150mm HDP CVD Cathode Base with Insert Spare
katiil3
[view on eBay]
Used 1
in stock
$399.00
Description: Applied Materials 0090-02335 ASSEMBLY,BREAKER 15A/3POLE WITH SHUNT TR
sgcequipment
[view on eBay]
Used 3
in stock
$120.00
Description: Applied Materials (AMAT) 0190-13035 Lamp Bakeout with Lugs
legacy_technologies
[view on eBay]
Used 1
in stock
$750.00
Description: Applied Materials AMAT 0190-07847 CPCI CARRIER WITH SBS 0190-07910 IP-OCTAL
christyhtx
[view on eBay]
Used 2
in stock
$1,800.00
Description: AMAT 0010-13242 HP Robot Wrist with 0200-36638 200 mm quartz Blade
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$280.68
Description: 0190-51546, 0190-23965 /HOT ION-PIRANI GAUGE WITH SENSOR/ APPLIED MATERIALS AMAT
bntyhunter07
[view on eBay]
Used 1
in stock
$179.22
Description: AMAT KF50 FLANGE WITH VCR 0050-62438 REV 001 15688702 2803
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0190-13035 LAMP, BAKEOUT WITH 2.5INCH LEADS AND LUGS, 105294
farmoninc
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0020-09911 MXP Sputter, Etch Carrier, Wafer lift with 4 Pin, 105425
usedeqsales
[view on eBay]
Used 2
in stock
$805.22
Description: Nikon Microscope Turret Assembly with Mount OPTISTATION 3 & 7 2S700-409 Working
farmoninc
[view on eBay]
Used 1
in stock
$425.00
Description: AMAT 0021-73042 COVER WINDOW WITH PORT, 105747
farmoninc
[view on eBay]
Used 1
in stock
$425.00
Description: AMAT 0021-73042 COVER WINDOW WITH PORT, 105746
erdltd
[view on eBay]
Used 50
in stock
$4.95
Description: **REPAIR EVALUATION ONLY** Advanced Energy pdx 900-2v (With 3-Year Warranty!)
farmoninc
[view on eBay]
Used 1
in stock
$3,100.00
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 395376
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0150-09046 with ASSY CABLE DIST, 107015
farmoninc
[view on eBay]
Used 1
in stock
$195.00
Description: AMAT 0150-09046 with ASSY CABLE DIST, 107131
nissiglobal
[view on eBay]
Used 1
in stock
$695.19
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 REV D
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0020-24100 8" Insulator With Anteane PC2 TI, 423997, 1072301
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0020-24100 8" Insulator With Anteane PC2 TI, 423997, 107300
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0020-24100 8" Insulator With Anteane PC2 TI, 423997, 107299
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF With WTM HDP CVD, 107303
farmoninc
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF With WTM HDP CVD, 107302
farmoninc
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0020-24100 8" Insulator With Anteane PC2 TI, 423997, 107309
farmoninc
[view on eBay]
NEW 1
in stock
$495.00
Description: AMAT 0010-75368 MAIN WATER SUPPLY HOSE WITH SST FLARE FITTINGS, 107842
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0040-07598 BRACKET ENDURA STAND SUPPORT WITH THE MANIFOLD, 108002
farmoninc
[view on eBay]
NEW 1
in stock
$1,900.00
Description: AMAT 0040-07598 BRACKET ENDURA STAND SUPPORT WITH THE MANIFOLD, 108014
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0140-09197 Cable With Mesh 15 ft. 4 Pin. J4 - J3, 108302
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: NIKON 2S700-386 PCB WITH KEYPAD 2S070-031-4, 108343
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: NIKON 2S700-386 PCB WITH KEYPAD 2S070-031-4, 108340
farmoninc
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-18219 Invax 02000-011 LASED 200MM ESC SNWF With WTM HDP CVD, 108568
myriadindustrial
[view on eBay]
NEW 3
in stock
$59.99
Description: SMC DM6-04N Connector with Boot Protector AMAT Applied Materials 3300-07734
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0100-09139 WITH ORIENTAL MOTOR PH265-05B-C12 2-PHASE STEPPING MOTOR, 108686
farmoninc
[view on eBay]
Used 1
in stock
$60.00
Description: AMAT 0140-35046 HARNESS ASSY, FANS 5 PHASE DRIVER WITH AMAT 0224-01692, 108960
adelrick123
[view on eBay]
Used 1
in stock
$165.00
Description: AMAT 0720-04614 Plug Connector Shorting UHF Male with 36” Chain
powersell007
[view on eBay]
Used 1
in stock
$3,999.00
Description: APPLIED MATERIALS 0190-76734 CABLE ASSEMBLY, SQS(M) R/A WITH D/A TO 7 AMAT
usedeqsales
[view on eBay]
Used 1
in stock
$2,208.22
Description: Nikon 2S598-580 CCD Video Camera FC310-T2 Assembly with Filter NRM-3100 Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,008.22
Description: Nikon 2S598-580 CCD Video Camera FC310-T2 Assembly with Mount NRM-3100 Working
farmoninc
[view on eBay]
NEW 1
in stock
$750.00
Description: AMAT 0040-13580 Chiller Hoses SS Flex with fitting connection, 109586
adelrick123
[view on eBay]
Used 1
in stock
$2,400.00
Description: AMAT 0100-00446 Gas Panel Ctrl Backplane PCB,comes With Cable and Card Cage
farmoninc
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0050-76061 Elbow Vacuum Line with Single Port, 109659
farmoninc
[view on eBay]
Used 1
in stock
$220.00
Description: AMAT 0100-09076 PCB ASSY BRAKE INTER WITH AMAT 0020-10115, 109674
right-path
[view on eBay]
NEW 1
in stock
$495.99
Description: SMC AMAT SS5Y3-DUT01022 4060-01253 5-Slot Pneumatic Manifold with 5 SY3A00-5U1
farmoninc
[view on eBay]
NEW 1
in stock
$1,250.00
Description: AMAT 3870-02745 VALVE, HOT, WITH KF 50, NW50 WITH HEATER, HPS 182-0050k, 109763
j316gallery
[view on eBay]
Used 1
in stock
$1,410.50
Description: 15963 APPLIED MATERIALS PCB ASSY, DIST, BFBE WITH STAR 300MM TXZ 0100-01629
hometowndealz
[view on eBay]
Used 3
in stock
$97.99
Description: Lam Research RF Module 853-800838-010 with Coax & 9-Pin Serial Connections
farmoninc
[view on eBay]
Used 1
in stock
$2,250.00
Description: AMAT 0090-00958 LAMPL ASSY, WM-B WITH LEDs, REV 001 CBC, 110250
farmoninc
[view on eBay]
NEW 1
in stock
$1,400.00
Description: AMAT 0020-24100 8" Insulator With Anteane PC2 TI, 110249
singa.seller
[view on eBay]
Used 1
in stock
$299.00
Description: Applied Materials AMAT 0190-26328 Pirani Gauge one With Sensor One W/O Sensor
usedeqsales
[view on eBay]
Used 1
in stock
$3,510.22
Description: AMAT Applied Materials 0040-20505 Dual Zone PVD Heater Pedestal with Stop Spare
farmoninc
[view on eBay]
Used 1
in stock
$17,500.00
Description: AMAT 0010-38281 5000/5200 CVD WXZ ASSY SR CENTURA WITH KALREZ, 0021-76845,110490
farmoninc
[view on eBay]
Used 1
in stock
$2,250.00
Description: AMAT 0090-00958 LAMPL ASSY, WM-B WITH LEDs, REV 001 CBC, 110799
lagerwerk_gmbh
[view on eBay]
Used 18
in stock
$3,812.42
Description: ADVANCED ENERGY 3155148-008 B, RF Navigator with RF adaptor
farmoninc
[view on eBay]
NEW 1
in stock
$1,500.00
Description: AMAT 0200-09602 Quartz Insulating Pipe with Flange, 111261
lagerwerk_gmbh
[view on eBay]
Used 2
in stock
$3,744.35
Description: ADVANCED ENERGY 3155148-008 A, RF Navigator with AMAT B-UCV-59
comwaysind
[view on eBay]
Used 1
in stock
$316.94
Description: Applied Materials hot ion/pirani gauge 0190-26328 with sensor 354-491
farmoninc
[view on eBay]
Used 1
in stock
$3,900.00
Description: RFPP LF-5 RF Generator, AMAT 0920-01014, with Astech ATL-100RA RF Match, 399400
nissiglobal
[view on eBay]
Used 1
in stock
$196.70
Description: BIMBA Lam Research Lifter Assembly with Bellow 853-031764-002 REV D
comwaysind
[view on eBay]
Used 1
in stock
$846.94
Description: AMAT 0190-45504 VAT Inficon Dual Monameter Valve with heater SHW-252-312
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$4,254.27
Description: 0190-09085 /60EV, PNEU PCB WITH MANIFOLD /APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$409.40
Description: 0242-75857 /KIT PER 101 CHMBR WITH SST FLARE FTGS /APPLIED MATERIALS AMAT
sgcequipment
[view on eBay]
Used 1
in stock
$5,632.00
Description: SMC Gate Valve with Novellus 02-130206-00 PN: XGT2-30-1A-X5S
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 3920-01254 Tool leveling pad reid SS with 1/8" ELA, 113076
j316gallery
[view on eBay]
Used 2
in stock
$3,943.37
Description: 4843 APPLIED MATERIALS ASSY, LAMP MODULE, DELTA WITH POWER CABLE 0010-35492
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 3920-01254 Tool leveling pad reid SS with 1/8" ELA, 113120
getspares.com_sparesllc09
[view on eBay]
NEW 1
in stock
$2,874.56
Description: 0020-45657 / SHUTTER DISC, WITH AL ARC SPRAY, A101 30 / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$7,500.82
Description: 3870-05190 /APPLIED MATERIALS EPSILON NOR CAL THROTTEL VALVE WITH INTELLISYS THR
farmoninc
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0020-09441 BLANKOFF, CHAMBER, WITH O-RING, 113365
equipplus
[view on eBay]
Used 4
in stock
$1,199.00
Description: Lam Research 810-073479-306 Rev A JTS GB with HE&SiH4 Connector Board,Jp*8336
jayhoehlinc
[view on eBay]
Used 1
in stock
$7,499.95
Description: Advanced Energy PE-10K AC Plasma Power Source with PE Power Pack 3157507-010 C
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$22,000.00
Description: 0010-03843 /NGK INSOLATOR AMAT CERAMIC HEATER WITH CERT / APPLIED MATERIALS CORP
xenop
[view on eBay]
Used 1
in stock
$597.00
Description: Advanced Energy 2278-000-C Pulsing Arc Power Supply with 4 Month Warranty
farmoninc
[view on eBay]
Used 1
in stock
$125.00
Description: AMAT 1200-00295, 100-C30DJ10, Relay center 3P 50A 24VDC Coil with Diode, 397160
alameda_auction
[view on eBay]
NEW 1
in stock
$945.00
Description: NEW Lam Research Top 300mm Quartz Ring Edge 716-077262-008 / Certificate / BOX
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$7,502.03
Description: 0021-27405 / 300MM LID WITH LEXAN COVER / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,495.03
Description: 853-001341-004 / LIFTER ENTRANCE LAM 490 WITH EXCHANGE / LAM RESEARCH
micntahoe
[view on eBay]
Used 1
in stock
$800.00
Description: Nikon 4S001-064 (PW-NA PCB) Compatible with 4S001-060 NSR
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,161.54
Description: 0020-24100 / 8 INSULATOR WITH ANTEANE PC2 TI / APPLIED MATERIALS AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$2,019.91
Description: 0040-61274 / FRAME, 300MM L-DOOR WITH COVER / AMAT
pneumatplus10
[view on eBay]
Used 4
in stock
$1,149.00
Description: Lam Research 810-073479-306 Rev A JTS GB with HE & SiHE Connector Board,US*96374
cosplity
[view on eBay]
NEW 3
in stock
$7,900.00
Description: AMAT 0010-35105 CENTURA ACP SLIT VALVE WITH ENP MOUNT BL
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$15,550.00
Description: 0020-01995 /HY-11 MAGNET 300 MM ASSEMBLED WITH 0010-03485 /AMAT
farmoninc
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0100-09139 WITH ORIENTAL MOTOR PH265-05B-C12 2-PHASE STEPPING MOTOR, 104792
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0100-20254 ASSY OPERATOR CONTROL PANEL WITH INTERLOCK SWITCH, 104663
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0150-09785 WASCO VACUUM ATMOSPHERE SWITCH WITH SWAGELOK T SW VCR, 104828
usedeqsales
[view on eBay]
Used 1
in stock
$1,504.23
Description: AMAT Applied Materials 0050-00381 Foreline Bellows with TC and Helium Dump New
jtechsemi
[view on eBay]
Used 2
in stock
$10,000.00
Description: Applied Materials 0040-09723 UNIBODY, ETCH CHAMBER WITH .397 STEP AMAT
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$6,498.03
Description: 810-017034-003 / PCB CPU PCBA, VME, PROCESSOR, 68030 WITH EXCHANGE /LAM RESEARCH
farmoninc
[view on eBay]
Used 1
in stock
$1,250.00
Description: AMAT 0100-20458 PVD/IMP Chamber Interlock PCB, Configurable, with 0100-01248
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$1,491.68
Description: 0010-00183 MOTOR ASSY / 3.4 STEPPER MOTOR ASSY WITH 0030-00059 / AMAT
farmoninc
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-70379 HOUSING, SHUTTER WITH BANNER SENSOR SM312CV2, 0020-28293, 115488
champhub
[view on eBay]
Used 1
in stock
$2,193.00
Description: Applied Materials AMAT 0040-38975 ISOLATOR TEFLON WITH SUPPORT RING, 300MM
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$756.77
Description: 839-491891-001 / THERMOCOUPLE, WITH CONNECTOR / LAM RESEARCH
equipplus
[view on eBay]
Used 5
in stock
$1,169.00
Description: Lam Research 810-073479-306 Rev A JTS GB with HE&SiH4 Connector Bd,Used,US*8452
items_land
[view on eBay]
Used 1
in stock
$350.00
Description: Inficon AG 0190-26769 | AMAT GAUGE SENSOR Spare Sensor with Base
farmoninc
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0090-00958 LAMPL ASSY, Patlite WM-B WITH LEDs, REV 001 CBC, 117503
farmoninc
[view on eBay]
Used 1
in stock
$4,875.00
Description: AMAT 0040-09221 P5000 CVD 8" INCH 200MM WAFER CHAMBER WITH HEATER QUARTZ, 118138
agmginc
[view on eBay]
Used 1
in stock
$3,995.00
Description: Lam Research 853-012123-001 Harmonic Arm Drive Assembly with 2 Vexta Motors
jtechsemi
[view on eBay]
Used 1
in stock
$20,000.00
Description: Applied Materials 0090-76211, 0100-76087, 0130-76087 CENTURA IOX WITH DUAL SERIP
surplusssam
[view on eBay]
Used 1
in stock
$239.99
Description: Nikon 4S005-299 A-21-I/F printed circuit board with 4S005-321 A-28-I/F
farmoninc
[view on eBay]
NEW 2
in stock
$950.00
Description: AMAT 0620-01048 CABLE WITH EXC RECEIVER 50' L, 116329
eisale1535
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0200-40126 Ceramic Robot Blade, with VHP+ROBOT Wrist
farmoninc
[view on eBay]
Used 1
in stock
$550.00
Description: NIKON 2S700-386 PCB WITH KEYPAD 2S070-031-4, 116866
e-electronicrecycle
[view on eBay]
NEW 1
in stock
$10,000.00
Description: ADVANCED ENERGY Apex 5513 RF GENERATOR PN 3156115-205, 208V, new with COC.
equipplus
[view on eBay]
Used 1
in stock
$529.00
Description: Lam Research 810-013872-105 Rev A Node Type 26 Pcb Board with LCD,Use,US*8510
getspares.com_sparesllc09
[view on eBay]
Used 1
in stock
$7,850.68
Description: 0040-03006, 0040-24217/ WITH F7299 BIASED ELECTRODE BESC ASSY / AMAT
farmoninc
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT 3870-02053 Regulator Press 1/4 Port Size with bracket, 120596
farmoninc
[view on eBay]
NEW 1
in stock
$325.00
Description: 7 AMAT 4020-01088 Filter Spin on 10 Micron with Cap Plug, 120896

This tag has been viewed 1 time

Most recent views:

Singapore Friday, Oct/18/2024 at 1:00 am CST
China Friday, Oct/18/2024 at 12:59 am CST
Korea (Republic of) Friday, Oct/18/2024 at 12:52 am CST
United States of America Friday, Oct/18/2024 at 12:50 am CST
Netherlands Friday, Oct/18/2024 at 12:46 am CST
Taiwan (Province of China) Friday, Oct/18/2024 at 12:44 am CST
Taiwan (Province of China) Friday, Oct/18/2024 at 12:43 am CST
China Friday, Oct/18/2024 at 12:43 am CST
Singapore Friday, Oct/18/2024 at 12:41 am CST
United States of America Friday, Oct/18/2024 at 12:41 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
surpluskorea Used - $12,999.00 1 Oct/05/12 May/07/13
Description: AMAT CENTURA DTCU UNIT with AMT Dome Match 1110-01063
visionsemi NEW - $500.00 0 Jun/12/12 Oct/05/13
Description: LAM RESEARCH 796-094022-001 MKS / HPS VALVE WITH BYPASS 99-1639
austieiscute Used - $64.99 7 May/10/12 May/23/16
Description: Modus Instruments Pressure Transmitter T10-0205 & With Haydon Kirk Stepper
123-runningwater Used - $500.00 0 Nov/30/12 Dec/07/12
Description: STEREO DYNASCOPE BY VISION ENGINEERING M# TS-3 WITH DUAL POWER SUPPLY
diamond-vac Refurbished - $8,775.00 1 Mar/12/12 May/07/15
Description: BOC Edwards Dry Vacuum Pump iQDP80 with QMB1200 Rebuilt
capitolareatech Used - $37.50 54 May/09/11 Jan/07/13
Description: Cisco SRW2008 8-port 10/100/1000 Gigabit Switch with Web View
bobsgoodies NEW - $125.00 0 Dec/15/12 Jan/14/13
Description: Applied Materials 0010-76893 Assy Sensor Wafer on Blade, With Bracket 18" Lead
jjtrust.enterprise Used - $130.00 0 Dec/05/12 Feb/05/13
Description: LAM RESEARCH 518-025348-001 TEMPERATURE CALIBRATION SOURCE (With Cables)
capitolareatech NEW - $382.54 1 Dec/11/12 Jun/06/13
Description: NOVELLUS 15-024611-00 PADDLE, 200mm, WITH DIMPLES
surplusa2z Scrap, for parts - $15,000.00 0 Dec/08/12 Dec/18/12
Description: QTY.2 TAZMO NT12402 ROBOTS WITH CONTROL AND DRIVER BOXES c
bobsgoodies NEW - $4,695.00 0 Dec/19/12 Jan/18/13
Description: MIRRA AMAT 0090-77347 Spindle with Drive Motor Applied Materials
castingequip Used - $6,999.00 0 Dec/19/12 Jan/18/13
Description: Shimadzu TMP-2203 LM with Valve and Controllers
surplusa2z Scrap, for parts - $13,000.00 1 Dec/14/12 Dec/19/12
Description: AG & ASSOCIATES 610 RTP RAPID THERMAL PROCESSOR WITH GHS-01 c
jjja727 Used - $400.00 4 Jun/09/12 Dec/22/12
Description: Leybold ITR100 uhv ion vacuum gauge with built in controller - mks hps varian
recycledgoods.com Used - $2,495.00 0 Dec/22/12 Jan/21/13
Description: Atcor CRD-1210 SS Wafer Cassette Box Washer with Holders
yayais2012 NEW - $15.00 0 Dec/24/12 Jan/23/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
macgyvrmdse Used - $28.48 0 Dec/24/12 Dec/31/12
Description: SMC NVV5J3-ULB950026 Ported Plug Manifold with NVJ3140 Valve 24VDC Pneumatic
bobsgoodies NEW - $98.00 0 Dec/27/12 Jan/26/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies NEW - $25.00 0 Jan/02/13 Feb/01/13
Description: AMAT 0050-89057 1" Tubing with 90 Deg bend 4" X 10" AN Flare, Female
gesemiconductor Used - $750.00 0 Nov/15/12 Dec/19/17
Description: Lam Research 853-190023-001 Assembly Hard Dsk IDE with Controller PCB
capitolareatech NEW - $440.00 1 Feb/08/12 Jan/01/13
Description: Edwards 16092-01 ASSEMBLY, PLATEN ASSY WITH CONNECTOR
jens.pens Used - $495.00 1 Aug/31/11 Jan/02/13
Description: National Instruments 187573C-01 with 60 day warranty
capitolareatech NEW - $30.00 0 Jan/04/13 Jun/03/13
Description: AMAT 1210-90302 POTENTIOMETER 2K WITH SLIPPING CLUTCH; 350S-1-202 BOURNS
bobsgoodies NEW - $35.50 0 Jan/08/13 Feb/07/13
Description: AMAT 3870-01269 Lockout Valve Assembly with adapters 3-Way Valve
nazservices NEW - $1,000.00 1 Jul/19/12 Jan/07/13
Description: 4 New YASKAWA JUSP-OP02A-1 DIGITAL OPERATOR WITH CABLE, SERVOPACK 1600-100409
acesemi2010 NEW - $950.00 1 Nov/18/11 Jan/08/13
Description: Applied Materials 0140-38374 HARN.ASSY,CHAMBER EXHHAUST WITH ICP AMAT
bobsgoodies NEW - $199.00 1 Dec/11/12 Jan/09/13
Description: OnTrack 12-8882-020 DC Motor with encoder and cable assy, Pittman GM9413J818.
bobsgoodies NEW - $125.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0190-35788 5-Phase Stepping Motor with cable,Vexta PK569AUA
bobsgoodies NEW - $85.00 0 Jan/11/13 Feb/10/13
Description: Applied Materials 0150-36785 Wire harness with 2 Banner SM312FV Photo Sensors
bobsgoodies NEW - $275.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0040-05069 SWLL Bracket CFW with 2 Parker 9910-022-EPR Quick Couplers
bobsgoodies NEW - $285.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0190-36470 Flow Switch, manual with analog output, 1/2"NPT Proteus 0250SS24
bobsgoodies NEW - $375.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0190-02317 Flow Switch Non-Adjustable with Connector M-55-113-002 Malena
bobsgoodies NEW - $735.00 0 Jan/11/13 Feb/10/13
Description: AMAT 0190-01849 Water Flow Switch with Meter Proteus 92037506S24P8K1, 0.8 GPM
bobsgoodies NEW - $155.00 0 Jan/14/13 Feb/13/13
Description: Applied Materials 0010-76893 Assy Sensor Wafer on Blade, With Bracket 18" Lead
castingequip Used - $3,200.00 1 Jan/04/13 Jan/12/13
Description: Varian Autotest 960 Leak Detector with Spare Circuit Boards
redlinemerch Used - $499.00 1 Jan/07/13 Jan/11/13
Description: Nikon SMZ-2T Stereozoom Trinocular Head microscope with block
capitolareatech Refurbished - $2,500.00 3 Oct/24/12 Jan/11/13
Description: AMAT 0040-09723-REFURB UNIBODY, ETCH CHAMBER WITH .397 STEP
athomemarket Used - $989.99 1 Jan/16/13 Jan/17/13
Description: Hughes MCW-550 Welding System with VTA-96 Micro Spot Weld Head & Foot Pedal
techinstsf Used - $5,000.00 0 Jan/18/13 Jan/25/13
Description: NIKON Model NWL-641 Wafer Loader with Stage (Demo Unit)
mpe077 Used - $75.00 0 Jan/20/13 Jan/27/13
Description: ARO E212SD-120-A 1009 VALVE WITH 116 218-33 solenoid Reduced GREAT SHAPE
hitech.man Used - $76.00 0 Feb/26/12 Aug/11/23
Description: RTD, with Shielded cable and connector TEL / Varian P/N 036-100179-1
recycledgoods.com Used - $2,495.00 0 Jan/21/13 Feb/20/13
Description: Atcor CRD-1210 SS Wafer Cassette Box Washer with Holders
capitolareatech NEW - $63.75 21 Oct/28/10 Jan/19/13
Description: VERIFLOW REG WITH GAG AND PAN MOU 1/4 FPT IR400ISKPBX4B
auctionrus Used - $7,500.00 1 Aug/06/12 May/01/15
Description: AMAT 0010-01171 LID Assembly, with CLAMP, AMAT Etch Chamber,
dmarch1467 NEW - $169.00 1 Sep/09/11 Sep/28/13
Description: 754-092082-002 Lam Research VAT Leaf Spring with Bearings NEW!
prism_electronics5 Used - $179.99 1 Jan/24/13 Jan/26/13
Description: LAM Research 786-241064-002 Rev. A Power Supply with AD202KY Isolation Amplifier
esprprts NEW - $15.00 0 Jan/25/13 Apr/14/13
Description: LAM 754-092082-002 ASSY,Leaf spring compl. with ball bearing. VAT # 80642-R1
bobsgoodies Used - $300.00 0 Jan/28/13 Feb/27/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
ccllng Used - $950.00 1 Sep/26/12 Jan/27/13
Description: APPLIED MATERIALS 0100-00289 P2 BACKPLANE with Schroff VME JI 8-SLOT (#079)
bobsgoodies NEW - $98.00 0 Jan/29/13 Feb/28/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
highfive_2007 Scrap, for parts - $31.00 1 Jan/30/13 Jan/31/13
Description: FLUKE 7600A DIGITAL MULTIMETER ***LOADED WITH OPTIONS**
bruce135 Used - $49.00 1 Jan/31/13 Feb/28/13
Description: Lot of 3 CKD TMD30-X0222 High Purity Type Valves with Handle Used
bruce135 NEW - $99.00 0 Jan/31/13 Mar/02/13
Description: Lot of 2 SMC NCDQ2KWB32-35D-F7NV Compact Air Cylinders With Sensors/Limits New
bruce135 Used - $39.00 0 Jan/31/13 Mar/02/13
Description: CKD TMD40-X0205 High Purity Type Valve with Handle Used & SUNX GL-18HL Sensor
tdindustrial Used - $495.00 3 Jan/31/13 Apr/13/15
Description: HONEYWELL PT-GI-C PRESSURE TRANSDUCER WITH GAUGE ISOLATOR
surplusssam Used - $689.99 1 Feb/01/13 Feb/11/13
Description: HONEYWELL MIDAS-E-PH3 TRANSMITTER WITH SENSOR CARTRIDGE GAS DETECTOR
bobsgoodies NEW - $25.00 0 Feb/01/13 Mar/03/13
Description: AMAT 0050-89057 1" Tubing with 90 Deg bend 4" X 10" AN Flare, Female
bobsgoodies NEW - $400.00 1 Jan/25/13 Jan/31/13
Description: AMAT #0020-79250 Mount Plate with 4 Pneumatic Fluoroware Valves 202-68-01
bobsgoodies NEW - $135.00 0 Feb/04/13 Mar/06/13
Description: AMAT 1040-01172 Flow Meter 55 GPM water with SS Needle Valve Control Brooks 884
yayais2012 NEW - $15.00 0 Feb/04/13 Mar/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $295.00 0 Feb/07/13 Mar/07/13
Description: AMAT Valve 0010-05216 With test pt Turck FCS-G1/4-ARX/D118 6A-PR6-EPRT-SS-2768
bobsgoodies NEW - $497.00 0 Feb/07/13 Mar/07/13
Description: AMAT 0010-02433 1/2" Supply/Return Valve Assembly with flow sensor 0190-01186
bobsgoodies NEW - $35.50 0 Feb/08/13 Mar/07/13
Description: AMAT 3870-01269 Lockout Valve Assembly with adapters 3-Way Valve
odysseystudios Used - $3,900.00 0 Feb/08/13 Jan/14/16
Description: Applied Materials 0010-11908 Ceramic heater with 30 day warranty
bobsgoodies NEW - $195.00 0 Feb/11/13 Mar/13/13
Description: Applied Materials 0050-07049 1/4 VCR Braided SS Hose Assy with Plugs
bobsgoodies NEW - $97.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0150-04379 T/C, "Cement on" K-TYPE Thermocouple with SMP Connector
bobsgoodies NEW - $735.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0190-01849 Water Flow Switch with Meter Proteus 92037506S24P8K1, 0.8 GPM
bobsgoodies NEW - $375.00 0 Feb/11/13 Mar/13/13
Description: AMAT 0190-02317 Flow Switch Non-Adjustable with Connector M-55-113-002 Malena
shadow15b NEW - $400.00 1 Feb/13/13 Jun/14/13
Description: LAM RESEARCH 715-011673-006 LOWER ELECTRODE CAP / 6" DOMED WITH VENT
ace449parts2010 Used - $1,050.00 0 Feb/25/13 Sep/23/13
Description: AMAT ESC HV MODULE, with RF plate 0010-04926
bobsgoodies NEW - $98.00 0 Mar/04/13 Apr/03/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies Used - $300.00 0 Mar/04/13 Mar/18/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
bobsgoodies NEW - $25.00 0 Mar/04/13 Mar/18/13
Description: AMAT 0050-89057 1" Tubing with 90 Deg bend 4" X 10" AN Flare, Female
yayais2012 NEW - $15.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $135.00 0 Mar/07/13 Apr/06/13
Description: AMAT 1040-01172 Flow Meter 55 GPM water with SS Needle Valve Control Brooks 884
bobsgoodies Used - $185.00 0 Mar/07/13 Jul/09/13
Description: Applied Materials 0100-77034 Head Pneumatic Controller (Mark1) with Bracket.
bobsgoodies NEW - $497.00 0 Mar/07/13 Apr/06/13
Description: AMAT 0010-02433 1/2" Supply/Return Valve Assembly with flow switch 0190-01186
bobsgoodies NEW - $295.00 0 Mar/07/13 Apr/06/13
Description: AMAT Valve 0010-05216 With test pt Turck FCS-G1/4-ARX/D118 6A-PR6-EPRT-SS-2768
bobsgoodies NEW - $35.50 0 Mar/07/13 Apr/06/13
Description: AMAT 3870-01269 Lockout Valve Assembly with adapters 3-Way Valve
bobsgoodies NEW - $29.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0226-97049 Proximity Switch with connector. Applied Materials
bobsgoodies Used - $68.00 0 Mar/13/13 Apr/12/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies NEW - $375.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0190-02317 Flow Switch Non-Adjustable with Connector M-55-113-002 Malena
bobsgoodies NEW - $735.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0190-01849 Water Flow Switch with Meter Proteus 92037506S24P8K1, 0.8 GPM
bobsgoodies NEW - $275.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0040-05069 SWLL Bracket CFW with 2 Parker 9910-022-EPR Quick Couplers
bobsgoodies NEW - $285.00 0 Mar/13/13 Apr/12/13
Description: AMAT 0190-36470 Flow Switch, manual with analog output, 1/2"NPT Proteus 0250SS24
dr.fantom NEW - $599.00 0 Mar/18/13 Feb/12/15
Description: Applied Materials 0020-48702 HANDLE WITH GLOVES
bobsgoodies NEW - $25.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0050-89057 1" Tubing with 90 Deg bend 4" X 10" AN Flare, Female
bobsgoodies Used - $300.00 0 Mar/18/13 Apr/17/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
bobsgoodies Used - $297.00 0 Mar/19/13 Apr/18/13
Description: AMAT Applied Materials 0020-20340 Slit Door With "O" ring.
used1eqsales Used - $2,751.57 0 Mar/21/13 Dec/11/13
Description: AMAT Applied Materials 0010-22567 CPI VMO Chamber with 0040-22140 Magnet as-is
used1eqsales Used - $3,503.13 0 Mar/21/13 Dec/11/13
Description: AMAT Applied Materials 0010-37386-03 CPI VMP Chamber with Magnet As-Is
used1eqsales Used - $3,301.88 0 Mar/21/13 Jun/19/13
Description: AMAT Applied Materials 0041-08444 CPI VMO Chamber with Magnet as-is
visca.equipment Used - $130.00 0 Mar/24/13 May/23/13
Description: LAM RESEARCH 518-025348-001 TEMPERATURE CALIBRATION SOURCE (With Cables)
bobsgoodies NEW - $57.00 0 Mar/25/13 Apr/24/13
Description: AMAT 0010-70668 LOCKOUT VALVE ASSY with ONE-TOUCH FTG
bobsgoodies NEW - $98.00 0 Apr/03/13 May/03/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
yayais2012 NEW - $15.00 0 Apr/06/13 May/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $135.00 0 Apr/09/13 May/09/13
Description: AMAT 1040-01172 Flow Meter 55 GPM water with SS Needle Valve Control Brooks 884
bobsgoodies NEW - $295.00 0 Apr/09/13 May/09/13
Description: AMAT Valve 0010-05216 With test pt Turck FCS-G1/4-ARX/D118 6A-PR6-EPRT-SS-2768
bobsgoodies NEW - $35.50 0 Apr/09/13 May/09/13
Description: AMAT 3870-01269 Lockout Valve Assembly with adapters 3-Way Valve
bobsgoodies NEW - $497.00 0 Apr/09/13 May/09/13
Description: AMAT 0010-02433 1/2" Supply/Return Valve Assembly with flow switch 0190-01186
bobsgoodies NEW - $29.00 0 Apr/12/13 May/12/13
Description: AMAT 0226-97049 Proximity Switch with connector. Applied Materials
bobsgoodies NEW - $195.00 0 Apr/12/13 May/12/13
Description: Applied Materials 0050-07049 1/4 VCR Braided SS Hose Assy with Plugs
farmoninc Used - $1,000.00 1 Apr/15/13 Aug/13/13
Description: AMAT 0040-09957 ESC assy, 200mm, notch, with backing.
bobsgoodies NEW - $25.00 0 Apr/17/13 Apr/22/13
Description: AMAT 0050-89057 1" Tubing with 90 Deg bend 4" X 10" AN Flare, Female
bobsgoodies Used - $300.00 0 Apr/17/13 May/17/13
Description: AMAT 0010-40155 Assy Wafer Detector Sensor With and Without Bracket (Lot of 3)
bobsgoodies Used - $297.00 0 Apr/22/13 May/17/13
Description: AMAT Applied Materials 0020-20340 Slit Door With "O" ring.
farmoninc NEW - $5,000.00 0 Apr/23/13 Sep/18/13
Description: NEW AMAT 0090-91876 Chasis, modular, spin scan controller with 0100-91142 board
bobsgoodies NEW - $57.00 0 Apr/25/13 May/17/13
Description: AMAT 0010-70668 LOCKOUT VALVE ASSY with ONE-TOUCH FTG
esprprts NEW - $7.00 2 Apr/27/13 Jun/26/13
Description: NEW, Applied Materials, AMAT 0190-13035 - LAMP, BAKEOUT with lugs
sparepartssolution NEW - $499.99 0 Apr/29/13 Sep/02/15
Description: (125-0204) AMAT APPLIED MATERIALS 0020-22387 8"HOOP WITH TC NEW
bobsgoodies Used - $575.00 0 May/01/13 May/17/13
Description: AMAT 8" Susceptor Assy with Thermocouple: 0190-00518
bobsgoodies NEW - $39.00 0 May/04/13 May/17/13
Description: AMAT 0050-89057 1" Tubing with 90 Deg bend 4 1/4" X 10" AN Flare, Female W/Nuts
farmoninc Used - $350.00 1 May/06/13 Jun/12/17
Description: Novellus 02-125859-01 Assy, Emitter, wafer centering, with two Omron E3l-2LE4-50
yayais2012 NEW - $15.00 0 May/06/13 Jun/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $98.00 0 May/07/13 May/17/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
tdindustrial Used - $249.50 1 Feb/05/13 May/07/13
Description: Applied Precision Calibration Weights & Fixture 52-503839-000 with Case
bobsgoodies NEW - $495.00 1 Apr/16/13 May/06/13
Description: AMAT Whitey SS-63XTS12-F8-53D 3-Way Ball Valve with 153DA Pneumatic Actuator
bobsgoodies NEW - $295.00 0 May/10/13 May/17/13
Description: AMAT Valve 0010-05216 With test pt Turck FCS-G1/4-ARX/D118 6A-PR6-EPRT-SS-2768
bobsgoodies NEW - $497.00 0 May/10/13 May/17/13
Description: AMAT 0010-02433 1/2" Supply/Return Valve Assembly with flow switch 0190-01186
bobsgoodies NEW - $135.00 0 May/10/13 May/17/13
Description: AMAT 1040-01172 Flow Meter 55 GPM water with SS Needle Valve Control Brooks 884
bobsgoodies NEW - $35.50 0 May/10/13 May/17/13
Description: AMAT 3870-01269 Lockout Valve Assembly with adapters 3-Way Valve
bobsgoodies NEW - $325.00 0 May/16/13 Jun/15/13
Description: AMAT 0237-46875 Flow Sensor Regal Joint FS-10S with .463" hose barb connections
bobsgoodies NEW - $97.00 1 May/17/13 Jul/09/13
Description: Applied Materials 0190-35788 5-Phase Stepping Motor with cable,Vexta PK569AUA
bobsgoodies NEW - $29.00 0 May/17/13 Jul/09/13
Description: AMAT 0226-97049 Proximity Switch with connector. Applied Materials
bobsgoodies NEW - $98.00 0 May/17/13 Jul/09/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
bobsgoodies Used - $297.00 0 May/17/13 Jul/09/13
Description: AMAT Applied Materials 0020-20340 Slit Door With "O" ring.
bobsgoodies NEW - $375.00 0 May/17/13 Jul/09/13
Description: AMAT Valve 0010-05216 With test pt Turck FCS-G1/4-ARX/D118 6A-PR6-EPRT-SS-2768
bobsgoodies NEW - $97.00 0 May/17/13 Jul/09/13
Description: AMAT 0150-04379 T/C, "Cement on" K-TYPE Thermocouple with SMP Connector Omega
bobsgoodies NEW - $177.00 0 May/17/13 Jul/09/13
Description: AMAT 0190-36470 Flow Switch, manual with analog output, 1/2"NPT Proteus 0250SS24
bobsgoodies NEW - $275.00 0 May/17/13 Jul/09/13
Description: AMAT 0040-05069 SWLL Bracket CFW with 2 Parker 9910-022-EPR Quick Couplers
visca.equipment Used - $39.00 0 May/23/13 May/30/13
Description: LAM RESEARCH 518-025348-001 TEMPERATURE CALIBRATION SOURCE (With Cables)
farmoninc Used - $350.00 1 May/28/13 Sep/04/19
Description: AMAT 0100-20181 Operator control panel with interlock switch bd
visca.equipment Used - $130.00 0 May/30/13 Jun/29/13
Description: LAM RESEARCH 518-025348-001 TEMPERATURE CALIBRATION SOURCE (With Cables)
yayais2012 NEW - $15.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $185.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0010-30580 Hose Assy, DI Water Return with Quick Disconnect
bobsgoodies NEW - $277.00 0 Jun/07/13 Jul/09/13
Description: AMAT 0190-02317 Flow Switch Non-Adjustable with Connector M-55-113-002 Malema
bobsgoodies Used - $68.00 0 Jun/07/13 Jul/09/13
Description: Applied Materials 0090-16018 Sensor Assembly with Mounting bracket Sunx CY-27
capitolareatech NEW - $597.38 0 Jun/10/13 Sep/08/13
Description: AMAT 0190-06926 CABLE, SQS(M) R/A TO SQS(M) R/A WITH
bobsgoodies NEW - $225.00 0 Jun/10/13 Jul/09/13
Description: AMAT 0190-01849 Flow Switch with Meter Proteus 92037506S24P8K1, 0.8 GPM 1/2'
bobsgoodies Used - $325.00 0 Jun/14/13 Jul/09/13
Description: Applied Materials Throttle Valve with Drive 0020-09999 CDSL-D-186
bobsgoodies NEW - $325.00 0 Jun/17/13 Jul/09/13
Description: AMAT 0237-46875 Flow Sensor Regal Joint FS-10S with .463" hose barb connections
kingprecision Refurbished - $4,000.00 0 Jun/17/13 Jul/31/13
Description: OEM AMAT 6" 4 Finger Heater Assembly 0010-70252 Refurbished with Warranty
farmoninc NEW - $3,500.00 1 Jun/19/13 Dec/29/17
Description: AMAT 0242-11215 Kit, Ergo arm with flat panel and keyboard
capitolareatech NEW - $731.54 1 Jun/19/13 Jun/04/14
Description: APPLIED MATERIAL (AMAT) 0190-01246 INACTIVATED REPLACED WITH 0190-10152
visca.equipment Used - $104.00 0 Jul/01/13 Jul/31/13
Description: LAM RESEARCH 518-025348-001 TEMPERATURE CALIBRATION SOURCE (With Cables)
yayais2012 NEW - $15.00 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
odysseystudios Used - $2,900.00 0 Jul/16/13 Sep/12/17
Description: Applied Materials AMAT MOLB-II 0195-01346 Rev 3 with 60 day warranty
odysseystudios Used - $2,900.00 0 Jul/16/13 Jul/24/23
Description: * Refurb* Applied Materials AMAT 0242-70274 Rev E6 Kit with 30 day warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 02-266868-00 SESIOC 0 IXT HV Gas Box Firmware Ver 4.72 with Warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 02-254142-00 Rev 1 SESIOC 2 IXT WTSHV Firmware Ver 4.6 with Warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 02-292162-00 Rev 1 HDSIOC Coil MON IXT Firmware Ver 4.72 with Warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus 02-120201-00 ISIOC DAMA CLEAN BB-DFE 02-120203-00 with 60 day warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus G19-10043-00 ISIOC Transfer 02-121693-00 Rev 1 with 60 day warranty
odysseystudios Used - $1,900.00 0 Jul/19/13 Oct/14/14
Description: Novellus Field Connect 02-121687-00 Rev A ISIOC Cassette with 60 day warranty
athomemarket Used - $514.99 0 Jul/23/13 Jul/30/13
Description: AMAT Endura Extended Block 1 Controller RTC CPCI with VMICPCI-7326 0090-05548
odysseystudios NEW - $900.00 0 Jul/30/13 May/18/23
Description: NEW Applied Materials 0040-39587 Lower Shield, 300mm, PC XT/XTE with Warranty
odysseystudios NEW - $900.00 0 Jul/30/13 Mar/20/20
Description: NEW Applied Materials 0040-08492 Gas Distribution Plate with 60 day warranty
odysseystudios NEW - $450.00 1 Jul/30/13 May/07/19
Description: NEW Applied Materials 0040-07291 Rev 8 Pedestal Ring Dep with 60 day warranty
odysseystudios NEW - $2,900.00 0 Jul/30/13 Sep/12/17
Description: NEW Applied Materials 0010-28977 Rev 2 Lid swllb center diffuser with purg
visca.equipment Used - $104.00 0 Jul/31/13 Aug/30/13
Description: LAM RESEARCH 518-025348-001 TEMPERATURE CALIBRATION SOURCE (With Cables)
athomemarket Used - $463.49 0 Jul/31/13 Aug/30/13
Description: AMAT Endura Extended Block 1 Controller RTC CPCI with VMICPCI-7326 0090-05548
yayais2012 NEW - $15.00 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
allpart2013 Used - $120.00 10 Aug/06/13 May/18/15
Description: AMAT DIP294 DeviceNet I/O Block 0190-36511 With amp filter 0090-02703
usedeqsales Used - $604.07 1 Aug/19/13 Jan/28/14
Description: AMAT Applied Materials 1080-90117 Servo Motor S32 With End Effector Kit Working
used1eqsales Used - $1,408.13 0 Aug/29/13 Oct/22/13
Description: AMAT Circuit Board arc control dsp 9090-90976 with Cables AMAT Quantum working
athomemarket Used - $463.49 0 Aug/30/13 Sep/29/13
Description: AMAT Endura Extended Block 1 Controller RTC CPCI with VMICPCI-7326 0090-05548
visca.equipment Used - $104.00 0 Aug/31/13 Sep/30/13
Description: LAM RESEARCH 518-025348-001 TEMPERATURE CALIBRATION SOURCE (With Cables)
yayais2012 NEW - $15.00 0 Sep/05/13 Oct/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $85.00 0 Sep/04/13 Jun/17/14
Description: Applied Materials 0150-36785 Wire harness with 2 Banner SM312FV Photo Sensors
capitolareatech NEW - $480.43 0 Sep/12/13 Oct/07/14
Description: AMAT 0240-14678 KIT, OPTION, ADVANCED UPS WITH ROBOT X B
farmoninc Used - $3,700.00 0 Sep/16/13 Jul/15/20
Description: AMAT CPI-VMO chamber 0010-27455 with motor, feedthroughs and Driver module
capitolareatech Used - $562.50 0 Sep/17/13 Feb/24/14
Description: AMAT 0021-15813-ASSY 85LB MAX HOIST ASSY; COMES WITH AMAT 0242-33587
jammin928 NEW - $100.00 0 Sep/27/13 Oct/27/13
Description: Lam Research PN 766-097028-001 SMC Soleniod Valve 5 position with manifold
athomemarket Used - $514.99 1 Sep/29/13 Oct/28/13
Description: AMAT Endura Extended Block 1 Controller RTC CPCI with VMICPCI-7326 0090-05548
supertechshop NEW - $750.00 0 Sep/30/13 Oct/30/13
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
yayais2012 NEW - $15.00 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $125.00 0 Oct/09/13 Jun/17/14
Description: AMAT 0190-36470 Flow Switch, manual with analog output, 1/2"NPT Proteus 0250SS24
visca.equipment Used - $104.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 518-025348-001 TEMPERATURE CALIBRATION SOURCE (With Cables)
visionsemi NEW - $500.00 0 Oct/15/13 Nov/14/13
Description: LAM RESEARCH 796-094022-001 MKS / HPS VALVE WITH BYPASS 99-1639
usedeqsales NEW - $310.13 3 Oct/16/13 Oct/01/14
Description: Applied Materials 911-62-001 Shortened U-Jet with Crossover AMAT 0190-00199 New
prism_electronics6 Used - $74.97 0 Oct/16/13 Aug/02/22
Description: NEW Lam Research WLDMT, Foreline, SATM to VTM Sealed with Cert., 839-024695-001
prism_electronics6 Used - $119.99 0 Oct/18/13 Jun/20/22
Description: Lam Research - 5-10/16" x 3-3/4" Window Plate with Gasket, 02-291752-00
comp24seven-2000 Used - $110.00 0 Oct/27/13 Feb/11/15
Description: AMAT DIP294 DeviceNet I/O Block 0190-36511 With amp filter 0090-02703
visionsemi NEW - $500.00 0 Oct/28/13 Dec/27/13
Description: LAM RESEARCH 796-094022-001 MKS / HPS VALVE WITH BYPASS 99-1639
bobsgoodies NEW - $150.00 0 Oct/28/13 Jun/17/14
Description: Applied Materials 0040-20165 1/4 VCR 4-way With Angle Bracket
yayais2012 NEW - $15.00 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
supertechshop NEW - $750.00 0 Nov/04/13 Dec/04/13
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
bobsgoodies NEW - $285.00 4 Nov/05/13 Jun/17/14
Description: AMAT 0090-00836 Vexta Brushless DC Motor FBLM5120W-GFBK5 with Gear Head GFB5G10
offerandown Used - $59.00 1 Nov/06/13 Nov/13/13
Description: 1 Mirra Flat Panel Display "A" 0010-77529 with keyboard AMAT
tdindustrial Used - $795.00 2 Nov/15/13 Mar/17/17
Description: LAM Silicone Electrode 839-011907-100 with 715-28552-001-1 Mounting Ring
conquer_2011 Used - $1,350.00 0 Nov/25/13 Dec/05/13
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
wtservicecompany2011 Used - $300.00 0 Dec/03/13 Apr/23/14
Description: AMAT CENTERFINDER PCB ASSY WITH CMOS CHIP for P5000 / 0100-09056
yayais2012 NEW - $15.00 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
conquer_2011 Used - $1,199.00 0 Dec/05/13 Dec/15/13
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
capitolareatech Used - $225.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-21705 LIFTER 6" with 6" Plate
supertechshop NEW - $750.00 0 Dec/06/13 Jan/05/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0400-00316 software PC-DOS Boot Diskette with CDROM Su
capitolareatech NEW - $19.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-07890 Switch, Emo Turn TO REL with Guard CE Mark
capitolareatech NEW - $75.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-39698 Plate Adapter with XP Chamber
capitolareatech NEW - $17.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0010-39665 Assembly, Lockout Valve with One Touch FTGS
prism_electronics6 Used - $299.99 0 Dec/12/13 Aug/02/22
Description: Lam Research Slide with Magnetic Disconnect / Connect & RD-023MS, 02-169151-00
farmoninc NEW - $1,100.00 0 Dec/13/13 Jun/17/15
Description: NEW AMAT 0200-10555 Base Plate with Baffle Quartz, West Coast Quartz
conquer_2011 Used - $1,199.00 0 Dec/15/13 Dec/25/13
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
farmoninc Used - $1,500.00 1 Dec/28/13 Jan/21/20
Description: AMAT 0021-00042 Faceplate TEOS DXZ DCVD with ceramic ring AMAT 0200-10144
conquer_2011 Used - $1,399.00 0 Dec/30/13 Jan/29/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
yayais2012 NEW - $15.00 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
farmoninc NEW - $10.00 0 Jan/03/14 Jan/25/24
Description: NEW AMAT 3700-01987 Center Ring, KF-50, NW50 with ORING
conquer_2011 NEW - $975.00 0 Jan/03/14 Feb/02/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
farmoninc Used - $1,100.00 1 Jan/05/14 Dec/01/14
Description: 6 AMAT 3820-02221 gas line manifold valve, with purge, Fujikin 316L-P, 043926
visionsemi NEW - $325.00 0 Jan/06/14 Jun/24/16
Description: LAM RESEARCH 796-094022-001 MKS / HPS VALVE WITH BYPASS 99-1639
athomemarket Used - $299.99 0 Jan/06/14 Jan/13/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
bobsgoodies NEW - $277.00 0 Jan/13/14 Jun/17/14
Description: AMAT 0190-02317 Flow Switch Non-Adjustable with Connector M-55-113-002 Malema
capitolareatech NEW - $33.87 0 Jan/14/14 Sep/11/14
Description: LAM Research (LAM) 714-015003-002 Lower Match Cover. (L96/44/45) with Vent Modi
athomemarket Used - $270.99 0 Jan/14/14 Jan/21/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
epicrew01 Used - $199.00 0 Jan/20/14 Jan/21/14
Description: AMAT 0190-70103 0110-09244 REV.1 PCB with Cable(0140-09110)(#5-10~13)
bobsgoodies NEW - $425.00 0 Jan/21/14 Jun/17/14
Description: AMAT 0190-09497 P3 Thermocouple Assembly with Report of Temperature Calibration
athomemarket Used - $270.99 0 Jan/22/14 Feb/21/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
wideerp01 NEW - $100.00 2 Jan/23/14 Jan/08/15
Description: Applied Materials Lamp ,Bake Out with Lugs 0190-13035 2pk
prism_electronics6 Used - $344.96 0 Jan/24/14 Mar/24/22
Description: Lam Research 853-017152-019 Rev. B, ASSY, EMO BOX, FR, GF with Emergency Stop
farmoninc NEW - $400.00 1 Jan/29/14 Dec/07/16
Description: AMAT 0190-15945 SMC EX160-SDN1A With 8 VQ1200NY Ports
conquer_2011 Used - $1,399.00 0 Jan/29/14 Feb/28/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
semipart Used - $1,000.00 1 Jan/30/14 Feb/21/14
Description: SERIPLEX CONTROLLER BUS WITH EXPENSION BD AMAT 0090-00475
prism_electronics6 Used - $800.00 0 Jan/30/14 Mar/30/22
Description: Lam Research 715-052395-001, ELCTD, LOWER, BEVEL with 715-048335-009
conquer_2011 NEW - $815.00 0 Feb/02/14 Feb/09/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
yayais2012 NEW - $15.00 0 Feb/03/14 Mar/05/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
wideerp01 NEW - $89.99 0 Feb/04/14 Jul/18/17
Description: Applied Materials EMO SWITCH ,INTER-CIRCUIT, WITH GUARD 0090-00423
pohyh Used - $800.00 0 Feb/06/14 Oct/04/14
Description: 1543(6) AMAT 0190-14271 41A-15794 325 MODUCELL WITH MKS BARATRON PRESSURE SWITCH
farmoninc Used - $450.00 0 Feb/08/14 Apr/05/17
Description: AMAT 0020-75984 CVD Chamber Cover SACVD, with RP Connection
conquer_2011 NEW - $815.00 0 Feb/09/14 Feb/16/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
farmoninc Used - $7,500.00 0 Feb/12/14 Mar/17/23
Description: AMAT 0010-76036 P5000 Platform Mini Controller with modules, 0010-76036M, S29800
farmoninc Used - $3,150.00 0 Feb/12/14 Sep/19/16
Description: AMAT 0010-76036 P5000 Platform Mini Controller with modules, S23294-04
farmoninc Used - $6,500.00 0 Feb/12/14 Feb/07/23
Description: AMAT 0010-76036 P5000 Mini Controller with modules, S0015301
farmoninc Used - $7,500.00 0 Feb/12/14 Feb/07/23
Description: AMAT 0010-76036 P5000 Mini Controller with modules, S27094-02
farmoninc Used - $7,500.00 0 Feb/12/14 Feb/07/23
Description: AMAT 0010-76036 P5000 Mini Controller with module, S262, 1 Phase, 3Wire, 120VAC
supertechshop NEW - $750.00 0 Feb/13/14 Mar/15/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
farmoninc Used - $750.00 1 Feb/19/14 Oct/30/15
Description: AMAT 0100-09967 P5000 CVD tool Wafer Position Sensor Assy with Sensors and Mount
prism_electronics7 Used - $350.00 0 Feb/18/14 Jun/28/22
Description: Novellus 02-264599-00 Rev. B Slide with Magnetic Disconnect / Connect & RD-023MS
athomemarket Used - $270.99 0 Feb/21/14 Mar/23/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
farmoninc Used - $855.00 1 Feb/22/14 Jun/04/15
Description: AMAT 0040-09675 Etch Chamber Cathode, Base with RF Rod
visionsemi Used - $4,300.00 1 Feb/25/14 Mar/06/14
Description: CTI CRYOGENICS PUMP ON- BOARD IS-8F APPLIED MATERIALS 0190-25016 WITH 0190-25018
bobsgoodies NEW - $195.00 0 Feb/26/14 Jun/17/14
Description: AMAT 0010-00070 Stepper Motor Assembly With Brake & HEDS-6300 Encoder 2.25"
farmoninc Used - $3,900.00 0 Feb/26/14 Apr/02/15
Description: 25 AMAT 3820-02221 gas line manifold valve, with purge, Fujikin 316L-P, DCS400
alvin1462 Used - $1,118.00 1 Feb/27/14 Feb/16/15
Description: Applied Material 0010-09335 AMAT 5000 CVD Lamp Module with bulb
bobsgoodies Used - $145.00 0 Feb/27/14 Jun/17/14
Description: AMAT 0090-70003 MOTOR ENCODER ASSY Vexta PH265-05B-C12 With encoder HEDS-6300
alvin1462 Used - $4,333.00 1 Feb/28/14 Aug/07/14
Description: AMAT 0010-76000 Robot wafer handler with AMAT 0040-09037 Robot Blade
conquer_2011 Used - $1,399.00 0 Feb/28/14 Mar/19/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
prism_electronics6 Used - $119.97 0 Mar/03/14 Aug/02/22
Description: Lam Research 853-027173-001 ASSY, BELT LIMIT SENSOR LH, with 2x KEYENCE SENSORS
athomemarket Used - $270.99 0 Mar/24/14 Apr/23/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
conquer_2011 Used - $749.00 0 Mar/24/14 Mar/29/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
conquer_2011 Used - $1,249.00 0 Mar/29/14 Apr/28/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
smtechkoreacom NEW - $800.00 0 Apr/03/14 Jun/02/14
Description: AMAT 0190-24847 Lamp 10-PACK,520W FLEXIBLE BASE WITH
yayais2012 NEW - $15.00 0 Apr/06/14 May/06/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
capitolareatech NEW - $637.50 0 Apr/11/14 Jul/22/14
Description: Applied Materials (AMAT) 0990-01012 5685 AeroBar Ionizer with Isostat Technolog
prism_electronics6 Used - $119.99 0 Apr/10/14 Aug/02/22
Description: Lam Research 713-040420-004 ESC Protective Cover with Torque Pattern, 067204-137
prism_electronics6 Used - $119.99 0 Apr/10/14 Aug/02/22
Description: Lam Research 713-040420-004 ESC Protective Cover with Torque Pattern
catalystparts NEW - $2,500.00 0 Apr/16/14 Sep/02/16
Description: Applied Materials Equipment Panel 0040-77542 with Pad Puller Option
supertechshop NEW - $750.00 0 Apr/17/14 May/17/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
conquer_2011 NEW - $895.50 0 Apr/18/14 May/18/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
xltechtexas Used - $275.00 1 Apr/21/14 Jul/09/14
Description: IRCON MODLINE 4 MODEL 47-13C-2-0-0 PYROMETER WITH AMAT CABLE 0140-20414
athomemarket Used - $270.99 0 Apr/23/14 May/23/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
jens.pens Used - $950.00 0 Apr/25/14 May/29/15
Description: Applied Materials 0190-08860 REV 003 DIP-026-045 with 30 day warranty
keykorea Used - $6,000.00 0 Apr/28/14 Nov/13/18
Description: AMAT 0240-43874 KIT, PLATEN DRIVE MTR- 200MM WITH 3970-00030 GEAR BOX, USED
wtservicecompany2011 Used - $300.00 0 Apr/28/14 Sep/12/14
Description: AMAT CENTERFINDER PCB ASSY WITH CMOS CHIP for P5000 / 0100-09056
velocity-semiconductor-equipment NEW - $750.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) 85LB MAX HOIST ASSY COMES WITH AMAT 0242-33587 0021-1
farmoninc NEW - $1,100.00 0 Apr/28/14 Jun/17/15
Description: NEW AMAT 0200-10555 Baseplate, With Baffle Quartz
conquer_2011 Used - $1,249.00 0 Apr/28/14 May/28/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
bobsgoodies Used - $650.00 0 Apr/30/14 Jun/17/14
Description: AMAT 0090-77203 HARNESS SHUTTLE WAFER Assy. With Wafer Present Switch
usedeqsales Used - $904.14 0 Apr/30/14 May/04/15
Description: AMAT Applied Materials 0150-17460 Regal Vapor Generator With Jacket Used
xsysengineering Used - $1,200.00 0 May/04/14 May/11/14
Description: Applied Materials / AMAT 150mm / 6" Pedestal P/N: 0020-31709 with lip seals
conquer_2011 NEW - $995.00 0 May/18/14 Jun/17/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
supertechshop NEW - $750.00 0 May/20/14 Jun/19/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
athomemarket Used - $270.99 0 May/23/14 Jun/22/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
xsysengineering Used - $1,200.00 0 May/23/14 May/30/14
Description: Applied Materials / AMAT 150mm / 6" Pedestal P/N: 0020-31709 with lip seals
surpluskorea Used - $6,999.00 0 May/24/14 Jan/09/18
Description: Novellus 02-253704-00 ASSY,MC3E MODULE CONTROLLER WITH ETHERNET
velocity-semiconductor-equipment NEW - $750.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) 85LB MAX HOIST ASSY COMES WITH AMAT 0242-33587 0021-1
conquer_2011 Used - $1,249.00 0 May/28/14 Jun/27/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
xsysengineering Used - $1,200.00 0 May/30/14 Jun/06/14
Description: Applied Materials / AMAT 150mm / 6" Pedestal P/N: 0020-31709 with lip seals
harrington2howry NEW - $25.00 0 Jul/30/14 Aug/29/14
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
grandbirdnet NEW - $1,400.00 0 Jul/30/14 Jun/01/23
Description: AMAT 0190-22280 336MM GATE ASSY, ALUM WITH G67P ORING , NEW
xsysengineering Used - $1,200.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials / AMAT 150mm / 6" Pedestal P/N: 0020-31709 with lip seals
supertechshop NEW - $750.00 0 Aug/07/14 Sep/06/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
xsysengineering Used - $1,200.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials / AMAT 150mm / 6" Pedestal P/N: 0020-31709 with lip seals
farmoninc NEW - $150.00 0 Aug/15/14 Jun/01/23
Description: 5 AMAT 0010-14127 Door Spring with Bearings
conquer_2011 NEW - $995.00 0 Aug/16/14 Sep/15/14
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
keykorea Used - $700.00 1 Aug/17/14 Dec/04/17
Description: AMAT 0090-36452 ASSY, 5-PHASE MOTOR WITH BRAKE PK569AHWM , USED
xsysengineering Used - $1,200.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials / AMAT 150mm / 6" Pedestal P/N: 0020-31709 with lip seals
athomemarket Used - $270.99 0 Aug/21/14 Sep/20/14
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
farmoninc NEW - $150.00 0 Aug/26/14 May/07/18
Description: AMAT 0150-35775 Pressure Switch with Cable
velocity-semiconductor-equipment NEW - $750.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) 85LB MAX HOIST ASSY COMES WITH AMAT 0242-33587 0021-1
conquer_2011 Used - $1,449.00 0 Aug/26/14 Sep/25/14
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
catalystparts NEW - $1,250.00 1 Aug/27/14 Mar/02/17
Description: Applied Materials, Stand Alone 0010-13912 with original monitor ViewSonic E655
bobsgoodies2 Used - $325.00 1 Aug/27/14 Jan/03/17
Description: Applied Materials Throttle Valve with Drive 0020-09999 CDSL-D-186
yericomfg NEW - $8,000.00 1 Aug/28/14 Mar/10/16
Description: AMAT Applied Materials; AE Heat Exchanger, 3380-00035 with Drain,Tem (New)
springfieldsurplus2014 NEW - $25.00 0 Aug/29/14 Sep/28/14
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
farmoninc NEW - $25.00 0 Aug/29/14 Jun/01/23
Description: AMAT 0190-18281 Caster, With Side lock Ultima HDP-CVD
xsysengineering Used - $1,200.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials / AMAT 150mm / 6" Pedestal P/N: 0020-31709 with lip seals
usedparts-pk Used - $4,999.90 1 Sep/05/14 Oct/21/14
Description: Novellus Systems 02-253704-00 REV.C Assy,MC3E Module Controller with Ethernet
supertechshop NEW - $750.00 0 Sep/09/14 Oct/09/14
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
farmoninc Used - $1,750.00 0 Sep/09/14 Dec/03/15
Description: AMAT 0040-09098 AMAT 5000 Robot blade, 8 inch with cap sensor amp PCB 0100-00084
yayais2012 NEW - $15.00 0 Sep/10/14 Oct/10/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
farmoninc NEW - $320.00 0 Oct/04/14 Nov/10/14
Description: 8 AMAT 0190-13035 Lamp, Bakeout with lugs
aaaportal Used - $42.39 0 Sep/30/14 Nov/24/15
Description: SMC SS5YJ3-DUL01756 Lam Research 772-800722-010 Manifold with 2 SMC SY114-5MOU
surplus.pcps Scrap, for parts - $3,999.95 1 Oct/09/14 Aug/13/15
Description: Novellus Systems 02-257935-00 Rev B MC3E Module Controller with Ethernet
yayais2012 NEW - $15.00 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $45.00 1 Oct/13/14 Dec/01/15
Description: Applied Materials 0224-41209 Sensor Assembly with Mounting bracket Sunx CY-27
tommy_chou Used - $5,999.99 0 Nov/26/14 Jun/10/15
Description: Novellus 02-321865-00 ASSY,PC3E ROHS,PLATFORM CONTROLLER WITH ETHERNET
tommy_chou Used - $5,999.99 0 Nov/26/14 Jun/19/15
Description: Novellus 02-253704-00 ASSY,MC3E MODULE CONTROLLER WITH ETHERNET
aaaportal Used - $99.49 1 Dec/03/14 Feb/11/16
Description: Lam Research 810-800256-106 Node Board PCB Control Type 3 Card with LCD Screen
usedeqsales Used - $5,258.50 0 Dec/05/14 Dec/30/14
Description: CTI-Cryogenics 3620-01471 Cryo-Compressor With GFI 9600 AMAT Used Working
farmoninc Used - $3,900.00 1 Dec/05/14 Dec/19/14
Description: RFPP LF-5 RF GENERATOR WITH ASTECH ATL-100RA RF MATCH, 3 CABLES, AMAT 0920-01014
farmoninc Used - $3,900.00 0 Dec/06/14 Jan/17/19
Description: RFPP LF-5 RF Generator, AMAT 0920-01014, with Astech ATL-100RA RF Match, 399400
keykorea NEW - $50.00 0 Dec/08/14 Jul/12/20
Description: AMAT 3300-08884 FTG, ELBOW 1.00 FEMALE SWVL SEAL-LOK SST WITH EVL, NEW
yayais2012 NEW - $15.00 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies NEW - $285.00 2 Sep/19/14 Nov/18/14
Description: AMAT 0090-35123 Powermax II Motor,Brake,ENC,Storage M21NRXD-LSS-M1-02 With Brake
conquer_2011 NEW - $995.00 0 Dec/14/14 Jan/13/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
kingprecision NEW - $11,500.00 0 Dec/15/14 Feb/03/16
Description: 0010-03244 NEW 200MM HP TXZ Heater Assy NEW with WARRANTY AND CERTS
usedparts-pk Used - $4,999.90 0 Dec/16/14 Dec/22/14
Description: Novellus Systems 02-253704-00 REV.D Assy,MC3E Module Controller with Ethernet
usedparts-pk Used - $4,999.90 0 Dec/16/14 Dec/22/14
Description: Novellus Systems 02-257935-00 REV.C Assy,MC3E Platform Controller with Ethernet
supertechshop NEW - $750.00 0 Dec/17/14 Jan/16/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
farmoninc Used - $500.00 0 Dec/17/14 Dec/15/21
Description: AMAT 0500-50028 X Axis Controller unit CURT - CC0018 FABS with Accessory Kit
odysseystudios Used - $1,900.00 1 Mar/28/12 Dec/18/14
Description: Nippon Pillar Packing Co PSE20MP1919 Bellows Pump with 30 day warranty
athomemarket Used - $273.99 0 Dec/20/14 Jan/19/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
bobsgoodies NEW - $150.00 1 Aug/27/14 Dec/19/14
Description: Applied Materials 0040-20165 1/4 VCR 4-way With Angle Bracket
conquer_2011 Used - $1,449.00 0 Dec/24/14 Jan/23/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
capitolareatech Refurbished - $32.53 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-22879 ASSY, VALVE WITH HOSES
capitolareatech NEW - $158.48 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-43701 Cable, RF Adaptor with INTLK
capitolareatech NEW - $174.81 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-51000 ORIENTAL MOTOR E3902-464 MOTOR WITH BRAKE
capitolareatech NEW - $29.03 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00002 OPT Filter Clear 1.5X2.2 BEZEL with Clam
capitolareatech NEW - $225.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0820-00089 Flame Detector UV/IR with 2 LED, Input: 2
capitolareatech NEW - $20.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3060-00305 Ball Bearing with SEALS 25X62X17
capitolareatech NEW - $15.62 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3020-01132 SWITCH CYLINDER AIR WITH SWITCH
capitolareatech NEW - $106.25 0 Dec/27/14 Nov/22/15
Description: LAM RESEARCH (LAM) 714-015003-002 Lower Match Cover. (L96/44/45) with Vent Mo
springfieldsurplus2014 NEW - $18.60 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
tdindustrial Scrap, for parts - $129.50 1 Aug/06/14 Dec/27/14
Description: SVG Thermco Systems 170680-001 Rev 5 Main Board With 2x SS2000 MD4 Modules
imca00 Used - $5,499.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $1,749.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $749.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
farmoninc Used - $145.00 1 Jan/01/15 Sep/28/21
Description: AMAT 0246-00108 STYLUS PEN/ WRIST BAND WITH CLAMP
tommy_chou Used - $4,999.99 0 Jan/04/15 Feb/04/15
Description: ASTEX MKS AX8403A Ozone Generator AMAT 0190-36233 WITH POWER CORD & WATER TUBE
imca00 NEW - $749.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $5,499.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
bobsgoodies Used - $575.00 1 Jan/06/15 Jan/07/15
Description: AMAT 6" Susceptor Assy T/C 0190-35712 with ceramic cover and spare ceramic cover
bobsgoodies Used - $498.00 1 Jan/06/15 Jan/07/15
Description: AMAT 8" Susceptor Assy with Thermocouple 0190-35712 Rev001
dr.fantom Refurbished - $80.00 0 Jan/08/15 Feb/12/15
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
tdindustrial Used - $1,995.00 1 Oct/25/12 Jan/06/15
Description: AMAT Applied Materials Wafer Handling Blade Alignment Tool 3920-00170 with Case
yayais2012 NEW - $15.00 0 Jan/10/15 Feb/09/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
imca00 Used - $5,499.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $749.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
conquer_2011 NEW - $995.00 0 Jan/13/15 Feb/12/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
aaaportal Used - $61.41 0 Jan/14/15 Oct/08/17
Description: SMC Lam Research 772-800722-007 Manifold SMC SYJ3233-5LOU with 4 X SY114-5MOU
supertechshop NEW - $750.00 0 Jan/19/15 Feb/18/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
athomemarket Used - $260.99 0 Jan/19/15 Feb/18/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
bid-servicellc Refurbished - $2,625.00 1 Aug/25/14 Jan/19/15
Description: Enco X6230A Milling Machine with Digital Readout
legacysemiconductortools NEW - $3,650.00 1 Apr/23/14 Jan/19/15
Description: Leybold D65B Vacuum Pump << Rebuilt with Warranty >>
mpd6996 Used - $1,250.00 1 Jan/21/15 Jan/22/15
Description: APPLIED MATERIALS AMAT 0010-36740 HEATER ASSY, HA-12 TIGHT RF MESH WITH CLAMP T
conquer_2011 Used - $1,275.12 0 Jan/23/15 Feb/22/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
imca00 NEW - $749.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $5,499.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
springfieldsurplus2014 NEW - $12.50 0 Jan/27/15 Feb/26/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
jlx580 Used - $850.00 1 Feb/01/15 Oct/18/15
Description: Lam Research 853-190023-001 Assembly Hard Dsk IDE with Controller PCB
imca00 NEW - $1,749.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $749.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 Used - $5,499.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
sparesllc09 Used - $4,500.00 0 Feb/03/15 Feb/04/15
Description: 0010-20705 /RF RESONATOR ASSY WITH INTERLOCKS PC II/APPLIED MATERIALS
partskorea1 Used - $6,999.00 0 Feb/06/15 May/18/15
Description: Novellus MC3E Platform Controller with Ethernet ASSY P/N 02-257935-00 REV.B
yayais2012 NEW - $15.00 0 Feb/09/15 Mar/11/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies2 Used - $125.00 0 Feb/09/15 Mar/31/22
Description: AMAT 3020-90030 CYLINDER AIR 32 DIA PISTON with position sensors Applied Materia
prism_electronics11 Used - $59.90 0 Feb/09/15 Feb/23/15
Description: Lam Research 679-049416-001 REV A Omega OSK2K1645 SNSR TC Type T With FEM CONN
imca00 NEW - $749.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $5,499.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
keykorea Used - $8,500.00 0 Feb/10/15 Nov/17/17
Description: AMAT 0010-36740 HEATER ASSY, HA-12 TIGHT RF MESH WITH CLAMP T , USED
dr.dantom Used - $150.00 2 Feb/12/15 Apr/17/15
Description: APPLIED MATERIALS 0190-21843 CABLE ASSY SQS(M) R/A WITH D/A INTLK TO (0)
dr.fantom NEW - $80.00 0 Feb/12/15 Apr/13/15
Description: Applied Materials 0190-08663 EXTERNAL USB 3.25IN FLOPPY DRIVE WITH CABLE
dr.fantom Refurbished - $69.99 0 Feb/12/15 Feb/10/20
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
dr.fantom Used - $1,200.00 0 Feb/12/15 Sep/08/16
Description: AMAT 0190-35791 REV E Seriplex APC SPX-MUXADIO-001 With 0110-09293 REV A
conquer_2011 NEW - $995.00 0 Feb/12/15 Mar/14/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
offerandown Used - $69.00 0 Feb/16/15 Feb/23/15
Description: 1 Vexta PH265-05B-C1 Stepper Motor with dual ended 1/4"shaft AMAT 0090-09003
athomemarket Used - $260.99 0 Feb/18/15 Mar/20/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
conquer_2011 Used - $1,449.00 0 Feb/22/15 Mar/24/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
supertechshop NEW - $750.00 0 Feb/23/15 Mar/25/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
imca00 Used - $5,499.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $1,749.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
powersell007 NEW - $1,999.00 1 Feb/26/15 Jun/13/17
Description: APPLIED MATERIALS 0041-36356 CARRIER, 300MM TITAN AMAT *NEW with CERT*
powersell007 Used - $1,199.00 0 Feb/26/15 Mar/02/23
Description: APPLIED MATERIALS 0040-61258 REV 005 PLATE PUMPING 300MM AMAT *NEW with CERT*
springfieldsurplus2014 NEW - $11.25 0 Feb/26/15 Mar/28/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
offerandown Used - $99.00 0 Mar/03/15 Apr/02/15
Description: 1 Vexta PH265-05B-C1 Stepper Motor with dual ended 1/4"shaft AMAT 0090-09003
katsu9202408 Used - $1,289.95 2 Mar/05/15 Nov/15/16
Description: Lam Research 853-800085-012 System With Broard MVME2604
ewastepals Used - $149.00 0 Mar/06/15 Aug/31/15
Description: Lam Research Interlock Panel 853-044612-001 With Watlow Anafaze Card
yayais2012 NEW - $15.00 0 Mar/11/15 Apr/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
bobsgoodies Used - $275.00 1 Mar/13/15 Dec/27/17
Description: AMAT Applied Materials 0020-20340 Slit Door With "O" ring.
bobsgoodies Used - $125.00 3 Mar/13/15 Jul/22/15
Description: AMAT 0090-20036 MOTOR MODIFIED ORIENTER VEXTA PX245M-02AA With mount Bracket
farmoninc Used - $750.00 0 Mar/14/15 Mar/02/23
Description: AMAT 1270-02859 PRESSURE SWITCH ABS ADJ 0-1000 TORR 1/2FVCR DPDT with manual
conquer_2011 NEW - $995.00 0 Mar/14/15 Apr/11/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
imca00 NEW - $749.00 0 Mar/16/15 Mar/22/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $5,499.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
farmoninc Used - $1,450.00 0 Mar/16/15 Jul/12/19
Description: AMAT VME Rack Slot Cart with 6 or 7 slot, 2 DI/O 0100-76124 PCB, Ebrain 008131
ytyc2014 Used - $90.00 1 Mar/18/15 Feb/04/16
Description: AMAT DIP294 DeviceNet I/O Block 0190-36511 With amp filter 0090-02703
farmoninc Used - $4,500.00 0 Mar/18/15 Oct/12/18
Description: AMAT 0090-91876 Chasis, Modular, Spin Scan Controller with 0100-91142 board
athomemarket Used - $260.99 0 Mar/20/15 Apr/19/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
conquer_2011 Used - $1,449.00 0 Mar/24/15 Apr/23/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
bobsgoodies2 Used - $225.00 0 Mar/26/15 Mar/31/22
Description: AMAT 0225-99037 SS Canister with 1/2" & 1/4" VCR, Nupro 6LV-BNBW4-P-C Valve
farmoninc Scrap, for parts - $250.00 0 Mar/27/15 Apr/28/15
Description: Air Manifold with Solenoids WXZ CHAMBER PNEU INTERCONNECT AMAT 0100-38082 401060
supertechshop NEW - $750.00 0 Mar/28/15 Apr/27/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
springfieldsurplus2014 NEW - $12.50 0 Mar/29/15 Apr/28/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
farmoninc Used - $950.00 0 Apr/06/15 Feb/07/20
Description: MKS Vacuum Isolation Valve with bypass AMAT 0910-31041 401151
imca00 Used - $5,499.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $1,749.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
usedeqsales Used - $6,004.15 0 Apr/07/15 Apr/30/15
Description: AMAT Applied Materials 0010-19899 Robot UPPER WAIST FIXED WING With Shaft USED
semiconusa NEW - $5,500.00 0 Apr/07/15 Jan/09/17
Description: AMAT ASSEMBLY, H.O.T. DETECTOR MODULE 0010-10973 with ANALYZER CARTRIDGE 442N
yayais2012 NEW - $15.00 0 Apr/10/15 May/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
conquer_2011 NEW - $995.00 0 Apr/11/15 May/11/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
imca00 NEW - $1,749.00 0 Apr/18/15 Apr/25/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $5,499.00 0 Apr/18/15 Apr/25/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
athomemarket Used - $260.99 0 Apr/19/15 May/19/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
farmoninc NEW - $75.00 0 Apr/20/15 Mar/02/23
Description: AMAT 0140-03692 HARNESS ASSY, PNEUMATIC WITH SINGLE IHC 401418
offerandown Used - $80.00 0 Apr/20/15 May/20/15
Description: 1 VEXTA PH265 -05-A25 5-Phase Stepping Motor with brake AMAT 0190-09010
bh542 NEW - $1,524.99 0 Apr/22/15 Apr/29/15
Description: OMAX 40-2000X Infinity Metallurgical Microscope with Dual Lights+3MP Camera
gesemiconductor Refurbished - $1,500.00 0 Sep/02/10 Dec/19/17
Description: LAM 853-012200-002 Inner Gate Assembly (Fully refurbished with order)
athomemarket Used - $40.99 1 Mar/31/15 Apr/23/15
Description: Sony XC-75 1/2" HyperHAD CCD Camera High Resolution Monochrome with Lens
drjonezz Used - $29.11 1 Apr/16/15 Apr/23/15
Description: Weller EC2002 Soldering Station with EC1201-A Iron/Pencil and Many Tips
conquer_2011 Used - $1,449.00 0 Apr/23/15 May/23/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
rfsurplus123 Used - $400.00 0 Apr/27/15 Apr/18/16
Description: AMAT 0010-76036 P5000 Mini Controller with modules, S27094-02
springfieldsurplus2014 NEW - $12.50 0 May/01/15 May/31/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
auctionrus Used - $6,650.00 0 May/05/15 Nov/03/17
Description: AMAT 0010-01171 LID Assembly, with CLAMP, AMAT Etch Chamber,
yhcet1 NEW - $259.99 0 May/09/15 Jun/08/15
Description: AMAT 0090-75009 PH265-05B-C12 Motor with Encoder
yayais2012 NEW - $15.00 0 May/10/15 Jun/09/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
conquer_2011 NEW - $995.00 0 May/11/15 Jun/10/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
athomemarket Used - $260.99 1 May/20/15 Jun/17/15
Description: Applied Materials 0090-02830 TC Amplifier with 0100-01708 300mm Interlock PCBA
offerandown Used - $20.00 0 May/21/15 May/28/15
Description: 1 VEXTA PH265 -05-A25 5-Phase Stepping Motor with brake AMAT 0190-09010
svcompucycle NEW - $750.00 0 May/21/15 Jun/20/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
auctionrus Used - $7,500.00 0 May/22/15 May/31/15
Description: AMAT 0010-01171 LID Assembly, with CLAMP, AMAT Etch Chamber,
conquer_2011 Used - $1,449.00 0 May/23/15 Jun/22/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
sparesllc09 Used - $16,525.00 0 May/27/15 Jan/05/17
Description: 0010-21669 , With mag 0010-21676/ VECTRA IMP SOURCE PVD/ AMAT
yhcet1 Used - $299.99 0 Jun/01/15 Jul/01/15
Description: Novellus 02-140507-00 Ampule With Opticle Line In TEOS GAS Box
springfieldsurplus2014 NEW - $11.25 0 Jun/01/15 Jul/01/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
sparesllc09 NEW - $3,400.00 0 Jun/02/15 Feb/23/18
Description: 0240-75766 WITH QTY. 2 0100-00975/ STEPPER KIT FOR CVD/PVD/RPC CHAMBERS/ AMAT
aaaportal Scrap, for parts - $80.51 3 Jun/03/15 Jul/30/15
Description: AMAT 0190-13175 Light Pen with Phone Jack Connection
gigabitpartsolutions NEW - $495.00 0 Jun/05/15 Jun/29/16
Description: Valve Applied Materails (AMAT) 0190-22280 336MM GATE ASSY, ALUM WITH G67P ORING
yayais2012 NEW - $15.00 0 Jun/10/15 Jul/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
conquer_2011 NEW - $995.00 0 Jun/10/15 Jul/10/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
bobsgoodies NEW - $55.00 1 Jun/12/15 Nov/10/15
Description: AMAT 0190-75068 CB GFI 1P 15A 5MA WITH #6 LUG Cutler-Hammer QCGF1015T GFCI
farmoninc NEW - $1,400.00 0 Jun/18/15 Nov/28/22
Description: NEW AMAT 0200-10555 Base Plate with Baffle Quartz, West Coast Quartz
svcompucycle NEW - $750.00 0 Jun/21/15 Jul/21/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
conquer_2011 Used - $1,449.00 0 Jun/22/15 Jul/22/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
shawntech2015 Used - $249.99 0 Jun/24/15 Jul/24/15
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
mattron747 Used - $350.00 0 May/25/15 Jun/24/15
Description: Diagnostic Instruments 1X HRP100-ENG12 with V Clamp
springfieldsurplus2014 NEW - $12.50 0 Jul/01/15 Jul/31/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
bobsgoodies2 Used - $145.00 0 Jul/06/15 Mar/10/22
Description: AMAT 0100-76100 PCB ASSY, VME MONITOR BD with ribbon cable
smi-mfg Used - $5,000.00 0 Jul/09/15 Jul/19/15
Description: 0010-03628 / CENTURA MSM, WITH CD ROM AND WIN NT/APPLIED MATERIALS
rat762 Used - $2,500.00 0 Jul/09/15 Jul/14/15
Description: 0010-77858 APPLIED MATERIALS AMAT MIRRA LOTO BREAKER BOX ASSEMBLY WITH CABLES
conquer_2011 NEW - $296.00 0 Jul/10/15 Aug/09/15
Description: NEW AMAT 0020-28675 Adapter, Spacer CVD Chamber with Vent, 21#
rat762 Used - $2,500.00 0 Jul/14/15 Jul/19/15
Description: 0010-77858 APPLIED MATERIALS AMAT MIRRA LOTO BREAKER BOX ASSEMBLY WITH CABLES
y.t.r2011 Used - $260.00 1 Jul/19/15 Dec/18/15
Description: AMAT Applied Materials 0100-20454 Controller Backplane with CDN396 , 2 x CDN391R
conquer_2011 Used - $424.50 0 Jul/22/15 Aug/21/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
shawntech2015 Used - $249.99 0 Jul/24/15 Aug/23/15
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
auctionrus NEW - $350.00 0 Jul/28/15 Feb/05/18
Description: NOVELLUS 04-717647-01 SHIELD, LOWER (USE WITH W9440317) 407294
springfieldsurplus2014 NEW - $11.25 0 Aug/05/15 Sep/04/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
bobsgoodies Used - $398.00 1 Aug/07/15 Aug/31/18
Description: AMAT 8" Susceptor Assy with Thermocouple: 0190-00518
conquer_2011 NEW - $296.00 0 Aug/09/15 Sep/08/15
Description: NEW AMAT 0020-28675 Plate Adapter, Spacer CVD Chamber with Vent
ecomicron Used - $2,500.00 0 Aug/11/15 Mar/04/22
Description: 0020-30481, 0020-09029, 0020-31570, 0020-30482 CARRIER ASSY WITH FLEX COUPLING
svcompucycle NEW - $750.00 0 Aug/13/15 Sep/12/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
eastsemi Used - $40,000.00 0 Aug/14/15 Nov/12/15
Description: AMAT 0010-07815 ASSY,300MM SLT ESC WITH CENTER TAP META, please coctact us first
conquer_2011 Used - $424.50 0 Aug/21/15 Sep/20/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
farmoninc NEW - $1,450.00 0 Aug/22/15 Aug/24/15
Description: NEW AMAT 0200-10555 Base Plate with Baffle Quartz, West Coast Quartz
shawntech2015 Used - $249.99 0 Aug/24/15 Sep/23/15
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
auctionrus Scrap, for parts - $4,500.00 0 Sep/01/15 Sep/02/15
Description: Novellus 02-257935-00 Assy, MC3E Platform Controller With Ethernet, 408493
springfieldsurplus2014 NEW - $12.50 0 Sep/04/15 Oct/04/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
conquer_2011 NEW - $296.00 0 Sep/08/15 Oct/08/15
Description: NEW AMAT 0020-28675 Plate Adapter, Spacer CVD Chamber with Vent
svcompucycle NEW - $750.00 0 Sep/12/15 Oct/12/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
shawntech2015 Used - $249.99 0 Sep/23/15 Oct/23/15
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
springfieldsurplus2014 NEW - $12.50 0 Oct/04/15 Nov/03/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
electronicpals Used - $799.99 0 Oct/05/15 Nov/04/15
Description: Lam Research 839-020965-006 Assy Semi Conductor Part With Certification
conquer_2011 NEW - $296.00 0 Oct/08/15 Nov/07/15
Description: NEW AMAT 0020-28675 Plate Adapter, Spacer CVD Chamber with Vent
offerandown Used - $20.00 1 Oct/08/15 Oct/15/15
Description: 6 VEXTA STEPPER/STEPPING MOTORS WITH BRAME AMAT 0090-09010
svcompucycle NEW - $750.00 0 Oct/12/15 Nov/11/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
bobsgoodies Scrap, for parts - $225.00 0 Oct/16/15 Nov/15/15
Description: AMAT 0010-30402 THROTTLE VALVE OPERATOR with spool Applied Materials
conquer_2011 Used - $424.50 0 Oct/20/15 Nov/19/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
shawntech2015 Used - $249.99 0 Oct/23/15 Nov/22/15
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
jygdiy1976 Used - $2,990.00 0 Oct/28/15 Nov/12/19
Description: AMAT/AKT D-SEC 0101-57033 DYNAMIC-SEC-DRIVER PCB BORAD With 8PCS APEX PA95 IC
sparesllc09 NEW - $2,200.00 0 Oct/30/15 Jul/11/19
Description: 0200-00242 / DEPOSITION RING WITH ANTI-ROTATION CU BESC / APPLIED MATERIALS
keykorea Used - $15,000.00 1 Oct/30/15 Apr/12/17
Description: AMAT 0190-11196 YASKAWA TRANSFER ROBOT XU-RCM6801 with 0190-06804 , USED
solutions-on-silicon Used - $1,700.00 0 Nov/05/15 Mar/01/19
Description: 0190-29042 VALVE, BALL NC PNEU KF50 WITH POSI SWITC
springfieldsurplus2014 NEW - $12.50 0 Nov/04/15 Dec/04/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
conquer_2011 NEW - $296.00 2 Nov/07/15 Nov/10/15
Description: NEW AMAT 0020-28675 Plate Adapter, Spacer CVD Chamber with Vent
y.t.r2011 Used - $160.00 1 Nov/09/15 Jul/01/16
Description: AMAT 0190-07912 BACKPLANE with AMAT 0190-07907
offerandown NEW - $49.00 1 Nov/11/15 Nov/18/15
Description: THK KR33 LM GUIDE ACTUATOR WITH SHAFT COUPLER AMAT 3760-01127
svliquidate NEW - $750.00 0 Nov/12/15 Dec/12/15
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
j316pl Used - $280.00 0 Nov/16/15 Dec/17/15
Description: 3439 APPLIED MATERIAL UNIVERSAL GAUGE WITH SENSOR 354-491 / 354-493 0190-26769
offerandown NEW - $99.97 1 Nov/18/15 Nov/25/15
Description: THK KR33 LM GUIDE ACTUATOR WITH SHAFT COUPLER AMAT 3760-01127
conquer_2011 Used - $424.50 0 Nov/19/15 Dec/19/15
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
shawntech2015 Used - $249.99 0 Nov/22/15 Dec/22/15
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
tdindustrial Used - $129.50 1 Nov/27/15 Nov/27/15
Description: (H5) SMC Shut Actuator NCRB80-180, AMAT 0520-01002, Used with Arm 0020-74771
springfieldsurplus2014 NEW - $12.50 0 Dec/06/15 Jan/05/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
usedeqsales Used - $1,512.15 0 Dec/16/15 Aug/31/21
Description: Lam Research 716-011563-166 6" Domed Wafer Clamp with Pins New
usedeqsales NEW - $1,212.15 2 Dec/16/15 Dec/21/19
Description: Lam Research 716-011759-016 6" with Pins Edge Focus Ring New
usedeqsales NEW - $612.15 1 Dec/14/15 Jan/22/16
Description: Lam Research 716-330122-003 Ceramic Top Plate Fixed Gap with Shield New
bayindustry NEW - $50.00 0 Jan/03/16 Feb/20/16
Description: New Electroglas ISO relay housing 4200 269765-001 A With Paperwork
grandsemicon*** NEW - $9,000.00 0 Jan/03/16 Jan/10/16
Description: AMAT PN: 0010-02639 Buffer chamber Lid w/o sensors but with one 0140-04417cable
springfieldsurplus2014 NEW - $12.50 0 Jan/05/16 Feb/04/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
f2d-express Used - $250.00 1 Jan/05/16 Mar/17/16
Description: Novellus 03-125859-12 with Omron E3L-2LE4-50 (x2) -- Used --
f2d-express Used - $250.00 1 Jan/08/16 Mar/17/16
Description: Novellus 02-132273-12 with Omron E3L-2DE4-50 (2x) -- Used --
grandsemicon*** NEW - $9,000.00 0 Jan/10/16 Jan/17/16
Description: AMAT PN: 0010-02639 Buffer chamber Lid w/o sensors but with one 0140-04417cable
yericomfg NEW - $39,000.00 0 Jan/11/16 Apr/06/17
Description: OEM New with Cert. AMAT Applied Materials 300mm Vacuum Chuck Heater, 0010-26264
retechtronics2 NEW - $1,500.00 0 Jan/16/16 Feb/02/16
Description: AMAT 3060-01694 PLATEN BEARING WITH LUBE
ecomicron NEW - $1,100.00 1 Jan/14/16 Jun/29/17
Description: 0100-00825, AMAT, ASSY PCB AIO WITH NO A/D CONVERTER
grandsemicon*** NEW - $9,000.00 0 Jan/18/16 Jan/25/16
Description: AMAT PN: 0010-02639 Buffer chamber Lid w/o sensors but with one 0140-04417cable
solanotraders Used - $950.00 0 Jan/18/16 Feb/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
conquer_2011 Used - $424.50 0 Jan/18/16 Feb/17/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
tjtechseller Used - $7,500.00 0 Jan/22/16 Feb/01/16
Description: 0090-76133 0090-03467 0090-04116 Applied Material AMAT SBC V452 SYNERGY WITH ESS
capitolareatech NEW - $192.78 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01894 VAT 219589 NI COATED SLIT VALVE DOOR WITH V
capitolareatech NEW - $345.21 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01450 MDC VACUUM 311074-02 VALVE RT ANGLE WITH M
capitolareatech NEW - $29.03 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00002 OPT Filter Clear 1.5X2.2 BEZEL with Clam
capitolareatech NEW - $174.81 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-51000 ORIENTAL MOTOR E3902-464 MOTOR WITH BRAKE
capitolareatech NEW - $1,601.42 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-14678 KIT, OPTION, ADVANCED UPS WITH ROBOT X B
capitolareatech NEW - $255.72 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00716 Harness, Rack 2(B) Heater Driver AC with
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0150-76832 Keyence FS-T1 Keyence CABLE ASSY, with AMPL
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring
capitolareatech Used - $32.53 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-22879 ASSY, VALVE WITH HOSES
svliquidate NEW - $750.00 0 Jan/25/16 Feb/24/16
Description: NEW AMAT APF Min Contact Liner Ceramic Ring 0200-07185 with Certificate / Sealed
shawntech2015 Used - $249.99 0 Jan/25/16 Feb/24/16
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
supertechshop Used - $199.95 0 Jan/29/16 Feb/28/16
Description: AMAT 0020-32163 ESC Pedestal with Bottom Cover 200mm / Warranty
auctionrus NEW - $60.00 0 Jan/29/16 Sep/08/23
Description: AMAT 0090-01226 Adapter, EVC Female To EVC Female With C 414058
auctionrus NEW - $60.00 0 Jan/30/16 Jan/24/18
Description: AMAT 0090-01226 Adapter, EVC Female To EVC Female With C 414153
tjtechseller Used - $7,500.00 0 Feb/01/16 Feb/03/16
Description: 0090-76133 0090-03467 0090-04116 Applied Material AMAT SBC V452 SYNERGY WITH ESS
grandsemicon*** NEW - $9,000.00 0 Feb/02/16 Mar/03/16
Description: AMAT PN: 0010-02639 Buffer chamber Lid w/o sensors but with one 0140-04417cable
auctionrus NEW - $60.00 0 Feb/02/16 Jan/24/18
Description: AMAT 0090-01226 Adapter, EVC Female To EVC Female With C 414468
sparesllc09 Used - $15,000.00 0 Feb/05/16 Aug/10/16
Description: 0040-35703 / RTP XE PLUS CENTURA CHAMBER WITH MISC PARTS SHOWN / AMAT
springfieldsurplus2014 NEW - $12.50 0 Feb/05/16 Mar/06/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
solanotraders Used - $950.00 0 Feb/17/16 Mar/18/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
outback6stk Scrap, for parts - $50.00 0 Feb/22/16 Feb/29/16
Description: Z124189 Vexta SC8800 Stepping Motor Controller with RS-232C AMAT 0190-02391
capitolareatech NEW - $236.93 0 Feb/24/16 Mar/26/16
Description: AMAT 0020-39698 Plate Adapter with XP Chamber
capitolareatech NEW - $129.68 1 Feb/25/16 May/10/16
Description: AMAT 0660-00377 Universal 4-port RS-232 board (ISA) with DB37 to DB25 x 4 cable
capitolareatech NEW - $2,000.00 1 Feb/25/16 Apr/14/16
Description: AMAT 0660-00223 Industrial Panel PC with 15" LCD display
capitolareatech NEW - $305.25 0 Feb/25/16 Jun/24/16
Description: AMAT 1270-02898 SWPRESS DUAL N/O ADJ SET PT +/- 15V with ENC
capitolareatech NEW - $42.49 0 Feb/25/16 Jun/24/16
Description: AMAT 1270-01711 SW AUTO WITH CONN ASSY 6.0" LG
capitolareatech NEW - $325.00 0 Feb/25/16 Jun/24/16
Description: AMAT 3930-01014 Temperature Controller Digital Controller with communication fun
offerandown NEW - $36.00 1 Feb/25/16 Mar/03/16
Description: MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
offerandown NEW - $46.00 1 Feb/25/16 Mar/03/16
Description: MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
usedparts-pk Used - $2,999.90 1 Feb/26/16 Mar/02/16
Description: NOVELLUS SYSTEM MC3E Platform Controller with Ethernet 02-257935-00 REV. B
supertechshop Used - $199.95 0 Feb/28/16 Mar/29/16
Description: AMAT 0020-32163 ESC Pedestal with Bottom Cover 200mm / Warranty
capitolareatech Used - $280.00 0 Feb/29/16 Jun/28/16
Description: AMAT 0020-37639-assembly LIFT ASSEMBLY; 0020-37639, 0020-36726 WITH COMPACT AIR
outback6stk Scrap, for parts - $100.00 1 Feb/29/16 Mar/22/16
Description: Z124189 Vexta SC8800 Stepping Motor Controller with RS-232C AMAT 0190-02391
ecomicron NEW - $80.00 4 Mar/01/16 Aug/16/16
Description: 0190-13035, AMAT, LAMP, BAKEOUT WITH LUGS
bobsgoodies NEW - $116.00 1 Mar/01/16 Apr/28/16
Description: AMAT 0090-20303 SW ASSY WTR FLOW 3/8" Hose BRS 0.5 GPM with mounting bracket
dr.dantom NEW - $299.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0242-52911 KIT SWLL DOOR ASSY WITH ALUMINUM GATE
dr.dantom Used - $260.00 0 Mar/02/16 Feb/19/20
Description: AMAT Applied Materials 0010-41860 Assy Process Kit 300MM SIP ENCORE CU WITH COIL
capitolareatech Used - $300.00 1 Mar/02/16 Apr/04/16
Description: AMAT 0010-76097 MONITOR BASE ASSY with light pen
capitolareatech Used - $65.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0040-76286 EMO BRACKET SKINS CENTURA WITH 2 EMERGENCY STOP BUTTONS *** 4 PA
capitolareatech Used - $65.00 0 Mar/02/16 Jun/30/16
Description: AMAT 0020-76382 BRACKET, EMO SWITCH WITH SWITCH *** 4 PACK ***
capitolareatech Used - $250.00 1 Mar/02/16 Jun/08/16
Description: AMAT 0100-20181 PCB ASSY, OPERATOR CONTROL PANEL WITH IN
offerandown NEW - $44.00 1 Mar/03/16 Mar/10/16
Description: MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
offerandown Used - $32.33 1 Mar/03/16 Mar/10/16
Description: YASKAWA SGMP-02U314CM AC SERVO MOTOR WITH BRAKE AMAT 0190-77212 (MODIFIED BACK)
offerandown NEW - $50.00 1 Mar/03/16 Mar/10/16
Description: MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
springfieldsurplus2014 NEW - $12.50 0 Mar/07/16 Apr/06/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
offerandown NEW - $22.50 1 Mar/10/16 Mar/17/16
Description: MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
offerandown NEW - $20.50 1 Mar/10/16 Mar/17/16
Description: MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
grandsemicon*** NEW - $9,000.00 0 Mar/11/16 Apr/10/16
Description: AMAT PN: 0010-02639 Buffer chamber Lid w/o sensors but with one 0140-04417cable
offerandown NEW - $31.00 1 Mar/17/16 Mar/24/16
Description: MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
srctech1 Used - $8,500.00 0 Mar/17/16 Apr/16/16
Description: 0090-76133 0090-03467 AMAT SBC V452 SYNERGY BOARD WITH ESS
solanotraders Used - $950.00 0 Mar/18/16 Apr/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
conquer_2011 Used - $424.50 0 Mar/19/16 Apr/18/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
vipermn NEW - $1,000.00 1 Mar/22/16 Mar/22/16
Description: APPLIED MATERIALS AMAT 0040-21068 CYRO ELBOW WITH VIEWPORT - NEW
bigg.logistics101 Used - $2,459.99 1 Mar/22/16 Feb/15/17
Description: APPLIED MATERIALS SBC I/O BREAK OUT BOARD WITH LAMBDA POWER SUPPLY 0100-09071
offerandown NEW - $20.00 0 Mar/25/16 Mar/26/16
Description: MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
datacom2014 Scrap, for parts - $200.00 0 Mar/26/16 Sep/15/19
Description: INNER SHIELD WITH CLEANCOAT AMAT Applied Materials 0020-60810
shawntech2015 Used - $249.99 0 Mar/26/16 Apr/25/16
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
supertechshop Used - $199.95 2 Mar/30/16 Apr/08/16
Description: AMAT 0020-32163 ESC Pedestal with Bottom Cover 200mm / Warranty
cssurplus NEW - $3,295.55 0 Mar/31/16 Apr/30/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
capitolareatech Used - $300.00 0 Apr/04/16 Apr/05/16
Description: AMAT 0010-76097 MONITOR BASE ASSY with light pen
capitolareatech NEW - $25.34 0 Apr/05/16 Jul/04/16
Description: AMAT 4020-01088 Filter Spin on 10 Micron with Cap Plug
capitolareatech NEW - $20.75 0 Apr/05/16 Jul/04/16
Description: AMAT 3870-01765 Valve Direct ACT NC 2WAY M3-PORT, 12VDC, 1~100PSI, with LED&
capitolareatech NEW - $26.87 0 Apr/05/16 Jul/04/16
Description: AMAT 3700-01351 Centering Ring Assembly NW100 with Viton SST
capitolareatech NEW - $26.57 0 Apr/05/16 Jul/04/16
Description: AMAT 3550-00111 Pin Ball Lock T-HDL QK-REL 1/2DIA X 2.0L-GRIP STL with CA
capitolareatech NEW - $23.39 0 Apr/05/16 Jul/04/16
Description: AMAT 0780-90001 SHOCK ABSORBER / DAMPER; (RBQ) Short type series, (C) with bumpe
gti-semi Used - $8,000.00 0 Apr/07/16 Feb/20/19
Description: AMAT, TITAN HEAD WITH RETAINING RING, p/n 0010-33700
gosemicat NEW - $99.00 1 Apr/07/16 Oct/07/16
Description: 0200-35801 AMAT Etch, End Point Window with Stem
springfieldsurplus2014 NEW - $12.50 0 Apr/09/16 May/02/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
gti-semi Used - $8,000.00 0 Apr/11/16 Nov/26/19
Description: AMAT, XR80 Implanter Power Supply with rack, p/n 0240-96153
grandsemicon*** NEW - $9,000.00 0 Apr/10/16 May/10/16
Description: AMAT PN: 0010-02639 Buffer chamber Lid w/o sensors but with one 0140-04417cable
bobsgoodies Used - $175.00 2 Apr/15/16 Apr/18/18
Description: AMAT 0020-36123 BRACKET FAN MOUNTING & FAN WITH GURADS TNE2C
conquer_2011 Used - $960.00 0 Apr/18/16 May/18/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $760.00 0 Apr/18/16 May/18/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
allbusindustrial Used - $200.00 0 Apr/18/16 Apr/28/16
Description: AMAT 0050-09955 GAS STICK WITH SEC-4400 WF6 10 SCCM MFC, 6 NUPRO VALVES
us-dealway NEW - $7.99 10 Apr/19/16 May/19/16
Description: ORING CENTERING RING KF-25 NW25 W/VITON Compatible with AMAT P/N 3700-01648
capitolareatech NEW - $1,695.00 0 Apr/19/16 Sep/15/16
Description: AMAT 0020-31788 DOOR POSITION "A" WITH ENDPOINT PHASE II
capitolareatech NEW - $10.16 0 Apr/20/16 Sep/15/16
Description: AMAT 1270-90283 Switch with Light
capitolareatech NEW - $30.00 0 Apr/20/16 Sep/15/16
Description: AMAT 1210-90302 BOURNS POTENTIOMETER 2K WITH SLIPPING CLUTCH
capitolareatech NEW - $10.00 0 Apr/20/16 Sep/15/16
Description: AMAT 0720-05850 Connection Cap 7/16 Male with Chain
capitolareatech NEW - $11.26 0 Apr/20/16 Sep/15/16
Description: AMAT 3700-02335 Centering Ring Assembly NW25 with Viton O-Ring ALUM
capitolareatech NEW - $10.00 0 Apr/20/16 Sep/15/16
Description: AMAT 3700-01986 Centering Assembly NW25 with Viton O-Ring SST316L EP
capitolareatech NEW - $10.00 0 Apr/20/16 Sep/15/16
Description: AMAT 3700-01089 Centering Ring Assembly NW25 with Viton SST
capitolareatech NEW - $17.66 0 Apr/20/16 Sep/15/16
Description: AMAT 3420-01034 Insul GND Strap, 0.187" Dia. Holes with 10 SC
capitolareatech NEW - $10.53 0 Apr/20/16 Sep/15/16
Description: AMAT 4040-00020 PLNGR Hand RETRAC L-HDL .12-.50LB 1/4-20 X .75 STL with NYL-LKG
keykorea Used - $1,800.00 1 Apr/25/16 May/08/16
Description: AMAT 3200-01071 RACK ASSY, HALF RACK 1/8 , TOP RACK WITH BACKPLANE PCB , USED
shawntech2015 Used - $249.99 0 Apr/25/16 May/25/16
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
allbusindustrial Used - $200.00 0 Apr/28/16 Jun/13/16
Description: AMAT 0050-09955 GAS STICK WITH SEC-4400 WF6 10 SCCM MFC, 6 NUPRO VALVES
capitolareatech NEW - $337.28 0 Apr/29/16 May/02/16
Description: AMAT 0200-00242 DEPOSITION RING WITH ANTI-ROTATION CU BE
capitolareatech NEW - $337.28 0 May/02/16 May/03/16
Description: AMAT 0200-00242 DEPOSITION RING WITH ANTI-ROTATION CU BE
capitolareatech NEW - $1.89 0 May/02/16 Jul/01/16
Description: AMAT 1270-00212 Lens Round Extended WHT with Marking
capitolareatech NEW - $1.32 0 May/02/16 Jul/01/16
Description: AMAT 0720-03171 Connector BACKPLANE Shrould Male with Latches
capitolareatech NEW - $2.45 0 May/02/16 Jul/01/16
Description: AMAT 3700-03008 O-RIng, ID 2.739 CSD .070 With, Viton Teflon ENCAPSULATED
capitolareatech NEW - $3.50 0 May/02/16 Jul/01/16
Description: AMAT 3320-01265 Gasket, 1/4" SST with Guide RTNR Surface Mount
capitolareatech NEW - $337.28 0 May/03/16 May/04/16
Description: AMAT 0200-00242 DEPOSITION RING WITH ANTI-ROTATION CU BE
capitolareatech NEW - $337.28 0 May/04/16 May/09/16
Description: AMAT 0200-00242 DEPOSITION RING WITH ANTI-ROTATION CU BE
cssurplus NEW - $3,295.55 0 May/09/16 Jun/08/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
capitolareatech NEW - $337.28 0 May/09/16 May/09/16
Description: AMAT 0200-00242 DEPOSITION RING WITH ANTI-ROTATION CU BE
sparesllc09 NEW - $25,000.00 0 May/11/16 Apr/24/18
Description: FI20685, 0920-00046 / MKS ASTEX ASTRON **WITH CERTS**EX FLORINE GENERATOR / AMAT
grandsemicon*** NEW - $9,000.00 0 May/12/16 Jun/11/16
Description: AMAT PN: 0010-02639 Buffer chamber Lid w/o sensors but with one 0140-04417cable
ecomicron NEW - $200.00 0 May/17/16 Jun/22/23
Description: 0270-01632, AMAT, TOOL, POST WITH GRADUATED SCALE, IECP 20
conquer_2011 Used - $960.00 0 May/18/16 Jun/17/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $760.00 0 May/18/16 Jun/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
shawntech2015 Used - $249.99 0 May/26/16 Jun/25/16
Description: Yaskava SGDH-01AE-N3Y900 Serro Drive with JUSP-NS310 AMAT 1080-00126 / Warranty
bobsgoodies Used - $125.00 1 Jun/06/16 Jun/30/16
Description: AMAT 0090-20005 MOTOR, 3716-9215HG ROBOT STEPPER MOTOR with Encoder HEDS-5500
ecomicron NEW - $950.00 1 Jun/08/16 Sep/20/22
Description: 0190-21843, AMAT, CABLE ASSY SQS(M) R/A WITH D/A INTLK TO
cssurplus NEW - $3,295.55 0 Jun/09/16 Jun/16/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
visionsemi Used - $750.00 1 Jun/10/16 Feb/21/17
Description: LAM RESEARCH 9600 715-013830-002 EXTENSION ARM WITH SPATULA
allbusindustrial Used - $75.00 0 Jun/13/16 Aug/12/16
Description: AMAT 0050-09955 GAS STICK WITH SEC-4400 WF6 10 SCCM MFC, 6 NUPRO VALVES
grandsemicon*** NEW - $9,000.00 0 Jun/15/16 Jul/15/16
Description: AMAT PN: 0010-02639 Buffer chamber Lid w/o sensors but with one 0140-04417cable
cssurplus NEW - $2,142.11 0 Jun/16/16 Jul/16/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
conquer_2011 Used - $960.00 0 Jun/17/16 Jul/17/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
gigabitpartsolutions NEW - $11.00 0 Jun/17/16 Apr/26/17
Description: Screw Applied Materails (AMAT) 3690-01882 with 3880-01023 PKG 8 SCR CAP SKT HD 6
solanotraders Used - $712.50 0 Jun/17/16 Jul/17/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
nav39 NEW - $89.00 0 Jun/18/16 Jun/25/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
nav39 NEW - $79.00 0 Jun/18/16 Jun/25/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
bobsgoodies NEW - $135.00 1 Jun/23/16 Jul/08/16
Description: AMAT 1350-01144 MKS Series 907 ACT PRESSURE TRANSDUCER 1/2' VCR With connector
bobsgoodies Used - $145.00 1 Jun/23/16 Sep/26/16
Description: AMAT 0190-09470 COOLANT FLOW SWITCH, .50GPM, TROTEUS 9100SS24P5 with Bracket
nav39 NEW - $79.00 0 Jun/25/16 Jul/02/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
nav39 NEW - $89.00 0 Jun/25/16 Jul/02/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
visionsemi NEW - $325.00 0 Jun/27/16 Jan/23/17
Description: LAM RESEARCH 796-094022-001 MKS / HPS VALVE WITH BYPASS 99-1639
bobsgoodies2 Used - $145.00 0 Jun/28/16 Dec/13/21
Description: AMAT 0190-36470 Flow Switch, manual with analog output, 1/2"NPT Proteus 0250SS24
gigabitpartsolutions NEW - $328.90 1 Jun/30/16 Nov/15/21
Description: Valve (AMAT) 0190-22280 336MM GATE ASSY, ALUM WITH G67P ORING
be4049 Used - $9,500.00 1 Jun/30/16 Nov/02/16
Description: 0040-09723 Unibody, Etch Chamber With .397 Step
nav39 NEW - $79.00 0 Jul/02/16 Jul/09/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
nav39 NEW - $89.00 0 Jul/02/16 Jul/09/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
athomemarket Used - $1,799.99 0 Jul/07/16 Jul/14/16
Description: Novellus 02-321865-00 Assy MC3E Module Controller with Ethernet, Sealed
capitolareatech NEW - $337.28 0 Jul/08/16 Jul/08/16
Description: AMAT 0200-00242 DEPOSITION RING WITH ANTI-ROTATION CU BE
capitolareatech NEW - $7.40 0 Jul/08/16 Sep/15/16
Description: AMAT 0720-01761 Connection Plug CA MTG 37 POS with Threaded Inserts
capitolareatech NEW - $337.28 0 Jul/08/16 Sep/15/16
Description: AMAT 0200-00242 DEPOSITION RING WITH ANTI-ROTATION CU BE
capitolareatech NEW - $35.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0040-36755 Plastic Bearing, with O-Ring
capitolareatech NEW - $40.71 0 Jul/08/16 Sep/15/16
Description: AMAT 0190-02910 Bracket ADO with OMRON Tag Reader
capitolareatech NEW - $158.48 0 Jul/08/16 Sep/15/16
Description: AMAT 0226-43701 Cable, RF Adaptor with INTLK
capitolareatech NEW - $153.90 0 Jul/08/16 Sep/15/16
Description: AMAT 0225-31075 WELDMENT, Valve, NUPRO Pneumatic with FUJIKIN UJR
capitolareatech NEW - $41.35 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-90029 Oscilloscope Probe Set (W1/10) 250MHz x 10 Fixed with Readout Ac
capitolareatech NEW - $1,200.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0620-01611 Cable Assembly DNET I/O 300MM LG with RS 4.4T MAL, ID Number: U2
capitolareatech NEW - $225.00 0 Jul/08/16 Sep/15/16
Description: AMAT 0820-00089 Flame Detector UV/IR with 2 LED, Input: 24VDC, Relays: 1.0 Amp a
capitolareatech NEW - $23.39 0 Jul/08/16 Sep/15/16
Description: AMAT 0780-90001 SHOCK ABSORBER / DAMPER; (RBQ) Short type series, (C) with bumpe
capitolareatech NEW - $130.00 0 Jul/08/16 Sep/15/16
Description: AMAT 1200-00295 Relay Contactor 3P 50A 24VDC Coil with DIODE 1NO AUX CONT
capitolareatech NEW - $1,453.34 0 Jul/08/16 Sep/15/16
Description: AMAT 1120-00126 Fiber Optic Cable EYED OES/IEP with out Probe
capitolareatech NEW - $29.03 0 Jul/08/16 Sep/15/16
Description: AMAT 1120-00002 OPT Filter Clear 1.5X2.2 BEZEL with Clamp
capitolareatech NEW - $24.92 0 Jul/08/16 Sep/15/16
Description: AMAT 1390-01653 Cable Thermo - Couple with Washer "K" CHROM-ALUMEL .17"IDX10
capitolareatech NEW - $20.00 0 Jul/08/16 Sep/15/16
Description: AMAT 3060-00305 Ball Bearing with SEALS 25X62X17
capitolareatech NEW - $25.34 0 Jul/09/16 Sep/15/16
Description: AMAT 4020-01088 Filter Spin on 10 Micron with Cap Plug
capitolareatech NEW - $325.00 0 Jul/09/16 Sep/15/16
Description: AMAT 3930-01014 Temperature Controller Digital Controller with communication fun
capitolareatech NEW - $106.25 0 Jul/09/16 Sep/15/16
Description: LAM 714-015003-002 Lower Match Cover. (L96/44/45) with Vent Modification
nav39 NEW - $79.00 0 Jul/09/16 Jul/10/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
nav39 NEW - $89.00 0 Jul/09/16 Jul/10/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
capitolareatech Used - $280.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0020-37639-assembly LIFT ASSEMBLY; 0020-37639, 0020-36726 WITH COMPACT AIR
capitolareatech Used - $65.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0040-76286 EMO BRACKET SKINS CENTURA WITH 2 EMERGENCY STOP BUTTONS *** 4 PA
capitolareatech Used - $65.00 0 Jul/09/16 Sep/15/16
Description: AMAT 0020-76382 BRACKET, EMO SWITCH WITH SWITCH *** 4 PACK ***
nav39 NEW - $69.00 1 Jul/11/16 Jul/16/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2284-MEB4068 AMAT P/N 1080-01312
nav39 NEW - $89.00 0 Jul/11/16 Jul/16/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
athomemarket Used - $1,619.99 1 Jul/15/16 Jul/20/16
Description: Novellus 02-321865-00 Assy MC3E Module Controller with Ethernet, Sealed
nav39 NEW - $89.00 0 Jul/16/16 Jul/23/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
conquer_2011 Used - $960.00 0 Jul/17/16 Aug/16/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $760.00 0 Jul/17/16 Aug/16/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
cssurplus NEW - $3,295.55 0 Jul/18/16 Aug/17/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
usedparts-pk Used - $2,999.90 2 Jul/18/16 Jun/05/17
Description: NOVELLUS 02-257935-00 REV.C Assy, MC3E Platform Controller with Ethernet
alamedaauction Used - $249.95 0 Jul/22/16 Aug/21/16
Description: AMAT 0190-26328 BPG Hot ion Pirani Gauge with BPG400 Sensor & DeviceNet
nav39 NEW - $89.00 0 Jul/23/16 Jul/30/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
smartelektronikgmbh NEW - $918.00 0 Jul/26/16 Feb/16/18
Description: AMAT 0190-12806 // GATE, ANODIZED ALUM WITH 513 CHEMRAZ ORI
autoquip7 NEW - $1,400.00 0 Jul/29/16 Jul/25/22
Description: 0020-49680, APPLIED MATERIALS, BLADE 8" WITH NON-APPLIED WRIST INTERFAC
autoquip7 Used - $900.00 0 Jul/29/16 Jul/25/22
Description: 0015-00068, AMAT, SHUTTLE BLADE 150MM WITH RTV, EASE
nav39 NEW - $79.00 0 Jul/30/16 Aug/06/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
pohyh Used - $3,000.00 0 Aug/01/16 Aug/28/18
Description: 4843 APPLIED MATERIAL ASSY, LAMP MODULE, DELTA WITH POWER CABLE 0010-35492
nav39 NEW - $69.00 0 Aug/06/16 Aug/13/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
nav39 NEW - $59.00 0 Aug/13/16 Aug/20/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
conquer_2011 Used - $960.00 0 Aug/16/16 Sep/15/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $950.00 0 Aug/16/16 Sep/15/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
cssurplus NEW - $3,295.55 0 Aug/17/16 Sep/13/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
ecomicron Used - $5,800.00 0 Aug/19/16 Nov/19/18
Description: 0040-02794, AMAT, V-ELECTRODE W/O IEP, DETACHABLE WITH COV
usedparts-pk Used - $2,999.90 2 Aug/20/16 Dec/02/16
Description: NOVELLUS 02-257829-00 REV.B Assy,PC3A Platform Controller with Arcnet
nav39 NEW - $59.00 0 Aug/20/16 Aug/27/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
usedparts-pk Scrap, for parts - $1,599.90 2 Aug/22/16 Sep/05/16
Description: NOVELLUS 02-253704-00 REV.D Assy,MC3E Module Controller with Ethernet
usedparts-pk Scrap, for parts - $1,599.90 1 Aug/22/16 Sep/05/16
Description: NOVELLUS 02-257935-00 REV.B Assy,MC3E Module Controller with Ethernet
artsemi Refurbished - $4,350.00 1 Aug/22/16 Apr/09/19
Description: Lam Harmonic arm drive assy. 853-012123-001, refurbishment with warranty
artsemi Used - $3,750.00 0 Aug/22/16 Mar/15/18
Description: Lam Research Lo-Fat Assy. RF Tuner, 853-025903-001 Tested good. With warranty.
alamedaauction Used - $229.95 0 Aug/22/16 Sep/21/16
Description: AMAT 0190-26328 BPG Hot ion Pirani Gauge with BPG400 Sensor & DeviceNet
artsemi Refurbished - $33,000.00 0 Aug/26/16 May/17/18
Description: Applied Materials 0010-39204 Multi-slot cooldown assy With warranty.
nav39 NEW - $59.00 0 Aug/27/16 Sep/03/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
autoquip7 Used - $38,000.00 0 Aug/31/16 Jul/25/22
Description: 0010-07815, APPLIED MATERIALS, ASSY, 300MM SLT ESC WITH CENTER TAP META
usedparts-pk Scrap, for parts - $1,599.90 1 Sep/01/16 Sep/05/16
Description: NOVELLUS 02-257935-00 REV.B Assy,MC3E Platform Controller with Ethernet #2
nav39 NEW - $59.00 0 Sep/03/16 Sep/10/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
pohyh Used - $500.00 0 Sep/07/16 Dec/08/17
Description: 1543 APPLIED MATERIAL MODUCELL WITH MKS BARATRON P 0190-14271 REV001 / 41A-15794
artsemi NEW - $50.00 0 Sep/08/16 Sep/15/16
Description: Clamp ESC With Notch Novellus 04-732063-01 Rev.C Part of Kit 06-130811-00 PVD WT
surplusssam NEW - $1,999.99 0 Sep/09/16 Sep/19/16
Description: NEW AMAT APPLIED MATERIALS 0010-77461 IGUS E-CHAINFLEX ASSEMBLY WITH CONNECTORS
nav39 NEW - $39.00 0 Sep/10/16 Oct/10/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
cssurplus NEW - $3,295.55 0 Sep/13/16 Sep/30/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
conquer_2011 Used - $960.00 0 Sep/15/16 Oct/15/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $950.00 0 Sep/15/16 Oct/15/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
artsemi NEW - $50.00 0 Sep/16/16 Sep/23/16
Description: Clamp ESC With Notch Novellus 04-732063-01 Rev.C Part of Kit 06-130811-00 PVD WT
capitolareatech NEW - $150.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0150-08851 CABLE ASSY, INTERLOCK KEY WITH PLASMA SE
capitolareatech NEW - $350.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-35212 Power Supply Type: 660 Readout with Modified AC Cacle 30"
capitolareatech NEW - $1,095.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0190-12807 GATE, ALUM WITH CHEMRAZ E38 ORING
capitolareatech NEW - $1,200.00 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-01611 Cable Assembly DNET I/O 300MM LG with RS 4.4T MAL, ID Number: U2
capitolareatech NEW - $45.53 0 Sep/19/16 Nov/18/16
Description: AMAT 0620-00176 Cable Assembly 1 METER with Connection for PM Series S
capitolareatech NEW - $10.16 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-90283 Switch with Light
capitolareatech NEW - $305.25 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-02898 SWPRESS DUAL N/O ADJ SET PT +/- 15V with ENC
capitolareatech NEW - $42.49 0 Sep/19/16 Nov/18/16
Description: AMAT 1270-01711 SW AUTO WITH CONN ASSY 6.0" LG
capitolareatech NEW - $1.89 0 Sep/19/16 Sep/20/16
Description: AMAT 1270-00212 Lens Round Extended WHT with Marking
capitolareatech NEW - $30.00 0 Sep/19/16 Nov/18/16
Description: AMAT 1210-90302 BOURNS POTENTIOMETER 2K WITH SLIPPING CLUTCH
capitolareatech NEW - $24.92 0 Sep/19/16 Nov/18/16
Description: AMAT 1390-01653 Cable Thermo - Couple with Washer "K" CHROM-ALUMEL .17"IDX10
capitolareatech NEW - $3.50 0 Sep/20/16 Nov/19/16
Description: AMAT 3320-01265 Gasket, 1/4" SST with Guide RTNR Surface Mount
capitolareatech NEW - $325.00 0 Sep/20/16 Nov/19/16
Description: AMAT 3930-01014 Temperature Controller Digital Controller with communication fun
capitolareatech NEW - $3,098.98 0 Sep/20/16 Nov/19/16
Description: AMAT 3870-02563 No-Friction Gate Valve with Pneumatic Actuator, 1.01D 10E-7MBAR
capitolareatech NEW - $32.95 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 754-092082-002 LEAF SPRING WITH GUIDE BEARING; VAT 80642-R1
capitolareatech NEW - $3.50 0 Sep/20/16 Nov/19/16
Description: AMAT 3320-01145 Gasket, 1/4" NI Gland Seal/VCR with Slide Load Retainer
capitolareatech NEW - $4.00 0 Sep/20/16 Nov/19/16
Description: LAM 796-090761-001 GASKET, BLANK VCR WITH RETAINER UNPNI; CAJON NI-4-VCR-2-VS-BL
capitolareatech NEW - $8,950.00 0 Sep/22/16 Nov/21/16
Description: AMAT 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16
pohyh Used - $120.00 0 Sep/22/16 Sep/18/17
Description: 1969 CTI/AMAT SS FLEXIBLE HOSE, 1/2" FITTING WITH ONE ELBOW 8081617 / 3400-01084
surplusssam NEW - $1,999.99 0 Sep/23/16 Oct/03/16
Description: NEW AMAT APPLIED MATERIALS 0010-77461 IGUS E-CHAINFLEX ASSEMBLY WITH CONNECTORS
artsemi NEW - $50.00 0 Sep/25/16 Oct/02/16
Description: Clamp ESC With Notch Novellus 04-732063-01 Rev.C Part of Kit 06-130811-00 PVD WT
autoquip7 NEW - $2,200.00 0 Sep/27/16 Jul/25/22
Description: 0090-00958, APPLIED MATERIALS, LAMPL ASSY, WM-502-B WITH LEDs
ntc_tech Used - $2,500.00 0 Sep/29/16 Jun/06/17
Description: Novellus Systems 02-257935-00 Rev C Assy MC3E Platform Controller With Ethernet
usedeqsales Used - $259.16 1 Sep/29/16 Mar/10/17
Description: AMAT Applied Materials 0010-13445 VGA Monitor Base with Pen 1120-01076 Used
cssurplus NEW - $2,097.17 0 Oct/01/16 Oct/31/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
artsemi NEW - $50.00 0 Oct/04/16 Oct/11/16
Description: Clamp ESC With Notch Novellus 04-732063-01 Rev.C Part of Kit 06-130811-00 PVD WT
surplusssam NEW - $1,999.99 0 Oct/04/16 Oct/14/16
Description: NEW AMAT APPLIED MATERIALS 0010-77461 IGUS E-CHAINFLEX ASSEMBLY WITH CONNECTORS
nav39 NEW - $29.00 0 Oct/10/16 Nov/09/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
surplusssam NEW - $2,199.99 1 Oct/14/16 Dec/01/16
Description: NEW AMAT APPLIED MATERIALS 0010-77461 IGUS E-CHAINFLEX ASSEMBLY WITH CONNECTORS
conquer_2011 Used - $960.00 0 Oct/15/16 Nov/14/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $760.00 0 Oct/15/16 Nov/14/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
farmoninc Used - $4,250.00 1 Oct/17/16 Nov/21/17
Description: Novellus 02-257935-00 Assy, MC3E Platform Controller with Ethernet, 417261
ntc_tech Used - $1,999.99 1 Oct/17/16 Oct/22/16
Description: Novellus Systems Assy, MC3A, Module Controller With Arcnet 02-253705-00
pohyh Scrap, for parts - $300.00 1 Oct/18/16 Jul/20/17
Description: 7252 APPLIED MATERIAL DUAL SPRING THROTTLE VALVE WITH MOTOR (PARTS) 0010-76174
farmoninc NEW - $2,700.00 0 Oct/18/16 Mar/12/18
Description: AMAT 0010-09463, Susceptor Assembly, 200mm, TEOS, 8", with T/C. 417345
farmoninc NEW - $2,200.00 0 Oct/18/16 Apr/07/17
Description: AMAT 0010-60010, Susceptor Assembly, 125mm, With TC, 5". 417343
usedparts-pk Used - $1,800.00 1 Oct/24/16 Nov/02/16
Description: NOVELLUS 02-257935-00 REV.B Assy,MC3E Platform Controller with Ethernet
pohyh Used - $255.00 8 Oct/26/16 Apr/06/17
Description: 3439 APPLIED MATERIAL UNIVERSAL GAUGE WITH SENSOR 354-491 / 354-493 0190-26769
j316gallery Used - $289.80 0 Nov/01/16 Apr/26/23
Description: 3625 APPLIED MATERIALS HOT ION/PIRANI GAUGE WITH 354-421 SENSOR 3310-00075
cssurplus NEW - $2,097.17 0 Nov/01/16 Dec/01/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
sparesllc09 Used - $4,500.00 0 Nov/08/16 Jul/09/19
Description: 0010-03628 / CENTURA MSM, WITH CD ROM AND WIN NT/APPLIED MATERIALS
nav39 NEW - $29.00 1 Nov/09/16 Nov/09/16
Description: (NEW) MCG SERVO MOTOR WITH BRAKE PN 2281-MB4067 AMAT P/N 1080-01309
spsglobal NEW - $30.00 0 Nov/10/16 Jan/23/19
Description: AMAT APPLIED MATERIALS 0690-01579 CLAMP TOGGLE FLANGE SST 100LB WITH VINYL NEW
ypspare Refurbished - $560.00 0 Nov/12/16 Dec/09/18
Description: AMAT APPLIED MATERIALS 0020-48305 COVER RING TI WITH CLEANCOAT 300MM ESIP TA
conquer_2011 Used - $960.00 0 Nov/14/16 Dec/14/16
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $950.00 0 Nov/14/16 Dec/14/16
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
asset_asset NEW - $7,188.00 0 Nov/14/16 Feb/05/18
Description: Applied Materials 0190-10030 HEAT,EXCHANGER,AL-GRAPHITE,WITH,CONNECTO
pohyh Used - $5,000.00 0 Nov/16/16 Dec/21/16
Description: 3842 NOVELLUS PC3A PLATFORM CONTROLLER WITH ARCNET 02-257829-00 REV B
allforsale555 Used - $899.00 0 Nov/27/16 Nov/27/16
Description: APPLIED MATERIALS 0090-00224 I/O EXPANSION BC WITH DUALSERIPLEX BUSS
be4049 NEW - $1,450.00 2 Nov/28/16 Aug/27/20
Description: 0020-24100 AMAT 8” INSULATOR WITH ANTEANE PC2 TI
allforsale555 Used - $339.00 1 Dec/01/16 Sep/15/19
Description: AMAT 0100-20181 Operator control panel with interlock switch
atxdeals4u Used - $150.00 0 Dec/01/16 Oct/25/17
Description: AMAT 0190-22280 336MM GATE ASSY, ALUM WITH G67P ORING
cssurplus NEW - $2,142.11 0 Dec/01/16 Dec/31/16
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
allforsale555 Used - $1,100.00 0 Dec/02/16 Dec/02/16
Description: APPLIED MATERIALS 0090-00224 I/O EXPANSION BC WITH DUALSERIPLEX BUSS
katsu9202408 Used - $2,195.95 1 Dec/02/16 Jun/22/17
Description: Novellus Systems Assy, MC3A, Module Controller With Arcnet 02-253705-00
be4049 Used - $9,500.00 0 Dec/05/16 Aug/10/17
Description: 0040-09723 Unibody, Etch Chamber With .397 Step
usedeqsales Used - $412.16 0 Dec/06/16 Aug/30/22
Description: AMAT Applied Materials 0240-50375 Transponder Set with Antenna 0190-10813 Spare
gesemiconductor Used - $250.00 0 Dec/09/16 Dec/19/17
Description: LAM 839-360218-001 S-BENO WITH BELLOWS
conquer_2011 Used - $1,020.80 0 Dec/14/16 Jan/13/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $760.00 0 Dec/14/16 Jan/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
capitolareatech NEW - $75.00 0 Dec/19/16 Jun/19/20
Description: AMAT 0060-00111 Rack, 16 Module with External Terminals
capitolareatech NEW - $988.85 0 Dec/19/16 Jun/19/20
Description: AMAT 0050-37378 Line, Manifold, Veriflo Valves with Bypass, 4-
capitolareatech NEW - $95.00 4 Dec/19/16 Oct/26/18
Description: AMAT 0225-31075 WELDMENT, Valve, NUPRO Pneumatic with FUJIKIN UJR
capitolareatech NEW - $730.60 0 Dec/19/16 Jun/19/20
Description: AMAT 0220-16622 RGA/Leak Check Port with Manual Valve for WXP
capitolareatech NEW - $41.35 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-90029 Oscilloscope Probe Set (W1/10) 250MHz x 10 Fixed with Readout Ac
capitolareatech NEW - $1,200.00 0 Dec/19/16 Aug/09/19
Description: AMAT 0620-01611 Cable Assembly DNET I/O 300MM LG with RS 4.4T MAL, ID Number: U2
capitolareatech NEW - $225.00 0 Dec/19/16 Mar/14/18
Description: AMAT 0820-00089 Flame Detector UV/IR with 2 LED, Input: 24VDC, Relays: 1.0 Amp a
capitolareatech NEW - $23.39 0 Dec/19/16 Jun/19/20
Description: AMAT 0780-90001 SHOCK ABSORBER / DAMPER; (RBQ) Short type series, (C) with bumpe
capitolareatech NEW - $10.16 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-90283 Switch with Light
capitolareatech NEW - $305.25 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-02898 SWPRESS DUAL N/O ADJ SET PT +/- 15V with ENC
capitolareatech NEW - $42.49 0 Dec/19/16 Jun/19/20
Description: AMAT 1270-01711 SW AUTO WITH CONN ASSY 6.0" LG
capitolareatech NEW - $30.00 0 Dec/19/16 Sep/19/19
Description: AMAT 1210-90302 BOURNS POTENTIOMETER 2K WITH SLIPPING CLUTCH
capitolareatech NEW - $24.92 0 Dec/19/16 Jun/19/20
Description: AMAT 1390-01653 Cable Thermo - Couple with Washer "K" CHROM-ALUMEL .17"IDX10
capitolareatech NEW - $20.00 0 Dec/20/16 Jun/20/20
Description: AMAT 3060-00305 Ball Bearing with SEALS 25X62X17
capitolareatech NEW - $11.26 0 Dec/20/16 Sep/15/19
Description: AMAT 3700-02335 Centering Ring Assembly NW25 with Viton O-Ring ALUM
capitolareatech NEW - $10.00 2 Dec/20/16 Mar/27/18
Description: AMAT 3700-01986 Centering Assembly NW25 with Viton O-Ring SST316L EP
capitolareatech NEW - $10.53 0 Dec/20/16 Jun/20/20
Description: AMAT 4040-00020 PLNGR Hand RETRAC L-HDL .12-.50LB 1/4-20 X .75 STL with NYL-LKG
capitolareatech NEW - $25.34 0 Dec/20/16 Jun/20/20
Description: AMAT 4020-01088 Filter Spin on 10 Micron with Cap Plug
capitolareatech NEW - $325.00 0 Dec/20/16 Jun/20/20
Description: AMAT 3930-01014 Temperature Controller Digital Controller with communication fun
capitolareatech NEW - $32.95 1 Dec/20/16 Dec/23/17
Description: LAM RESEARCH 754-092082-002 LEAF SPRING WITH GUIDE BEARING; VAT 80642-R1
capitolareatech Used - $280.00 0 Dec/20/16 Jun/06/17
Description: AMAT 0020-37639-assembly LIFT ASSEMBLY; 0020-37639, 0020-36726 WITH COMPACT AIR
capitolareatech NEW - $8,950.00 0 Dec/20/16 Oct/16/17
Description: AMAT 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16
alamedaauction NEW - $149.95 0 Dec/22/16 Jan/21/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
cssurplus NEW - $2,142.11 0 Dec/31/16 Jan/30/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
sparesllc09 Used - $14,000.00 0 Jan/06/17 Jul/26/19
Description: 0010-21669 ,WITH MAG# 0010-21676 / VECTRA IMP SOURCE PVD / AMAT
kingprecision NEW - $6,900.00 0 Jun/09/15 Jul/12/18
Description: 0010-21246 8" Advanced A101 Semiconductor Heater NEW with Certs and Warranty
conquer_2011 Used - $1,160.00 0 Jan/13/17 Feb/12/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $950.00 0 Jan/13/17 Feb/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
usedeqsales Used - $401.17 0 Jan/17/17 Jan/06/22
Description: AMAT Applied Materials 0190-24484 Transponder with Antenna 0190-10813 Spare
gigabitpartsolutions Used - $269.50 3 Jan/17/17 Oct/31/17
Description: PCB SMS TECHNOLOGIES 272072-00 LAM RESEARCH (LAM) 853-190023-001 Hard Drive with
hicillau Used - $800.00 0 Jan/18/17 Oct/06/21
Description: AMAT CHAMBER INTERCONNECT ASSY BD P/N: 0100-20004 USED WITH WORKING CONDITION
tdindustrial NEW - $395.00 1 Jan/20/17 Jan/20/17
Description: Lam Wafer Clamp 8" Shadow 716-028688-281, New with LAM Certification
alamedaauction NEW - $149.95 0 Jan/21/17 Feb/20/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
visionsemi NEW - $325.00 0 Feb/01/17 May/26/17
Description: LAM RESEARCH 796-094022-001 MKS / HPS VALVE WITH BYPASS 99-1639
cssurplus NEW - $3,295.55 0 Feb/04/17 Mar/06/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
vizko2017 Refurbished - $200.00 0 Feb/05/17 Apr/06/17
Description: AMAT APPLIED MATERIALS 0041-29007 RING SPACER TEXTURED WITH SPRING RFPVD 300MM
vizko2017 Refurbished - $340.00 1 Feb/05/17 May/31/18
Description: AMAT APPLIED MATERIALS 0021-22224 REV 003 IMP PEDESTAL WITH CENTER BUTTON
conquer_2011 Used - $1,020.80 0 Feb/12/17 Mar/14/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $712.50 0 Feb/12/17 Mar/14/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
alamedaauction NEW - $149.95 0 Feb/21/17 Mar/23/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
cubit001 Used - $119.00 0 Feb/22/17 Mar/24/17
Description: AMAT 0020-20340 Slit Door With "O" ring.
cssurplus NEW - $2,306.89 0 Mar/07/17 Apr/06/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
hicillau Used - $9,000.00 1 Mar/09/17 Dec/12/17
Description: AMAT CONTROLLER I/O ASSY PCB P/N: 0100-76050 USED WITH WORKING CONDITION
chiller1944 Used - $1,750.00 0 Mar/09/17 Apr/22/19
Description: Bay Voltex Termal Systems Air cooled chiller MC025-E1H2J1. Tested with warranty
conquer_2011 Used - $1,160.00 0 Mar/14/17 Apr/13/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $760.00 0 Mar/14/17 Apr/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
j316gallery Used - $2,100.00 0 Mar/23/17 Nov/18/20
Description: 8266 APPLIED MATERIAL 8" HEATER ASSY WITH 2 TC 0040-20505 0020-20125
alamedaauction NEW - $149.95 0 Mar/23/17 Apr/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
katiil3 Used - $549.00 1 Mar/26/17 Mar/26/17
Description: AMAT 0020-20575, Oriental Motor K0043-M, Vexta, with Gear Head, 0100-20065
cubit001 Used - $5,899.00 0 Mar/28/17 Apr/27/17
Description: AMAT 0040-61049 CHUCK WATER BOX WITH LIFT ASSEMBLY, 0050-08046, 0040-61048
semionepage Used - $9,580.00 0 Mar/29/17 Jul/23/21
Description: ENI OEM-12B3/ OEM-12B3-08 / AMAT 0920-01061 WORKING With 180 DaysWarranty!
bobsgoodies NEW - $180.00 1 Mar/29/17 May/15/17
Description: AMAT 0190-13035 LAMP, BAKEOUT WITH LUGS SYLVANIA 500T3 500W (Lot of 14)
katiil3 Used - $599.00 0 Apr/05/17 Apr/05/17
Description: Applied Materials 0010-37184 Throttle Valve with Drive 0020-09999
j316gallery Used - $1,200.00 0 Apr/05/17 May/04/17
Description: 8090 APPLIED MATERIAL PCB I/O EXPANSION WITH SINGLE SER, 0130-76087 0090-00223
cssurplus NEW - $3,295.55 2 Apr/07/17 Apr/15/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
yericomfg Used - $600.00 1 Apr/11/17 Aug/08/18
Description: AMAT 0041-26708 Al Showerhead with Brazed Heater Siconi Producer
conquer_2011 Used - $1,160.00 0 Apr/13/17 May/13/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $760.00 0 Apr/13/17 May/13/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
gigabitpartsolutions NEW - $11.00 6 Apr/19/17 Aug/16/21
Description: Screw PKG 8 (AMAT) 3690-01882 with 3880-01023 CAP SKT HD 6-32x1.75L SST SLVR-PLT
katiil3 Used - $599.00 0 Apr/20/17 Jul/12/17
Description: Applied Materials 0010-38027 Throttle Valve with Drive 0020-09999
alamedaauction NEW - $149.95 0 Apr/22/17 May/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
cssurplus NEW - $3,295.55 2 Apr/27/17 May/26/17
Description: AMAT 0190-41807 End Effector with Plunger & Mapper M101, AEG, New Sealed
katiil3 Used - $249.00 0 May/09/17 Oct/23/21
Description: AMAT 0190-12806 // GATE, ANODIZED ALUM WITH 513 CHEMRAZ ORI
conquer_2011 Used - $1,160.00 0 May/13/17 Jun/12/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $950.00 0 May/13/17 Jun/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
allpart2016 Scrap, for parts - $1,250.00 1 May/18/17 May/23/17
Description: Novellus Systems MC3A, Module Controller With Arcnet 02-253705-00 SOLD AS-IS
alamedaauction NEW - $149.95 0 May/23/17 Jun/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
allpart2016 Scrap, for parts - $1,250.00 1 May/23/17 Jul/24/17
Description: Novellus Systems MC3E Platform Controller With Ethernet 02-257935-00 B AS-IS
allpart2016 Scrap, for parts - $1,250.00 1 May/23/17 Jul/24/17
Description: Novellus Systems MC3A Platform Controller With Ethernet 02-257935-00 SOLD AS-IS
visionsemi NEW - $325.00 3 May/30/17 Mar/25/19
Description: LAM RESEARCH 796-094022-001 MKS / HPS VALVE WITH BYPASS 99-1639
atxdeals4u Used - $2,000.00 1 Jun/05/17 Jun/19/17
Description: Novellus Systems MC3E Platform Controller with Ethernet Assy. P/N 02-257935-00
catalystparts Used - $9,500.00 0 Jun/07/17 Jul/30/18
Description: Applied Materials Laser Robot Characterization Fixture Assy with Case 0010-21999
spsglobal Used - $2,500.00 1 Jun/09/17 Feb/27/23
Description: 136-0501// AMAT APPLIED 0010-09331 (#1) (WITH COVER) AMPULE/CHAMBER TEOS USED
solanotraders Used - $950.00 0 Jun/12/17 Jul/12/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
conquer_2011 Used - $816.00 0 Jun/12/17 Jul/12/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
cubit001 Used - $599.00 0 Jun/13/17 Jul/13/17
Description: AMAT 0010-09305 Throttle Valve, with 0015-09077, 0020-09999
exper-tech Used - $135.00 0 Jun/14/17 Mar/01/19
Description: AMAT Applied Materials 0020-21073 Blank Flange Trasnsfer with RGA Port, Used
alamedaauction NEW - $65.00 0 Jun/22/17 Jul/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
spsglobal Used - $6,000.00 0 Jun/28/17 Sep/27/19
Description: 135-0201// AMAT APPLIED 0040-09723 UNIBODY, ETCH CHAMBER WITH .397 STEP USED
j316gallery Used - $340.00 0 Jun/29/17 Mar/02/18
Description: 8660 APPLIED MATERIAL IMP PEDESTAL WITH CENTRE BUTTON 300MM HEATER W/ 0021-22224
conquer_2011 Used - $960.00 0 Jul/12/17 Aug/11/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $712.50 0 Jul/12/17 Aug/11/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
alamedaauction NEW - $25.00 0 Jul/23/17 Aug/22/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
premiumplc Used - $162.95 0 Jun/11/12 Jun/01/20
Description: WHITEY MS-142AC ELECTRONIC ACTUATED VALVE HEAD SWAGELOK WITH VALVE: B-63TF8
cubit001 Used - $588.00 0 Jul/24/17 Aug/23/17
Description: AMAT 0010-09305 Throttle Valve, with 0015-09077, 0020-09999
hscelectronicsupply NEW - $1,500.00 0 Aug/08/17 Feb/08/23
Description: Applied Materials AMAT MCVD Susceptor 0010-10277 6" T1 - Sealed, with Documents
cubit001 Used - $550.00 0 Aug/09/17 Sep/08/17
Description: AMAT 0020-34831. A PLATE MOUNTING RF/HV W/INTER with 0090-09152
cubit001 Used - $1,499.00 0 Aug/10/17 Sep/09/17
Description: AMAT 0030-70048 SLIT VALVE with 0020-22412/ 0020-22413 / NCDQ2B80-G0656-38
starbit11 Scrap, for parts - $1,250.00 1 Aug/10/17 Aug/26/17
Description: Novellus Systems MC3E Platform Controller With 02-321865-00 rev A SOLD AS-IS
conquer_2011 Used - $960.00 0 Aug/11/17 Sep/10/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
solanotraders Used - $950.00 0 Aug/11/17 Sep/10/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
spsglobal Scrap, for parts - $300.00 1 Aug/17/17 Oct/05/19
Description: 129-0203// AMAT APPLIED 0100-00825 ASSY PCB AIO WITH NO A/D NOT WORKING
alamedaauction NEW - $19.95 0 Aug/22/17 Sep/21/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
cubit001 Used - $299.00 0 Aug/24/17 Sep/23/17
Description: AMAT 0020-70710 Bracket Mag Switch with EH3112 SOLENOID VALVE
cubit001 Used - $2,999.00 0 Aug/24/17 Sep/23/17
Description: AMAT 0100-35067 Mainframe Pneumatic Interconnect with 0150-20628, 0190-20150
bobsgoodies2 Used - $275.00 0 Aug/28/17 Mar/31/22
Description: AMAT 0240-92457 Clean Room Remote Control Box with Cable 0140-90426
xsysengineering Used - $1,200.00 0 Aug/28/17 Jun/12/21
Description: Applied Materials / AMAT 150mm / 6" Pedestal P/N: 0020-31709 with lip seals
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-13
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-14
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-01
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-15
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-09
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-18
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-02
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-04
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-03
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-16
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-05
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-07
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-11
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-10
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-08
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-19
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-12
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-06
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With 50-Polig Plugs 03-169680-20
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With Plugs 03-173253-00
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With Plugs 03-173260-00
noam-tech Used - $520.00 0 Sep/07/17 Jul/23/20
Description: Novellus systems Cabel, With Plugs 03-173261-00
solanotraders Used - $570.00 0 Sep/10/17 Oct/10/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
conquer_2011 Used - $336.00 0 Sep/10/17 Sep/21/17
Description: AMAT 0200-09995 RING, INNER, ALN 8" 5MM NOTCH 201 WXZ with 4 CERAMIC NAILS
noam-tech Used - $785.00 1 Sep/10/17 Apr/03/19
Description: Amat Sensor Box ASM 300mm With 0040-76631 Rev 07 0190-10801
quality_automation_equipment Used - $145.00 0 Sep/12/17 Oct/12/17
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
asmtk Used - $8,000.00 0 Sep/20/17 Oct/22/17
Description: Applied Materials 0090-00224 ASSY, PCB, I/O EXPANSION WITH DUAL SERIP AMAT
alamedaauction NEW - $19.95 0 Sep/21/17 Oct/21/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
bobsgoodies2 Used - $225.00 0 Sep/26/17 Mar/31/22
Description: APPLIED MATERIAL 0040-76367 MANIFOLD, TALL With Swagelok fittings
cubit001 Used - $898.00 1 Sep/27/17 Sep/28/17
Description: AMAT 0040-39554 GIMBAL ASSY,ORIENTER LIFTER, with 0010-01152, 0040-75904
solanotraders Used - $608.00 0 Oct/10/17 Nov/09/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
berg_korea NEW - $500.00 0 Oct/17/17 Oct/17/17
Description: AMAT 3310-01081, 274043 GAUGE ION NUDE 2.75 INCH CF WITH CABLE LOCK MECH
berg_korea NEW - $500.00 0 Oct/17/17 Nov/12/17
Description: AMAT 3310-01081 GAUGE ION NUDE 2.75 INCH CF WITH CABLE LOCK MECH
alamedaauction NEW - $9.95 0 Oct/21/17 Nov/20/17
Description: NEW AMAT 0090-03913 Over Temperature SW with Filter & Harness Assy / Sealed
cubit001 Used - $2,999.00 0 Oct/30/17 Nov/29/17
Description: AMAT 0100-35067 Mainframe Pneumatic Interconnect with 0150-20628, 0190-20150
cubit001 Used - $1,499.00 0 Oct/30/17 Nov/29/17
Description: AMAT 0030-70048 SLIT VALVE with 0020-22412/ 0020-22413 / NCDQ2B80-G0656-38
bobsgoodies NEW - $1,920.00 2 Nov/06/17 Mar/22/18
Description: AMAT 0010-77357 DDF3 PNEUM CNTRL UNIT, NEW With Assembly Documentation
solanotraders Used - $760.00 0 Nov/09/17 Dec/09/17
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
quality_automation_equipment Used - $145.00 0 Nov/14/17 Dec/14/17
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
noam-tech Used - $160.00 0 Nov/19/17 Jul/23/20
Description: Lam Cable, with 2 plugs 853-234939-005
atxdeals4u Used - $1,000.00 0 Dec/06/17 Oct/03/18
Description: Applied Materials 0090-05595 Rev. 01 Producer SE Nanocure Chassis with Modules
j316gallery Used - $120.00 3 Dec/06/17 May/29/18
Description: 1969 CTI/AMAT SS FLEXIBLE HOSE, 1/2" FITTING WITH ONE ELBOW 8081617 / 3400-01084
starbit11 Used - $4,550.00 1 Nov/26/17 Dec/08/17
Description: Novellus 02-253705-00 REV C MC3E MODULE CONTROLLER WITH ARCNET SOLD AS-IS
solanotraders Used - $570.00 0 Dec/09/17 Jan/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
usedeqsales NEW - $20.00 0 Dec/20/17 Jan/02/18
Description: Applied Materials 3700-01088 Seal Center Ring Assembly NW50 with Viton O-Ring
usedeqsales NEW - $32.00 0 Dec/20/17 Jan/02/18
Description: Applied Materials 3700-01088 Seal Center Ring Assembly NW50 with Viton O-Ring
usedeqsales Used - $601.18 0 Dec/20/17 Apr/11/18
Description: LAM 839-360218-001 S-BENO WITH BELLOWS
usedeqsales Used - $750.00 0 Dec/20/17 Jan/24/18
Description: Lam Research 853-190023-001 Assembly Hard Disk IDE with Controller PCB
athomemarket Used - $149.99 1 Dec/20/17 Dec/27/17
Description: Hybricon HBFA 044-613 LAM 053-017305-001 VME Chassis 16 Slots with Backplanes
quality_automation_equipment Used - $145.00 0 Dec/22/17 Jan/21/18
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
usedeqsales Used - $412.17 0 Dec/26/17 May/15/19
Description: AMAT Applied Materials 0190-24484 Transponder Reader with Sensor 0190-10813 Used
spsglobal Used - $2,000.00 0 Dec/27/17 Jan/08/18
Description: AMAT APPLIED MATERIALS 0010-21631 ASSY, CH A OR B LID with VIEWPORTS USED
spsglobal Used - $2,000.00 2 Dec/27/17 Aug/22/19
Description: AMAT APPLIED MATERIALS 0010-21631 ASSY, CH A OR B LID with out VIEWPORT USED
yericomfg NEW - $990.00 0 Dec/27/17 Aug/08/18
Description: 0040-81934 AMAT Output Mainfold Right Valve NEW with COC
spsglobal Used - $2,000.00 0 Jan/08/18 Jan/27/19
Description: 306-0401// AMAT APPLIED 0010-21631 (#1) ASSY, CH A OR B LID with VIEWPORTS USED
solanotraders Used - $570.00 0 Jan/08/18 Feb/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
bobsgoodies NEW - $600.00 4 Jan/11/18 Jan/18/18
Description: AMAT 1080-01237, 5-Phase Motor With Brake Vexta PK569AHWM
capitolareatech NEW - $495.00 0 Jan/12/18 Aug/13/20
Description: AMAT 0040-03239 CATHODE WITH PLATE
vizvik16 NEW - $550.00 0 Jan/12/18 Oct/28/19
Description: AMAT APPLIED MATERIALS 0051-06315 LINE CHAMBER EQUALIZATION WITH MV QTY. 2
eastsemi Used - $7,900.00 0 Jan/12/18 Feb/03/18
Description: 0100-00372 Applied Materials with daughter board.
melisschot NEW - $500.00 0 Jan/12/18 Feb/11/18
Description: AMAT 0090-77110 Assy, slip rings with Harness
keykorea Used - $5,500.00 4 Jan/15/18 Mar/08/18
Description: AMAT 0190-34646 Generator 3152411-243 A WITH 0195-02768, USED
eastsemi Used - $10,000.00 0 Jan/16/18 Feb/04/18
Description: 0090-00224 Applied Materials with dual daughter board.
bobsgoodies NEW - $600.00 3 Jan/18/18 May/09/18
Description: AMAT 1080-01237, 5-Phase Motor With Brake Vexta PK569AHWM
usedeqsales Used - $201.18 1 Jan/19/18 Sep/30/18
Description: AMAT Applied Materials 0190-06926 Cable SQS R/A TO SQS R/A with Interlock Used
spsglobal Used - $8,500.00 0 Jan/21/18 May/10/19
Description: 130-0201// AMAT APPLIED 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16 USED
quality_automation_equipment Used - $145.00 0 Jan/25/18 Feb/24/18
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
atxdeals4u Scrap, for parts - $300.00 0 Jan/27/18 Dec/14/18
Description: AMAT 0010-25741 300mm-HTR-BYPASS with Brooks GF125XXC and Inficon PCG550
northbaycontact Used - $695.00 0 Feb/02/18 Mar/04/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $485.00 0 Feb/02/18 Mar/04/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
farmoninc NEW - $595.00 1 Feb/02/18 Feb/21/24
Description: AMAT 0200-00242 Deposition Ring With Anti-Rotation CU Besc, 424216
northbaycontact Used - $585.00 0 Feb/02/18 Mar/04/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
solanotraders Used - $608.00 0 Feb/07/18 Mar/09/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
bobsgoodies Used - $212.50 1 Feb/08/18 Mar/22/18
Description: AMAT 4060-01155 MANF ASSY PNEU 16 STATION WITH NP420-DN1 DNET Serial Interface
melisschot NEW - $500.00 0 Feb/12/18 Mar/14/18
Description: AMAT 0090-77110 Assy, slip rings with Harness
capitolareatech NEW - $995.00 0 Feb/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31709 150MM, 6" PEDESTAL WITH LIP SEALS
farmoninc Used - $500.00 0 Feb/16/18 Mar/03/22
Description: AMAT 0242-07730 Kit CH D Gasline Non-Toxic With No 2nd Manifold RTP, CMF 424274
atxdeals4u Scrap, for parts - $2,000.00 0 Feb/28/18 Jul/27/18
Description: Novellus 02-298083-00 with 20-124501-00, 02-148507-00, 02-254709-00
quality_automation_equipment Used - $145.00 0 Mar/01/18 Mar/31/18
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
northbaycontact Used - $695.00 0 Mar/05/18 Mar/26/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $485.00 0 Mar/05/18 Mar/26/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
northbaycontact Used - $585.00 0 Mar/05/18 Mar/26/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
noam-tech Used - $3,200.00 0 Mar/07/18 Jul/23/20
Description: Vavle, 0040-23168 / Rev 06 / Assy Manifold Water Pipes with 4 Valves / Chamber
farmoninc NEW - $65.00 2 Mar/08/18 Jul/13/18
Description: AMAT 0200-09636 Plug, Sic, With Head 424358
solanotraders Used - $608.00 0 Mar/09/18 Apr/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
spsglobal Used - $6,000.00 0 Mar/12/18 Nov/24/20
Description: 328-0101// AMAT APPLIED 0010-22223 ASSY,RESONATOR WITH INTERLOCKS, REACTIVE USED
spsglobal Used - $6,000.00 0 Mar/12/18 Oct/31/19
Description: 327-0101// AMAT APPLIED 0010-20705 (#2) RF RESONATOR ASSY WITH INTERLOCKS USED
spsglobal Used - $7,000.00 0 Mar/12/18 Sep/17/18
Description: 327-0101// AMAT APPLIED 0010-20705 (#1) RF RESONATOR ASSY WITH INTERLOCKS USED
capitolareatech NEW - $129.95 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-36419 CLAMP TRANSFER CHAMBER WITH SPRING
capitolareatech NEW - $195.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 3020-01156 Cylinder Rodless 25MM Bore 425MM STRK with C
melisschot NEW - $500.00 0 Mar/14/18 Apr/13/18
Description: AMAT 0090-77110 Assy, slip rings with Harness
alamedaauction NEW - $75.00 1 Mar/16/18 Mar/16/18
Description: NEW AMAT 0150-21692 RF CABLE ASSY RIGHT ANGLE WITH INTERLOCK Applied Materials
capitolareatech NEW - $135.00 0 Mar/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-09789 VALVE WELDMENT, FINAL VALVE, VER 4 TEOS with
midwestsemi Used - $298.00 2 Mar/18/18 Apr/06/22
Description: NEW BUSCHJOST 0000000.9100 COIL 24V 00HZ 8W WITH VALVE 8274200.9100 SHIPSAMEDAY
gosemicat NEW - $1,400.00 0 Mar/30/18 Jan/16/24
Description: AMAT# 0200-10555 Base plate with Baffle Quartz
riverstar777 NEW - $5,000.00 0 Apr/01/18 Apr/11/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
capitolareatech NEW - $729.95 0 Apr/05/18 Sep/23/19
Description: Applied Materials (AMAT) 0100-00825 ASSY PCB AIO WITH NO A/D CONVERTER
20041014625pm Used - $2,895.00 0 Apr/07/18 May/07/18
Description: Lam Research CPU 605-017034-110 Interchangeable With The 810-017034-005 Assembly
solanotraders Used - $608.00 0 Apr/08/18 May/08/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
usedeqsales Used - $904.18 0 Apr/11/18 Mar/03/22
Description: AMAT Applied Materials 0021-78095 Manifold with SMC ITV2001-31N3N4-X95 Copper
quality_automation_equipment Used - $145.00 0 Apr/11/18 May/11/18
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
atxdeals4u Used - $3,000.00 1 Apr/13/18 Jun/04/18
Description: Novellus Systems Assy, MC3E Module Controller with Ethernet P/N 02-253704-00
usedeqsales Used - $904.18 0 Apr/16/18 Mar/03/22
Description: AMAT Applied Materials 0021-78097 Manifold with SMC ITV2031-31N3N4-X97 Copper
melisschot NEW - $400.00 0 Apr/18/18 May/18/18
Description: AMAT 0090-77110 Assy, slip rings with Harness
riverstar777 NEW - $5,000.00 0 Apr/19/18 May/19/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
sparesllc09 Used - $15,000.00 0 Apr/20/18 Oct/09/19
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
sparesllc09 NEW - $17,500.00 0 Apr/24/18 Oct/09/19
Description: FI20685, 0920-00046 / MKS ASTEX ASTRON **WITH CERTS**EX FLORINE GENERATOR / AMAT
northbaycontact Used - $585.00 0 May/02/18 Jun/01/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
northbaycontact Used - $485.00 0 May/02/18 Jun/01/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
northbaycontact Used - $695.00 0 May/02/18 Jun/01/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
spsglobal Used - $150.00 0 May/03/18 Mar/03/20
Description: 320-0303// AMAT APPLIED 0090-20295 VAC RELAY WITH HARNESS USED
spsglobal NEW - $10.00 1 May/03/18 Jul/10/19
Description: 307-0202// AMAT APPLIED 0021-38113 BRACKET, THERMAL SWITCHES, DPA WITH FAN NEW
20041014625pm Used - $2,895.00 0 May/07/18 May/23/18
Description: Lam Research CPU 605-017034-110 Interchangeable With The 810-017034-005 Assembly
solanotraders Used - $570.00 0 May/08/18 Jun/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
asmtk Used - $5,000.00 0 May/09/18 Jun/25/18
Description: Applied Materials 0040-09723 UNIBODY ETCH CHAMBER WITH 397 STEP AMAT
bobsgoodies NEW - $449.00 0 May/15/18 Jan/24/19
Description: AMAT 0010-05218 Return Valve Manifold Assembly With 0190-01186 SENSOR
capitolareatech NEW - $55.00 0 May/16/18 Nov/01/18
Description: AMAT 0010-39665 Assembly, Lockout Valve with One Touch FTGS
capitolareatech NEW - $95.00 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0226-99067 Gas Line Assy with Fujikin Component
capitolareatech NEW - $119.95 0 May/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0040-36817 Weldment with Gas Line
riverstar777 NEW - $5,000.00 0 May/20/18 Jun/19/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
solanotraders Used - $570.00 0 Jun/07/18 Jul/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
usedhightechequipment Used - $350.00 0 Jun/07/18 Oct/12/18
Description: Bentek Novellus Concept 2 Control Unit 03-045239-00 Rev. F with Setra Datum 2000
bobsgoodies NEW - $360.00 1 Jun/18/18 Aug/07/18
Description: AMAT 1080-01237, 5-Phase Motor With Brake Vexta PK569AHWM
riverstar777 NEW - $5,000.00 0 Jun/20/18 Jul/20/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
usedeqsales Used - $606.18 0 Jun/27/18 Mar/03/22
Description: AMAT Applied Materials 0020-42262 Gas Manifold with Mixer Lot of 4 Refurbished
adelrick123 Used - $240.00 0 Jun/28/18 Jun/30/22
Description: Amat # 0100-35178 CHX PCB with Cover
northbaycontact Used - $695.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $585.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
northbaycontact Used - $485.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
alvin1462 Used - $888.00 2 Jul/06/18 Jan/27/22
Description: AMAT Applied Materials 0010-09340 SUSCEPTOR LIFT with 0090-09145 ASSY TC AMP
quality_automation_equipment Used - $145.00 0 Jul/06/18 Aug/05/18
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
solanotraders Used - $608.00 0 Jul/07/18 Aug/06/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
brad2000 NEW - $1,895.00 1 Jul/20/18 Aug/01/18
Description: Lam Research 853-031764-001 Lift Assembly With Brand New Bellow
riverstar777 NEW - $4,500.00 0 Jul/24/18 Aug/23/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
lehk013 Used - $571.69 0 Jul/25/18 Oct/25/19
Description: APPLIED MATERIALS 0100-00472 REV002 with 90days warranty Free DHL or EMS #ELZ
northbaycontact Used - $485.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
northbaycontact Used - $695.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $585.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
northbaycontact Used - $4,500.00 0 Aug/06/18 Sep/05/18
Description: 0010-76036 AMAT MINI-CONTROLLER PRECISION P5000 10 Modules with Cables
quality_automation_equipment Used - $145.00 0 Aug/06/18 Sep/05/18
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
solanotraders Used - $570.00 0 Aug/08/18 Sep/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
yericomfg NEW - $990.00 0 Aug/08/18 Aug/27/19
Description: 0040-81934 AMAT Output Mainfold Right Valve NEW with COC
yericomfg Used - $600.00 0 Aug/08/18 Aug/27/19
Description: AMAT 0041-26708 Al Showerhead with Brazed Heater Siconi Producer
bobsgoodies2 Used - $149.00 0 Aug/21/18 Mar/31/22
Description: AMAT 0140-09169 HARNESS ASSY CVD LIFT RESISTORS with resistors & heat sink
bobsgoodies2 Used - $139.00 0 Aug/22/18 Mar/31/22
Description: AMAT 0040-09271 BRACKET, BASE, WAFER LIFT with springs and adjusting screws.
bobsgoodies NEW - $349.00 1 Aug/22/18 Nov/29/18
Description: AMAT 0090-75008 MOTOR-ENCODER ASSY With Brake VEXTA C5347-9212M
capitolareatech Used - $995.00 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 853-013542-002 Assy., Isolation Valve, Heated with Used PH265
tchaban88 NEW - $25.00 3 Aug/27/18 Jul/23/19
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
athomemarket Used - $510.00 1 Aug/30/18 Sep/06/18
Description: AMAT 0010-10973 H.O.T. Detector Module with 30415 Analyzer 470.5nm Monochromator
capitolareatech NEW - $4,995.00 1 Sep/02/18 Sep/20/19
Description: Applied Materials (AMAT) 0242-03316 MCVD EBARA ET 300WS-A HTD STD WITH CONTROLLE
northbaycontact Used - $485.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
northbaycontact Used - $695.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $585.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
northbaycontact Used - $4,500.00 0 Sep/06/18 Oct/06/18
Description: 0010-76036 AMAT MINI-CONTROLLER PRECISION P5000 10 Modules with Cables
solanotraders Used - $570.00 0 Sep/07/18 Oct/07/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
dnd_surplus NEW - $600.00 0 Sep/10/18 Oct/10/18
Description: AMAT Applied Materials 0650-00029 Disk Drive Floopy 3.5" with 24" cable
prism_electronics8 Used - $549.99 0 Sep/19/18 Jul/01/22
Description: APPLIED MATERIALS 0050-42275 Manifold with H2O Leak Detection Tray
chiller1944 Refurbished - $6,999.00 0 Sep/20/18 Oct/26/18
Description: AMAT Applied Materials 0242-02164 Heat Exchanger AMAT 0 Certified with warranty
bobsgoodies Scrap, for parts - $115.00 0 Sep/20/18 Oct/05/18
Description: AMAT 0090-20004 Vexta 5-Phase A3723-9215 Centura Indexer Motor With encoder
athomemarket Used - $99.97 7 Sep/21/18 Oct/07/22
Description: AMAT 0010-29972 Centura 4.0 FI Controller with Backplane and Drives 3U CPCI
bobsgoodies Used - $150.00 0 Sep/21/18 Oct/05/18
Description: AMAT 0090-20004 Vexta 5-Phase A3723-9215 Centura Indexer Motor With encoder
capitolareatech NEW - $249.95 0 Sep/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0100-09137 ASSY ENCODER INTERFACE PCB REV B WITH BRACKE
eisale1535 Used - $6,500.00 0 Oct/03/18 Dec/02/18
Description: Brooks Automation 121669 Wafer Handling Robot with NOVELLUS 02-273860-01 End Eff
bobsgoodies Used - $150.00 2 Oct/05/18 Oct/07/18
Description: Vexta 5-Phase A3723-9215 AMAT 0090-20004 Centura Indexer Motor With encoder
bobsgoodies2 Used - $350.00 0 Oct/08/18 Mar/31/22
Description: AMAT 0100-09062 Endpoint PCB Assembly with laser
prism_electronics8 Used - $74.99 0 Oct/08/18 Aug/20/22
Description: APPLIED MATERIALS 0225-09247 CES RF Interlock Switch with Harness
northbaycontact Used - $585.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
northbaycontact Used - $695.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $485.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
northbaycontact Used - $4,500.00 0 Oct/09/18 Nov/08/18
Description: 0010-76036 AMAT MINI-CONTROLLER PRECISION P5000 10 Modules with Cables
erdltd Used - $4.95 0 Oct/10/18 Aug/10/23
Description: **REPAIR EVALUATION ONLY** Applied Materials 0010-20079 (With 3-Year Warranty!)
northwest_equipment_sales Used - $145.00 0 Oct/17/18 Nov/16/18
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
solanotraders Used - $760.00 0 Oct/19/18 Nov/18/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
autoquip7 NEW - $950.00 0 Oct/24/18 Aug/03/19
Description: 0010-05155, AMAT, BEZAL WITH STATUS LIGHTS AND TURN TO RELEASE
erdltd Used - $4.95 0 Nov/07/18 Aug/07/23
Description: **REPAIR EVALUATION ONLY** Applied Materials 0010-03151 (With 3-Year Warranty!)
northbaycontact Used - $495.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $485.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
northbaycontact Used - $585.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
northbaycontact Used - $3,750.00 0 Nov/08/18 Dec/08/18
Description: 0010-76036 AMAT MINI-CONTROLLER PRECISION P5000 10 Modules with Cables
ja-8328 Used - $12,500.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0090-00223 ASSY, PCB, I/O EXPANSION WITH SINGLE SERIFLEX BOARD
benad24 Used - $176.91 0 Nov/16/18 Apr/15/19
Description: Amat Applied Material Act 0100-71278 Rev.01 Vme Comm Interface with Pmc Cards
northwest_equipment_sales Used - $145.00 0 Nov/16/18 Dec/16/18
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
solanotraders Used - $570.00 0 Nov/18/18 Dec/18/18
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
usedeqsales Used - $611.18 1 Nov/20/18 Aug/04/21
Description: SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-07656 Used
j316gallery Used - $4,888.40 1 Nov/23/18 Jan/29/20
Description: 12485 APPLIED MATERIAL PCB, CENTURA I/OX WITH DUAL SERIPLEX BUS 0090-76041
j316gallery Used - $6,490.00 0 Nov/23/18 Oct/10/19
Description: 12462 APPLIED MATERIAL UNIBODY, ETCH CHAMBER WITH .397 STEP 0040-09723
j316gallery Used - $2,000.00 1 Nov/26/18 Dec/04/18
Description: 8090 APPLIED MATERIAL PCB I/O EXPANSION WITH SINGLE SER, 0130-76087 0090-00223
jfplcs Used - $288.00 0 Nov/27/18 Dec/27/18
Description: Applied Materials Universal Gauge with sensor Amat 0190-26769
atxdeals4u Used - $2,200.00 0 Nov/28/18 Mar/22/19
Description: Applied Materials Heater Assy 300mm Endura Degas with TR AMAT 0010-19706
bobsgoodies2 Used - $195.00 0 Nov/29/18 Mar/31/22
Description: AMAT 0020-78705 Bracket, Head Sweep Motor with shaft collar 0020-77236
riverstar777 Used - $2,900.00 2 Dec/03/18 Dec/04/18
Description: Applied Materials 0010-19706 Heater Assy 300mm Endura Degas With Tr
northbaycontact Used - $485.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
northbaycontact Used - $585.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
northbaycontact Used - $495.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $3,750.00 0 Dec/10/18 Jan/09/19
Description: 0010-76036 AMAT MINI-CONTROLLER PRECISION P5000 10 Modules with Cables
bobsgoodies NEW - $349.00 1 Dec/10/18 Jun/13/19
Description: AMAT 0090-75008 MOTOR-ENCODER ASSY With Brake VEXTA C5347-9212M
bobsgoodies2 Used - $300.00 0 Dec/20/18 Mar/31/22
Description: APPLIED MATERIALS 0200-00242 DEPOSITION RING WITH ANTI-ROTATION CU BESC AMAT
northwest_equipment_sales Used - $145.00 0 Dec/20/18 Jan/19/19
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
solanotraders Used - $570.00 0 Dec/28/18 Jan/27/19
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
getspares.com_sparesllc09 Used - $12,500.80 0 Jan/02/19 Oct/19/21
Description: 0090-02783 / CNTL, FLEX CPCI CENTURA AP WITH CCM / APPLIED MATERIALS AMAT
gigabitpartsolutions Used - $269.50 1 Jan/03/19 Apr/27/19
Description: Controller SMS 272072-00 (LAM) 853-190023-001 HD with controller UNTESTED NO RET
brokar2012 NEW - $300.00 0 Jan/08/19 May/20/19
Description: AMAT Applied Materials 0190-06926 Cable SQS R/A TO SQS R/A with Interlock new
bobsgoodies2 Used - $350.00 0 Jan/08/19 Mar/31/22
Description: AMAT 0190-02061 Manifold Assy, 4ZA Slit Valve with Regulator
northbaycontact Used - $495.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $585.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
northbaycontact Used - $485.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
northbaycontact Used - $3,395.00 0 Jan/09/19 Feb/01/19
Description: 0010-76036 AMAT MINI-CONTROLLER PRECISION P5000 10 Modules with Cables
lu-sha3 Used - $3,995.00 0 Jan/09/19 Jul/09/19
Description: Lam Research 853-012123-001 Harmonic Arm Drive Assembly with Vexta Motors
usedeqsales Used - $601.18 0 Jan/10/19 Feb/14/20
Description: SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used
starbit11 Used - $500.00 0 Jan/14/19 Jun/24/19
Description: AMAT Applied Materials 0240-50375 Transponder Reader with Sensor
lehk013 Used - $1,757.87 0 Jan/16/19 Oct/16/19
Description: 100% test applied materials 0660-90102 with 90days warranty Free DHL or EMS
northbaycontact Used - $30.00 1 Jan/21/19 Jan/31/19
Description: AMAT 0100-09126 Remote Wiring Distribution Board with 0100-09040 SSR AC Control
northbaycontact Used - $17.50 1 Jan/21/19 Jan/31/19
Description: AMAT 0226-30333 PCB, FAB MODIFIED N2 DRYVAC PC with 0226-09937 & 0226-30364
northbaycontact Used - $31.00 1 Jan/21/19 Jan/31/19
Description: AMAT 0100-09126 Remote Wiring Distribution Board with AC Distributor 0290-09318
northwest_equipment_sales Used - $145.00 0 Jan/24/19 Feb/23/19
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
farmoninc Used - $3,900.00 0 Jan/25/19 Nov/16/22
Description: RFPP LF-5 RF Generator, AMAT 0920-01014, with Astech ATL-100RA RF Match, 399400
eisale1535 Used - $6,500.00 0 Jan/26/19 Mar/27/19
Description: Brooks Automation 121669 Wafer Handling Robot with NOVELLUS 02-273860-01 End Eff
solanotraders Used - $608.00 0 Jan/27/19 Feb/26/19
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
storemanager-2009 Used - $168.75 0 Feb/06/19 Jul/17/21
Description: NOVELLUS 02-169221-00 , ASSY, SUB ASSY ROTATION (in hard case with wheels)
asmtk Used - $7,000.00 0 Feb/13/19 Oct/01/21
Description: Applied Materials 0010-20705 RF RESONATOR ASSY WITH INTERLOCKS PC II AMAT
sgcequipment Used - $350.00 0 Feb/19/19 Feb/26/19
Description: Applied Materials/AMAT 0010-10866 Rev: 008 Temperature Controller with Watlow 96
jfplcs Used - $288.00 0 Mar/15/19 Apr/14/19
Description: Applied Materials Universal Gauge with sensor Amat 0190-26769
sgcequipment Used - $350.00 0 Mar/19/19 Dec/29/20
Description: Applied Materials/AMAT Temperature Controller 0010-10866 Rev: 008 with Watlow 96
bobsgoodies2 Used - $49.00 0 Mar/20/19 Mar/31/22
Description: AMAT 0140-77755 HRNS, SLURRY FLOW METER wire harness With cord box connector
northwest_equipment_sales Used - $145.00 0 Mar/27/19 Oct/15/21
Description: CKD AG31-02-2 Pneumatic Solenoid Valve, WITH CABLE AMAT 3870-01606
eisale1535 Used - $6,500.00 0 Apr/07/19 Jun/07/20
Description: Brooks Automation 121669 Wafer Handling Robot with NOVELLUS 02-273860-01 End Eff
getspares.com_sparesllc09 Used - $5,207.88 0 Apr/19/19 Jan/23/23
Description: 02-377211-00 / SRD FOUR STAGE RF ASSY WITH BNC / NOVELLUS 200159110 200182041
solanotraders Used - $608.00 1 Apr/12/19 Nov/23/20
Description: ** APPLIED MATERIALS P-5000 GAS BOX P/N 0010-09635 WITH PERFPLATE AND SHOWERHEAD
getspares.com_sparesllc09 NEW - $2,874.88 0 Apr/12/19 Jan/03/23
Description: 0020-45657 / SHUTTER DISC, WITH AL ARC SPRAY, A101 30 / APPLIED MATERIALS AMAT
sfwish NEW - $2,490.00 0 Apr/30/19 Sep/30/19
Description: NEW Applied Material/AMAT 0040-38975 Isolator Teflon with Support Ring 300MM
maxisemi1349 NEW - $650.00 2 May/13/19 Nov/21/19
Description: 15-024611-00 PADDLE,200mm,WITH DIMPLES
expertsurplus Used - $135.00 1 May/29/19 Mar/07/22
Description: AMAT Applied Materials 0020-21073 Blank Flange Transfer with RGA Port, Used
bt_store1 Used - $500.00 0 Jun/03/19 Jun/12/19
Description: AMAT 0190-09488 CABLE NUDE 50FT WITH LOCKING CONN
bobsgoodies Used - $149.00 1 May/31/19 Jun/13/19
Description: AMAT 0090-75007 MOTOR-ENCODER ASSY With Brake VEXTA C5347-9212M
bobsgoodies Scrap, for parts - $50.00 1 Jun/06/19 Jun/13/19
Description: AMAT 0090-75007 MOTOR-ENCODER ASSY With Brake VEXTA C5347-9212M
bobsgoodies Used - $179.00 1 Jun/14/19 Jul/29/19
Description: AMAT 0090-75008 MOTOR-ENCODER ASSY With Brake VEXTA C5347-9212M
usedeqsales Used - $406.19 4 Jun/12/19 Aug/02/22
Description: AMAT Applied Materials 0190-22570 Transponder Set with Brooks Antenna ANT-2K15
zindchau15 Used - $400.00 1 Jun/12/19 Jun/17/19
Description: NOVELLUS 02-321865-00 ASSY PC3E ROSH PLATFORM CONTROLLER WITH ETHERNET
bt_store1 Used - $1,000.00 0 Jun/12/19 Jul/12/20
Description: AMAT 0190-09488 CABLE NUDE 50FT WITH LOCKING CONN
zindchau15 Used - $1,500.00 1 Jun/12/19 Jun/13/19
Description: NOVELLUS 02-253704-00 ASSY MC3E MODULE CONTROLLER WITH ETHERNET
novusferro Scrap, for parts - $17,999.00 0 Jun/18/19 Oct/15/19
Description: Applied Materials AMAT 0040-13150 Heater Chuck with 0010-03254-006
bobsgoodies Used - $425.00 1 Jun/20/19 Jun/22/19
Description: AMAT 0190-76204 PNEUMANIC MANIFOLD, 24-STATION WITH VALVES
novusferro Used - $499.00 2 Jul/02/19 Jan/23/22
Description: Applied Materials AMAT 0190-49999 with Panasonic AC Servo Motor MMMA3A3CN2A2
novusferro Used - $799.00 1 Jul/02/19 Jan/23/22
Description: Applied Materials AMAT 0190-49999 with Panasonic AC Servo Motor MMMA3A3CN2A2
dom0808 Used - $239.00 3 Jul/03/19 Feb/11/22
Description: MKS Valve With Flow Switch Lam Research 796-003146-001
zindchau15 NEW - $140.00 0 Jul/03/19 Oct/23/21
Description: Applied Materials 0246-25749 KIT, S7 LOAD PORT WITH N2 PURGE CAPABILI
getspares.com_sparesllc09 Used - $3,600.98 0 Jul/09/19 Aug/23/23
Description: 0010-03628 / CENTURA MSM, WITH CD ROM AND WIN NT/APPLIED MATERIALS
2016number4store Used - $1,774.23 0 Jul/12/19 Feb/11/20
Description: 100% test applied materials 0660-90102 with 90days warranty Free DHL or FedEX
2016number4store Used - $597.10 0 Jul/11/19 Feb/10/20
Description: APPLIED MATERIALS 0100-00472 REV002 with 90days warranty Free DHL or FedEX
getspares.com_sparesllc09 NEW - $300.98 0 Jul/11/19 Sep/07/23
Description: 0200-00242 / DEPOSITION RING WITH ANTI-ROTATION CU BESC / APPLIED MATERIALS
j316gallery NEW - $92.17 0 Jul/16/19 Dec/28/21
Description: 13881 APPLIED MATERIALS LAMP, BAKEOUT WITH LUGS (NEW) 0190-13035
starbit11 Used - $500.00 0 Jul/17/19 Sep/02/19
Description: AMAT Applied Materials 0240-50375 Transponder Reader with Sensor
usedeqsales Used - $607.19 2 Jul/19/19 Feb/23/21
Description: AMAT Applied Materials 0040-09022 Valve Housing with ISO80 to NW40 Reducer Spare
novusferro Used - $1,599.00 1 Jul/23/19 Oct/15/19
Description: Novellus 61-339201-00 Brushless Motor with Servo Dynamics 7300-8023 Drive
novusferro Used - $249.00 2 Jul/30/19 Jul/08/24
Description: Applied Materials AMAT TEOS 0020-10117 Perf Plate with 0020-32036 Blocker Plate
dom0808 Used - $522.50 0 Jul/26/19 Feb/11/22
Description: Lam Research ASSY,BOTTOM PLATE WITH FAN,VAC 853-210596-001
usedeqsales Scrap, for parts - $3,608.19 0 Aug/01/19 Dec/22/20
Description: AMAT Applied Materials 200mm HDP Chamber Assembly with Stand 0020-40380 As-Is
autoquip7 NEW - $2,095.00 0 Aug/02/19 Jul/25/22
Description: 0242-85224; AMAT, KIT LIGHT TOWER RED YELLOW /GREEN WITH 0090-36434
autoquip7 Used - $3,330.00 0 Aug/02/19 Jul/25/22
Description: 0010-01989; AMAT, SS Gas Heater Assembly with 0160-00018 TC Feed Thru High K
nissiglobal Used - $399.99 1 Aug/13/19 May/24/20
Description: AMAT ASSY 0040-34405; 0010-06264 WITH VEXTA PK564BW-A17 STEPPING MOTOR 5P
panhandlesurplusllc Used - $124.95 1 Aug/15/19 Oct/28/22
Description: Applied Material 0190-13175-H9 Light Pen System with 0010-70386 VGA Monitor Base
spsglobal Used - $2,000.00 2 Aug/22/19 Jan/12/21
Description: 304-0201// AMAT APPLIED 0010-21631 CH A OR B LID with out VIEWPORT USED
tchaban88 NEW - $25.00 0 Aug/21/19 Oct/21/19
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
semigooods NEW - $400.00 0 Aug/27/19 May/26/21
Description: AMAT 0190-12806 GATE, ANODIZED ALUM WITH 513 CHEMRAZ ORI - OEM NEW
novusferro Used - $999.00 0 Aug/28/19 Aug/01/22
Description: Novellus 61-334201-00 Brushless Motor with Servo Dynamics 7300-8123 Drive
novusferro Used - $1,599.00 4 Aug/28/19 Jan/20/20
Description: Novellus 33-144581-00 Brushless Motor with Servo Dynamics 7300-8123 Drive
lehk013 Used - $1,355.85 0 Aug/29/19 Sep/29/19
Description: Applied Materials BARATRON P/N 1350-00681 with 90days warranty Free DHL or EMS
zindchau15 Used - $200.00 0 Aug/29/19 Oct/23/21
Description: Lam Valve With Flow Switch 796-003146-001 Working
getspares.com_sparesllc09 Used - $15,550.00 0 Aug/29/19 Apr/06/23
Description: 0020-01995 / HY-11 MAGNET 300 MM ASSEMBLED WITH 0020-28491 / AMAT
semigooods NEW - $15.00 1 Sep/03/19 Jan/04/21
Description: AMAT - 3480-00411 MOUNT VIB ISOLATION RUBBER WITH VITON - OEM NEW
j316gallery Used - $3,353.32 0 Sep/05/19 Jan/04/23
Description: 4843 APPLIED MATERIALS ASSY, LAMP MODULE, DELTA WITH POWER CABLE 0010-35492
ntsurplus302 Used - $1,800.00 2 Sep/05/19 May/05/20
Description: 2946 Applied Materials P/N: 0040-79029 002 Lid, With Cooling
capitolareatech Used - $250.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0100-20181 PCB ASSY OPERATOR CONTROL PANEL WITH IN
capitolareatech Used - $65.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-76382 BRACKET EMO SWITCH WITH SWITCH 4 PACK
capitolareatech Used - $55.00 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0010-39665 Assembly Lockout Valve with One Touch FTGS
capitolareatech Used - $236.93 0 Sep/05/19 Sep/06/19
Description: Applied Materials AMAT 0020-39698 Plate Adapter with XP Chamber
galacticmarketplace NEW - $34.49 1 Sep/11/19 Jan/05/22
Description: CKD Valve AG31-02-2 Solenoid Valve With cable AMAT # 3870-01606
lehk013 Used - $5,396.97 0 Sep/20/19 Oct/20/19
Description: 100% test Lam RESEARCH 810-099175-011 with 90days warranty Free DHL or EMS
bobsgoodies2 NEW - $375.00 1 Oct/01/19 Jul/01/20
Description: Applied Materials AMAT 0190-36179 Temperature Sensor with air purging jacket
j316gallery Used - $700.00 0 Oct/01/19 Oct/20/20
Description: 4857 APPLIED MATERIALS ASSY PCB AIO WITH NO A/D CONVERTER 0100-00825
spsglobal Used - $3,500.00 0 Oct/04/19 Oct/10/19
Description: 135-0201// AMAT APPLIED 0040-09723 UNIBODY, ETCH CHAMBER WITH .397 STEP ASIS
spsglobal Scrap, for parts - $300.00 1 Oct/06/19 Mar/19/20
Description: 129-0203// AMAT APPLIED 0100-00825 ASSY PCB AIO WITH NO A/D NOT WORKING
roundtable1 NEW - $19.99 1 Oct/09/19 Sep/09/21
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
getspares.com_sparesllc09 NEW - $9,517.25 0 Oct/09/19 Sep/08/22
Description: FI20685, 0920-00046 / MKS ASTEX ASTRON **WITH CERTS**EX FLORINE GENERATOR / AMAT
getspares.com_sparesllc09 Used - $10,500.00 0 Oct/09/19 Sep/08/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
getspares.com_sparesllc09 Used - $2,100.00 0 Oct/11/19 Sep/08/22
Description: 853-009520-001 / MAIN CHAMBER LIFTER ASSY WITH EXCHANGE / LAM
pdcsystems NEW - $10.00 0 Oct/19/19 Jun/07/20
Description: Applied Materials (AMAT) 3700-01089 Centering Ring Assembly NW25 with Viton SST
j316gallery Used - $7,210.39 3 Oct/21/19 Aug/04/21
Description: 12462 APPLIED MATERIALS UNIBODY, ETCH CHAMBER WITH .397 STEP 0040-09723
sx-space Used - $5,366.98 0 Oct/21/19 May/31/21
Description: Lam RESEARCH 810-099175-011 Used & Tested with warranty Free DHL or EMS
tchaban88 NEW - $10.00 0 Oct/25/19 Oct/30/19
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
tchaban88 NEW - $10.00 0 Nov/03/19 Nov/08/19
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
getspares.com_sparesllc09 Used - $25,001.00 0 Nov/05/19 Jan/13/21
Description: 0040-84820 / ENDURA BATCH LOAD LOCK INDEXER WITH MOTOR ASSY. / AMAT
usedeqsales Scrap, for parts - $5,011.19 1 Nov/07/19 Nov/11/19
Description: Novellus 02-257935-00 MC3E Platform Controller with Ethernet 79-257795-00 As-Is
shuaistar20150515 Used - $1,238.62 0 Nov/11/19 Nov/27/19
Description: FedEx DHL Applied Materials BARATRON P/N 1350-00681 with 90days warranty
usedeqsales Scrap, for parts - $5,011.19 0 Nov/13/19 Nov/13/19
Description: Novellus 02-257935-00 MC3E Platform Controller with Ethernet 79-257795-00 As-Is
cosplity Used - $9,500.00 1 Nov/18/19 Feb/05/20
Description: AMAT 0190-12906 VME BOARD WITH FLASH ROM FOR VME II MIRRA FABS CMP
spsglobal Used - $1,800.00 0 Nov/27/19 Jun/18/23
Description: 116-0203// AMAT APPLIED 0020-24100 8 INSULATOR WITH ANTEANE PC2 2ND SOURCE NEW
spsglobal Used - $1,000.00 0 Nov/27/19 Jun/18/23
Description: 116-0302// AMAT APPLIED 0200-20055 8 INSULATOR WITH ANTENAE PCII 2ND SOURCE NEW
getspares.com_sparesllc09 Used - $1,200.82 0 Dec/05/19 Sep/18/24
Description: 0270-04322 / USE WITH EMC 0020-47923 / APPLIED MATERIALS AMAT
capitolareatech Used - $30.00 0 Dec/06/19 Aug/13/20
Description: Applied Materials AMAT 1210-90302 BOURNS POTENTIOMETER 2K WITH SLIPPING CLUTCH
j316gallery Used - $1,111.00 0 Dec/23/19 Apr/18/21
Description: 15963 APPLIED MATERIALS PCB ASSY DIST BFBE WITH STAR 300MM TXZ 0100-01629
tchaban88 NEW - $10.00 0 Jan/06/20 Jan/11/20
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
bobsgoodies2 Used - $259.00 2 Jan/07/20 Feb/26/21
Description: AMAT Insertion Flow Sensor TURCK FCS-G1/4A2-NA-H1141 with cable 0150-76156
agmginc Used - $2,795.00 0 Feb/01/20 Sep/02/22
Description: Lam Research 853-012123-001 Harmonic Arm Drive Assembly with Vexta Motors
vizvik16 NEW - $750.00 1 Feb/07/20 Mar/10/21
Description: APPLIED MATERIALS 0020-48305 COVER RING TI WITH CLEANCOAT 300MM ESIP TA(N)
visionsemi NEW - $1,000.00 3 Feb/17/20 Jan/17/21
Description: APPLIED MATERIALS AMAT CENTURA ACP SLIT VALVE WITH EMP MOUNT BL 0010-35105
usedeqsales Used - $602.20 3 Feb/17/20 Dec/22/20
Description: SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-10691 Spare
usedeqsales Used - $602.20 3 Feb/17/20 Apr/30/21
Description: SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Spare
dr.dantom NEW - $260.00 0 Feb/19/20 Aug/25/22
Description: AMAT Applied Materials 0010-41860 Assy Process Kit 300MM SIP ENCORE CU WITH COIL
dr.dantom NEW - $299.00 1 Feb/19/20 Apr/06/20
Description: AMAT Applied Materials 0242-52911 KIT SWLL DOOR ASSY WITH ALUMINUM GATE
alvin1462 Used - $7,222.00 1 Feb/21/20 Mar/10/20
Description: Applied Materials AMAT 6000-81206B , 0041-48310 With 0041-75950 Plate
dy-global Used - $999.90 1 Feb/22/20 Feb/24/20
Description: NOVELLUS USED 02-257935-00 MC3E Platform Controller with Ethernet SEM-I-374=8F21
benad24 Used - $140.85 0 Feb/23/20 Jun/10/20
Description: Amat Applied Materials Akt 0100-71278 Rev.01 Vme Comm Interface with Pmc Cards
getspares.com_sparesllc09 Used - $525.99 0 Feb/25/20 Feb/17/22
Description: 0020-48305 / COVER RING TI WITH CLEANCOAT 300MM ESIP TA / APPLIED MATERIALS
capitolareatech NEW - $7.95 0 Feb/29/20 Aug/13/20
Description: Applied Materials AMAT 3300-90106 Centering Ring with Viton
getspares.com_sparesllc09 Used - $275.66 0 Mar/05/20 Aug/25/22
Description: 0040-90006 / CLAMP 2 FINAL LINE WITH FRC PURGE CH A, S, C, OR 0, 300MM / AMAT
dr.dantom NEW - $850.00 1 Apr/07/20 Jun/29/21
Description: AMAT Applied Materials 0242-52911 KIT SWLL DOOR ASSY WITH ALUMINUM GATE
sx-space Used - $618.45 0 Apr/08/20 Jul/11/24
Description: 800-1514A AMAT 0190-31257 REV 05 Used with 90days warranty Free DHL or EMS
tchaban88 NEW - $7.50 1 Apr/09/20 Apr/14/20
Description: Norgren R07-100-RGKA PRESSURE REGULATOR WITH GAGE 300 PSIG AMAT 3800-01022
marco_salles Used - $8,000.00 0 Apr/11/20 Apr/12/20
Description: 685-093578-003 Controller MODULE, CNTLR, COVER, RF MT (with CPU)
marco_salles Used - $8,000.00 0 Apr/13/20 Sep/17/20
Description: 685-093578-003 Controller MODULE, CNTLR, COVER, RF MT (with CPU)
grandbirdnet Used - $6,000.00 0 Apr/21/20 Jun/10/20
Description: AMAT 0240-43874 KIT, PLATEN DRIVE MTR- 200MM WITH 3970-00030 GEAR BOX, USED
testvue111 Used - $3,500.00 0 May/14/20 May/15/20
Description: AMAT 0190-00318 VGA VIDEO CONTROLLER ASSY , WITH WARRANTY!!!!
testvue111 Used - $1,500.00 1 May/16/20 Sep/29/20
Description: AMAT 0190-00318 VGA VIDEO CONTROLLER ASSY , WITH WARRANTY!!!!
semilune Used - $12,000.00 0 May/20/20 Sep/20/20
Description: Applied Materials 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16 (V452)
benad24 Used - $152.09 0 Jun/10/20 Mar/02/21
Description: AMAT Applied Materials AKT 0100-71278 Rev.01 Vme Comm Interface With Pmc Cards
novusferro Used - $799.00 0 Jun/15/20 Apr/26/21
Description: LAM Research 853-019732-010 Rev E Gas Box Assembly with Mass Flow Controllers
novusferro Used - $399.00 1 Jun/17/20 Jan/23/22
Description: Lam Research 810-019566-705 PCB Assy CIOM, Motherboard with 2 Node Boards
expertsurplus NEW - $175.00 2 Jun/22/20 May/19/21
Description: AMAT, 0050-08618, Adapter, Baratron with Shutter @ 2 & 4 MI, New
quick_ship_usa NEW - $199.95 1 Jun/23/20 Mar/15/22
Description: AMAT 0140-18946 Harness Assy FIS to KVM with EVC Inter Cable Assembly
pdcsystems NEW - $10.00 0 Jul/03/20 Mar/18/21
Description: Applied Materials (AMAT) 3700-01089 Centering Ring Assembly NW25 with Viton SST
rtxparts Used - $4,000.00 1 Jul/10/20 Dec/29/20
Description: Applied Materials Laser Robot Characterization Fixture Assy with Case 0010-21999
bobsgoodies2 Used - $650.00 1 Jul/11/20 Nov/02/21
Description: AMAT 0190-77016 ENCODER SERVOMOTOR SGM-01U3B4L with 0020-77377 gear
noam-tech Used - $520.00 0 Jul/23/20 Sep/01/24
Description: 0090-00454 Cabel, With 2 / D-A73 SMC Sensors
noam-tech Used - $4,035.00 2 Jul/23/20 Sep/23/22
Description: 0040-23168 Vavle, 0040-23168 / Rev 4B / Assy Manifold Water Pipes with 4 Valves
noam-tech Used - $520.00 0 Jul/23/20 Sep/01/24
Description: 853-234939-005 Cable, with 2 plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-173260-00 Cabel, With Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-20 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-173261-00 Cabel, With Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-12 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-19 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-173253-00 Cabel, With Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-06 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-05 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-04 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-08 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-02 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-03 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-10 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-07 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-18 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-11 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-16 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-15 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-09 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-13 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-14 Cabel, With 50-Polig Plugs
noam-tech Used - $33.00 0 Jul/23/20 Sep/01/24
Description: 03-169680-01 Cabel, With 50-Polig Plugs
visionsemi Used - $5,000.00 1 Jul/29/20 Apr/29/21
Description: AMAT CENTURA POWER CABLE 75 FT. 0190-17103 WITH BAY ASSOCIATES JUNCTION
20041014625pm Refurbished - $2,195.00 0 Jul/31/20 Aug/12/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-001/002 TESTED
capitolareatech NEW - $24.92 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1390-01653 Cable Thermo - Couple with Washer "K" CHROM-
capitolareatech NEW - $10.16 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-90283 Switch with Light
capitolareatech NEW - $305.25 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-02898 SWPRESS DUAL N/O ADJ SET PT +/- 15V with ENC
capitolareatech NEW - $42.49 0 Aug/10/20 Aug/13/20
Description: Applied Materials (AMAT) 1270-01711 SW AUTO WITH CONN ASSY 6.0" LG
capitolareatech NEW - $534.95 0 Aug/14/20 Oct/14/22
Description: AMAT 1270-02898 SWPRESS DUAL N/O ADJ SET PT +/- 15V with ENC
capitolareatech NEW - $135.95 0 Aug/14/20 Jul/03/24
Description: Applied Materials (AMAT) 0050-09789 VALVE WELDMENT, FINAL VALVE, VER 4 TEOS with
capitolareatech NEW - $495.95 0 Aug/14/20 Sep/30/24
Description: AMAT 0040-03239 CATHODE WITH PLATE
capitolareatech NEW - $30.95 0 Aug/15/20 Jul/03/24
Description: Applied Materials AMAT 1210-90302 BOURNS POTENTIOMETER 2K WITH SLIPPING CLUTCH
capitolareatech NEW - $180.95 0 Aug/17/20 Mar/28/24
Description: Lam Research (LAM) 714-015003-002 Lower Match Cover. (L96/44/45) with Vent Modif
capitolareatech Used - $3,229.95 0 Aug/18/20 Sep/30/24
Description: LAM RESEARCH (LAM) 853-013542-002 Assy., Isolation Valve, Heated with Used PH265
capitolareatech Used - $189.95 0 Aug/28/20 Dec/28/23
Description: Applied Materials (AMAT) 0050-20060 GAS LINE PRECLEAN CHAMB (WITH BYPASS) MF
20041014625pm Refurbished - $1,895.00 0 Sep/03/20 Sep/10/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
20041014625pm Refurbished - $1,895.00 0 Sep/10/20 Sep/13/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
capitolareatech Used - $24.95 0 Sep/11/20 Jan/11/23
Description: Applied Materials 0140-35347 HARNESS ASSY. MAG/LAMP WITH TEMPERATURE SWITCH
20041014625pm Refurbished - $1,895.00 0 Sep/13/20 Sep/16/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
20041014625pm Refurbished - $1,895.00 0 Sep/16/20 Sep/27/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
eisale1535 Used - $8,559.00 0 Sep/26/20 Apr/23/22
Description: Brooks Automation 121669 Wafer Handling Robot with NOVELLUS 02-273860-01 End Eff
20041014625pm Refurbished - $1,895.00 0 Sep/27/20 Oct/01/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
20041014625pm Refurbished - $1,895.00 0 Oct/01/20 Oct/11/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
20041014625pm Refurbished - $1,895.00 0 Oct/11/20 Oct/19/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
chengaoshop Used - $2,088.00 0 Oct/17/20 May/27/24
Description: Lam RESEARCH 2300 KIY045 853-044013-125 By DHL EMS with 90 warranty #G1790 XH
20041014625pm Refurbished - $1,895.00 0 Oct/19/20 Oct/23/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
christyhtx Used - $3,400.00 0 Oct/21/20 Mar/21/22
Description: AMAT 0010-13242 HP Robot Wrist with 0200-36638 200 mm quartz Blade
20041014625pm Refurbished - $1,895.00 0 Oct/23/20 Oct/28/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
getspares.com_sparesllc09 Used - $1,100.82 1 Oct/27/20 Nov/19/20
Description: 0010-09750 / RF MATCH P5000 CVD WITH AMP 0020-09357 / APPLIED MATERIALS AM
20041014625pm Refurbished - $1,895.00 0 Oct/28/20 Nov/03/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
novusferro Used - $19,999.00 0 Oct/28/20 Nov/16/20
Description: Applied Materials AMAT 0040-31942 Chamber Body with 0010-30013 Cathode MXP+
getspares.com_sparesllc09 Used - $4,500.66 0 Nov/02/20 May/26/22
Description: 0225-40023 / EDWARDS QDP80 DRY PUMPSET WITH RING LUG CONN / AMAT
20041014625pm Refurbished - $1,395.00 0 Nov/03/20 Nov/12/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
alvin1462 Used - $38,000.00 0 Nov/05/20 May/26/22
Description: Applied Materials 0010-37413 PRODUCER ,CATHODE ETCH EXT WITH CERAMIC F NEW
40-30sourcing Used - $2,899.00 0 Nov/06/20 Aug/26/21
Description: Set of LID CERAMIC DUAL GAS FLAT IEP 300MM with 0200-02967 NOZZLE INSERT
getspares.com_sparesllc09 Used - $2,153.00 0 Nov/10/20 May/16/24
Description: 0200-05896 / DEPOSITION RING WITH ARC SPRAY / APPLIED MATERIALS AMAT
racertrek Used - $49.99 1 Nov/11/20 Nov/21/20
Description: Applied Materials Light Pen Box 0010-09103 With Light Pen
20041014625pm Refurbished - $1,395.00 0 Nov/12/20 Nov/18/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
talon664-6 NEW - $37.62 0 Nov/13/20 Dec/01/20
Description: Novellus 10-264064-00 Tubing Assembly With Fittings, For Altus
visionsemi NEW - $599.00 0 Nov/17/20 May/26/22
Description: AMAT APPLIED MATERIALS QUARTZ INSULATING RING 8" WITH ANTENAE 0200-20055 NEW
20041014625pm Refurbished - $1,395.00 0 Nov/18/20 Nov/22/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
20041014625pm Refurbished - $1,395.00 0 Nov/22/20 Nov/29/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
getspares.com_sparesllc09 Used - $1,100.82 0 Nov/20/20 Mar/19/21
Description: 0020-09357 0010-09750/RF MATCH P5000 CVD WITH AMP 0020-09357/APPLIED MATERIALS
instockindustrial NEW - $32.74 0 Nov/24/20 Dec/24/20
Description: Novellus 10-264064-00 Tubing Assembly With Fittings, For Altus
20041014625pm Refurbished - $1,395.00 0 Nov/29/20 Dec/07/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
visionsemi Used - $300.00 1 Nov/30/20 Jun/30/22
Description: APPLIED MATERIALS AMAT EXT PUMP I/F KIT WITH EXHAUST, 0240-27798
rtxparts Used - $2,750.00 0 Dec/01/20 Jun/30/21
Description: LAM RESEARCH 853-012123-001, HARMONIC DRIVE ARM ASSY, COMPLETE WITH MOTORS.
talon664-6 NEW - $41.80 0 Dec/01/20 Jan/01/21
Description: Novellus 10-264064-00 Tubing Assembly With Fittings, For Altus
20041014625pm Refurbished - $1,395.00 1 Dec/07/20 Dec/11/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
20041014625pm Refurbished - $1,895.00 0 Dec/25/20 Dec/26/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
20041014625pm Refurbished - $1,895.00 0 Dec/26/20 Dec/31/20
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
instockindustrial NEW - $17.58 4 Dec/26/20 Aug/23/21
Description: Novellus 10-264064-00 Tubing Assembly With Fittings, For Altus
20041014625pm Refurbished - $1,895.00 0 Dec/31/20 Jan/04/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
20041014625pm Refurbished - $1,895.00 0 Jan/04/21 Jan/18/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
usedeqsales Used - $1,753.07 3 Jan/11/21 Oct/14/21
Description: CTI-Cryogenics 0190-12086 On-Board P300 Cryopump with 8113212G001 AMAT Working
20041014625pm Refurbished - $1,895.00 0 Jan/18/21 Feb/17/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
prism_electronics12 Used - $225.00 0 Feb/09/21 Aug/02/22
Description: LAM RESEARCH 771-001032-029 98008SA10F3P1 FLOW METER SWITCH WITH CAL.CERT.
20041014625pm Refurbished - $795.00 0 Feb/17/21 Mar/10/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
farmoninc NEW - $30.00 0 Feb/25/21 Apr/20/23
Description: AMAT 0010-14127 Door Spring with Bearings, 100313
benad24 Used - $163.28 1 Mar/02/21 Apr/20/23
Description: AMAT Applied Materials AKT 0100-71278 REV.01 VME COMM INTERFACE WITH PMC CARDS
benad24 Used - $172.99 0 Mar/02/21 Apr/20/23
Description: AMAT Applied Materials AKT 0100-71278 Rev.01 VME COMM INTERFACE WITH PMC CARDS
erdltd Used - $4.95 0 Mar/09/21 Aug/09/23
Description: **REPAIR EVALUATION ONLY** Applied Materials 0190-36511 (With 3-Year Warranty!)
j316gallery NEW - $99.00 1 Mar/10/21 Sep/01/21
Description: 23704 APPLIED MATERIALS DIAL INDICATOR WITH MAGNETIC BASE 1 TR (NEW) 0940-01011
20041014625pm Used - $595.00 0 Mar/10/21 Sep/01/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
erdltd Used - $4.95 0 Mar/11/21 Aug/11/23
Description: **REPAIR EVALUATION ONLY** Lam Novellus 27-262894-00 (With 3-Year Warranty!)
erdltd Used - $4.95 0 Mar/11/21 Aug/11/23
Description: **REPAIR EVALUATION ONLY** Lam Research 810-370749-101 (With 3-Year Warranty!)
erdltd Used - $4.95 0 Mar/12/21 Aug/12/23
Description: **REPAIR EVALUATION ONLY** AMAT 0010-77680 (With 3-Year Warranty!)
getspares.com_sparesllc09 Used - $1,100.82 1 Mar/24/21 Aug/08/21
Description: 0020-09357 0010-09750/RF MATCH P5000 CVD WITH AMP 0020-09357/APPLIED MATERIALS
gosemicat NEW - $400.00 0 Mar/26/21 Jan/16/24
Description: AMAT - 0190-12806 GATE, ANODIZED ALUM WITH 513 CHEMRAZ ORI - OEM NEW
getspares.com_sparesllc09 Used - $1,995.00 0 Jan/18/21 Oct/13/21
Description: INR-244-404 / PUMP CIRCULATOR SK200 WITH EXCHANGE / SMC
20041014625pm Used - $825.00 0 Apr/06/21 May/22/21
Description: LAM RESEARCH 853-012123-001, HARMONIC DRIVE ARM ASSY, COMPLETE WITH MOTORS.
ntsurplus302 Used - $2,400.00 1 Apr/07/21 May/13/21
Description: 5563 Novellus Systems 02-257829-00 PC3A Platform Controller With Arcnet
visionsemi Used - $150.00 1 Apr/08/21 Oct/08/22
Description: APPLIED MATERIALS AMAT GASLINE SIH4 WITH VALVE WXZ 0050-41450
erdltd Used - $4.95 0 Apr/13/21 Apr/20/23
Description: **REPAIR EVALUATION ONLY** Applied Materials 0100-77064 (With 3-Year Warranty!)
katiil3 Used - $399.00 0 Apr/14/21 Oct/23/21
Description: Applied Materials 0090-02335 ASSEMBLY,BREAKER 15A/3POLE WITH SHUNT TR
autoquip7 NEW - $2,410.00 2 Apr/14/21 Jun/09/21
Description: 0190-20124 ; chamber PNEUMATIC MANIFOLD WITH 0100-38082; APPLIED MATERIAL (AMAT)
usedeqsales Used - $605.21 2 May/03/21 Aug/04/21
Description: SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-10691 Spare
usedeqsales Used - $605.21 2 May/03/21 Jun/29/21
Description: SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Spare
erdltd Used - $4.95 0 May/04/21 Aug/04/23
Description: **REPAIR EVALUATION ONLY** Applied Materials 0100-77069 (With 3-Year Warranty!)
excessdealer Used - $600.00 1 May/06/21 Apr/17/22
Description: Lam Research 853-800085-535 Rev:B Vendor Code:1023220 NEW OPEN BOX WITH BOARDS
erdltd Used - $4.95 0 May/07/21 Aug/07/23
Description: **REPAIR EVALUATION ONLY** Applied Materials 0190-09395 (With 3-Year Warranty!)
j316gallery Used - $3,332.10 0 May/09/21 May/18/23
Description: 24847 LAM RESEARCH PCB, JTS GB WITH HE & SIH4 CONNECTOR BD 810-073479-306
j316gallery Used - $1,924.80 0 May/11/21 Sep/17/24
Description: 24837 LAM RESEARCH PCB, JTS GB WITH HE & SIH4 CONNECTOR BD 810-073479-306
getspares.com_sparesllc09 Used - $2,887.41 0 May/13/21 Oct/21/22
Description: 0010-13972 / HEATER JACKET WITH PLUMBING,DPS METAL / APPLIED MATERIALS AMAT
20041014625pm Used - $795.00 1 May/22/21 May/24/21
Description: LAM RESEARCH 853-012123-001, HARMONIC DRIVE ARM ASSY, COMPLETE WITH MOTORS.
msfequipment Used - $4,250.00 0 Jun/16/21 Jun/18/21
Description: Lincoln CTI 2501 digital countertop conveyor pizza oven with table on casters
isatho55 Used - $4,460.00 1 Jun/16/21 Nov/05/21
Description: CTI-Cryogenics 8120944G001 Helix On-Board Waterpump With Controller!
sdlindustrialclub Used - $1,500.00 0 Jun/16/21 Dec/29/21
Description: used CTI laser galvanometer 6230H 355nm / Connector PN:183333 with field len
sdlindustrialclub Used - $1,000.00 0 Jun/16/21 Dec/29/21
Description: used CTI laser galvanome lens 6230H 355nm Connector PN:183333 with field lens
trovmillcollectables NEW - $24.99 0 Jun/16/21 Jun/23/21
Description: GBC Nap Lam - Laminating Film 1.5mil 18"x500' With 1"core. Item # 3000003 (NIB)
spsglobal Used - $8,000.00 0 Jun/21/21 Dec/01/22
Description: 327-0101// AMAT APPLIED 0010-20705 RF RESONATOR ASSY WITH INTERLOCKS PC II ASIS
rtxparts Used - $2,750.00 0 Jul/03/21 Sep/14/21
Description: LAM RESEARCH 853-012123-001, HARMONIC DRIVE ARM ASSY, COMPLETE WITH MOTORS.
usedeqsales Used - $5,506.21 1 Jul/03/21 Nov/05/21
Description: AMAT Applied Materials 0010-76015 P5000 Cleanroom 8" Robot with 0040-09037 Spare
usedeqsales Used - $4,506.21 1 Jul/03/21 Nov/27/21
Description: AMAT Applied Materials 0010-13320 P5000 Cleanroom 6" Robot with 0040-09037 Spare
capitolareatech NEW - $89.95 0 Jul/03/21 Aug/02/22
Description: Applied Materials AMAT 0190-13035 LAMP BAKEOUT WITH LUGS
farmoninc Used - $2,750.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0065-BLF, 395377
farmoninc Used - $2,750.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 395380
farmoninc Used - $3,100.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 395379
farmoninc Used - $3,100.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 395375
farmoninc Used - $3,100.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 0596-B, 395378
farmoninc Used - $2,750.00 0 Jul/14/21 Aug/02/21
Description: AMAT 0010-70252 6" Heater Assy with 2 T.C., 0020-20125, 395381
usedeqsales Used - $2,007.21 0 Jul/15/21 Jun/30/22
Description: AMAT Applied Materials 0010-76015 8" Robot with Arms P5000 Precision 5000 As-Is
getspares.com_sparesllc09 Used - $1,525.68 0 Jul/15/21 Aug/03/21
Description: 853-042958-211 / VME RACK WITH CARD V7671A, LAM 810-099175 / LAM RESEARCH CORP.
rtxparts Used - $2,750.00 0 Jul/20/21 Sep/14/21
Description: CTI-Cryogenics On-board P300 Cryopump With Module 8113212G001
labmax-com NEW - $99.00 4 Jul/28/21 Nov/21/22
Description: AMAT Chamber Plug 300mm Applied Materials 0021-23572 with WARRANTY
erdltd Used - $4.95 0 Jul/28/21 Jul/27/23
Description: **REPAIR EVALUATION ONLY** AMAT 0190-02381 (With 3-Year Warranty!)
usedeqsales Used - $5,007.21 0 Jul/28/21 Jul/29/21
Description: AMAT Applied Materials 0010-70000 Mini Controller with TEOS Option Working Spare
usedeqsales Used - $2,503.60 1 Jul/29/21 Jan/24/22
Description: AMAT Applied Materials 0010-70000 Mini Controller with TEOS Option Working Spare
katiil3 Used - $65.00 0 Aug/04/21 Oct/23/21
Description: Applied Materials 0190-08663 EXTERNAL USB 3.25IN FLOPPY DRIVE WITH CABLE
getspares.com_sparesllc09 Used - $3,500.68 0 Aug/05/21 Sep/08/22
Description: 853-042958-211 / VME RACK WITH CARD V7671A, LAM 810-099175 / LAM RESEARCH CORP.
ecomicron NEW - $750.00 1 Aug/14/21 Sep/06/22
Description: 0190-23208 CYL RODLESS 1-1/4″ BORE 3MAG WITH LOW SP
erdltd Used - $4.95 0 Aug/18/21 Feb/29/24
Description: **REPAIR EVALUATION ONLY** AMAT 0190-00839 (With 3-Year Warranty!)
20041014625pm Used - $1,295.00 0 Sep/07/21 Sep/12/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
techshop7777 Used - $88.00 4 Sep/07/21 May/03/23
Description: Lam Research RF Module 853-800838-010 with Coax & 9-Pin Serial Connections
erdltd Used - $4.95 0 Sep/07/21 Aug/07/23
Description: **REPAIR EVALUATION ONLY** AMAT 0190-22314 (With 3-Year Warranty!)
jabedow Used - $150.00 0 Sep/11/21 Jul/18/23
Description: Cable, Assy, 0140-11614, With Pigtail, 0140-11622
20041014625pm Used - $1,295.00 0 Sep/12/21 Sep/16/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
getspares.com_sparesllc09 Used - $2,153.10 0 Sep/13/21 Mar/03/22
Description: 1120-00113 / FIBER OPTIC CABLE EYED OES/IEP, WITH SPA / APPLIED MATERIALS AMAT
rtxparts Used - $2,750.00 1 Sep/15/21 Jan/05/22
Description: LAM RESEARCH 853-012123-001, HARMONIC DRIVE ARM ASSY, COMPLETE WITH MOTORS.
rtxparts Used - $2,750.00 0 Sep/15/21 Jan/11/22
Description: CTI-Cryogenics On-board P300 Cryopump With Module 8113212G001
spareparts4computers Used - $3,053.54 0 Sep/15/21 Jan/04/24
Description: CTI-Cryogenics 8116476G001 On-Board P300 Cryopump with 8113212G001
20041014625pm Used - $1,295.00 0 Sep/16/21 Sep/27/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
j316gallery Used - $850.00 2 Sep/20/21 Apr/21/22
Description: 4857 APPLIED MATERIALS ASSY PCB AIO WITH NO A/D CONVERTER 0100-00825
20041014625pm Used - $1,295.00 0 Sep/28/21 Oct/17/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
mattron747 Used - $950.00 0 Oct/03/21 Aug/25/22
Description: Applied Materials 0100-20453 PCB Digital I/O with 0190-00371
itrecyclenow Used - $3,000.00 0 Oct/07/21 May/04/22
Description: CTI-Cryogenics Cryo pump 8 with On-Board FastRegen control sputtering
katiil3 Used - $149.00 0 Oct/08/21 Oct/23/21
Description: AMAT 3800-00097 REGULATOR PRESSURE 0.005-0.8 MPA 1/4 NPT, WITH GAUGE BRACKET 4x
40-30sourcing Used - $7,500.00 0 Oct/13/21 Feb/17/22
Description: SET OF COMPRESSOR 9600 with ON-BOARD FREQUENCY CONVERTER and lines
40-30sourcing Used - $2,899.00 0 Oct/14/21 Jun/07/22
Description: Set of LID CERAMIC DUAL GAS FLAT IEP 300MM with 0200-02967 NOZZLE INSERT
20041014625pm Used - $1,295.00 0 Oct/17/21 Nov/10/21
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
capitolareatech NEW - $2,495.95 0 Oct/20/21 Jun/30/22
Description: APPLIED MATERIALS (AMAT) 0190-09062 AMAT 8" Susceptor Assy with Thermocouple
capitolareatech Used - $6,495.95 0 Oct/20/21 Jun/30/22
Description: Applied Materials (AMAT) 0010-01591 BASIC INDEXER ASSY NON-ENP WITH RIGID CO
calchiptech Used - $7,500.00 0 Oct/21/21 Sep/22/22
Description: Applied Materials 0010-20705 RF RESONATOR ASSY WITH INTERLOCKS PCII AMAT
prism_electronics8 Used - $3,282.00 0 Oct/26/21 Aug/30/22
Description: NIKON 4S015-490-1 NK-MPC7457-1200DS2 4S015-501-1 with 4S025-552 SBIMX8
yogiandbooboobears Used - $3,835.70 0 Oct/31/21 Nov/10/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
modelkits4u Used - $3,835.70 0 Oct/31/21 Nov/10/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
ctc-vehicles Used - $1.00 0 Nov/05/21 Nov/12/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
alvin1462 Used - $3,000.00 0 Nov/09/21 Sep/04/22
Description: Advanced Energy RFX-600 RF Power Supply With ATX-600 Controller 3155002-005
katiil3 Used - $399.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials 0090-02335 ASSEMBLY,BREAKER 15A/3POLE WITH SHUNT TR
katiil3 Used - $249.00 0 Nov/10/21 Jan/01/24
Description: AMAT 0190-12806 // GATE, ANODIZED ALUM WITH 513 CHEMRAZ ORI
20041014625pm Used - $1,295.00 0 Nov/10/21 Jan/01/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
spalding_fasteners Used - $3,000.00 0 Nov/11/21 Nov/21/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
lartonlivery Used - $1.00 0 Nov/15/21 Nov/25/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
alvin1462 Used - $43,888.00 1 Nov/17/21 Nov/23/21
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
prism_electronics8 Used - $4,250.00 0 Nov/17/21 Aug/26/22
Description: NIKON NEOCAM1200NA-R 4T071-354 4-Slot Controller with 3 Modules Installed
forestfundamentals Used - $1.00 0 Nov/18/21 Nov/28/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
iluvltd Used - $2,000.00 0 Nov/18/21 Nov/28/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
retrostarlondon Used - $3,000.00 0 Nov/19/21 Nov/29/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
whybee-adventure Used - $3,000.00 0 Nov/20/21 Nov/27/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
tiswas Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
bookbinder2010 Used - $1.00 0 Nov/23/21 Dec/03/21
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
spsglobal Used - $8,500.00 0 Dec/03/21 Mar/06/22
Description: 130-0201// AMAT APPLIED 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16 USED
bntyhunter07 Used - $468.21 0 Dec/06/21 Mar/03/22
Description: FUJIKIN WITH WELDMENT MNF 2 VALVE MANIFOLD 0050-44709
spsglobal Used - $8,800.00 0 Dec/08/21 Feb/15/22
Description: 326-0101// AMAT APPLIED 0010-20705 RF RESONATOR ASSY WITH INTERLOCKS [NEW]
alamedaauction Used - $795.00 0 Dec/08/21 Mar/29/22
Description: Lam Research 839-101612-131 ESC Echuck with Distribution Board 810-251997-004
alamedaauction Used - $595.00 1 Dec/08/21 May/11/22
Description: LAM Research 839-101612-037/E ESC Echuck with Distribution Board 810-251997-004
alamedaauction Used - $595.00 0 Dec/08/21 Jun/06/22
Description: LAM Research ESC Echuck 839-101612-037 / with Distribution Board 810-251997-006
erdltd Used - $4.95 0 Dec/28/21 Jul/28/23
Description: **REPAIR EVALUATION ONLY** Advanced Energy 3152344-100a (With 3-Year Warranty!)
20041014625pm Used - $1,095.00 0 Jan/01/22 Jan/19/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
rtxparts Used - $3,000.00 2 Jan/08/22 Apr/13/22
Description: LAM RESEARCH 853-012123-001, HARMONIC DRIVE ARM ASSY, COMPLETE WITH MOTORS.
farmoninc Used - $9,500.00 0 Jan/08/22 Jun/29/22
Description: AMAT 0010-76000 ROBOT 4-5-6 INCH ASSEMBLY DRIVE P5000, with Robot blade, 103042
rtxparts Used - $2,750.00 0 Jan/11/22 Apr/13/22
Description: CTI-Cryogenics On-board P300 Cryopump With Module 8113212G001
pnw-shop5 Used - $3,000.00 0 Jan/11/22 Jan/11/22
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
techshop7777 Used - $448.00 1 Jan/11/22 Feb/07/23
Description: AMAT 0041-26708 Al Showerhead with Brazed Heater
baseoftree1 Used - $4,167.20 0 Jan/11/22 Jan/11/22
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
egg.n.chips.clothing Used - $13.14 0 Jan/14/22 Jan/14/22
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
ledlightingsolution Used - $13.14 0 Jan/14/22 Jan/14/22
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
fulib_21 Used - $1.00 0 Jan/15/22 Jan/15/22
Description: 12462 APPLIED MATERIALS UNIBODY ETCH CHAMBER WITH .397 STEP 0040-09723
bntyhunter07 Used - $354.22 0 Jan/16/22 Jun/01/23
Description: FUJIKIN VALVE WITH WELDMENT 0050-27530 REV 001 AMAT
vizvik16 Used - $450.00 0 Jan/18/22 Jul/18/24
Description: APPLIED MATERIALS 0020-48305, 0021-40241 COVER RING TI WITH CLEANCOAT 300MM
chpsurplus Used - $10,000.00 0 Jan/19/22 Jun/30/22
Description: CTI-Cryogenics 8116495G001 Helix On-Board Waterpump with Compressor 8200
20041014625pm Used - $1,095.00 0 Jan/19/22 Feb/14/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
folkestonestars Used - $1.00 0 Jan/22/22 Jan/22/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
usedeqsales Used - $3,201.22 0 Jan/24/22 Oct/06/22
Description: AMAT Applied Materials 0040-20505 Dual Zone PVD Heater Pedestal with Stop Spare
bobsgoodies2 Used - $145.00 0 Jan/27/22 Mar/31/22
Description: AMAT 0190-36470 Flow Switch, manual with analog output, 1/2"NPT Proteus 0250SS24
merakii-uk Used - $1.00 0 Jan/29/22 Jan/29/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
eabani1111 Used - $1.00 0 Jan/29/22 Jan/29/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
tonys-tamiya-ltd Used - $1.00 0 Feb/05/22 Feb/05/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
jappartsgalore Used - $1.00 0 Feb/06/22 Feb/06/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bertrum4572 Used - $1.00 0 Feb/06/22 Feb/06/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
eddiesrecords Used - $1.00 0 Feb/06/22 Feb/06/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bakclk1 Used - $1.00 0 Feb/07/22 Feb/07/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
agri-supplyukltd Used - $1.00 0 Feb/11/22 Feb/11/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
openanduseb4-labels Used - $1.00 0 Feb/12/22 Feb/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
stewart8768 Used - $1.00 0 Feb/12/22 Feb/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
sootysmtg Used - $1.00 0 Feb/13/22 Feb/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
20041014625pm Used - $1,095.00 0 Feb/14/22 Feb/21/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-001 TESTED On Live Machine.
usedeqsales Used - $2,751.11 1 Feb/17/22 Feb/09/23
Description: AMAT Applied Materials 0020-18301 150mm HDP CVD Cathode Base with Insert Spare
jskautomotivenew Used - $1.00 0 Feb/17/22 Feb/17/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ledfirstchoiceltd Used - $1.00 0 Feb/19/22 Feb/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
classiccover Used - $1.00 0 Feb/19/22 Feb/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
20041014625pm Used - $895.00 0 Feb/21/22 Feb/27/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
fogmodels Used - $1.00 0 Feb/26/22 Feb/26/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
love_my_cottage Used - $1.00 0 Feb/26/22 Feb/26/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bmc.london Used - $1.00 0 Feb/26/22 Feb/26/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
20041014625pm Used - $895.00 0 Feb/27/22 Mar/11/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
jennyb7362 Used - $1.00 0 Feb/28/22 Feb/28/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
avonvalleymusic Used - $1.00 0 Mar/01/22 Mar/01/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
pccentre-528 Used - $1.00 0 Mar/04/22 Mar/05/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
chick666995 Used - $1,000.00 0 Mar/09/22 May/04/23
Description: 853-190023-001 Rev A LAM Research Hard Drive Assembly with Controller PCB
bobsgoodies2 Used - $145.00 0 Mar/10/22 Mar/31/22
Description: AMAT 0100-76100 PCB ASSY, VME MONITOR BD with ribbon cable
20041014625pm Used - $895.00 0 Mar/11/22 Mar/20/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
emarts-uk Used - $1.00 0 Mar/12/22 Mar/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
mydepoz Used - $1.00 0 Mar/12/22 Mar/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bmc.london Used - $1.00 0 Mar/13/22 Mar/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
giftwearonline Used - $1.00 0 Mar/13/22 Mar/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
thecollectorinlondon Used - $1.00 0 Mar/13/22 Mar/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
getspares.com_sparesllc09 Used - $19,500.80 0 Mar/16/22 Jun/11/24
Description: 0010-09256 0040-09286 / ASSY WITH 5000 CVD CHAMBER CONFIGURED / AMAT
20041014625pm Used - $895.00 0 Mar/20/22 Apr/05/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
signedsport Used - $1.00 0 Mar/20/22 Mar/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
washablefabrics Used - $1.00 0 Mar/20/22 Mar/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
retrotex Used - $1.00 0 Mar/20/22 Mar/20/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
getspares.com_sparesllc09 Used - $1,952.68 0 Mar/25/22 Jun/30/22
Description: 0020-05582 / BLADE, CERAMIC 300MM OPEN POCKET WITH PADS / APPLIED MATERIALS AMAT
getspares.com_sparesllc09 Used - $885.68 1 Mar/28/22 Jul/18/22
Description: 0190-13840 0040-44820 / CARRIAGE LIFT PIN ASSY WITH DRIVER, MOTOR / AMAT
getspares.com_sparesllc09 Used - $64,746.00 0 Mar/28/22 Aug/31/23
Description: 0010-07815 /ASSY 300MM SLT ESC WITH CENTER TAP META 0020-08825/ APPLIED MATERIAL
alamedaauction Used - $795.00 1 Mar/31/22 May/13/22
Description: Lam Research 839-101612-131 ESC Echuck with Distribution Board 810-251997-004
theswerve0 Used - $1.00 0 Apr/02/22 Apr/02/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
dom0808 Used - $239.00 0 Apr/04/22 Apr/10/22
Description: MKS Valve With Flow Switch Lam Research 796-003146-001
dom0808 Used - $522.50 0 Apr/04/22 Apr/10/22
Description: Lam Research ASSY,BOTTOM PLATE WITH FAN,VAC 853-210596-001
legacy_technologies Used - $650.00 0 Apr/05/22 Jun/17/22
Description: APPLIED MATERIALS I/O INTERFACE BOARD WITH MIRRA CMP 0100-01793 AMAT PCB
20041014625pm Used - $895.00 0 Apr/05/22 Apr/19/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
bid-servicellc Used - $3,500.00 1 Apr/07/22 Jun/20/22
Description: Advanced Energy 3155031-000G RF Matching Network with TCM Controller Tag #74
farmoninc Used - $9,500.00 0 Apr/18/22 Mar/02/23
Description: AMAT 0010-13321 8" Robot P5000, 8 Inch, With AMAT 0040-09085 Robot Blade, 104287
rtxparts Used - $3,000.00 1 Apr/19/22 Jul/06/22
Description: LAM RESEARCH 853-012123-001, HARMONIC DRIVE ARM ASSY, COMPLETE WITH MOTORS.
rtxparts Used - $2,750.00 0 Apr/19/22 Dec/12/22
Description: CTI-Cryogenics On-board P300 Cryopump With Module 8113212G001
tolleyshops Used - $89.00 0 Apr/19/22 May/18/22
Description: AMAT APPLIED MATERIALS 0200-10392 ENDPOINT WINDOW, With Certificate
20041014625pm Used - $895.00 0 Apr/19/22 May/01/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
cosplity Used - $3,500.00 0 Apr/26/22 Nov/02/22
Description: AMAT 0010-17930 VALVE MANIFOLD ASSY DF BRUSH LDM WITH LINES
getspares.com_sparesllc09 Used - $8,503.03 0 Apr/26/22 Jun/03/22
Description: 27-032653-00 / TRAZAR MATCH 5KW WITH ARC SENSOR AMU2-1 WITH EXCHANGE / NOVELLUS
all-of-cpu Used - $222.75 0 Apr/29/22 Mar/21/23
Description: Amat applied 0190-46809 6-ch di,6-ch relay output module with m connector
cosplity NEW - $7,900.00 0 Apr/29/22 Feb/28/23
Description: AMAT 0010-35105 CENTURA ACP SLIT VALVE WITH ENP MOUNT BL
20041014625pm Used - $695.00 0 May/01/22 Oct/24/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
spsglobal Used - $8,400.00 1 May/01/22 May/01/22
Description: 999-9999// AMAT APPLIED 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16 USED
erdltd Used - $4.95 0 May/05/22 Aug/05/23
Description: **REPAIR EVALUATION ONLY** AMAT 0190-76050 (With 3-Year Warranty!)
erdltd Used - $4.95 0 May/05/22 Aug/05/23
Description: **REPAIR EVALUATION ONLY** AMAT 0100-90054 (With 3-Year Warranty!)
erdltd Used - $4.95 0 May/05/22 Aug/05/23
Description: **REPAIR EVALUATION ONLY** Lam Research 853-190023-001 (With 3-Year Warranty!)
erdltd Used - $4.95 0 May/05/22 Aug/05/23
Description: **REPAIR EVALUATION ONLY** Lam Research 810-025370-001 (With 3-Year Warranty!)
kws-exchange NEW - $5,400.00 0 May/10/22 Jan/08/24
Description: Applied Materials AMAT 0041-09685, 0041-31335, & 0021-19312 with Case
vizvik16 NEW - $60.00 0 May/15/22 Mar/16/23
Description: Applied Materials 0190-08663 EXTERNAL USB 3.25IN FLOPPY DRIVE WITH CABLE
usedparts-semifa Used - $3,999.90 0 May/16/22 May/23/22
Description: Novellus Systems Assy, MC3E Module Controller with Ethernet P/N 02-253704-00
alvin1462 Used - $4,800.00 1 May/19/22 Oct/11/22
Description: Advanced Energy Cesar 133 RF Plasma Generator with VM600A Matching network
cosplity Used - $9,500.00 0 May/20/22 Aug/20/22
Description: AMAT 0090-04412 ASSEMBLY, RACK WITH BACKPLANE, 3U NONCPC
usraj-9fiaknj2 Used - $11,995.00 1 May/20/22 Jul/06/22
Description: AMAT, Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
usedparts-semifa Used - $12,000.00 1 May/23/22 May/23/22
Description: Lot of 3 / Novellus Systems Assy, MC3E Controller with Ethernet P/N 02-253704-00
getspares.com_sparesllc09 Used - $1,865.68 1 May/23/22 May/27/22
Description: 0190-29890 / POWER SUPPLY, BI-POLAR OUTPUT 1500 KV WITH 1KV BIAS / AMAT
farmoninc Used - $150.00 0 Jun/01/22 Mar/20/24
Description: AMAT 0190-13035 LAMP, BAKEOUT WITH 2.5INCH LEADS AND LUGS, 106143
tvcharlie911 NEW - $110.00 0 Jun/05/22 Jul/05/22
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
40-30sourcing Used - $2,899.00 0 Jun/08/22 Apr/20/23
Description: Set of LID CERAMIC DUAL GAS FLAT IEP 300MM with 0200-02967 NOZZLE INSERT
dgold32 Used - $1,599.99 1 Jun/13/22 Jun/17/22
Description: 853-042958-214 / VME RACK WITH CARD V7668A, LAM 810-099175 / LAM RESEARCH CORP.
ladydidog Used - $43.52 0 Jun/13/22 Jun/14/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
michelleshellmark Used - $43.52 0 Jun/21/22 Jun/21/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
j316gallery Used - $1,000.00 0 Jun/21/22 Jun/24/22
Description: 28739 APPLIED MATERIALS ASSY PCB AIO WITH NO A/D CONVERTER 0100-00825
trendysavers-uk Used - $43.52 0 Jun/24/22 Jun/25/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
tvcharlie911 NEW - $110.00 0 Jul/05/22 Aug/05/22
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
toro.industrial.surplus Used - $600.00 0 Jul/05/22 Sep/08/22
Description: 1080-01037 / 24VDC .95A MOTOR ASSEMBLY WITH AMAT 0100-00033 DRIVER / BALDOR
rjrproducts Used - $4,700.00 1 Jul/10/22 Aug/16/22
Description: CTI 8510 COMPRESSOR REBUILT WITH 12-MONTH WARRANTY
rjrproducts Used - $5,100.00 1 Jul/10/22 Nov/29/22
Description: CTI 8500 COMPRESSOR REBUILT BY FARFAN TECHNOLOGIES WITH 12-MONTH WARRANTY
waste-not-recycling Used - $399.95 0 Jul/12/22 Aug/08/22
Description: AMAT Applied Materials 0200-10676 SUPPORT, SUSCEPTOR, 150MM TO/SO/N, with baffle
excessdealer Used - $199.00 1 Jul/20/22 Sep/05/22
Description: LAM Research 839-101612-037/E ESC Echuck with Distribution Board 810-251997-004
prism_electronics8 Used - $530.60 1 Jul/22/22 Dec/21/22
Description: APPLIED MATERIALS 0010-70029 REV D Slit Valve with SMC NCDQ1B32-G0069-100 OFFER
avitarauctions Used - $999.95 0 Jul/27/22 Aug/03/22
Description: CTI-Cryogenics 8500 Compressor use with CRYO-TORR® High-Vacuum Pumping Systems
mmcgolfer NEW - $250.00 0 Jul/28/22 Oct/15/22
Description: Lot of 10 - 0020-22616 - ROLLER SUPPORT Left WITH HELICOIL / Applied Materials
mmcgolfer NEW - $1,250.00 0 Jul/28/22 Oct/15/22
Description: Lot of 50 - 0020-22617 - ROLLER SUPPORT RIGHT WITH HELICOIL / Applied Materials
rjrproducts Used - $7,600.00 0 Jul/31/22 Nov/05/22
Description: CTI CRYOGENICS ONBOARD WATER PUMP NEW WITH 12-MONTH WARRANTY
time-4-dreamz Used - $43.52 0 Aug/02/22 Aug/03/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ace_stellar_seller Used - $1,099.00 0 Aug/03/22 Feb/03/23
Description: APPLIED MATERIALS 0270- 20016 0 WITH END EFFECTOR 0020-34881 REV A
avitarauctions Used - $99.95 1 Aug/04/22 Aug/11/22
Description: CTI-Cryogenics 8500 Compressor use with CRYO-TORR® High-Vacuum Pumping Systems
tfstech Used - $158.40 1 Aug/04/22 Sep/30/22
Description: CTI Cryogenics 8112578G001 Roughing Valve for OB Cryo Pumps with Solenoid 80 psi
tvcharlie911 NEW - $110.00 0 Aug/05/22 Sep/02/22
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
southweststeam1 Used - $43.52 0 Aug/08/22 Aug/08/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
waste-not-recycling Used - $399.95 1 Aug/08/22 Sep/15/22
Description: AMAT Applied Materials 0200-10676 SUPPORT, SUSCEPTOR, 150MM TO/SO/N, with baffle
tolleyshops Used - $89.00 0 Aug/11/22 Sep/11/22
Description: AMAT APPLIED MATERIALS 0200-10392 ENDPOINT WINDOW, With Certificate
railhome Used - $43.52 0 Aug/12/22 Aug/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
railhome Used - $34.23 0 Aug/12/22 Aug/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
top_formalwear-accessories Used - $43.52 0 Aug/13/22 Aug/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
hawkg2 Used - $43.52 0 Aug/14/22 Aug/14/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
base25ball Used - $10,000.00 0 Aug/15/22 Oct/12/22
Description: CTI Cryogenics CryoTorr 400 , with heater jacket
powersell007 Used - $1,999.00 0 Aug/16/22 Aug/25/22
Description: APPLIED MATERIALS 0022-18340 COVER RING WITH TWAS AMAT *UNUSED*
getspares.com_sparesllc09 Used - $7,500.82 0 Aug/19/22 Dec/21/22
Description: 3870-05190 / EPSILON NOR CAL THROTTEL VALVE WITH INTELLISYS / APPLIED MATERIALS
rjrproducts Used - $3,950.00 0 Aug/21/22 Jun/28/23
Description: CTI-CRYOGENICS SC CRYO-COMPRESSOR REBUILT WITH 12-MONTH WARRANTY
cosplity Used - $900.00 0 Aug/30/22 Jan/30/23
Description: AMAT 0020-78126 MANIFOLD WITH FITTINGS MIRRA CMP
0115haywood8 Used - $33.30 0 Aug/31/22 Aug/31/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
tvcharlie911 NEW - $110.00 0 Sep/02/22 Oct/02/22
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
brauning_finds Used - $1,500.00 1 Sep/03/22 Sep/03/22
Description: KAWASAKI 0190-10709 Teach Pendant with cable - AMAT interface
mmcgolfer NEW - $14.99 0 Sep/08/22 Oct/15/22
Description: 0020-22617 - ROLLER SUPPORT RIGHT WITH HELICOIL / Applied Materials
ordipackdepannage Used - $43.52 0 Sep/08/22 Sep/09/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
industry-outlet Used - $199.00 1 Sep/08/22 Sep/09/22
Description: AMAT Applied Materials 0010-05080 - Pneumatic Valves with Lower Exhaust
ebon625 Used - $43.52 0 Sep/10/22 Sep/10/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
carolyns_cosmetics Used - $3,742.52 0 Sep/12/22 Sep/12/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
zavattishop Used - $43.52 0 Sep/13/22 Sep/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
riccardinocatania Used - $43.52 0 Sep/13/22 Sep/13/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
farmoninc Used - $7,500.00 0 Sep/14/22 Dec/22/22
Description: AMAT 0190-28126 RF RESONATOR ASSY WITH INTERLOCKS PCII, 109824
clamebake99 NEW - $7,500.00 1 Sep/14/22 Apr/02/23
Description: AMAT Applied Materials Ceramic Heater With Lift Pins Outside 0010-78912 - New
goldenagecycles Used - $43.52 0 Sep/25/22 Sep/25/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
cbnumismatique Used - $43.52 0 Sep/25/22 Sep/25/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
getspares.com_sparesllc09 Used - $10,500.00 0 Sep/27/22 May/06/24
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
riccardinocatania Used - $43.52 0 Sep/27/22 Sep/27/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
giftwareireland Used - $1.00 0 Oct/01/22 Oct/02/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
tvcharlie911 NEW - $99.00 0 Oct/02/22 Nov/02/22
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
desahogostore Used - $43.52 0 Oct/04/22 Oct/04/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
horner_shearing Used - $43.52 0 Oct/04/22 Oct/04/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
erdltd Used - $4.95 0 Oct/06/22 Feb/06/23
Description: **REPAIR EVALUATION ONLY**Lam Research 810-017050-002 Re (With 3-Year Warranty!)
erdltd Used - $4.95 12 Oct/06/22 Aug/06/23
Description: **REPAIR EVALUATION ONLY**Lam Research 810-017050-002 Re (With 3-Year Warranty!)
dreams-and-jeans Used - $1.00 0 Oct/09/22 Oct/09/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
goldenagecycles Used - $43.52 0 Oct/10/22 Oct/10/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ciscorpor Used - $25,000.00 1 Oct/11/22 Feb/06/23
Description: LAM RESEARCH VORTEX ROBOT 853-226631-001 WITH CONTROLLER P/N 853-227249-001
pyreneescollections Used - $13.62 0 Oct/11/22 Oct/11/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
pyreneescollections Used - $21.65 0 Oct/11/22 Oct/11/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
mmcgolfer NEW - $1,250.00 0 Oct/15/22 Oct/30/22
Description: Lot of 50 - 0020-22617 - ROLLER SUPPORT RIGHT WITH HELICOIL / Applied Materials
mmcgolfer NEW - $250.00 0 Oct/15/22 Oct/30/22
Description: Lot of 10 - 0020-22616 - ROLLER SUPPORT Left WITH HELICOIL / Applied Materials
mmcgolfer NEW - $14.99 0 Oct/15/22 Oct/30/22
Description: 0020-22617 - ROLLER SUPPORT RIGHT WITH HELICOIL / Applied Materials
filateliadesimoni Used - $13.62 0 Oct/22/22 Oct/22/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
filateliadesimoni Used - $21.65 0 Oct/22/22 Oct/22/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
recortitos Used - $13.62 0 Oct/24/22 Oct/24/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
recortitos Used - $8.87 0 Oct/24/22 Oct/24/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
recortitos Used - $21.65 0 Oct/24/22 Oct/24/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
20041014625pm Used - $695.00 0 Oct/24/22 Oct/31/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
tfstech Used - $132.00 0 Oct/25/22 Nov/25/22
Description: CTI Cryogenics Purge Port Assembly with Relief Valve kf25 Rough Valve VCO Ports
ecomicron NEW - $1,000.00 2 Oct/28/22 Nov/21/22
Description: 0190-23208 CYL RODLESS 1-1/4″ BORE 3MAG WITH LOW SP
napoleon155 Used - $13.62 0 Oct/29/22 Oct/29/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
napoleon155 Used - $21.65 0 Oct/29/22 Oct/29/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
napoleon155 Used - $8.87 0 Oct/29/22 Oct/29/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
mmcgolfer NEW - $187.50 0 Oct/30/22 Nov/12/22
Description: Lot of 10 - 0020-22616 - ROLLER SUPPORT Left WITH HELICOIL / Applied Materials
mmcgolfer NEW - $11.24 0 Oct/30/22 Nov/12/22
Description: 0020-22617 - ROLLER SUPPORT RIGHT WITH HELICOIL / Applied Materials
mmcgolfer NEW - $937.50 0 Oct/30/22 Nov/12/22
Description: Lot of 50 - 0020-22617 - ROLLER SUPPORT RIGHT WITH HELICOIL / Applied Materials
20041014625pm Used - $575.00 0 Oct/31/22 Nov/14/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
alvin1462 Used - $4,800.00 1 Nov/01/22 Jan/25/24
Description: Advanced Energy Cesar 133 RF Plasma Generator with VM600A Matching network
tvcharlie911 NEW - $99.00 0 Nov/02/22 Dec/02/22
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
lagerwerk_gmbh Used - $3,925.68 0 Nov/04/22 Nov/21/23
Description: ADVANCED ENERGY 3155148-008 B, RF Navigator with AMAT 102172207, B-UCV-59
eagleservicesandequipment Used - $400.00 0 Nov/05/22 Nov/15/22
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
mmcgolfer NEW - $125.00 1 Nov/12/22 Dec/27/22
Description: Lot of 10 - 0020-22616 - ROLLER SUPPORT Left WITH HELICOIL / Applied Materials
mmcgolfer NEW - $7.49 9 Nov/12/22 Dec/27/22
Description: 0020-22617 - ROLLER SUPPORT RIGHT WITH HELICOIL / Applied Materials
mmcgolfer NEW - $374.99 1 Nov/12/22 Dec/27/22
Description: Lot of 50 - 0020-22617 - ROLLER SUPPORT RIGHT WITH HELICOIL / Applied Materials
20041014625pm Used - $575.00 1 Nov/14/22 Nov/17/22
Description: Lam Research Lifter Assembly with Bellow 853-031764-002 TESTED On Live Machine.
eagleservicesandequipment Used - $400.00 0 Nov/15/22 Nov/25/22
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
sgcequipment Used - $600.00 8 Nov/15/22 Sep/15/23
Description: BIMBA LAM RESEARCH 853-031764-002 REV B Lifter Assembly with Bellow
europlantsvivai Used - $1.62 0 Nov/19/22 Nov/19/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
europlantsvivai Used - $2.99 0 Nov/19/22 Nov/19/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
europlantsvivai Used - $1.00 0 Nov/19/22 Nov/19/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
alvin1462 NEW - $38,888.00 0 Nov/20/22 Nov/22/22
Description: KAWASAKI NTS10 Robot with controller 3NTS10A-A010 ,AMAT 1 0190-51510 ,0190-55965
comwaysind Used - $1,799.00 0 Nov/22/22 May/18/23
Description: AMAT 0190-45504 VAT Inficon Dual Monameter Valve with heater SHW-252-312
eagleservicesandequipment Used - $400.00 0 Nov/25/22 Dec/01/22
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
tfstech Used - $99.99 0 Nov/25/22 Feb/06/23
Description: CTI Cryogenics Purge Port Assembly with Relief Valve kf25 Rough Valve VCO Ports
tolleyshops Used - $89.00 0 Nov/25/22 Jan/25/23
Description: AMAT APPLIED MATERIALS 0200-10392 ENDPOINT WINDOW, With Certificate
kollexion Used - $1.00 0 Nov/28/22 Nov/28/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
voltapaginanet Used - $13.62 0 Nov/29/22 Nov/30/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
voltapaginanet Used - $3.35 0 Nov/29/22 Nov/30/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
voltapaginanet Used - $3.99 0 Nov/29/22 Nov/30/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
comwaysind Used - $325.00 1 Nov/30/22 May/10/23
Description: Applied Materials hotlon/Pi pirani gauge 0190-22145 with sensor 354-491
waste-not-recycling Used - $450.00 0 Nov/30/22 Jan/01/24
Description: AMAT Robot Interconnect BD 0100-76053 Rev B, APS-B2346-37 with Cable 0150-70019
tvcharlie911 NEW - $99.00 0 Dec/02/22 Jan/02/23
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
cubit001 NEW - $28,000.00 0 Dec/04/22 May/16/24
Description: Amat Pad Cond Assy with Motors 0010-12344 Applied Materials
079gabriel2005 Used - $13.62 0 Dec/05/22 Dec/05/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
079gabriel2005 Used - $8.87 0 Dec/05/22 Dec/05/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
079gabriel2005 Used - $21.65 0 Dec/05/22 Dec/05/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
fma16000 Used - $13.62 0 Dec/07/22 Dec/07/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
fma16000 Used - $21.65 0 Dec/08/22 Dec/08/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
rtxparts Used - $2,750.00 0 Dec/12/22 Mar/14/23
Description: CTI-Cryogenics On-board P300 Cryopump With Module 8113212G001
alvin1462 NEW - $38,888.00 1 Dec/13/22 Mar/09/23
Description: KAWASAKI NTS10 Robot with controller 3NTS10A-A010 ,AMAT 1 0190-51510 ,0190-55965
tfstech Used - $385.00 1 Dec/18/22 Dec/18/22
Description: CTI Cryogenics On Board Roughing Valve 8112578G001 With Solenoid Clean
giftwearonline Used - $13.62 0 Dec/18/22 Dec/18/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
giftwearonline Used - $21.65 0 Dec/18/22 Dec/18/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
giftwearonline Used - $23.50 0 Dec/18/22 Dec/18/22
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
giftwearonline Used - $8.87 0 Dec/18/22 Dec/18/22
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
napo12 Used - $13.62 0 Dec/19/22 Dec/19/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
napo12 Used - $21.65 0 Dec/19/22 Dec/19/22
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
mmcgolfer NEW - $14.99 10 Dec/27/22 Dec/28/22
Description: 0020-22617 - ROLLER SUPPORT RIGHT WITH HELICOIL / Applied Materials
mmcgolfer NEW - $14.99 10 Dec/27/22 Dec/28/22
Description: 0020-22616 - ROLLER SUPPORT Left WITH HELICOIL / Applied Materials
eagleservicesandequipment Used - $250.00 0 Dec/28/22 Jan/04/23
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
northernindustrialsupply Used - $1,199.99 0 Jan/01/23 Feb/08/23
Description: CTI Cryogenics 8300 8052000 Compressor With 8002 8052002 Controller
tvcharlie911 NEW - $99.00 0 Jan/02/23 Jan/06/23
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
onestopbuys Used - $23.50 0 Jan/12/23 Jan/12/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
novusferro Used - $1,399.00 2 Jan/12/23 Apr/05/23
Description: Lam Research 810-251997-004 Control Board with 839-101612-037 ESC Chuck
mppatrick Used - $13.62 0 Jan/14/23 Jan/14/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
mppatrick Used - $8.87 0 Jan/14/23 Jan/14/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
mppatrick Used - $21.65 0 Jan/14/23 Jan/14/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
tfstech Used - $318.75 1 Jan/21/23 Feb/03/23
Description: CTI Cryogenics On Board Roughing Valve 8112578G001 With Solenoid Clean
tvcharlie911 NEW - $99.00 0 Jan/22/23 Feb/21/23
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
getspares.com_sparesllc09 Used - $4,998.56 1 Jan/23/23 Jul/13/23
Description: 02-377211-00 / SRD FOUR STAGE RF ASSY WITH BNC / NOVELLUS 200159110 200182041
ace_stellar_seller Used - $14,950.00 0 Jan/26/23 Feb/02/23
Description: CTI CRYOGENICS IS-1000 COMPRESSOR 8135961G001 WITH CUSTOM TABLE AND CRYO LINES
tfstech Used - $425.00 1 Feb/03/23 Feb/04/23
Description: CTI Cryogenics On Board Roughing Valve 8112578G001 With Solenoid Clean
tfstech Used - $99.99 0 Feb/06/23 Apr/16/23
Description: CTI Cryogenics Purge Port Assembly with Relief Valve kf25 Rough Valve VCO Ports
erdltd Used - $4.95 0 Feb/06/23 Aug/06/23
Description: **REPAIR EVALUATION ONLY** Advanced Energy 3152313-000b (With 3-Year Warranty!)
jtechsemi Used - $600.00 0 Feb/09/23 Apr/03/23
Description: Applied Materials 0100-09056 CENTERFINDER PCB ASSY WITH CMOS CHIP AMAT
northernindustrialsupply Used - $1,199.99 1 Feb/09/23 Apr/21/23
Description: CTI Cryogenics 8300 8052000 Compressor With 8002 8052002 Controller
global-audio-store Used - $13.62 0 Feb/09/23 Feb/09/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
zartons Used - $13.62 0 Feb/10/23 Feb/10/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
zartons Used - $21.65 0 Feb/10/23 Feb/10/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
eagleservicesandequipment Used - $250.00 0 Feb/12/23 Feb/19/23
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
i-s-m-e Used - $74.21 3 Feb/12/23 Dec/17/23
Description: lam research Aluminum Cover 853-031727-008 With Cables
eagleservicesandequipment Used - $250.00 0 Feb/19/23 Feb/26/23
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
tvcharlie911 NEW - $99.00 0 Feb/21/23 Mar/21/23
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
eagleservicesandequipment Used - $250.00 0 Feb/26/23 Mar/05/23
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
eleganthairextensions Used - $13.62 0 Feb/26/23 Feb/27/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
eleganthairextensions Used - $8.87 0 Feb/26/23 Feb/26/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
avoneverywhere Used - $23.50 0 Feb/26/23 Feb/27/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
eleganthairextensions Used - $21.65 0 Feb/26/23 Feb/26/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
getspares.com_sparesllc09 Used - $6,999.03 0 Mar/01/23 Apr/17/23
Description: 0100-76042 / ASSY,PCB EWOB ENHANCED OTF-CENTERFINDER WITH RS232 WITH EX 3 LED
eagleservicesandequipment Used - $250.00 0 Mar/05/23 Mar/12/23
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
ledamoiseau Used - $13.62 0 Mar/05/23 Mar/06/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
ledamoiseau Used - $8.87 0 Mar/05/23 Mar/06/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
ledamoiseau Used - $21.65 0 Mar/05/23 Mar/05/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
ledamoiseau Used - $23.50 0 Mar/05/23 Mar/05/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
earth_enterprises Used - $199.99 1 Mar/07/23 Mar/07/23
Description: AMAT NOR-CAL 3870-01213 with Ball Valve & HPS Aluminum Shackles
tfstech NEW - $429.99 0 Mar/08/23 Jul/27/23
Description: 715-012111-003 853-012100-003 Lam Research Cover Arm Housing with Alignment Hole
eagleservicesandequipment Used - $250.00 0 Mar/12/23 Mar/19/23
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
papyrus10 Used - $13.62 0 Mar/12/23 Mar/13/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
papyrus10 Used - $8.87 0 Mar/12/23 Mar/13/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
papyrus10 Used - $21.65 0 Mar/12/23 Mar/13/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
papyrus10 Used - $23.50 0 Mar/12/23 Mar/13/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
man7183 Used - $13.62 0 Mar/13/23 Mar/13/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
rtxparts Used - $2,750.00 0 Mar/15/23 Jun/22/23
Description: CTI-Cryogenics On-board P300 Cryopump With Module 8113212G001
farmoninc Used - $7,500.00 0 Mar/17/23 Jul/23/23
Description: AMAT 0010-70000 P5000 Mini Controller with module, 1 Phase, 3Wire, 120VAC 104371
eagleservicesandequipment Used - $250.00 0 Mar/19/23 Mar/26/23
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
punki64 Used - $13.62 0 Mar/20/23 Mar/20/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
punki64 Used - $8.87 0 Mar/20/23 Mar/20/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
punki64 Used - $21.65 0 Mar/20/23 Mar/20/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
tvcharlie911 NEW - $99.00 0 Mar/21/23 Apr/21/23
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
getspares.com_sparesllc09 Used - $3,487.82 0 Mar/22/23 Jun/01/23
Description: 0010-54967 / GAS BOX WITH YAMAMOTO DIFFERENTIAL PRESSURE SWITCH MS65L/ AMAT
biz2020a6-3 Used - $495.97 0 Mar/23/23 Jan/17/24
Description: 800-1514A AMAT 0190-31257 REV 05 Used with 90days warranty Free DHL or EMS #A
dch2023 Used - $600.00 0 Mar/23/23 Apr/09/23
Description: APPLIED MATERIALS ASSY HUB RADIANCE CHAMBER 0010-18042 Rev 001 with COSEL R100U-
ghis6969 Used - $13.62 0 Mar/25/23 Mar/25/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
ghis6969 Used - $8.87 0 Mar/25/23 Mar/26/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
en.avant.les.histoires Used - $6,300.00 0 Mar/25/23 Mar/25/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
eagleservicesandequipment Used - $250.00 1 Mar/26/23 Mar/27/23
Description: CTI-Cryogenics 8135903G001 Cryo-Torr Interface with cables
erdltd Used - $4.95 0 Mar/27/23 Apr/27/23
Description: **REPAIR EVALUATION ONLY**Lam Research 810-017050-002 Re (With 3-Year Warranty!)
usedeqsales Used - $2,802.26 0 Mar/30/23 Jul/21/23
Description: CTI-Cryogenics 8116081G006 On-Board 8F Cryopump with ACM P300 Surplus Spare
rodagon88 Used - $13.62 0 Apr/01/23 Apr/01/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
rodagon88 Used - $21.65 0 Apr/01/23 Apr/01/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
bo19531 Used - $3.74 0 Apr/02/23 Apr/03/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
bo19531 Used - $6.15 0 Apr/02/23 Apr/03/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
bo19531 Used - $5.62 0 Apr/02/23 Apr/03/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
bo19531 Used - $2.99 0 Apr/02/23 Apr/03/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
clamebake99 NEW - $7,500.00 1 Apr/04/23 Oct/09/23
Description: AMAT Applied Materials Ceramic Heater With Lift Pins Outside 0010-78912 - New
labedge Used - $295.00 0 Apr/08/23 Apr/18/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
e-electronicrecycle NEW - $9,000.00 1 Apr/09/23 Apr/12/23
Description: ADVANCED ENERGY Apex 5513 RF GENERATOR PN 3156115-205, 208V, new with COC.
e-electronicrecycle NEW - $9,000.00 1 Apr/17/23 Jun/08/23
Description: ADVANCED ENERGY Apex 5513 RF GENERATOR PN 3156115-205, 208V, new with COC.
tolleyshops Used - $89.00 0 Apr/18/23 May/18/23
Description: AMAT APPLIED MATERIALS 0200-10392 ENDPOINT WINDOW, With Certificate
labedge Used - $295.00 0 Apr/19/23 Apr/29/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
usedeqsales Used - $1,204.23 0 Apr/20/23 Sep/18/24
Description: Nor-Cal Products 3870-07100 Pneumatic Gate Valve with Heater Jacket AMAT Working
tvcharlie911 NEW - $93.50 0 Apr/21/23 May/21/23
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
tfstech Used - $79.99 0 Apr/23/23 Nov/30/23
Description: CTI Cryogenics Purge Port Assembly with Relief Valve kf25 Rough Valve VCO Ports
katiil3 Used - $65.00 1 Apr/26/23 Nov/26/23
Description: Applied Materials 0190-08663 EXTERNAL USB 3.25IN FLOPPY DRIVE WITH CABLE New
labedge Used - $295.00 0 Apr/29/23 May/09/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
farmoninc Used - $8,500.00 0 May/02/23 Jun/01/23
Description: AMAT 0190-28126 RF RESONATOR ASSY WITH INTERLOCKS PCII, 109824
farmoninc Used - $2,500.00 0 May/04/23 May/18/23
Description: AMAT 0020-20125 PVD Heater Chuck Assembly With Thermocouple, 115838
xenop Used - $9,975.00 0 May/06/23 May/09/23
Description: Advanced Energy AE RFG-1250 / 315527-000 / Used with Right of Return
farmoninc NEW - $35.00 0 May/10/23 Jun/15/23
Description: AMAT 3960-00056 LATCH, SLAM ACTION CATCH WITH SWITCH 44N, C3-1810, 117075
labedge Used - $221.25 0 May/15/23 May/24/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
tvcharlie911 NEW - $88.00 0 May/21/23 Jun/21/23
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
ssarah712 Used - $8.87 0 May/28/23 May/28/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
aincoln0 Used - $13.62 0 May/28/23 May/28/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
ssarah712 Used - $23.50 0 May/28/23 May/29/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
aincoln0 Used - $21.65 0 May/28/23 May/28/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
getspares.com_sparesllc09 Used - $4,250.68 0 May/31/23 Jun/07/23
Description: 4S007-814 ASSY / PCB BOARD WITH ASSY / NIKON
getspares.com_sparesllc09 Used - $2,820.68 1 May/31/23 Jun/06/23
Description: 4S001-045 / PCB BOARD WITH POWER SUPPLY, OPD-PWR / NIKON
labedge Used - $165.94 0 May/31/23 Jun/10/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
farmoninc NEW - $1,500.00 0 Jun/02/23 Jun/05/23
Description: AMAT 3620-02332 KEYPAD REMOTE WITH CABLE FOR ONBOARD CRYO PUMP, 118325
generalbonaparte Used - $13.62 0 Jun/04/23 Jun/04/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
generalbonaparte Used - $8.87 0 Jun/04/23 Jun/04/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
generalbonaparte Used - $14.28 0 Jun/04/23 Jun/04/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
generalbonaparte Used - $13.71 0 Jun/04/23 Jun/04/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
farmoninc Used - $650.00 0 Jun/09/23 Jun/15/23
Description: AMAT 0020-04129 TOP HINGE BRACKET WITH CABLES, 116678
hhulo Used - $8.87 0 Jun/11/23 Jun/11/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
hhulo Used - $13.62 0 Jun/11/23 Jun/11/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
hhulo Used - $21.65 0 Jun/11/23 Jun/11/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
labedge Used - $124.46 0 Jun/11/23 Jun/21/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
csi.usa NEW - $899.00 1 Jun/20/23 Jan/18/24
Description: LAM RESEARCH 714-810466-001 COV, ACS, CONN. ROBOT ALIGNMENT KIT WITH MANUAL
tvcharlie911 NEW - $77.00 0 Jun/21/23 Jul/21/23
Description: Lam Research 853-010574-050 Cable Assembly 50ft with 2x 6-pin connectors – NEW
rtxparts Used - $2,750.00 4 Jun/22/23 Aug/09/23
Description: CTI-Cryogenics On-board P300 Cryopump With Module 8113212G001
outletmp3 Used - $13.62 0 Jun/24/23 Jun/24/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
outletmp3 Used - $21.65 0 Jun/24/23 Jun/24/23
Description: 0040-88745 w internals /CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM/ AMAT
outletmp3 Used - $8.87 0 Jun/24/23 Jun/24/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
outletmp3 Used - $23.50 0 Jun/24/23 Jun/24/23
Description: 0040-88745 w internals CENTURA DPN CHAMBER BLUE WITH COILS AND FAN 300 MM AMAT
labedge Used - $93.35 0 Jun/24/23 Jul/04/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
labedge Used - $70.01 0 Jul/08/23 Jul/18/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
astonsmusic-terrascenic Used - $8.87 0 Jul/09/23 Jul/09/23
Description: 2 KAWASAKI TX210 FI Robot with 1 Controller & 2 Cables set AMAT 0190-46550 NEW
qrecycle NEW - $980.00 0 Jul/11/23 Jul/17/23
Description: applied material 0200-09636 Plug SIC with head