[click to login]
WSEMI


TAGS > used

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
usedeqsales
[view on eBay]
Used 1
in stock
$505.55
Description: Lam Research 853-020936-001 Upper Seal Plate Assembly 4420 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$711.11
Description: Lam Research 853-011117-001 Upper Chamber Parts Lot 010250C1-AO01E 4420 Used
usedeqsales
[view on eBay]
Used 1
in stock
$151.56
Description: Lam Research 853-025901-001-1-C136 EMO Assembly 4420 Etcher Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$303.12
Description: ASML 4022.471.4105 Interface Board PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$303.12
Description: ASML 4022.471.7196 Interface VME Card PCB Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$303.12
Description: ASML PCB 4022.471.6817 Interface Board PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$607.12
Description: Nikon 4S013-408 STGSTCRMEPX4 Connector Board PCB Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$455.59
Description: Nikon 4S018-715- OPDMTHX4 Connector Board PCB Used Working
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: LAM 839-360162-001 Gas Manifold, looks new, sell as used
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0050-36488 Gas manifold, looks new, sell as used
usedeqsales
[view on eBay]
Used 1
in stock
$454.13
Description: AMAT Applied Materials 0100-90880 Turbo Pump Interface Board PCB Card XR80 Used
usedeqsales
[view on eBay]
Used 1
in stock
$178.57
Description: AMAT Applied Materials 0040-75563 Load Lock Roughing Manifold Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$153.57
Description: AMAT Applied Materials 9240-04102 Cryo Interface Unit PX27A Lot of 2 Used
usedeqsales
[view on eBay]
Used 3
in stock
$3,008.13
Description: AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. D Used
usedeqsales
[view on eBay]
Used 1
in stock
$254.07
Description: AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$7,900.00
Description: AMAT 0010-03486 HY-11 300mm Magnet Assembly, USED
usedeqsales
[view on eBay]
Used 1
in stock
$840.13
Description: Novellus Systems 02-359080-00 Robot Linear Track Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$251.13
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-28962 MultiFlo SC25 Used
usedeqsales
[view on eBay]
Used 1
in stock
$261.13
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-28964 MultiFlo SC27 Used
grandbirdnet
[view on eBay]
Used 1
in stock
$80.00
Description: AMAT 0020-78238 RETAINER, USED
usedeqsales
[view on eBay]
Used 1
in stock
$130.57
Description: STEC SEC-4500M Mass Flow Controller AMAT 3030-02330 10 SLM O2 Used
grandbirdnet
[view on eBay]
Used 7
in stock
$190.00
Description: AMAT 0090-00732 HARNESS, BRUSH MODULE, WAFER PRESENT SWI, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$400.00
Description: AMAT 0090-01022 HARNESS, INPUT MODULE ( 300MM HVM) , BUF, USED
farmoninc
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-03347 M WxZ 6 Inch Heater Assembly. Used, untested
grandbirdnet
[view on eBay]
Used 2
in stock
$180.00
Description: AMAT 0140-77050 Pad conditioner 2,MP5-DVRS 12 & 13, USED
usedeqsales
[view on eBay]
Used 1
in stock
$262.13
Description: SAM Hitachi Metals SFC480F3PD MFC Mass Flow Controller AMAT 3030-00607 Used
grandbirdnet
[view on eBay]
Used 8
in stock
$100.00
Description: AMAT 0020-79185 BEARING CLAMP, BASE ASSY, USED
grandbirdnet
[view on eBay]
Used 7
in stock
$50.00
Description: AMAT 0020-79898 DDF3 BRG SPCR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-80375 DDF3 TRVL HSG, HEAD ASSY, PAD CONDITIONER 200MM, USED
usedeqsales
[view on eBay]
Used 1
in stock
$251.14
Description: Tylan FC-2900M Mass Flow Controller 2900 Series AMAT 0227-42246 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$251.14
Description: Tylan FC-2900M Mass Flow Controller AMAT 3030-05420 Used
usedeqsales
[view on eBay]
Used 1
in stock
$251.14
Description: Tylan FC-2900M Mass Flow Controller AMAT 3030-00854 Used
usedeqsales
[view on eBay]
Used 1
in stock
$251.14
Description: Tylan FC-2900M Mass Flow Controller AMAT 0226-42472 Used
grandbirdnet
[view on eBay]
Used 6
in stock
$70.00
Description: AMAT 0020-79171 BEARING CLAMP, USED
grandbirdnet
[view on eBay]
Used 48
in stock
$450.00
Description: AMAT 0090-00962 CABLE ASSY, PRESS TRANSDUCER -14.7-15, Z, USED
grandbirdnet
[view on eBay]
Used 7
in stock
$50.00
Description: AMAT 0020-77377 CLAMP, SWEEP, DRIVE GEAR, USED
grandbirdnet
[view on eBay]
Used 9
in stock
$50.00
Description: AMAT 0020-77372 GEAR, SWEEP, DRIVING, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT 0040-77401 DDF3 TBG SPRT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0020-27207 CLAMP RING 6 SMF PADDED HTHU HTR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-29141 CLAMP RING 8 JMF SST E/E 4.445MM, USED
grandbirdnet
[view on eBay]
Used 6
in stock
$970.00
Description: AMAT 0021-78502 SHAFT, EXT RINSE ASSY W/ GROOVE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$140.00
Description: AMAT 0040-43271 COLLAR HPR/SLURRY DELIVERY, CU MIRRA MES, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$140.00
Description: AMAT 0090-77180 GRIPPER SENSOR HARNESS, ARM 2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0090-77207 HARNESS, LEVEL SW, INPUT MODULE 300MM, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$300.00
Description: AMAT 0090-00917 ASSY MEGASONIC TANK, LOW LEVEL SWITCH, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$130.00
Description: AMAT 0090-77159 WAFER LOCK LEFT FINGER SW, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT 0140-03874 CABLE, INPUT MODULE, 300MM,HVM ,BUFFER,WP, USED
usedeqsales
[view on eBay]
Used 1
in stock
$751.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F No Motors Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,251.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. E Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,251.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. G Copper Exposed Used
usedeqsales
[view on eBay]
Used 1
in stock
$1,251.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D Copper Exposed Used
usedeqsales
[view on eBay]
Used 2
in stock
$1,251.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F Copper Exposed Used
usedeqsales
[view on eBay]
Used 14
in stock
$1,001.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Missing Covers Used
usedeqsales
[view on eBay]
Used 3
in stock
$1,251.57
Description: Novellus Systems 02-259457-00 C3 Vector Spindle Assembly Rev. F Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$1,251.57
Description: Novellus Systems 02-259457-00 C3 Vector Spindle Assembly Rev. G Used Working
usedeqsales
[view on eBay]
Used 8
in stock
$1,001.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J Copper No Covers Used
usedeqsales
[view on eBay]
Used 1
in stock
$751.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K No Motors Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$751.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D No Motors Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$751.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors Used Working
grandbirdnet
[view on eBay]
Used 4
in stock
$4,500.00
Description: AMAT 0040-76820 FACEPLATE, 1.5D 12MIL .70THK, SACVD 300M, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,260.00
Description: AMAT 0040-87765 FACEPLATE, 23 MIL CENTER HOLE, RF CAP, S, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-77174 COVER,PLATEN BELT, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$200.00
Description: AMAT 0040-77213 SILL MOUNT CUP, SIDE LONG, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$350.00
Description: AMAT 0020-22838 LIFT HOOP, PRECLEAN, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0150-77202 CABLE, PLATEN ENCODER, CNTRLR ADAPT 75FT, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$50.00
Description: AMAT 0140-77181 CABLE, LOWER PNEUMATICS, USED WORKING
grandbirdnet
[view on eBay]
Used 9
in stock
$400.00
Description: AMAT 0020-77214 MOUNT, MOTOR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-77690 PANEL, UPPER, REAR SKINS, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0022-77221 PANEL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,300.00
Description: AMAT 0020-78001 COVER, LONG, UPPER, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$700.00
Description: AMAT 0020-78983 CLAMP,DRIVE SPINDLE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$52.00
Description: AMAT 0140-77397 CBL,EXHAUST SWITCH, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$190.00
Description: AMAT 0140-77218 CABLE,PLTFRM BKHD SLURRY, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$280.00
Description: AMAT 0140-77026 HARNESS PLATEN, MTRS-, USED
grandbirdnet
[view on eBay]
Used 17
in stock
$6,500.00
Description: AMAT 0010-77307 ASSY, SPINDLE 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-77473 PLATEN 1,CAROUSEL MP7-DVRS 6&9, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$230.00
Description: AMAT 0140-77051 PAD CONDITION3, MP6-DVRS 14 & 15, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0140-77013 H/A PAD3, DVRS-CONT BULKHD COND & SWP, P, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0140-77014 H/A PAD2, DVRS-CONT BULKHD COND & SWP, P, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$120.00
Description: AMAT 0140-77015 H/A PAD1, DVRS-CONT BULKHD COND & SWP, P, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$110.00
Description: AMAT 0140-77132 HD ROT 1 PWR, DVR-CNTRL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0140-77133 HD ROT 2 PWR, DVR-CNTRL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0140-77028 CNTRL BKHD HEAD SWEEP, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$60.00
Description: AMAT 0140-77559 HARNESS, DRIVES DC POWER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$130.00
Description: AMAT 0140-77054 HD SWP CP25-DVR 16,17,18,19, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$125.00
Description: AMAT 0140-77075 CABLE, LIGHT TOWER, PCB, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$190.00
Description: AMAT 0140-77302 HARNESS COVER SWITCH INTLK BP-CONT BLKHD, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$30.00
Description: AMAT 0140-75171 H/A AC PWR 5 PHASE DRIVER TO PCB, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$40.00
Description: AMAT 0150-35156 C/A, AC TO FANS 5 PHASE DRIVER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$40.00
Description: AMAT 0140-77115 DC RBT DVRS-PANEL, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$59.00
Description: AMAT 0140-77219 HARNESS, DUAL SLURRY ANALOG DRIVER TO BA, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0140-77116 H/A, EMO CNTRL BULKHEAD, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$32.00
Description: AMAT 0150-76515 CABLE ASSY CHAMBER DIO PWR DIS FROM SEB, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$117.00
Description: AMAT 0140-77106 PLATEN 2,PWR DVR-CNTRL BKHD, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$117.00
Description: AMAT 0140-77105 PLATEN 1,PWR DVR-CNTRL BKHD, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0140-77074 CABLE, CONTROL, BP DIO, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0140-77001 CNTRL BKHD CAROUSEL J1, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$117.00
Description: AMAT 0140-77107 PLATEN 3,PWR DVR-CNTRL BKHD, USED
usedeqsales
[view on eBay]
Used 1
in stock
$352.57
Description: AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue C Used
usedeqsales
[view on eBay]
Used 4
in stock
$352.57
Description: AMAT Applied Materials 0100-00986 SDS Gas Interlock PCB Card Issue B Used
grandbirdnet
[view on eBay]
Used 6
in stock
$900.00
Description: AMAT 3760-00090 SLIDE, LEFT HAND, LIQUID DELIVERY MODULE , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0140-08229 ASSY, SWITCH, DIW FLOW, INPUT LDM, 300MM M-200-T41-017 , USED
grandbirdnet
[view on eBay]
Used 6
in stock
$5,500.00
Description: AMAT 0010-12525 FLYWHEEL ASSY, 45 DEGREE PLUNGER 300MM , USED
grandbirdnet
[view on eBay]
Used 2
in stock
$800.00
Description: AMAT 0090-77136 FLOW SWITCH 3/8 ASSY, PLATEN RINSE , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0140-77373 CABLE ASSY,ROBOT MOTOR , USED
usedeqsales
[view on eBay]
Used 2
in stock
$258.14
Description: Tylan FC-2900M Mass Flow Controller Lam 797-091413-625 500 SCCM NF3 Used
usedeqsales
[view on eBay]
Used 1
in stock
$129.07
Description: Millipore FC-2900M Mass Flow Controller Lam 797-091413-605 500 SCCM Cl2 Used
usedeqsales
[view on eBay]
Used 1
in stock
$608.14
Description: Lam Research 810-069751-103 Node Board Type 27 PCB 710-069751-002 Used Working
usedeqsales
[view on eBay]
Used 13
in stock
$408.14
Description: Lam Research 810-802901-305 MB Node 1 PM Common PCB 710-802901-305 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$708.14
Description: Lam Research 810-800031-300 System Interlock 300mm PCB 710-800031-300 Used
grandbirdnet
[view on eBay]
Used 1
in stock
$11,000.00
Description: AMAT 0195-04792 LCWE/PNEUMATIC BOX ASSEMBLY/300MM REFLEXION LK , USED
usedeqsales
[view on eBay]
Used 1
in stock
$308.14
Description: Lam Research 810-800156-101 Water Detector PCB 710-800156-101 Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$20.00
Description: AMAT 0150-09198 CABLE ASSY, FILTER GROUND CABLE , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$249.00
Description: AMAT 0140-09533 HARNESS, C/D BUNDLE, TEOS INTLK , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$49.00
Description: AMAT 0140-09004 HARNESS CHMBR INTER A , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,900.00
Description: AMAT 0020-70254 CHAMBER LOADLOCK , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$99.00
Description: AMAT 0140-09042 HARNESS,SLIT,I/O, AND STOR ELEVATOR , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$29.00
Description: AMAT 0140-09027 HARNESS CHAMBER A,B SLIT , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0190-06857 PCB TCG SMOKE-WATER DETECTION , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-70028 ASSY, ROBOT INTERCONNECT PCB, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-09104 PCB ASSY CONTACTOR INTERLOCK, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0100-00075 w PWB VIDEO CONTROLLER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0100-00196 PCB ASSY VME D-RAM 512K, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0100-00169 wPROM B, 256K PWB, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$5,500.00
Description: AMAT 0190-00318 VGA VIDEO CONTROLLER ASSY , USED
grandbirdnet
[view on eBay]
Used 2
in stock
$650.00
Description: AMAT 0200-00410 GAS DISTRIBUTION PLATE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0090-77094 OBSOLETE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0010-25725 ASSY, CONVENIENCE OUTLET , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0010-00015 AC OUTLET SYS CONTROLLER , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-09733, 0150-09901 ENCLOSURE AC BOX OZONATOR, CABLE , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$17,000.00
Description: AMAT 0195-01644 LCWE/PNEUMATIC BOX ASSEMBLY/300MM , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,300.00
Description: AMAT 0140-77631 C/A HD ROT1-4 MTR DRIVER RESOLVER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,700.00
Description: AMAT 0140-14120 HARNESS ASSY, DIGITAL I/O HD1-2, 200MM C, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0140-77634 C/A HD ROT2 MTR DRIVER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-22479 CLAMP, ROLLING SEAL, 300MM TITAN PROFILE , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,490.00
Description: AMAT 0040-83668 HOUSING TALL, 300MM TITAN PROFILER , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$490.00
Description: AMAT 0021-25110 GIMBAL ROD, E-NICKEL COATED, PROFILER HD , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0040-82292 GIMBAL SUPPORT, 300 MM PROFILER , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0020-28055 TOP MEMBRANE CLAMP 300MM TITAN PROFILER , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0040-48880 MEMBRANE CLAMP, 300MM TITAN PROFILER , USED
systechaz6024370100
[view on eBay]
Used 1
in stock
$399.99
Description: Lam Research Model: 718-094523-282 Notched 8" ESC. Gently Used Stock
usedeqsales
[view on eBay]
Used 1
in stock
$502.08
Description: Novellus 02-281532-00 RF Match Source Aluminum Coil Used Working
grandbirdnet
[view on eBay]
Used 2
in stock
$4,500.00
Description: AMAT 0190-30421 HX, MEGASONIC, KOMATSU HEX-204AH , USED
usedeqsales
[view on eBay]
Used 2
in stock
$211.11
Description: Lam Research 713-013236-001 Outer Gate Exit Safety Cover Rainbow 4420 Used
usedeqsales
[view on eBay]
Used 1
in stock
$1,216.26
Description: Lam Research 713-011203-001 90 Degree Loadlock Cover Rev. E Rainbow 4420 Used
grandbirdnet
[view on eBay]
Used 33
in stock
$550.00
Description: AMAT 0020-79068 BRACKET , USED
grandbirdnet
[view on eBay]
Used 29
in stock
$550.00
Description: AMAT 0100-01222 PCB ASSY, 4-PORT UPA INTC, REFLEXION CMP , USED
grandbirdnet
[view on eBay]
Used 85
in stock
$550.00
Description: AMAT 0021-78095 MANIFOLD 2 SERIAS VALVE, UPA ASSY , USED
grandbirdnet
[view on eBay]
Used 31
in stock
$500.00
Description: AMAT 0021-78097 MANIFOLD, 1 VALVE, UPA MANIFOLD ASSY , USED
grandbirdnet
[view on eBay]
Used 3
in stock
$2,500.00
Description: AMAT 0190-03554 SPECIFICATION, MAG DRIVER, 50A, 2-CHANNE 1000-0121-01 , USED
grandbirdnet
[view on eBay]
Used 3
in stock
$550.00
Description: AMAT 0021-79310 ADAPTER - FLEXIBLE COUPLING , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0090-77240 HARNESS, H2O2 HIGH LEVEL SENSOR , USED
usedeqsales
[view on eBay]
Used 1
in stock
$257.15
Description: Clean Sciences 15-262478-00 PED Blankoff Novellus C3 Altus Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$807.15
Description: NANOmetrics 7300-013555 CE-Chuck Full Contact AMAT 3820-00003 Used Working
usedeqsales
[view on eBay]
Used 11
in stock
$203.58
Description: Novellus Systems 10-363935-00 RF Plasma Tube Used Working
grandbirdnet
[view on eBay]
Used 5
in stock
$800.00
Description: AMAT 0020-78705 BRACKET , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0020-77223 BEARING CLAMP , USED
grandbirdnet
[view on eBay]
Used 3
in stock
$1,200.00
Description: AMAT 0020-78706 MOTOR MOUNT, USED
usedeqsales
[view on eBay]
Used 1
in stock
$157.15
Description: AMAT Applied Materials 0050-75257 Chamber to Cryo Vacuum Line Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-49599 CLAMP-PIN, PULLEY ASSEMBLY, BRUSH MODULE , USED
grandbirdnet
[view on eBay]
Used 4
in stock
$550.00
Description: AMAT 0010-25758 Q.C. DRIVEN PULLEY ASSY, BEARING BLOCK , USED
usedeqsales
[view on eBay]
Used 2
in stock
$307.15
Description: AMAT Applied Materials 3300-04104 DN200CF Non-Rotatable Blank-Off Flange Used
grandbirdnet
[view on eBay]
Used 1
in stock
$3,600.00
Description: AMAT 0190-02825 ASSEMBLY, CIRCUIT BREAKER ENCL, 300MM HV , USED
usedeqsales
[view on eBay]
Used 1
in stock
$203.58
Description: AMAT Applied Materials 9091-01393 Loop Controller PXP41W Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0010-77858 LOTO BREAKER BOX ASSEMBLY , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0200-00968 CERAMIQUE ISOLATOR TEOS/FSG, USED
usedeqsales
[view on eBay]
Used 1
in stock
$161.15
Description: AMAT Applied Materials 0150-35642 C/A M/F EXH Umbilical 32' Cable Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,211.15
Description: AMAT Applied Materials 0100-09267 Chamber Distribution UHP PCB P5000 Used
usedeqsales
[view on eBay]
Used 1
in stock
$711.15
Description: AMAT Applied Materials 0100-09266 System Interface UHP Board PCB P5000 Used
usedeqsales
[view on eBay]
Used 2
in stock
$261.15
Description: AMAT Applied Materials 0100-09103 AC Interconnect Board PCB Precision 5000 Used
usedeqsales
[view on eBay]
Used 1
in stock
$205.58
Description: AMAT Applied Materials 0100-09386 DPA Interlock PCB Precision 5000 P5000 Used
usedeqsales
[view on eBay]
Used 2
in stock
$1,005.57
Description: AMAT Applied Materials 0020-32860 Precision 5000 Photohelic Power Supply Used
usedeqsales
[view on eBay]
Used 1
in stock
$1,256.07
Description: Novellus Systems 02-259457-00 C3 Vector Spindle Complete Assembly Rev. J Used
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-78972 WRIST DRIVE SHAFT SPACER , USED
usedeqsales
[view on eBay]
Used 1
in stock
$350.58
Description: AMAT Applied Materials 0190-00371 DeviceNet Digital I/O Rev. 003 PCB Card Used
usedeqsales
[view on eBay]
Used 1
in stock
$225.58
Description: AMAT Applied Materials 0010-01087 Anneal Distribution PCB Panel Used Working
ecomicron
[view on eBay]
Used 1
in stock
$2,000.00
Description: 0021-35008, AMAT, PLATE, REFLECTOR, 200MM, MOD II, Used
usedeqsales
[view on eBay]
Used 1
in stock
$226.08
Description: AMAT Applied Materials 0100-00569 VME DC Power Distribution PCB Used Working
grandbirdnet
[view on eBay]
Used 5
in stock
$980.00
Description: AMAT 0010-14928 FINGER ASSY, PEEK, LONG W/CLAMP 200MM , USED
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 355-0301// AMAT APPLIED 0010-22043 ASSY PH-3 MAGNET USED
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 353-0101// AMAT APPLIED 0010-21810 MAGNET ASSY G-12+ ENCAPSULATED DURASOURC USED
spsglobal
[view on eBay]
Used 2
in stock
$8,000.00
Description: 354-0301// AMAT APPLIED 0010-21206 MAGNET ASSY DURASOURCE 13 JMW1 USED
spsglobal
[view on eBay]
Used 3
in stock
$10,000.00
Description: 355-0101// AMAT APPLIED 0010-05940 ASSY, RH-3 MAGNET RP USED
spsglobal
[view on eBay]
Used 2
in stock
$9,000.00
Description: 354-0101// AMAT APPLIED 0010-01198 ASSEMBLY MINI LP-3 MAGNET USED
grandbirdnet
[view on eBay]
Used 8
in stock
$690.00
Description: AMAT 0090-70003 MOTOR-ENCODER ASY ROBOT EXTENSION , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0190-35463 MOTOR, 5 PHASE STEPPER W/ CABLE DXZ , USED
spsglobal
[view on eBay]
Used 2
in stock
$1,600.00
Description: 316-0402// AMAT APPLIED 0020-35032 HP ROBOT ARM 0020-35033 HP ROBOT WING USED
spsglobal
[view on eBay]
Used 1
in stock
$550.00
Description: 316-0403// AMAT APPLIED 0020-26961 BLADE, 8" BUFFER, THIN METAL USED
spsglobal
[view on eBay]
Used 5
in stock
$800.00
Description: 316-0501// AMAT APPLIED 0020-20390 ARM, FROG LEG USED
usedeqsales
[view on eBay]
Used 1
in stock
$252.58
Description: AMAT Applied Materials 0020-10014 Lift Ring P5200 Precision 5200 Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0010-03624 TL SLURRY DISPENSE ARM ASSEMBLY , USED
usedeqsales
[view on eBay]
Used 1
in stock
$155.16
Description: AMAT Applied Materials 0224-43876 Vacuum Tube 2 Inch NW40 Lot of 3 Used Working
usedeqsales
[view on eBay]
Used 7
in stock
$155.16
Description: AMAT Applied Materials 0040-36024 Vacuum Tube 5 Inch NW40 Lot of 2 Used Working
spsglobal
[view on eBay]
Used 1
in stock
$4,500.00
Description: 129-0101// AMAT APPLIED 0090-75015 PCBA ASSY,SBC SYNERGY 68040 CONTROLLER USED
usedeqsales
[view on eBay]
Used 5
in stock
$177.58
Description: Nor-Cal AIV-1502-CF Angle Valve Assembly AMAT Applied Materials 0040-36023 Used
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 130-0101// AMAT APPLIED 0190-24116 ASSY. PCB, CDN396R, A-I/O, 300MM ENDURA USED
spsglobal
[view on eBay]
Used 2
in stock
$1,200.00
Description: 130-0101// AMAT APPLIED 0100-20313 PCB ASSEMBLY CHAMBER INTERCONNECT USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 130-0101// AMAT APPLIED 0100-01735 PCB ASSY GAP SERVO USED
spsglobal
[view on eBay]
Used 1
in stock
$3,200.00
Description: 130-0101// AMAT APPLIED 0090-03462 INTERLOCK ASSY, ELECT, GASBOX, 300MM HP+ USED
spsglobal
[view on eBay]
Used 1
in stock
$3,200.00
Description: 130-0101// AMAT APPLIED 0090-02911 INTERLOCK BOARD USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0020-77236 BALL SCREW CLAMP , USED
grandbirdnet
[view on eBay]
Used 4
in stock
$200.00
Description: AMAT 0020-77229 NUT, BALL SCREW, USED
usedeqsales
[view on eBay]
Used 1
in stock
$156.16
Description: Millipore SureView DR Display Module AMAT 0940-00021 Lot of 10 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$253.08
Description: AMAT Applied Materials 0050-60347 90° High Vacuum Elbow ISO80 4VCR Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-03248 CABLE MEG TANK LVL/DOOR CL SW LO ELECT M,USED
usedeqsales
[view on eBay]
Used 4
in stock
$507.16
Description: AMAT Applied Materials 0100-01950 ARC Interrupt B PCB Board 0020-53074 Used
usedeqsales
[view on eBay]
Used 4
in stock
$407.16
Description: AMAT Applied Materials 0100-00925 I/O Processor Sensor PCB Card Used Working
usedeqsales
[view on eBay]
Used 14
in stock
$203.58
Description: AMAT Applied Materials 0100-90875 Suppression Logic Board PCB Issue D Used
usedeqsales
[view on eBay]
Used 1
in stock
$707.16
Description: AMAT Applied Materials 0100-01735 EVR Gap Servo PCB Card Used Working
usedeqsales
[view on eBay]
Used 6
in stock
$253.58
Description: AMAT Applied Materials 0100-01950 ARC Interrupt A PCB Board 0020-53074 Used
usedeqsales
[view on eBay]
Used 10
in stock
$707.16
Description: AMAT Applied Materials 0100-02195 Gas Interlock 10 Torr SDS PCB Card Used
usedeqsales
[view on eBay]
Used 50
in stock
$353.58
Description: AMAT Applied Materials 0100-01691 Gas Interlock 10 Torr SDS PCB Card Used
grandbirdnet
[view on eBay]
Used 3
in stock
$1,100.00
Description: AMAT 0010-19288 Q.C. 4.3 SHAFT ASSEMBLY DRIVE SIDE, BRUS , USED
usedeqsales
[view on eBay]
Used 1
in stock
$154.58
Description: Verity Instruments 1007444 PCB Control Module SDC2006 Novellus 27-355491-00 Used
usedeqsales
[view on eBay]
Used 1
in stock
$204.58
Description: AMAT Applied Materials 0010-25624 300mm Slit Valve Assembly 3700-02144 Used
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 346-0103// AMAT APPLIED 0020-22212 AMAT Component USED
spsglobal
[view on eBay]
Used 1
in stock
$320.00
Description: 346-0103// AMAT APPLIED 0020-21690 PLATE 6" CCD VACUUM SEAL USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 346-0103// AMAT APPLIED 0020-20524 HUB LEFT, CORNER COVER LAMP BAKE OUT USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 346-0102// AMAT APPLIED 3300-02458 FTG TUBE ELBOW 3/4" SST USED
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 346-0103 AMAT APPLIED 0020-25555 (#1) HARNESS ASSY,COVER INTLK 0140-20441 USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 346-0101// AMAT APPLIED 0040-75025 OUTER BUSHING WITH MAGNETS, ORIENTER ROT USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 346-0101// AMAT APPLIED 0040-01256 MANIFOLD INPUT 200MM SACVD PRODUCER USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 346-0101// AMAT APPLIED 0020-76597 CASSETTE PLATFORM, Z DRIVE USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 346-0101// AMAT APPLIED 0020-75858 BLANK-OFF CENT. OTF, UPPER USED
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 346-0101// AMAT APPLIED 0020-41012 SHOE,HANDLE, TRANSFER CHAMBER 0020-41008 USED
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 346-0102// AMAT APPLIED 0020-24839 SPACER, HTHU LOWER LIFT USED
spsglobal
[view on eBay]
Used 19
in stock
$20.00
Description: 346-0102// AMAT APPLIED 0020-23836 PLATE ADAPTER CLAMP G-12 USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 346-0102// AMAT APPLIED 0020-23032 CAM, RIGHT OUTBOARD USED
spsglobal
[view on eBay]
Used 5
in stock
$80.00
Description: 341-0202// AMAT APPLIED 0020-20910 BUSS BAR, UPPER 5/6" USED
spsglobal
[view on eBay]
Used 50
in stock
$100.00
Description: 346-0102// AMAT APPLIED 0020-09077 MOUNTING BRACKET USED
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 176-0401// AMAT APPLIED 0050-09057 TUBE WELDMENT CAP MAN USED
grandbirdnet
[view on eBay]
Used 3
in stock
$120.00
Description: AMAT 0090-77153 NJ8-18GM50-E0 BRUSH BAR SWITCH RIGHT SENSOR, USED
grandbirdnet
[view on eBay]
Used 8
in stock
$17,000.00
Description: AMAT 0010-13667 HEAD ASSY, WITHOUT WEIGHT, 300MM PROFILER , USED
usedeqsales
[view on eBay]
Used 1
in stock
$131.08
Description: Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Y-RB Used Working
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 352-0201// AMAT APPLIED 0021-20197 SHIM 0.25MM G-TYPE ENCAPSULATED MAGNET C USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 352-0201// AMAT APPLIED 0020-29311 AMAT COMPONENT USED
spsglobal
[view on eBay]
Used 16
in stock
$50.00
Description: 352-0201// AMAT APPLIED 0020-29078 GROUND BUSHING USED
spsglobal
[view on eBay]
Used 7
in stock
$100.00
Description: 352-0201// AMAT APPLIED 0020-28946 SPACER, SOURCE STAND-OFF, VECTRA IMP USED
spsglobal
[view on eBay]
Used 3
in stock
$50.00
Description: 352-0201// AMAT APPLIED 0020-27886 SHIM, MAGNET ASSY, .75MM, SST USED
spsglobal
[view on eBay]
Used 32
in stock
$50.00
Description: 352-0201// AMAT APPLIED 0020-27812 PIN ALIGNMENT G-12 SOURCE FRAME USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 352-0201// AMAT APPLIED 0020-23560 SHIM, ROTARY FEEDTHRU USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 352-0201// AMAT APPLIED 0020-22980 PLATE CONTACT DC, LEFT TIN 8" WAFER USED
spsglobal
[view on eBay]
Used 13
in stock
$200.00
Description: 352-0201// AMAT APPLIED 0020-22979 PLATE CONTACT DC, RIGHT TIN 8" WAFER USED
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 352-0201// AMAT APPLIED 0020-20198 BRKT ANALOG/STEPPER DIST USED
spsglobal
[view on eBay]
Used 2
in stock
$400.00
Description: 318-0202// AMAT APPLIED 0020-38786 CARRIER 5200 MXP ESC OXIDE USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 318-0202// AMAT APPLIED 0020-30347 CYLINDER, EXTERNAL, USED
spsglobal
[view on eBay]
Used 6
in stock
$5.00
Description: 352-0401// AMAT APPLIED 3780-02265 SPR CPRSN 1.25FL X .300OD X USED
spsglobal
[view on eBay]
Used 17
in stock
$10.00
Description: 352-0201// AMAT APPLIED 3690-04108 SCR SHLDR 5/16-18X.375ODX7/16L SKT USED
spsglobal
[view on eBay]
Used 18
in stock
$10.00
Description: 352-0401// AMAT APPLIED 3690-01254 SCR MACH SHLDR 8-32X.187ODX3/4L HEX SK USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 318-0201// AMAT APPLIED 0200-09574 HOOP,REV 1 CERAMIC,200MM USED
spsglobal
[view on eBay]
Used 3
in stock
$100.00
Description: 352-0202// AMAT APPLIED 0020-20575 BRACKET MOTOR BRUSHLESS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 318-0202// AMAT APPLIED 0020-09885 ISOLATOR N USED
usedeqsales
[view on eBay]
Used 1
in stock
$162.16
Description: AMAT Applied Materials 0100-00438 Interlock Personality Board PCB Used Working
spsglobal
[view on eBay]
Used 4
in stock
$300.00
Description: 123-0102// AMAT APPLIED 3020-00225 CYL AIR 50MM BORE 50MM STROKE DBL ROD USED
spsglobal
[view on eBay]
Used 1
in stock
$1,800.00
Description: 321-0201// AMAT APPLIED 0190-20150 PNUEMATIC MANIFOLD MAIN CHAMBER TRAY USED
spsglobal
[view on eBay]
Used 1
in stock
$1,800.00
Description: 321-0201// AMAT APPLIED 0190-20148 PNEUMATIC MANIFOLD MAIN CHAMBER USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 319-0103// AMAT APPLIED 0190-00544 (#1) AMAT COMPONENT USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 352-0502// AMAT APPLIED 0090-20044 ASSY, 4-WAY DOUBLE VALVE USED
grandbirdnet
[view on eBay]
Used 1
in stock
$70.00
Description: AMAT 0140-78745 CABLE ASSY, ROBOT DOOR, USED
spsglobal
[view on eBay]
Used 1
in stock
$450.00
Description: 321-0401// AMAT APPLIED 0190-76142 HARD DISK DRIVE 540MB 3.5 SCSI USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 322-0103// AMAT APPLIED 0090-09002 MOTOR ASSY STEP ROT/EXT USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 322-0202// AMAT APPLIED 0150-09648 CABLE ASSY,FLOW SWITCH USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0190-17051 FIRMWARE REV 43 USED
grandbirdnet
[view on eBay]
Used 2
in stock
$3,500.00
Description: AMAT 0090-93027 DECEL PSU -15kV 20mA, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$4,000.00
Description: AMAT 0020-19708 COVER RING, 200MM SIP TA(N), TI, KACHINA, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$4,000.00
Description: AMAT 0020-19706 COVER RING, 200MM SIP TA/TAN, ESC, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$5,000.00
Description: AMAT 0200-01954 DEP RING TI-KFAB, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,500.00
Description: AMAT 0100-00397 ASSY PCB DIGITAL I/O DIO BDD, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,200.00
Description: AMAT 0021-21444 SHIELD, UPPER, GAMMA CU, MACHINED, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,200.00
Description: AMAT 0021-21443 SHIM, CLAMPING, GAMMA CU, TITANIUM, USED
usedeqsales
[view on eBay]
Used 1
in stock
$1,251.08
Description: ETO ABX-A434 RF Generator Controller ABX-X355 PCB Board AMAT 0190-36677 Used
usedeqsales
[view on eBay]
Used 1
in stock
$126.09
Description: Aera FC-D981SBC Mass Flow Controller AMAT 3030-08675 10 SLM N2 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$126.09
Description: Tylan General FC-2902MEP-T Mass Flow Controller AMAT 3030-04074 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,003.17
Description: Deublin 957-600 Pneumatic Motor AMAT Applied Materials 0190-01272 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$453.17
Description: AMAT Applied Materials 0226-30332 Modified N2-DRYVAC Distribution Board PCB Used
usedeqsales
[view on eBay]
Used 1
in stock
$203.17
Description: AMAT Applied Materials 0100-09040 7 Relay SSR AC Control Board PCB Used Working
grandbirdnet
[view on eBay]
Used 28
in stock
$90.00
Description: AMAT 3870-03073 VALVE ASSY SOL 3/2WAY 2MMORF 6106 W/2506 , USED
grandbirdnet
[view on eBay]
Used 27
in stock
$30.00
Description: AMAT 0140-07867 CABLE ASSY ZONE-1IT PRESS RGLTR HEAD PNE, USED
grandbirdnet
[view on eBay]
Used 27
in stock
$30.00
Description: AMAT 0140-07864 CABLE ASSY ZONE-2, PRESS RGLTR HEAD PNEU,USED
grandbirdnet
[view on eBay]
Used 1
in stock
$6,900.00
Description: AMAT 0010-35633 300MM HDPCVD DOME TEMP CONTROLLER , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$7,800.00
Description: AMAT 0010-76467 ASSY HEAT EXCHANGER MODIFIED AMAT-0 208V , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-00002, 0130-00002 FUSE BOARD, PWB ASSY, USED
usedeqsales
[view on eBay]
Used 1
in stock
$2,804.17
Description: AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$355.17
Description: AMAT Applied Materials 0226-48119 Time Delay Panel Precision 5000 P5000 Used
usedeqsales
[view on eBay]
Used 1
in stock
$255.17
Description: AMAT Applied Materials 0100-35180 DPA System Distribution Board PCB P5000 Used
usedeqsales
[view on eBay]
Used 3
in stock
$255.17
Description: AMAT Applied Materials 0100-70019 Controller Distribution WPS PCB P5000 Used
usedeqsales
[view on eBay]
Used 1
in stock
$305.17
Description: Proteus Industries 9812SA19P3 Flow Meter AMAT 0190-09338 Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT 0040-83284 ZONE 1 CLAMP, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0021-25475 CLAMP, DAMPED GIMBAL, 200MM 5 ZONE PROFI, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-25471 DAMPED GIMBAL, 200MM 5 ZONE PROFILER , USED
usedeqsales
[view on eBay]
Used 1
in stock
$905.17
Description: AMAT Applied Materials 0021-78097 Reflexion PT-44 RR SMC Regulator Assembly Used
usedeqsales
[view on eBay]
Used 1
in stock
$255.17
Description: Sanyo Denki PMDPC1C3PA0 PM Driver AMAT 1080-00202 SD Stepper 0130-00537 Used
usedeqsales
[view on eBay]
Used 2
in stock
$305.17
Description: AMAT Applied Materials 0100-00579 System AC Distribution Board PCB Used Working
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 130-0401// AMAT APPLIED 0100-09220 BOARD USED
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 130-0401// AMAT APPLIED 0100-09118 BOARD USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 130-0401// AMAT APPLIED 0100-09108 BOARD USED
spsglobal
[view on eBay]
Used 6
in stock
$250.00
Description: 130-0401// AMAT APPLIED 0100-09084 BOARD USED
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 130-0401// AMAT APPLIED 0100-09058 BOARD USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 130-0401// AMAT APPLIED 0100-09050 BOARD USED
spsglobal
[view on eBay]
Used 1
in stock
$430.00
Description: 130-0401// AMAT APPLIED 0100-09024 BOARD USED
spsglobal
[view on eBay]
Used 1
in stock
$450.00
Description: 129-0201// AMAT APPLIED 0100-00429 BOARD USED
spsglobal
[view on eBay]
Used 1
in stock
$850.00
Description: 130-0401// AMAT APPLIED 0010-09112 BOARD USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 130-0401// AMAT APPLIED 0100-09307 BOARD USED
spsglobal
[view on eBay]
Used 4
in stock
$350.00
Description: 130-0402// AMAT APPLIED 0010-09317 LEVEL SENSE/TEMPURATURE USED
spsglobal
[view on eBay]
Used 2
in stock
$700.00
Description: 130-0402// AMAT APPLIED 0100-09154 ASSY, MULT-EMISSION ENDPOINT B USED
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 130-0402// AMAT APPLIED 0100-09136 wPCBA MINI DI/DO SHORT CIRCUIT USED
spsglobal
[view on eBay]
Used 1
in stock
$850.00
Description: 130-0402 AMAT APPLIED 0100-09112 (#1) THERMOELECTRIC DRIVER USED
spsglobal
[view on eBay]
Used 2
in stock
$800.00
Description: 130-0402// AMAT APPLIED 0100-09068 wMINI CONTROLLER ASSY BACKPLAN USED
spsglobal
[view on eBay]
Used 2
in stock
$400.00
Description: 130-0402// AMAT APPLIED 0100-09028 wPCB ASSY,LOADER INTERCO USED
spsglobal
[view on eBay]
Used 3
in stock
$500.00
Description: 130-0402// AMAT APPLIED 0100-00080 wPCB ASSY, SBC I/O BREAKOUT, USED
spsglobal
[view on eBay]
Used 2
in stock
$350.00
Description: 130-0402// AMAT APPLIED 0010-09779 0100-09108 BOARD USED
spsglobal
[view on eBay]
Used 7
in stock
$250.00
Description: 130-0403// AMAT APPLIED 0100-09110 wASSY MINI AI FILTER BOARD USED
spsglobal
[view on eBay]
Used 4
in stock
$200.00
Description: 130-0401// AMAT APPLIED 0100-09099 ASSY CHBR INTERCONN USED
spsglobal
[view on eBay]
Used 10
in stock
$180.00
Description: 130-0403// AMAT APPLIED 0100-09020 wPCB ASSY, FUSE BOARD USED
spsglobal
[view on eBay]
Used 5
in stock
$4,000.00
Description: 337-0101// AMAT APPLIED 0010-11997 0040-36180 CLEANED HEATER,TxZ,200MM,SNNF USED
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 107-0501// AMAT APPLIED 0010-36475 ASSY,HEATER TXZ,200MM,JMF USED
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 107-0501// AMAT APPLIED 0010-30690 HEATER ASSEMBLY 8" SR AXZ USED
spsglobal
[view on eBay]
Used 4
in stock
$7,000.00
Description: 107-0401// AMAT APPLIED 0010-30421 ASSY HEATER 8" JHT .029 W/VITO USED
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 136-0501// AMAT APPLIED 0010-10420 (#2) 5000 PLATFORM VERSION IV UFM-9150 USED
spsglobal
[view on eBay]
Used 2
in stock
$1,200.00
Description: 318-0202// AMAT APPLIED 0020-31375 FLANGE,INSULATING, UNIBODY ETC USED
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 106-0201// AMAT APPLIED 0010-70131 8" WAFER ORIENTER USED
spsglobal
[view on eBay]
Used 1
in stock
$15,000.00
Description: 106-0101// AMAT APPLIED 0010-25154 (#1) (WITH COVER) HEATER USED
spsglobal
[view on eBay]
Used 1
in stock
$6,000.00
Description: 108-0501// AMAT APPLIED 0010-20223 (#1) wMAGNET REM 11.3"TIN ASY USED
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 108-0501 AMAT APPLIED 0010-20819 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 140-0701// AMAT APPLIED 0270-09355 0021-10213 PLATE,TRANSPLANT,CLAMP LID USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 140-0701// AMAT APPLIED 0270-09283 0021-09016 LID, TRANSPARENT, MXP USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 118-0401// AMAT APPLIED 0020-23620 TARGET FLAT 13"COHERENT DUMMY USED
spsglobal
[view on eBay]
Used 3
in stock
$500.00
Description: 140-0601// AMAT APPLIED 0040-22592 COVER SHIPPING PC MOD. FOR GAS USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 140-0501// AMAT APPLIED 0020-21735 COVER PRECLEAN USED
spsglobal
[view on eBay]
Used 2
in stock
$3,000.00
Description: 140-0301// AMAT APPLIED 0242-13441 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 140-0301// AMAT APPLIED 0242-01644 0010-36439 COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 140-0301// AMAT APPLIED 0010-30318 TOP LID FOR LINER, SSGD, 5000 USED
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 140-0201// AMAT APPLIED 0010-40280 ROOF TOP,SUB-ASSY,CGF,DOS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 140-0201// AMAT APPLIED 0010-09256 CVD GAS BOX USED
spsglobal
[view on eBay]
Used 2
in stock
$3,000.00
Description: 140-0101// AMAT APPLIED 0240-23164 0010-23164 USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 138-0401// AMAT APPLIED 0190-76263 IOS CONFIGURED USED
spsglobal
[view on eBay]
Used 2
in stock
$1,000.00
Description: 138-0401// AMAT APPLIED 0015-09091 wASSY LAMP DRIVER,TESTED REP01 USED
spsglobal
[view on eBay]
Used 2
in stock
$1,500.00
Description: 131-0501// AMAT APPLIED 0240-33168 (#2) 0021-09003 MXP CHAMBER, VC USED
spsglobal
[view on eBay]
Used 1
in stock
$1,300.00
Description: 131-0501// AMAT APPLIED 0240-33168 (#1) MXP CHAMBER, VC USED
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 131-0401// AMAT APPLIED 0020-21467 ADAPTER SOURCE 11.30 USED
spsglobal
[view on eBay]
Used 3
in stock
$2,500.00
Description: 333-0301 AMAT APPLIED 0020-20296 FRAME SOURCE 13" USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 131-0201 AMAT APPLIED 0020-24534 ADAPTER COH (AR) 13"FLT SRC-W/ USED
spsglobal
[view on eBay]
Used 2
in stock
$1,500.00
Description: 131-0101// AMAT APPLIED 0040-22023 ADAPTER, 13" SOURCE W/B, INTEL USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 131-0201 AMAT APPLIED 0020-24534 (#1) 0020-26340 0020-25311 13 FLT SRC-W USED
spsglobal
[view on eBay]
Used 4
in stock
$500.00
Description: 129-0401// AMAT APPLIED 0100-11002 PWB DIGITAL I/O USED
spsglobal
[view on eBay]
Used 7
in stock
$2,000.00
Description: 129-0301// AMAT APPLIED 0100-09003 wPCB ASSY, VME 7710 USE USED
spsglobal
[view on eBay]
Used 2
in stock
$10,000.00
Description: 102-0201// AMAT APPLIED 0190-08067 AE PINNACLE 3152412-223C USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 130-0303 AMAT APPLIED 0226-00671 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 130-0302// AMAT APPLIED 0100-20154 PCB ASSY SMIARM/5500 INTERFACE USED
spsglobal
[view on eBay]
Used 3
in stock
$500.00
Description: 130-0302// AMAT APPLIED 0100-09037 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 130-0203// AMAT APPLIED 0100-09081 wPCB ASSY N2-DRYVAC DIST USED
spsglobal
[view on eBay]
Used 5
in stock
$200.00
Description: 130-0301// AMAT APPLIED 0100-09012 wPCB ASSY, P.S.BACKPLANE USED
spsglobal
[view on eBay]
Used 5
in stock
$250.00
Description: 130-0301// AMAT APPLIED 0100-00025 PWB, 60V POWER SUPPLY USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 129-0601// AMAT APPLIED 0010-09103 (#3) STAND ALONE MONITOR 5000 PLATFORM USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 129-0601// AMAT APPLIED 0010-09103 (#2) (WITH BUTTON) STAND ALONE MONITOR USED
spsglobal
[view on eBay]
Used 4
in stock
$350.00
Description: 129-0501// AMAT APPLIED 0010-09103 (#1) (NO BUTTON) STAND ALONE MONITOR USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 136-0601// AMAT APPLIED 0242-76618 CONT. MOD. VENT KIT A,B, AND C USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 136-0601// AMAT APPLIED 0010-76952 ASSY, IHC CHAMBER A, B, AND C, USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 136-0601// AMAT APPLIED 0010-00744 (#5) 20SCCM RANGE 20 GAS HE USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 136-0601// AMAT APPLIED 0010-00744 (#4) 20SCCM 1159B-13197---S USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 136-0601// AMAT APPLIED 0010-00744 (#3) 20SCCM 122AA-00010-B-S USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 136-0601// AMAT APPLIED 0010-00744 (#2) 20SCCM 1159B-00020RV-SPCAL USED
spsglobal
[view on eBay]
Used 4
in stock
$2,500.00
Description: 136-0601// AMAT APPLIED 0010-00744 (#1) 20SCCM 122A-11063 USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 130-0401// AMAT APPLIED 0100-09306 ASSY, SMOKE WATER LEAK DETECT BD USED
spsglobal
[view on eBay]
Used 4
in stock
$500.00
Description: 130-0303// AMAT APPLIED 0100-09031 PCB ASSY, REMOTE GAS PANEL USED
spsglobal
[view on eBay]
Used 2
in stock
$1,500.00
Description: 136-0301// AMAT APPLIED 3930-01078 CNTRL TURBO PUMP 100/240VAC SG 305W USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 134-0401// AMAT APPLIED 0010-70302 ASSY LIFT, PRECLEAN I CHAMBER USED
spsglobal
[view on eBay]
Used 4
in stock
$3,000.00
Description: 134-0401// AMAT APPLIED 0010-03406 ASSY APPLICATOR 1.5KW UWAVE WXZ VER 2.5 USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 103-0201// AMAT APPLIED 1140-01088 PWRSP DC 20KW MASTER ONLY 20K 2223-003-Y USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 103-0201// AMAT APPLIED 1140-01086 wPWRSP DC 10KW SLAVE MAG 2012-043-Z USED
spsglobal
[view on eBay]
Used 2
in stock
$1,000.00
Description: 102-0701// AMAT APPLIED 0010-20098 CHASSIS ASSY, SHIELD TREATMENT USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 130-0301// AMAT APPLIED 0100-09063 w PCB ASSY GAS PANEL USED
usedeqsales
[view on eBay]
Used 1
in stock
$3,507.17
Description: Newport 15-3701-1425-25 Wafer Handling Robot AMAT 0190-19124 Copper Exposed Used
spsglobal
[view on eBay]
Used 2
in stock
$1,200.00
Description: 125-0201// AMAT APPLIED 0021-10589 PLATE INTERNAL, PUMPING CHNL, ASP, 200MM USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 111-0401// AMAT APPLIED 0020-31294 WALL, RIGHT, CHAMBER, ASP USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 111-0401// AMAT APPLIED 0020-31293 WALL, LEFT, CHAMBER, ASP USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 111-0401// AMAT APPLIED 0020-31292 WALL, CENTER, CHAMBER, ASP USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 130-0202// AMAT APPLIED 0660-00019 COMPONENTS 110EXT3122-00XX USED
spsglobal
[view on eBay]
Used 1
in stock
$750.00
Description: 130-0202// AMAT APPLIED 0100-70000 USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 130-0201// AMAT APPLIED 0190-09368 PCBA VME 7710 COLOR CON USE UP USED
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 130-0201// AMAT APPLIED 0090-76109 OBS-ASSMBLY,ELCTRCL,SBC SYNERGY 68040 USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 124-0103// AMAT APPLIED 0020-27191 CLAMP RING 8 TIN SNNF 11402ARS ACAM SST USED
spsglobal
[view on eBay]
Used 2
in stock
$1,000.00
Description: 131-0701// AMAT APPLIED 0021-09008 COVER, UNI-LID, DSGD, MXP USED
spsglobal
[view on eBay]
Used 1
in stock
$450.00
Description: 130-0203// AMAT APPLIED 0660-01627 QUANTUM 800-09-94 USED
spsglobal
[view on eBay]
Used 3
in stock
$250.00
Description: 130-0401// AMAT APPLIED 0100-09099 (#1) (WITH COVER) 0020-09359 INTERCONN USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 130-0203// AMAT APPLIED 0100-09081 (#1) (WITH COVER) 0020-10235 N2-DRYVAC USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 130-0203// AMAT APPLIED 0100-00146 wASSY, SBC I/O USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 115-0401// AMAT APPLIED 0050-13029 (#3) COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$500.00
Description: 125-0201// AMAT APPLIED 0020-31163 RING, FOCUSING, ALUM, 200MM, PRSP 3 USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 124-0204// AMAT APPLIED 0020-10187 (#1) CLAMP RING Q WINDOW 200M USED
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 130-0203// AMAT APPLIED 0100-09018 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 129-0201// AMAT APPLIED 0100-09080 PCB ASSY, ROBOT CAP SENSOR INTC BD USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 129-0201// AMAT APPLIED 0100-09030 PCB ASY HEL.FLW INTERCON USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 129-0201// AMAT APPLIED 0100-09029 (#1) 0020-09425 CCB ASSY, TURBO INTERCON USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 129-0201// AMAT APPLIED 0100-00063 PWB OPTO LIMIT DETECT USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 129-0201// AMAT APPLIED 0100-00061 w PWB, LIMIT DETECTER USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 129-0201// AMAT APPLIED 0100-35147 ASSY 3-WAY LIGHT PEN BOARD USED
grandbirdnet
[view on eBay]
Used 4
in stock
$3,500.00
Description: AMAT 0190-19022 APEX 1513 RF GENERATOR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0190-31268 6-PORT/ PASSAGE ROTARY UNION, USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 341-0103// AMAT APPLIED 0020-70779 ADAPTER, CHAMBER ORIENTER, E USED
spsglobal
[view on eBay]
Used 2
in stock
$500.00
Description: 341-0103// AMAT APPLIED 0020-70223 PLATE CHAMBER MONOLITH USED
spsglobal
[view on eBay]
Used 11
in stock
$300.00
Description: 341-0103// AMAT APPLIED 0020-22548 PLATE BLANKOFF SHUTTER ARM USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 341-0103// AMAT APPLIED 0020-20558 BLANK SLIT VALVE USED
spsglobal
[view on eBay]
Used 5
in stock
$1,000.00
Description: 341-0102// AMAT APPLIED 0190-35845 BLOCK XTR VALVE MICROWAVE REMO USED
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 341-0102// AMAT APPLIED 0040-37735 BLOCK MIXER MICROWAVE REMOTE P USED
spsglobal
[view on eBay]
Used 3
in stock
$500.00
Description: 341-0102// AMAT APPLIED 0040-32289 BLOCK MIXER MICROWAVE REMOTE P USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 341-0102// AMAT APPLIED 0021-35043 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 4
in stock
$200.00
Description: 113-0403// AMAT APPLIED 0021-11362 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$300.00
Description: 341-0102// AMAT APPLIED 0020-33163 ADAPTER,THROTTLE VALVE,METCH M USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 341-0102// AMAT APPLIED 0020-24141 SHIELD HEATER REFLOW CHAMBER USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 341-0102// AMAT APPLIED 0020-21956 FLANGE ADAPTER Z DRIVE USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 341-0102// AMAT APPLIED 0010-02719 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$250.00
Description: 341-0101// AMAT APPLIED 0040-35496 PLATE, RF BOX USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 341-0101// AMAT APPLIED 0020-09941 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 7
in stock
$300.00
Description: 341-0101// AMAT APPLIED 0020-04038 PLATE, RF MATCH BOX USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-78460 SHIELD, USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 106-0301// AMAT APPLIED 0010-09539 0040-09136 0020-10187 CHAMBER 200MM BW USED
usedeqsales
[view on eBay]
Used 5
in stock
$709.17
Description: AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,209.17
Description: AMAT Applied Materials 0100-00611 Smoke & Water Leak Detector Board PCB Used
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 121-0401// AMAT APPLIED 0020-22499 SHIELD, COLLIMATOR LOWER 8" USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 120-0401// AMAT APPLIED 0020-23585 SHIELD/LOWER 8" WAFER G-12 USED
usedeqsales
[view on eBay]
Used 1
in stock
$259.17
Description: Lam Research 716-330190-081 Focus Ring 8" ESC Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$610.11
Description: AMAT Applied Materials 0100-00505 Vacuum Control Feedback PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$612.11
Description: AMAT Applied Materials 0100-00504 Vacuum Control Feedback PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$609.17
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. E Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$210.17
Description: AMAT Applied Materials 0100-94012 Plasma F-Gun Control Motherboard PCB Used
usedeqsales
[view on eBay]
Used 1
in stock
$610.17
Description: AMAT Applied Materials 0100-00360 H.V/A.MAG Motherboard Backplane PCB Used
spsglobal
[view on eBay]
Used 3
in stock
$20.00
Description: 342-0102// AMAT APPLIED 0020-04149 BLANKOFF PLATE ROTOMETER USED
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 341-0201// AMAT APPLIED 0020-09135 SUPPORT HINGE LMP MODULE USED
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 342-0401// AMAT APPLIED 0200-20164 INSULATORS, LAMP COVER, RIGHT USED
spsglobal
[view on eBay]
Used 3
in stock
$150.00
Description: 342-0401// AMAT APPLIED 0200-20163 INSULATOR, LAMP COVER, LEFT USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 342-0401// AMAT APPLIED 0200-20162 INSULATOR, LAMP CAVITY, RIGHT USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 342-0401// AMAT APPLIED 0200-20161 INSULATOR, LAMP CAVITY, LEFT USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 342-0401// AMAT APPLIED 0020-25897 TUBE INSULATOR, 3.60" [USED]
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 146-0701// AMAT APPLIED 0150-13091 CABLE ASSY, 50 FT, FINAL VLVE INTLK USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 146-0701// AMAT APPLIED 0150-09678 CABLE ASSY,AMPULE HEATER POWER INTERCONN USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 150-0701// AMAT APPLIED 0150-09605 CABLE, LIQ SENSE INTERCO USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 348-0501// AMAT APPLIED 0040-21367 PEDESTAL,UNIVERSAL 200 MM 3 PO USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 345-0201// AMAT APPLIED 0040-02425 MTG BLOCK, WB CHAMBER, 150MM SPACING, AL USED
spsglobal
[view on eBay]
Used 1
in stock
$1,400.00
Description: 317-0401// AMAT APPLIED 0021-09104 LINER, CATHODE, ALIGNED, OXIDE ETCH USED
spsglobal
[view on eBay]
Used 2
in stock
$1,400.00
Description: 317-0501// AMAT APPLIED 0020-34695 LINER, CATHODE, FULL FLOW, OXIDE ETCH USED
spsglobal
[view on eBay]
Used 5
in stock
$550.00
Description: 111-0402// AMAT APPLIED 0020-20156 BRKT, PILLOW BLOCK SOURCE USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 144-0401// AMAT APPLIED 0015-01649 FLEX HOSE, 1/4IDX36L 1/4VCR-F/F SST, USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 347-0501//AMAT APPLIED 0010-13294 0100-09058 ASSY, BEZEL MAINFRAME, MARK II USED
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 141-0201 AMAT APPLIED 0150-75077 CABLE POWER 25FT 5KVA XFMR TO CHAMBER USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 146-0701// AMAT APPLIED 0150-35314 C/A GAS PANEL POWER LONG USED
spsglobal
[view on eBay]
Used 4
in stock
$250.00
Description: 144-0401// AMAT APPLIED 3400-01166 HE LINE USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 144-0401// AMAT APPLIED 3400-01069 HOSE 10'FLEX LINE HELIUM SS 1/2 DIA CRY USED
spsglobal
[view on eBay]
Used 3
in stock
$1,500.00
Description: 144-0401// AMAT APPLIED 0190-35975 CABLE ASSY,FIBER OPTIC,25',RECESS ETCH USED
spsglobal
[view on eBay]
Used 1
in stock
$230.00
Description: 122-0601// AMAT APPLIED 0020-25920 SHIELD LOWER 8" G12 SST USED
spsglobal
[view on eBay]
Used 1
in stock
$2,900.00
Description: 122-0301// AMAT APPLIED 0020-29322 SHIELD, 8" CLAMPED, VECTRA IMP USED
spsglobal
[view on eBay]
Used 3
in stock
$2,900.00
Description: 122-0201// AMAT APPLIED 0020-34694 LINER, GDP, R2 OXIDEGECO USED
usedeqsales
[view on eBay]
Used 1
in stock
$252.18
Description: Aera FCPI980CBAXDIDJAA Pressure Insensitive MFC MGMR PI-98 AMAT 0190-34214 Used
usedeqsales
[view on eBay]
Used 1
in stock
$252.18
Description: Tylan FC-2950MEP5 Mass Flow Controller MFC Lam 797-222040-201 500 SCCM O2 Used
grandbirdnet
[view on eBay]
Used 4
in stock
$300.00
Description: AMAT 0020-13246 PART LOCATION SERVICE, USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 303-0201// AMAT APPLIED 0190-03672 PVD LAMP/ESC/SINGLE ZONE, DUAL MODE, HEA USED
spsglobal
[view on eBay]
Used 6
in stock
$40.00
Description: 321-0301// AMAT APPLIED 0020-24748 PIN DOWEL 375 DIA 2.88LG SST USED
spsglobal
[view on eBay]
Used 11
in stock
$100.00
Description: 316-0403// AMAT APPLIED 0020-76993 COVER DC, LOWER, FLAME RETARDANT USED
spsglobal
[view on eBay]
Used 2
in stock
$70.00
Description: 342-0101// AMAT APPLIED 0020-29342 NUT RF FEEDTHRU .250 WIDE VECTRA IMP USED
usedeqsales
[view on eBay]
Used 3
in stock
$353.18
Description: Tylan FC-2952MEP5-T Mass Flow Controller AMAT 3030-04111 50SCCM CF4 Used Working
spsglobal
[view on eBay]
Used 4
in stock
$200.00
Description: 342-0303// AMAT APPLIED 0040-21368 BRACKET, LEFT, ADAPTER, WATER COVER USED
spsglobal
[view on eBay]
Used 7
in stock
$30.00
Description: 342-0303// AMAT APPLIED 0020-20700 CLAMP END AR FLEX 8" GATE VALV USED
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 322-0401// AMAT APPLIED 0242-09036 0010-30064 0010-10973 KIT, H.O.T. PACK, USED
spsglobal
[view on eBay]
Used 1
in stock
$4,500.00
Description: 349-0401// AMAT APPLIED 0010-01922 ASSY, BIASED ELECTRODE AC BOX USED
usedeqsales
[view on eBay]
Used 2
in stock
$512.13
Description: AMAT Applied Materials 0130-76117 Gen Rack Indicator PAC Card Used Working
usedeqsales
[view on eBay]
Used 11
in stock
$705.15
Description: AMAT Applied Materials 0100-01925 Enhanced Purge I/Lock PCB Card Quantum X Used
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0701// AMAT APPLIED 0140-09111 ASSY CABLE LIGHTPEN CONNECTOR USED
spsglobal
[view on eBay]
Used 4
in stock
$1,500.00
Description: 130-0103// AMAT APPLIED 0100-35082 PCB ASSY CHAMBER INTERCONNECT A&C CENTUR USED
spsglobal
[view on eBay]
Used 2
in stock
$700.00
Description: 130-0101// AMAT APPLIED 0100-20038 PCB ASSEMBLY RELAY CONTROL BOARD USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 134-0504// AMAT APPLIED 0020-27804 CLEANED PEDESTAL, A101 HI-PWR POIS COH- USED
spsglobal
[view on eBay]
Used 3
in stock
$1,800.00
Description: 353-0301// AMAT APPLIED 0195-11127 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 319-0201// AMAT APPLIED 0010-20295 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 351-0101// AMAT APPLIED 0240-70602 KIT, WIDEBODY CHAMBER BAKEOUT WITHOUT HT USED
usedeqsales
[view on eBay]
Used 11
in stock
$1,004.14
Description: AMAT Applied Materials 9090-01060 Filteration Control Assembly 0100-01938 Used
usedeqsales
[view on eBay]
Used 1
in stock
$2,003.13
Description: AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working
usedeqsales
[view on eBay]
NEW 21
in stock
$1,252.14
Description: AMAT Applied Materials 9010-01379 Celerity Gas Card AsH3/PH3 Quantum X Used
usedeqsales
[view on eBay]
Used 1
in stock
$1,504.13
Description: Novellus Systems 26-166313-00 Interface Gamma 03-166313-00 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,005.14
Description: Novellus Systems C3 Vector Cable Kit 03-252223-00 03-291555-00 Set of 7 Used
usedeqsales
[view on eBay]
Used 2
in stock
$1,502.14
Description: AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$705.15
Description: AMAT Applied Materials 0090-91694 Controller PCB Module Quantum Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0200-00674 8” Dep Ring AMAT Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,504.14
Description: AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409 Quantum X Used
usedeqsales
[view on eBay]
Used 14
in stock
$1,404.14
Description: AMAT Applied Materials 9090-01247 Beam Current Measurement Module RX/TX Used
usedeqsales
[view on eBay]
Used 2
in stock
$454.14
Description: AMAT Applied Materials 9090-00641 Battery Pack Quantum X Process Module Used
usedeqsales
[view on eBay]
Used 3
in stock
$454.14
Description: AMAT Applied Materials 9090-01166 Battery Pack PX32K Quantum X Used Working
usedeqsales
[view on eBay]
Used 13
in stock
$1,254.14
Description: AMAT Applied Materials 9090-00115 Chassis Monitor PCB 0100-01-252 Quantum X Used
usedeqsales
[view on eBay]
Used 14
in stock
$1,504.14
Description: AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Used Working
usedeqsales
[view on eBay]
Used 6
in stock
$2,006.14
Description: AMAT Applied Materials 0020-26374 Clamp Middle Shield 300MM Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0021-12763 Inner Shield 8in Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0200-00673 8” Cover Ring Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,512.14
Description: AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit Rev. B Used
usedeqsales
[view on eBay]
Used 11
in stock
$1,512.14
Description: AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit Rev. E Used
usedeqsales
[view on eBay]
Used 1
in stock
$2,004.16
Description: AMAT Applied Materials 9090-91685 Active Gauge Controller Rack D38661000 Used
usedeqsales
[view on eBay]
Used 1
in stock
$1,511.14
Description: AMAT Applied Materials 9090-00923 Processor Vacuum Control Rev. A Quantum X Used
usedeqsales
[view on eBay]
Used 10
in stock
$705.15
Description: AMAT Applied Materials 0100-01490 Faraday Alignment PCB Rev C Quantum X PRA Used
usedeqsales
[view on eBay]
Used 3
in stock
$705.15
Description: AMAT Applied Materials 0100-01491 Beam Align PCB Rev C Quantum X Used Working
usedeqsales
[view on eBay]
Used 5
in stock
$705.15
Description: AMAT Applied Materials 0100-01488 Z Sensor PCB Rev. B AMAT Quantum X PRA Used
usedeqsales
[view on eBay]
Used 11
in stock
$3,011.14
Description: Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-01265 Used
usedeqsales
[view on eBay]
Used 1
in stock
$990.15
Description: AMAT Applied Materials 0010-22876 Door Assy 300mm MD SWLL 0040-03795-002 Used
spsglobal
[view on eBay]
Used 21
in stock
$20.00
Description: 341-0202// AMAT APPLIED 0020-23587 SPACER MODIF SHIELD 8 G-12 USED
spsglobal
[view on eBay]
Used 4
in stock
$2,500.00
Description: 324-0401// AMAT APPLIED 0190-15840 4-PORT UPA, DNET ONLY, 300MM LK REFLEXIO USED
spsglobal
[view on eBay]
Used 4
in stock
$1,500.00
Description: 326-0301// AMAT APPLIED 0190-25307 VALVE PRESSURE CONTROLLER PM-6 T.G.V. W/ USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 319-0201// AMAT APPLIED 0010-01296 ASSEMBLY,PVD LIFTER ORIENTER GIMBAL [USED]
usedeqsales
[view on eBay]
Used 1
in stock
$603.18
Description: Lam Research 716-021492-001 Director Process Gas Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,202.16
Description: AMAT Applied Materials 9090-00785 Electrode Assembly Rev. B Qauntum X Used
usedeqsales
[view on eBay]
Used 13
in stock
$252.16
Description: AMAT Applied Materials 0110-01717 Circuit Board PCB Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$506.15
Description: AMAT Applied Materials 0020-52929 Graphite G3 Holder Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,506.15
Description: Novellus Systems 04-341742-00 Pedestal Kit Welded Flat Preheat 2130 Used
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 316-0301// AMAT APPLIED 0040-48767 CATHODE BASE, E-CHUCK, 300MM ULTIMA X, H USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 352-0301// AMAT APPLIED 0010-00138 wUPPER FRAME AC DIST ASY USED
spsglobal
[view on eBay]
Used 1
in stock
$450.00
Description: 352-0203// AMAT APPLIED 0190-09275 WAVEGUIDE, E-BEND,LOWER ASP USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 347-0402// AMAT APPLIED 0021-09267 (#2) BRACKET, INTERLOCK SWITCH, RF USED
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 143-0701// AMAT APPLIED 0150-03007 CABLE ASSY, ENDPOINT CONTROL 300MM CONDU USED
spsglobal
[view on eBay]
Used 1
in stock
$280.00
Description: 323-0402// AMAT APPLIED 0010-13753 ASSEMBLY, 300MM MAINFRAME WAFER SENSOR USED
spsglobal
[view on eBay]
Used 6
in stock
$50.00
Description: 347-0201// AMAT APPLIED 0040-13012 POST SUPPORT SPRING PLATFORM WBLL CMF CE USED
spsglobal
[view on eBay]
Used 2
in stock
$320.00
Description: 347-0201 AMAT APPLIED 0040-76557 (#1) APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 347-0201// AMAT APPLIED 0020-27634 END PLATE 8" SLOT METAL CASSETTE USED
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 347-0201// AMAT APPLIED 0020-24756 BRACKET SP CASS USED
spsglobal
[view on eBay]
Used 4
in stock
$250.00
Description: 347-0201// AMAT APPLIED 0020-23981 SIDE RECEIVER USED
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 316-0403// AMAT APPLIED 0020-28256 BLADE TRANSFER 8" METAL HTHU/CVD USED
spsglobal
[view on eBay]
Used 6
in stock
$100.00
Description: 348-0103// AMAT APPLIED 0040-13658 CLAMP HEATER 1.18 DIA SFT MTR LIFT HTESC USED
spsglobal
[view on eBay]
Used 2
in stock
$50.00
Description: 347-0203// AMAT APPLIED 0020-25693 CLAMP HEATER 1.18 DIA SFT PNEU/MTR LIFT USED
spsglobal
[view on eBay]
Used 5
in stock
$50.00
Description: 347-0202// AMAT APPLIED 0045-20040 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$500.00
Description: 348-0103// AMAT APPLIED 0040-23544 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 347-0202// AMAT APPLIED 0040-23542 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$400.00
Description: 348-0103// AMAT APPLIED 0021-21857 PLATE, MOUNTING, PNEU CYL/MOTOR LIFT USED
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 347-0201// AMAT APPLIED 0020-75108 CLEAT USED
spsglobal
[view on eBay]
Used 2
in stock
$50.00
Description: 347-0201// AMAT APPLIED 0020-23098 REFLECTOR,RIGHT, A.L.L. CASSETTE SENSOR USED
usedeqsales
[view on eBay]
Used 8
in stock
$604.18
Description: AMAT Applied Materials 0021-15676 Desposition Ring Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$604.18
Description: AMAT Applied Materials 0021-04312 Throttle Valve Flat Top Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$604.18
Description: Lam Research 718-098592-001 Locking Ring VAT 65 Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$904.18
Description: AMAT Applied Materials 0021-78095 Reflexion Z1/(IT) Manifold Assembly Cu Used
vizvik16
[view on eBay]
Used 2
in stock
$150.00
Description: MALEMA SENSORS M-10000-Y6061-62-002 NOVELLUS 61-380455-02 Used
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-78540 CABLE MOTORS ENCODER SCRUB 2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0150-77345 C/A DIGITAL ISRM INTLK, CNTRL POLISHER B, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$160.00
Description: AMAT 0140-01946 CABLE BRUSH POS MOTORS POWER SCRUBBER 1, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$190.00
Description: AMAT 0140-02526 CABLE, SENSOR-CONTROL, BLKHD 1, SCRUBBER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-78539 CABLE BRUSH & ROLLER MOTORS PWR SCRUB 2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$70.00
Description: AMAT 0140-78120 CABLE, UPPER-LOWER MEGASONICS, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$180.00
Description: AMAT 0140-78537 CABLE BRUSH & ROLLER MOTORS PWR SCRUBBER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0140-78470 HARNESS, ADPATER CABLE, DIRECT DELIVERY, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0140-01947 CABLE BRUSH POS MOTORS POWER SCRUBBER 2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$80.00
Description: AMAT 0140-01844 CABLE OM SENSOR-CNTRL BLKHD 3 SYSTEM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0140-11359 CABLE MEG TANK LVL/DOOR CL SW LO ELECT M, USED
usedeqsales
[view on eBay]
Used 1
in stock
$252.09
Description: AMAT Applied Materials 0140-38098 IPS HE Side Lower Lamp Connector Assembly Used
grandbirdnet
[view on eBay]
Used 1
in stock
$120.00
Description: AMAT 0140-78149 CABLE, SYS SENSOR-CONTR, BLKHD 1, SYSTEM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$30.00
Description: AMAT 0140-78027 HARNESS, INPUT/MEG ROT MOTOR POWER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0140-78190 CABLE MNFRM BKHD - ROBOT BKHD, USED
usedeqsales
[view on eBay]
Used 1
in stock
$302.09
Description: AMAT Applied Materials 0021-03076 IPS SI Roof Support Ring Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,502.09
Description: AMAT Applied Materials 0040-32530 IPS Upper Lamp Roof Cooling Plate Used
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 341-0301// AMAT APPLIED 3300-02294 FTG PIPE ADPTR 1/418NPTF 9/16-18 BRS 37D USED
spsglobal
[view on eBay]
Used 5
in stock
$30.00
Description: 341-0301// AMAT APPLIED 0020-24064 BRKT FLAG SENSOR MOTO LIFT USED
spsglobal
[view on eBay]
Used 3
in stock
$20.00
Description: 341-0301// AMAT APPLIED 0020-21444 BRACE, FRONT SKIN USED
spsglobal
[view on eBay]
Used 6
in stock
$50.00
Description: 143-0701// AMAT APPLIED 0140-09025 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,800.00
Description: 130-0102// AMAT APPLIED 0010-76967 ASSY,OTF CENTER FINDER RECEIVER,300MM USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 347-0203// AMAT APPLIED 0020-21194 BRACKET SHIPPING CLDWN WAFER LIFT USED
spsglobal
[view on eBay]
Used 3
in stock
$350.00
Description: 347-0203// AMAT APPLIED 0010-09021 ASSY, I/O VALVE USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 129-0701// AMAT APPLIED 0010-02007 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 6
in stock
$20.00
Description: 322-0501 AMAT APPLIED 0200-10263 WASHER, INSULATING, CERAMIC USED
spsglobal
[view on eBay]
Used 4
in stock
$300.00
Description: 347-0203// AMAT APPLIED 0040-31844 ASSY, BELLOWS, LIFT PIN USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 348-0201 AMAT APPLIED 0020-34047 COVER, TURBO SIDE ASIS
spsglobal
[view on eBay]
Used 3
in stock
$30.00
Description: 343-0302 AMAT APPLIED 0020-29035 FLAG,LOWER MOTOR LIFT EXT [USED]
spsglobal
[view on eBay]
Used 3
in stock
$50.00
Description: 348-0202// AMAT APPLIED 0020-23642 GUIDE RF MATCH MODIFIED USED
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 350-0202// AMAT APPLIED 0020-28315 SENSOR BRACKET SHUTTER ENCLOSURE USED
pneumatplus10
[view on eBay]
Used 1
in stock
$229.00
Description: LAM Research 810-802902-006 Rev C Mother board,Node 2,PM,Used,USA$94137
usedeqsales
[view on eBay]
Used 1
in stock
$177.09
Description: AMAT Applied Materials 0140-36490 IPS Roof Lamp Connector Wire Assembly Used
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 349-0201// AMAT APPLIED 0010-06464 VALVE PNEU 1.50 OD PORT KALREZ USED
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 349-0101// AMAT APPLIED 0010-22156 (#2) APPLIED MATRIALS USED
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 341-0302// AMAT APPLIED 0020-31597 INSULATOR,HANDLE,UNIBODY COVE USED
usedeqsales
[view on eBay]
Used 2
in stock
$354.18
Description: AMAT Applied Materials 0021-78097 Manifold 1 Valve UPA Assembly Copper Used
usedeqsales
[view on eBay]
Used 4
in stock
$904.18
Description: AMAT Applied Materials 0021-78097 Reflexion RR SMC Manifold Assembly Cu Used
spsglobal
[view on eBay]
Used 4
in stock
$60.00
Description: 342-0401// AMAT APPLIED 0200-10074 LIFT PIN,DELTA MF NITRIDE XP,200MM USED
spsglobal
[view on eBay]
Used 8
in stock
$30.00
Description: 342-0201// AMAT APPLIED 0021-04871 BLANK, CHAMBER LEAK UWAVE WXZ USED
usedeqsales
[view on eBay]
Used 2
in stock
$904.18
Description: AMAT Applied Materials 0021-78095 Reflexion Z2 SMC Manifold Assembly Cu Used
usedeqsales
[view on eBay]
Used 3
in stock
$904.18
Description: AMAT Applied Materials 0021-78095 Reflexion Z3/(MM) Manifold Assembly Cu Used
usedeqsales
[view on eBay]
Used 8
in stock
$355.18
Description: AMAT Applied Materials 0021-78095 Manifold 2 Serias Valve UPA Assembly Cu Used
spsglobal
[view on eBay]
Used 5
in stock
$30.00
Description: 143-0603// AMAT APPLIED 0150-35320 C/A AC POWER 2 PHASE DRIVER USED
spsglobal
[view on eBay]
Used 1
in stock
$180.00
Description: 143-0603// AMAT APPLIED 0140-35959 HARNESS ASSY, SERVICE/PROCESS MANOMETERS USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 323-0203// AMAT APPLIED 0090-20298 FAN ASSY, BACK COVER, RF MATCH, VECTRA I USED
spsglobal
[view on eBay]
Used 13
in stock
$30.00
Description: 178-0303// AMAT APPLIED 0680-01107 CB MAG SER 1P 4.0A 50/60HZ USED
spsglobal
[view on eBay]
Used 2
in stock
$250.00
Description: 348-0102// AMAT APPLIED 0140-35628 0020-39100 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 342-0103// AMAT APPLIED 3870-01602 VALVE SHUTTLE PRESS 250PSI DBL CHECK VAL USED
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 156-0501// AMAT APPLIED 3420-01122 INSUL. 3/4 ID ELBOW 45 DEG SILICON RUBB USED
spsglobal
[view on eBay]
Used 1
in stock
$15,000.00
Description: 305-0101// AMAT APPLIED 0242-75991 0010-30012 KIT, MC ROBOT DRIVE ASSY P500 USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 341-0303// AMAT APPLIED 0040-39727 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 341-0303// AMAT APPLIED 0021-39378 RF STRAP USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 341-0303// AMAT APPLIED 0020-86835 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 4
in stock
$30.00
Description: 341-0303// AMAT APPLIED 0020-70800 BRACKET, FRONT ENI GENERATOR S USED
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 341-0303// AMAT APPLIED 0020-37509 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 8
in stock
$60.00
Description: 342-0103 AMAT APPLIED 0020-31501 FINGER,CHUCK 200MM, ASP [USED]
spsglobal
[view on eBay]
Used 8
in stock
$160.00
Description: 341-0303 AMAT APPLIED 0020-26692 SPACER UPPER LIFT ZX HTR USED
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 341-0303// AMAT APPLIED 0020-24469 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 341-0303// AMAT APPLIED 0020-21253 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 341-0303// AMAT APPLIED 0020-21252 CLAMP MAGNET REM 11.3 SOURCE TI-N USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 340-0202// AMAT APPLIED 0020-19002 DOOR, SLIT VALVE SHO USED
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 341-0303// AMAT APPLIED 0020-07425 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 341-0303// AMAT APPLIED 0020-06054 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 341-0303// AMAT APPLIED 0224-46160 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 341-0303// AMAT APPLIED 0040-20136 MANIFOLD, H20 SOURCE 13" USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 341-0303// AMAT APPLIED 0020-09295 BRACKET, HORIZONTAL PLATE MOUNT USED
usedeqsales
[view on eBay]
Used 1
in stock
$1,752.59
Description: Lam Research 852-030038-601 Lower Reaction Chamber TCP LAM 9600SE Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,205.18
Description: Novellus Systems 02-169180-01 Linear Track 15-165469-01 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,205.18
Description: Novellus Systems 02-1694180-01 Linear Track 15-265469-01 Copper Exposed Used
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 134-0503// AMAT APPLIED 0020-25226 OBS: HOOP, HTHU 8" HEATER USED
usedeqsales
[view on eBay]
Used 2
in stock
$306.18
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution Board PCB Rev. 004 Used
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 341-0403// AMAT APPLIED 3300-02254 FTG FLANGE BLANK NW100 5.12OD USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0701 AMAT APPLIED 0150-00239 SBC I/O POWER INTRCONECT CABLE USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 341-0403// AMAT APPLIED 0040-20124 FLANGE WELDMENT, KF25X1/4VCR USED
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 341-0403// AMAT APPLIED 0020-29294 PANEL, FLEX LINE BRACKET USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 347-0403// AMAT APPLIED 0020-40723 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$30.00
Description: 347-0403// AMAT APPLIED 0020-32029 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 347-0201// AMAT APPLIED 0020-23039 BRACKET, LEFT, CASSETTE SUPPOR USED
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 342-0303// AMAT APPLIED 0020-20699 CLAMP AR FLEX 8" GATE VALVE USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 341-0501// AMAT APPLIED 0040-75138 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$50.00
Description: 341-0501// AMAT APPLIED 0040-21675 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 341-0501// AMAT APPLIED 0040-04541 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 341-0501// AMAT APPLIED 0020-41145 HE FITTING USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 341-0501// AMAT APPLIED 0020-26030 SHIELD INNER ZONE CONTROLLER USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 341-0501// AMAT APPLIED 0020-24807 BRKT ADPTR WTR LINES CRYO ELBO USED
spsglobal
[view on eBay]
Used 13
in stock
$70.00
Description: 341-0501// AMAT APPLIED 0020-22549 PLATE BLANKOFF MGN COUPLING USED
spsglobal
[view on eBay]
Used 3
in stock
$30.00
Description: 341-0501// AMAT APPLIED 0020-21863 SHIM,ROTARY FEED THRU .06 THIC USED
spsglobal
[view on eBay]
Used 2
in stock
$250.00
Description: 341-0501// AMAT APPLIED 0020-21708 CHUCK 8" SEE USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 341-0501// AMAT APPLIED 0020-10341 CARD, GUIDE FUSE PCB USED
spsglobal
[view on eBay]
Used 1
in stock
$70.00
Description: 341-0501// AMAT APPLIED 0020-09549 ADAPTER, STRAIN RELIEF USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 111-0303// AMAT APPLIED 0020-23029 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$5,500.00
Description: 303-0101// AMAT APPLIED 0010-22012 CLEANED 8" HI-TEMP HTR W/ WELDED LUGS USED
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 322-0501// AMAT APPLIED 0020-20521 SPACER, INSULATOR LIFTER USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 342-0402// AMAT APPLIED 0020-26851 (#2) BLOCK,WATER INPUT/OUTPUT USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 342-0402// AMAT APPLIED 0020-26851 (#1) BLOCK,WATER INPUT/OUTPUT USED
spsglobal
[view on eBay]
Used 38
in stock
$30.00
Description: 342-0403// AMAT APPLIED 0690-01583 FLG 1-1/4 OD CF BLANK USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0190-28951 CONTROL VIDEO SWITCH, 4 PC INPUT, 3 MON, USED
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 331-0301// AMAT APPLIED 0040-09002 CHAMBER ETCH USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 143-0301 AMAT APPLIED 0140-09153 HARNESS ASSY EV VOLTAGE FINAL USED
spsglobal
[view on eBay]
Used 3
in stock
$160.00
Description: 323-0401// AMAT APPLIED 0090-20108 ASSY, SENSOR CASSETTE USED
usedeqsales
[view on eBay]
Used 1
in stock
$608.18
Description: AMAT Applied Materials 0190-02748 Flex Scanner Transition Module Rev. 06 Used
usedeqsales
[view on eBay]
Used 3
in stock
$258.18
Description: Yaskawa Electric SGDH-04AEY904 Servo Drive SERVOPACK AMAT 0190-08039 Used
grandbirdnet
[view on eBay]
Used 1
in stock
$160.00
Description: AMAT 0140-78026 HARNESS, SPIN DRYER MOTOR/HEATER POWER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0140-77615 CBL, PORT SERVER-BKHD, USED
spsglobal
[view on eBay]
Used 2
in stock
$250.00
Description: 348-0301// AMAT APPLIED 0021-10192 FTG,BLKHD,H2O,SST 1.25MNPT/1.25FNPT USED
usedeqsales
[view on eBay]
Used 1
in stock
$1,252.12
Description: Digital Dynamics 27-134495-00 sioc ANNEAL 4 Interlock Module Novellus Used
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 178-0303// AMAT APPLIED 0680-01108 CB MAG SER 1P 7.5A 50/60HZ SLOW/INRT USED
grandbirdnet
[view on eBay]
Used 1
in stock
$20.00
Description: AMAT 0150-00167 CABLE, REMOTE CRT KYBRD, USED
spsglobal
[view on eBay]
Used 7
in stock
$150.00
Description: 343-0101// AMAT APPLIED 0040-40103 FEEDTHRU ASSY RF USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 343-0101// AMAT APPLIED 0040-22412 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 343-0101// AMAT APPLIED 0040-21184 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 4
in stock
$10.00
Description: 343-0201// AMAT APPLIED 0690-01038 CLAMP HINGED NW25 WING-NUT & S USED
spsglobal
[view on eBay]
Used 2
in stock
$160.00
Description: 343-0201// AMAT APPLIED 0040-02938 GAS MANIFOLD OUTPUT 200MM TXZ USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 343-0201// AMAT APPLIED 0020-20831 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 343-0202// AMAT APPLIED 0200-10038 RING,MIDDLE,4.00"/2.00", DBL ANNULUS,SGD USED
spsglobal
[view on eBay]
Used 6
in stock
$120.00
Description: 343-0301// AMAT APPLIED 0021-03654 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$250.00
Description: 343-0202// AMAT APPLIED 0020-20512 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$200.00
Description: 145-0601// AMAT APPLIED 0150-97118 CABLE ASSY,VHP RESLVR,CNTRL TO USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 145-0601// AMAT APPLIED 0150-20030 CABLE ASSY, TRANSFER ROBOT INT USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 145-0601// AMAT APPLIED 0150-20028 CABLE ASSY, CASSETTE INDEXER I USED
spsglobal
[view on eBay]
Used 2
in stock
$320.00
Description: 145-0601// AMAT APPLIED 0150-20018 CABLE ASSY,MAIN FRAME INTERCON USED
spsglobal
[view on eBay]
Used 1
in stock
$320.00
Description: 145-0601// AMAT APPLIED 0150-20017 CABLE ASSY,CH 4 INTERCON 25' USED
spsglobal
[view on eBay]
Used 1
in stock
$240.00
Description: 145-0601// AMAT APPLIED 0150-20016 CABLE ASSY, CHAMBER 3 INTERCON USED
spsglobal
[view on eBay]
Used 2
in stock
$260.00
Description: 145-0601// AMAT APPLIED 0150-20014 CABLE ASSY, CHAMBER 1 INTERCON USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 145-0601// AMAT APPLIED 0150-20013 CABLE ASSY, CHAMBER A/B INTERC USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 145-0601// AMAT APPLIED 0150-20012 CABLE ASSY,GAS INT CABLE USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 145-0501// AMAT APPLIED 0150-55139 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$480.00
Description: 145-0501// AMAT APPLIED 0150-35740 C/A, EMC COMP., HEAT EXCHANGER USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 145-0501// AMAT APPLIED 0150-21341 CHAMBER 3 INTERCONNECT, (EMC C USED
spsglobal
[view on eBay]
Used 4
in stock
$150.00
Description: 145-0401// AMAT APPLIED 0620-01280 CABLE AC HEATER 50FT FILAMENT USED
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 145-0401// AMAT APPLIED 0620-01278 CABLE POWER DETECTOR 50FT FOR SGP-15A USED
spsglobal
[view on eBay]
Used 1
in stock
$220.00
Description: 145-0401// AMAT APPLIED 0226-43940 CABLE, ASSY 25FT, SYSTEM VIDEO USED
spsglobal
[view on eBay]
Used 4
in stock
$250.00
Description: 145-0401// AMAT APPLIED 0190-36253 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$180.00
Description: 145-0401// AMAT APPLIED 0190-36065 REMOTE RESET CABLE ASST USED
spsglobal
[view on eBay]
Used 2
in stock
$240.00
Description: 145-0401// AMAT APPLIED 0150-76173 EMC COMP., CABLE ASSY, NESLAB CONTROL [USED]
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 145-0301// AMAT APPLIED 0150-76994 CABLE ASSY, VHP XFER MOTOR CNTRLLR TO MA USED
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 145-0301// AMAT APPLIED 0150-76172 EMC COMP., CABLE, ASSY, HEAT EXCHNGER 2 USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 145-0301// AMAT APPLIED 0010-70286 ASSY PRECUT CONDUIT FOR REMOTE FRAME 22F USED
spsglobal
[view on eBay]
Used 2
in stock
$50.00
Description: 146-0601// AMAT APPLIED 0150-10461 CABLE ASSY, MFC TO 5000 SYSTEM USED
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 146-0601// AMAT APPLIED 0150-09710 CABLE ASSY SET CONTROL TO PANEL USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 146-0501// AMAT APPLIED 0150-21228 CABLE ASSYCHAMBER D INTCNT--50 USED
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 146-0501// AMAT APPLIED 0150-01029 CABLE ASSY,MOD PWR SMOKE/WATER I/O TO MA USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 146-0301// AMAT APPLIED 0225-34698 CABLE UPS POWER INTERCONNECT USED
spsglobal
[view on eBay]
Used 3
in stock
$320.00
Description: 146-0101// AMAT APPLIED 0010-36377 NESLAB HOSE ASSY (SUPPLY AND R USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 144-0401// AMAT APPLIED 3400-01506 HOSE ASSY FLEX BRAID 1/4IDX26.5L 1/4VC USED
spsglobal
[view on eBay]
Used 6
in stock
$180.00
Description: 144-0401// AMAT APPLIED 3400-01076 CTI 8039348 HOSE FLEX LINE W/ELBOW HE USED
spsglobal
[view on eBay]
Used 6
in stock
$230.00
Description: 144-0401// AMAT APPLIED 0010-05428 ASSY, HOSE, CATHODE LINER IX, SS/TFE RET USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 147-0201// AMAT APPLIED 0010-36376 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 147-0101// AMAT APPLIED 0150-76871 CABLE ASSY, 50 COND UMBILICAL, 40FT EMC USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 147-0101// AMAT APPLIED 0150-09264 CABLE COAXIAL USED
spsglobal
[view on eBay]
Used 1
in stock
$920.00
Description: 147-0101// AMAT APPLIED 0140-77812 0150-77166 HARNESS, ROBOT ENCODER, MNFRM USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 147-0101// AMAT APPLIED 0140-20792 CABLE ASSY POWER CVD TIN CHAMBER USED
grandbirdnet
[view on eBay]
Used 3
in stock
$1,000.00
Description: AMAT 0020-84586 SLIT VALVE LID 300TxZ REV..001, USED
usedeqsales
[view on eBay]
Used 4
in stock
$610.18
Description: AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-70497 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$610.18
Description: AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-53481 Used Working
usedeqsales
[view on eBay]
Used 6
in stock
$260.18
Description: AMAT Applied Materials 0020-28668 SWLL Vacuum Poppet Valve 0020-28669 Used
grandbirdnet
[view on eBay]
Used 3
in stock
$8,900.00
Description: AMAT 0090-91917 HiTek Power, USED
usedeqsales
[view on eBay]
Used 2
in stock
$210.18
Description: Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00178 Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$210.18
Description: Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00180 Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$80.00
Description: AMAT 0190-77113 COUPLING FLEXIBLE ROBOT , USED
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 147-0301// AMAT APPLIED 0150-97119 (7M) APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 147-0301// AMAT APPLIED 0150-97119 (20M) APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$720.00
Description: 147-0201// AMAT APPLIED 0150-76513 CABLE ASSY, MAIN FRAME UMBILICAL #2,25.5 USED
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 352-0502// AMAT APPLIED 0090-20042 ASSY,3 WAY VALVE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 341-0503// AMAT APPLIED 0020-58788 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 330-0401// AMAT APPLIED 0021-09730 COVER, MOLDED, PUMPING PLATE, DXZ USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 323-0401// AMAT APPLIED 0090-09097 ASSY ELECTRICAL, THERMAL SWITCH USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 129-0101 AMAT APPLIED 0190-24115 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0701// AMAT APPLIED 0150-10404 CABLE, ASSY., PCB 36" LONG OZONATOR USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0701// AMAT APPLIED 0150-10051 CABLE ASSY, TC INTERLOCK SIGNAL USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0701// AMAT APPLIED 0150-09069 ASSY RIBBON CABL, MFC HELIUM/ETCH USED
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 143-0701// AMAT APPLIED 0150-00272 CABLE ASSY PCB REMOTE RECIPE SELECT USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 323-0402// AMAT APPLIED 0090-70013 ELEC. ASSY THERMAL CIRCUIT BREAKER 15#A USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 143-0702// AMAT APPLIED 0140-09285 HARNESS ASSY TURBO INTERCONNECT USED
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 143-0702// AMAT APPLIED 0140-09104 HARNESS ASSY CURRENT TRANSFORMER, CHAMBE USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 143-0702// AMAT APPLIED 0140-09103 HARNESS ASSY CURRENT TRANSFORMER CHAMBER USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0702// AMAT APPLIED 0140-09087 HARNESS ASSY LAMP/MAG CONTROL D USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0702// AMAT APPLIED 0140-09063 HARNESS FRONT PNL-EMO USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0702// AMAT APPLIED 0140-09044 HARNESS, LOADER DOOR OPEN USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 143-0702// AMAT APPLIED 0140-09042 HARNESS,SLIT,I/O, AND STOR ELEVATOR USED
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 143-0702// AMAT APPLIED 0140-09028 ASSY HARNESS ELEVATOR HOME AND COMB USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0702// AMAT APPLIED 0140-09027 HARNESS CHAMBER A,B SLIT USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 143-0702// AMAT APPLIED 0140-09015 HARNESS ASSY REMOTE DC POWER SUPPLY USED
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 143-0702// AMAT APPLIED 0140-09006 HARNESS, CHMBR INTCNNECT USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0702// AMAT APPLIED 0140-09004 HARNESS CHMBR INTER A USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0701// AMAT APPLIED 0150-09023 ASSY CABLE,VIDEO MTR SYS USED
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 143-0702// AMAT APPLIED 0150-75040 EMC COMP, CABLE LLC INTFC, PUMP INTEG USED
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 143-0702// AMAT APPLIED 0150-70099 CABLE ASSY, TB3-VME PS USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0702// AMAT APPLIED 0150-70031 CABLE ASSY,STEPPER PHASE REVERSAL,29 POS USED
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 143-0702// AMAT APPLIED 0150-21597 CABLE ADAPTER, 25P-D REPT/15P-D REPT USED
spsglobal
[view on eBay]
Used 1
in stock
$110.00
Description: 143-0702// AMAT APPLIED 0150-20389 CABLE ASSY, RFR POWER I20.0"LONG USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0702// AMAT APPLIED 0150-10177 CABLE ASSY FLAT 486PC TO HDD USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0702 AMAT APPLIED 0150-09261 CABLE ASSY MFC, 63" LONG USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0702// AMAT APPLIED 0150-09045 ASSY CABLE LOAD CHMBR BACKING TC USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0702 AMAT APPLIED 0150-09043 ASSY CABLE BACKING TC C USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0702// AMAT APPLIED 0150-09042 ASSY CABLE BACKING TC B USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0702// AMAT APPLIED 0150-09040 ASSY HARNESS ROUGHING TC USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0702// AMAT APPLIED 0140-20274 HARNESS ASSY PUMP OVERTEMP 7.5 FT USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 143-0702// AMAT APPLIED 0140-10069 HARNESS ASSY RF/HV INTERLOCK CONNECTION USED
spsglobal
[view on eBay]
Used 3
in stock
$3,000.00
Description: 316-0501 AMAT APPLIED 0020-70336 0020-20390 ARM WING FROG LEG USED
usedeqsales
[view on eBay]
Used 3
in stock
$2,010.18
Description: Baldor BSM80A-375BA Brushless AC Servo Motor with AKN 60 AMAT 0040-49320 Used
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 343-0302// AMAT APPLIED 0020-70633 BRKT, MTG, STATUS LMP USED
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 343-0302// AMAT APPLIED 0020-20488 CLAMP BAKEOUT LAMP USED
spsglobal
[view on eBay]
Used 3
in stock
$120.00
Description: 343-0302// AMAT APPLIED 0020-20399 SHAFT WING, FROG LEG USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 147-0601// AMAT APPLIED 0226-09003 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 4
in stock
$640.00
Description: 147-0601// AMAT APPLIED 0150-20090 CABLE ASSY,MAIN POWER SHIELD TREATMENT USED
spsglobal
[view on eBay]
Used 2
in stock
$640.00
Description: 147-0401// AMAT APPLIED 0150-35223 C/A,ROBOT CONTROL USED
spsglobal
[view on eBay]
Used 1
in stock
$270.00
Description: 147-0401// AMAT APPLIED 0150-21975 CABLE ASSY, 70FT MDX MINI PNL USED
spsglobal
[view on eBay]
Used 2
in stock
$270.00
Description: 147-0401// AMAT APPLIED 0150-09107 ASSY CABLE REM ANALOG 50 USED
spsglobal
[view on eBay]
Used 1
in stock
$360.00
Description: 147-0401// AMAT APPLIED 0150-01684 CABLE,DI/O 37PIN,F/M,60FT USED
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 148-0401// AMAT APPLIED 0150-35204 C/A GAS PANEL UMBILICAL #2 USED
spsglobal
[view on eBay]
Used 2
in stock
$3,000.00
Description: 148-0301// AMAT APPLIED 0150-35202 CABLE ASSY GAS PANEL UMBILICAL USED
spsglobal
[view on eBay]
Used 6
in stock
$2,000.00
Description: 148-0101// AMAT APPLIED 0150-35210 HARNESS ASSY CHAMBR A-B- C-D USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 147-0701// AMAT APPLIED 0226-09004 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 147-0701// AMAT APPLIED 0226-09001 75 FOOT, CABLE EMO TO REMOTE USED
spsglobal
[view on eBay]
Used 2
in stock
$180.00
Description: 147-0701// AMAT APPLIED 0150-35222 OBS, C/A, GAS PANEL POWER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$270.00
Description: 147-0701// AMAT APPLIED 0150-20015 CABLE ASSY,CHAMBER 2 INTERCONNECT, 25' USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 147-0701// AMAT APPLIED 0150-09588 CABLE ASSY,REMOTE ANALOG #2 USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 147-0701// AMAT APPLIED 0150-09108 ASSY CABLE REM DIG 50 FT USED
spsglobal
[view on eBay]
Used 7
in stock
$150.00
Description: 149-0501// AMAT APPLIED 0150-09758 CABLE ASSY,MAG GEN INTER CONNE USED
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 149-0301// AMAT APPLIED 0150-20032 CABLE ASSY, 15V POWER INTERCONNECT USED
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 149-0301// AMAT APPLIED 0150-20031 CABLE ASSY, 24V POWER INTERCONNECT USED
spsglobal
[view on eBay]
Used 3
in stock
$1,300.00
Description: 149-0201// AMAT APPLIED 0150-00947 EMC COMP. C/A MF UMBILICAL #2 USED
spsglobal
[view on eBay]
Used 1
in stock
$190.00
Description: 149-0101// AMAT APPLIED 0150-75071 CABLE POWER 25FT LAMP DRIVER TO CHAMBER USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 148-0701// AMAT APPLIED 0226-09002 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$660.00
Description: 148-0701// AMAT APPLIED 0150-09709 CABLE ASSY SET GAS TO REMOTE 25' USED
spsglobal
[view on eBay]
Used 1
in stock
$2,600.00
Description: 148-0601// AMAT APPLIED 0150-35209 HARNESS ASSY PNEUMATICS UMBILIC USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 148-0601// AMAT APPLIED 0150-35208 HARNESS ASSY LOAD LOCK UMBILIC USED
spsglobal
[view on eBay]
Used 1
in stock
$2,600.00
Description: 148-0501// AMAT APPLIED 0150-35207 HARNESS ASSY MAINFRAME UMBILIC USED
spsglobal
[view on eBay]
Used 1
in stock
$2,700.00
Description: 148-0501// AMAT APPLIED 0150-35206 HARNESS ASSY MAINFRAME UMBILIC USED
usedeqsales
[view on eBay]
Used 3
in stock
$2,510.18
Description: HVA High Vacuum Apparatus 11210-1003R-001 Gave Valve AMAT 0020-48595 Used
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 150-0201// AMAT APPLIED 0150-16086 CA ROBOT CONTROL,50 FT USED
spsglobal
[view on eBay]
Used 1
in stock
$190.00
Description: 150-0201// AMAT APPLIED 0150-16085 CA GAS PANEL POWER,50 FT USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 149-0701// AMAT APPLIED 0150-76066 ASSY CABLE, SYSTEM VIDEO 35 FE USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 149-0701// AMAT APPLIED 0150-09918 CABLE ASSY OZONATOR PCB & 5000 USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 149-0601// AMAT APPLIED 0227-05124 CABLE, ASSY DC POWER/INTERLOCK USED
spsglobal
[view on eBay]
Used 14
in stock
$240.00
Description: 149-0601// AMAT APPLIED 0150-35227 C/A PUMP UMBILICAL USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 149-0601// AMAT APPLIED 0150-09700 CABLE ASSY PANEL TO REMOTE MATCH USED
spsglobal
[view on eBay]
Used 1
in stock
$360.00
Description: 150-0501// AMAT APPLIED 0150-09589 CABLE ASSY,REMOTE DIGITA L #2 USED
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 150-0501// AMAT APPLIED 0150-09202 CABLE ASSEMBLY TEOS CONTROL TO PANEL USED
spsglobal
[view on eBay]
Used 5
in stock
$160.00
Description: 150-0401// AMAT APPLIED 0150-16007 CABLE ASSY, PUMP UMBILICAL, 25 USED
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 150-0401// AMAT APPLIED 0150-10658 CABLE ASSY 85FT EFF REMOTE MON USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 141-0102// AMAT APPLIED 0150-79198 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 141-0102// AMAT APPLIED 0150-76963 ORIENTOR E (25 FT) EMC COMPLIA USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 141-0102// AMAT APPLIED 0150-20345 CABLE ASSY, DUAL EMO INTERCONN USED
spsglobal
[view on eBay]
Used 1
in stock
$180.00
Description: 141-0102// AMAT APPLIED 0150-20158 CBLE ASSY, CRYO COMPRESSOR INTER USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 141-0102// AMAT APPLIED 0140-76120 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 141-0102// AMAT APPLIED 0140-36248 HARNESS, MAGNET POWER CORD, POS A/B/C USED
spsglobal
[view on eBay]
Used 1
in stock
$480.00
Description: 143-0603// AMAT APPLIED 0040-00456 CABLE FIBER OPTIC 20 FT USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 141-0101// AMAT APPLIED 0150-95170 CFA BULGIN PSU OUTPUT USED
spsglobal
[view on eBay]
Used 4
in stock
$60.00
Description: 141-0101// AMAT APPLIED 0150-76190 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 141-0101// AMAT APPLIED 0150-75053 CABLE, EMO, REMOTE TO PUMP, 25 USED
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 141-0101// AMAT APPLIED 0150-09032 ASSY CABLE OZONATOR USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 141-0101// AMAT APPLIED 0140-21668 HARNESS ASSY, 3 PHASE UPS TEST USED
spsglobal
[view on eBay]
Used 2
in stock
$90.00
Description: 141-0301// AMAT APPLIED 0140-09165 HARNESS ASSY DRYVAC PUMP B USED
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 141-0301// AMAT APPLIED 0140-09164 HARNESS ASSY DRYVAV PUMP A USED
spsglobal
[view on eBay]
Used 4
in stock
$80.00
Description: 141-0203// AMAT APPLIED 0150-10405 CABLE, ASSY., MFC AND 5000 SYS USED
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 141-0202// AMAT APPLIED 0227-05859 50FT NESLAB CONTROL CABLE ASSY HEAT EXCH USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 141-0202// AMAT APPLIED 0150-55152 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 4
in stock
$120.00
Description: 141-0202// AMAT APPLIED 0140-76304 EMC COMP, H/A, STD INTFC, PMP USED
spsglobal
[view on eBay]
Used 2
in stock
$160.00
Description: 141-0201// AMAT APPLIED 0150-70137 ASSY CABLE SYSTEM VIDEO 25 FT. USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 141-0103// AMAT APPLIED 0150-71213 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 141-0302// AMAT APPLIED 0226-48451 ASSY,HARNESS STANDARD INTERFAC USED
spsglobal
[view on eBay]
Used 6
in stock
$50.00
Description: 141-0302// AMAT APPLIED 0140-70093 HARNESS ASSY CENTURA INTERFACE [USED]
spsglobal
[view on eBay]
Used 3
in stock
$70.00
Description: 141-0403// AMAT APPLIED 0150-75041 EMC COMP, CABLE PROC INTFC, PUMP INTEG USED
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 143-0102// AMAT APPLIED 0150-09708 CABLE ASSY 50' REMOTE VIDEO USED
spsglobal
[view on eBay]
Used 7
in stock
$720.00
Description: 322-0402// AMAT APPLIED 3310-01193 GAUGE VAC STABIL-ION 2-3/4 CON USED
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 341-0401// AMAT APPLIED 3300-02155 FTGTBG TEE UNION FLANGE NW25KF SST304 USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0701// AMAT APPLIED 0150-09832 CABLE MAG TO AC C6 USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 130-0103 AMAT APPLIED 0010-39911 0100-09213 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,600.00
Description: 129-0101// AMAT APPLIED 0100-09180 ASSY, PWB, LIQUID SOURCE HEATER C USED
spsglobal
[view on eBay]
Used 64
in stock
$350.00
Description: 323-0103// AMAT APPLIED 0630-01179 JCSF-100-15S CAP FIX 15KV 100PF 40AMP USED
spsglobal
[view on eBay]
Used 5
in stock
$100.00
Description: 143-0103// AMAT APPLIED 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 143-0102// AMAT APPLIED 0140-20285 HARNESS ASSY CHAMBER 2&3 AC USED
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 143-0102// AMAT APPLIED 0620-02268 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$100.00
Description: 142-0103// AMAT APPLIED 0150-09104 RS232 C LIQ SOURCE CABLE 5O' USED
spsglobal
[view on eBay]
Used 2
in stock
$140.00
Description: 142-0102// AMAT APPLIED 0226-47965 CABLE,FLOW EXTENSION USED
spsglobal
[view on eBay]
Used 1
in stock
$270.00
Description: 142-0102// AMAT APPLIED 0150-21342 CHAMBER 4 INTERCONNECT, (EMC C USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 142-0101// AMAT APPLIED 0150-20250 CABLE ASSY HEATER AC USED
spsglobal
[view on eBay]
Used 3
in stock
$700.00
Description: 142-0101// AMAT APPLIED 0090-20129 ELECTRICAL ASSY LID LIFT UP DOWN SW USED
spsglobal
[view on eBay]
Used 3
in stock
$700.00
Description: 142-0101// AMAT APPLIED 0090-02607 DC POWER FOOT USED
spsglobal
[view on eBay]
Used 1
in stock
$180.00
Description: 142-0301// AMAT APPLIED 0150-21346 ENCODER INTERCONNECT, (EMC COMPLIANT) USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 142-0203// AMAT APPLIED 0226-40120 CABLE,AMAT-1 HEAT-EX,3RD OR 4TH,CENTURA USED
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 142-0203// AMAT APPLIED 0150-76962 ORIENTER F (25 FT) EMC COMPLIANT USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 142-0203// AMAT APPLIED 0150-75015 CABLE, EMO, REMOTE TO PUMP, 50 FT USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 142-0203// AMAT APPLIED 0150-20102 CABLE ASSY ORIENTER UMBILICAL USED
spsglobal
[view on eBay]
Used 1
in stock
$130.00
Description: 142-0203// AMAT APPLIED 0150-09913 CABLE ASSY MFC & 5000 SYS. USED
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 142-0202// AMAT APPLIED 0150-20576 CBL EBARA EMO INTERCONN (A-SER USED
spsglobal
[view on eBay]
Used 1
in stock
$130.00
Description: 142-0201// AMAT APPLIED 0150-09912 CABLE ASSY OZONE MONITOR & 500 USED
spsglobal
[view on eBay]
Used 6
in stock
$50.00
Description: 142-0201// AMAT APPLIED 0150-02044 CABLE ASSY., CHM I/O DISPLAY R USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0010-03172 ASSEMBLY 2MM PYROMETER RADIANCE CHAMBER, USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 351-0403// AMAT APPLIED 0720-03264 CONN COAX ADPTR TEE N-JACK/PLUG/JACK ST USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 323-0302// AMAT APPLIED 0040-20025 ADPTR,1.33 CF/VCR 1/2 MALE USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0010-09297 ASSY 15 VOLT POWER SUPPLY, USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 343-0401// AMAT APPLIED 0020-10308 BUSHING,PLUG 200MM SILANE USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 343-0401// AMAT APPLIED 0020-10193 PLUG SHANK THRTL VLVE USED
spsglobal
[view on eBay]
Used 4
in stock
$1,500.00
Description: 130-0701// AMAT APPLIED 0010-70058 (#3) STOR ELEV ASSY, 29 POSN USED
spsglobal
[view on eBay]
Used 4
in stock
$50.00
Description: 130-0603// AMAT APPLIED 3250-01009 CONTAINER, 150MM WFR USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 130-0601// AMAT APPLIED 0010-09051 ASSEMBLY, 125MM STORAGE USED
spsglobal
[view on eBay]
Used 1
in stock
$1,600.00
Description: 140-0401// AMAT APPLIED 0040-49556 COVER, PRE-CLEAN/ COOL DOWN MONOLITH USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 134-0201 AMAT APPLIED 0020-20676 (UNCLEAN) COVER PRECLEAN/COOL DOWN MONOL USED
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 134-0201// AMAT APPLIED 0240-13068 0020-20676 COVER PRECLEAN/COOL DOWN USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0020-20112 CLAMP RING, 8"AL Major Flat, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$750.00
Description: AMAT 0190-15384 DELTA TAU CPCI 48 INPUT/OUTPUT CARD (32IN/16OUT), USED
grandbirdnet
[view on eBay]
Used 1
in stock
$6,500.00
Description: AMAT 0190-18390 LOWER ELECTRONIC BOX DIRECT LDM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$6,000.00
Description: AMAT 0090-93024 SERIES 1000 POWER SUPPLY, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$5,000.00
Description: AMAT 0010-32410 12-AXIS MOTION CONTROL BOX, POPLAR.CMP, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-09940 ASSY 8" GAS BOX WSI, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,800.00
Description: AMAT 0040-86665 REV 001, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,800.00
Description: AMAT 0190-15059 148365-1XX04-001151978, USED
usedeqsales
[view on eBay]
Used 2
in stock
$411.18
Description: AMAT Applied Materials 0020-23278 8” SST Upper Shield 101% TIN Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 3030-11385 UFC-8565 10L AR Gas MFC Mass Flow Controller Control Modu, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$900.00
Description: AMAT 0010-09088 ASSY ROBOT ALIGNMENT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$12,000.00
Description: AMAT 0010-70403 G-12 AFS Lid Assy, PVD, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$480.00
Description: AMAT 0020-21105 CLAMP 8 INCHBUFFERFROG LEG, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$2,400.00
Description: AMAT 0010-34319 6 IN SILANE SUSCEPTOR S-TC, USED
dy-global
[view on eBay]
Used 1
in stock
$999.90
Description: LAM RESEARCH Used BOB REV003-0117 ASSY 810-131801-003 REV.C PCB-I-E-906=6DX1
grandbirdnet
[view on eBay]
Used 1
in stock
$3,800.00
Description: AMAT 0190-07842 HTS541680J9AT00 80GB, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0010-10188 BWCVD WAFER LIFT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0040-61514 ADAPTER, VACUUM ROBOT, PRODUCER, 200/300, USED
sx-space
[view on eBay]
Used 5
in stock
$887.50
Description: MicroNode AS02108G-03 Analog Devicenet 0190-50729 Used Free ship DHL
usedeqsales
[view on eBay]
Used 2
in stock
$712.18
Description: AMAT Applied Materials 0100-71267 8 Channel PCM Card PCB AKT Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$262.18
Description: AMAT Applied Materials 0100-71141 TC Input K-Type Thermocouple Board PCB Used
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 124-0103// AMAT APPLIED 0020-26547 CLAMP RING 8" SNNF AL 6 PADS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,600.00
Description: 124-0102// AMAT APPLIED 0020-27372 CLEAN CLAMP RING 8" JMF COLD AL/TI USED
spsglobal
[view on eBay]
Used 2
in stock
$1,500.00
Description: 124-0102// AMAT APPLIED 0020-26340 CLAMP RING 8 JMF SST 3.4MM ACA USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 124-0101// AMAT APPLIED 0020-27218 CLAMP RING,COH Ti/TiN POISON H USED
spsglobal
[view on eBay]
Used 2
in stock
$1,700.00
Description: 124-0101// AMAT APPLIED 0020-27206 CLAMP RING 8" JMF PADDED HTHU USED
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 124-0103// AMAT APPLIED 0020-28113 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 124-0202// AMAT APPLIED 0020-29141 CLAMP RING 8" JMF SST E/E 4.445MM USED
spsglobal
[view on eBay]
Used 4
in stock
$1,200.00
Description: 124-0202// AMAT APPLIED 0020-27766 CLAMP RING,6" JMF,SST,E/E 3.40 USED
spsglobal
[view on eBay]
Used 2
in stock
$1,600.00
Description: 124-0202// AMAT APPLIED 0020-27309 COVER RING 8" TI 101% USED
spsglobal
[view on eBay]
Used 1
in stock
$1,300.00
Description: 124-0202// AMAT APPLIED 0020-27018 CLAMP RING 8" SNNF 10402ARS DU USED
spsglobal
[view on eBay]
Used 2
in stock
$1,000.00
Description: 124-0201// AMAT APPLIED 0020-25836 CLAMP RING 8" JMF TIN ACAM SHT USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 124-0201// AMAT APPLIED 0020-23276 COVER RING, TI,8" 101% COVERAG USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 124-0301// AMAT APPLIED 0020-28867 DISK 8" ADVANCED 101 SHUTTER USED
spsglobal
[view on eBay]
Used 4
in stock
$200.00
Description: 124-0204// AMAT APPLIED 0020-10187 (#2) CLAMP RING Q WINDOW 200M USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 124-0403// AMAT APPLIED 0020-22196 PEDESTAL 8" USED
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 124-0304// AMAT APPLIED 0020-24101 8" PC II SNNF PROCESS TI PED USED
spsglobal
[view on eBay]
Used 1
in stock
$1,300.00
Description: 124-0303// AMAT APPLIED 0020-27318 PEDESTAL ADV 101% 8" 3MM USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 124-0302// AMAT APPLIED 0020-30595 PEDESTAL 200MM HEWEB USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 124-0302// AMAT APPLIED 0020-10519 PEDESTAL THICK 150MM GRAPHITE/ USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 124-0301// AMAT APPLIED 0021-20042 DISK 8" B101 SST SHUTTER USED
spsglobal
[view on eBay]
Used 6
in stock
$600.00
Description: 124-0501// AMAT APPLIED 0190-00958 BRG,4PT CONT,8.625OD X 8ID X . USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 124-0404// AMAT APPLIED 0020-22844 PEDESTAL SHIELD, 8" PRECLEAN USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 125-0303// AMAT APPLIED 0020-21759 SHIELD 6" TOP/COVER USED
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 125-0204// AMAT APPLIED 0021-35944 OBS SHIELD,OUTER,CHB,TXZ USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 125-0204// AMAT APPLIED 0021-01317 OUTER SHIELD, TXZ 200MM USED
spsglobal
[view on eBay]
Used 2
in stock
$450.00
Description: 125-0204// AMAT APPLIED 0040-22366 PCII INTLK ROTATION RING ASSM USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 125-0101// AMAT APPLIED 0020-29465 CLAMP RING 8" JMF SST HI-PWR C USED
semistarcorp
[view on eBay]
Used 1
in stock
$3,790.00
Description: USED LAM Research 4400 715-011630-001 PEDESTAL ESC
spsglobal
[view on eBay]
Used 4
in stock
$10.00
Description: 344-0102// AMAT APPLIED 0020-31668 SCREW SHOULDER, 4-48 UNF FINGER, BWCVD USED
dy-global
[view on eBay]
Used 2
in stock
$299.90
Description: Lam RESEARCH Viop, phase III Used FAB 810-099175-013 REV B PCB-I-E-921=6DX1
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 343-0401// AMAT APPLIED 0021-10733 THUMB SCREW USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 343-0401// AMAT APPLIED 0020-04129 TOP HINGE BRACKET USED
usedeqsales
[view on eBay]
Used 1
in stock
$701.19
Description: AMAT Applied Materials 0100-91 Guiding Tube PCB Card 0120-93660 Quantum X Used
usedeqsales
[view on eBay]
Used 15
in stock
$705.15
Description: AMAT Applied Materials 0100-90650 Wheel Current PCB Card Quantum X Used Working
grandbirdnet
[view on eBay]
Used 5
in stock
$1,200.00
Description: AMAT 0010-49013 AC SERVO MOTOR, USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 344-0301// AMAT APPLIED 0021-08690 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 108-0601// AMAT APPLIED 0010-20768 (#3) APPLIED MATRIALS COMPONENTS USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0190-00398 Microwave Control Module, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$4,500.00
Description: AMAT 0190-14384 FLOW CONTROLLER MFC-8000-T2105-012-N-001, USED
spsglobal
[view on eBay]
Used 3
in stock
$400.00
Description: 156-0202// AMAT APPLIED 0190-35515 APM211014 HEATER, EXHAUST CAP USED
spsglobal
[view on eBay]
Used 5
in stock
$200.00
Description: 156-0203// AMAT APPLIED 1410-01098 HTR JKT FORELINE TMP- 1000C90D USED
spsglobal
[view on eBay]
Used 5
in stock
$150.00
Description: 156-0203// AMAT APPLIED 1410-01098 (#1) HTR JKT FORELINE TMP- 1000C90D USED
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 156-0202// AMAT APPLIED 1410-00016 APM215014 HTR JKT O2 LINE USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 156-0402// AMAT APPLIED 1410-01060 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 156-0402// AMAT APPLIED 0227-44653 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 156-0403// AMAT APPLIED 1410-01131 HTR 208VAC 2.5W/SQ IN FOR AMAT USED
spsglobal
[view on eBay]
Used 2
in stock
$1,300.00
Description: 156-0403// AMAT APPLIED 0010-39557 ASSY,HTD CROSS WLDMT,SEIKO STP USED
spsglobal
[view on eBay]
Used 4
in stock
$150.00
Description: 156-0404// AMAT APPLIED 1410-01105 HTR ELBOW JKT NW40 1.5" 96W USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 156-0404// AMAT APPLIED 1410-01095 HTR SIL RUB96W 120V 80MA 135C USED
spsglobal
[view on eBay]
Used 2
in stock
$10.00
Description: 156-0501// AMAT APPLIED 3420-00208 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 156-0501// AMAT APPLIED 3420-01078 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$10.00
Description: 156-0501// AMAT APPLIED 3420-01070 INSUL 1/2ID ELBOW 90DEG SILICO USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 156-0504// AMAT APPLIED 1410-01325 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 156-0503// AMAT APPLIED 0224-40643 APPLIED MATRIALS COMPONENTS USED
usedeqsales
[view on eBay]
Used 16
in stock
$903.12
Description: AMAT Applied Materials 0100-94078 Argon/Oxygen Bleed and Charge Monitor PCB Used
usedeqsales
[view on eBay]
Used 8
in stock
$407.16
Description: AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used
usedeqsales
[view on eBay]
Used 3
in stock
$553.12
Description: AMAT Applied Materials 0100-91086 Plasma Arc Current PCB Card Quantum X Used
usedeqsales
[view on eBay]
Used 13
in stock
$603.12
Description: AMAT Applied Materials 0100-91087 Sol/Fil Ext. Interface PCB Card Quantum X Used
usedeqsales
[view on eBay]
Used 1
in stock
$502.19
Description: AMAT Applied Materials 0100-90302 DAQ MICRO PCB Card 0120-92751 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$702.19
Description: AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$402.19
Description: AMAT Applied Materials 0100-90480 DAQ EXPANSION PCB Card 0120-92848 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$402.19
Description: AMAT Applied Materials 0100-90875 Suppression Logic Board PCB Issue B Used
usedeqsales
[view on eBay]
Used 1
in stock
$1,002.19
Description: AMAT Applied Materials 0100-91025 Vendor Interface A MAG PCB Card Used Working
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 125-0301// AMAT APPLIED 0020-10122 wPLATE PERF 125 MM OXIDE USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 105-0301// AMAT APPLIED 0190-28014 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 7
in stock
$40.00
Description: 347-0403// AMAT APPLIED 3870-01023 VALVE CHECK 1/4 IN SWAGELOK SS USED
spsglobal
[view on eBay]
Used 1
in stock
$420.00
Description: 346-0402// AMAT APPLIED 0015-20114 SPROCKET MOD 45 TEETH USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 344-0203// AMAT APPLIED 0200-09771 COVER END FINGER MCVD USED
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 344-0203// AMAT APPLIED 0200-09613 COVER, TOP BWCVD USED
spsglobal
[view on eBay]
Used 4
in stock
$50.00
Description: 344-0203// AMAT APPLIED 0200-09612 CAP,1/4 TURN USED
spsglobal
[view on eBay]
Used 4
in stock
$40.00
Description: 344-0203// AMAT APPLIED 0021-35919 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 330-0401// AMAT APPLIED 0040-31995 COVER, PUMPING PLATE, DXZ USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0703// AMAT APPLIED 0140-35472 HARNESS ASSY,TURBO INTERCONNECT USED
spsglobal
[view on eBay]
Used 28
in stock
$40.00
Description: 344-0302 AMAT APPLIED 0020-75838 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 344-0301// AMAT APPLIED 0050-70063 GAS LINE, SHORT ONE PIECE USED
usedeqsales
[view on eBay]
Used 5
in stock
$602.18
Description: AMAT Applied Materials 0190-02748 Flex Scanner Transition Module PCB Used
usedeqsales
[view on eBay]
Used 1
in stock
$202.19
Description: SBS Technologies 900-009-30A Interface Board PCB CPWR-100 AMAT 0790-07907 Used
usedeqsales
[view on eBay]
Used 1
in stock
$1,503.19
Description: AMAT Applied Materials 0190-17938 CD-ROM Drive Assembly Sony CDU5212 Used
usedeqsales
[view on eBay]
Used 3
in stock
$253.19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev. 1 Used Working
usedeqsales
[view on eBay]
Used 5
in stock
$253.19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev A Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$403.19
Description: AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 341-0301// AMAT APPLIED 3300-02295 FTG PIPE 1/4MNPT 4"L BRS 5/8 HEX USED
expertsurplus
[view on eBay]
Used 4
in stock
$95.00
Description: AMAT 3030-01054 Mass Flow Controller Unit UFC-1100A MFC, N2 1SLM, Used
dgold32
[view on eBay]
Used 1
in stock
$199.99
Description: Lam Research Used 810-033620-010 REV B MATCH INTERFACE 3 CAP
expertsurplus
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT Applied Materials 0010-20127 Shield Treatment 8in with Cover, Used
expertsurplus
[view on eBay]
Used 9
in stock
$200.00
Description: Applied Materials AMAT 0020-23045 Lower Shield, 101% Tin Coverage, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT 0040-77243 Composite Retainer Ring, Used
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 344-0401// AMAT APPLIED 0020-58790 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 316-0403// AMAT APPLIED 0240-20003 0020-21104 0020-21105 APPLIED MATRIALS USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 316-0403// AMAT APPLIED 0020-70285 BLADE 8 INCH, BUFFER, FROG LEG USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0503 AMAT APPLIED 0150-20143 (#1) WIRE, JUMPER 4PIN CONN, GEN RACK EMO USED
spsglobal
[view on eBay]
Used 14
in stock
$20.00
Description: 324-0101// AMAT APPLIED 3090-01105 BOLT 12PT HD 1/4-28 X 7/8 304/316SST SLV USED
spsglobal
[view on eBay]
Used 47
in stock
$20.00
Description: 324-0101// AMAT APPLIED 0020-05162 STUD, CRYO/GATE VALVE W/HEX SOCKET [USED]
spsglobal
[view on eBay]
Used 3
in stock
$20.00
Description: 347-0201// AMAT APPLIED 0021-04652 LIFT COVER B101, BLT, 101, HTESC USED
spsglobal
[view on eBay]
Used 4
in stock
$110.00
Description: 347-0201// AMAT APPLIED 0020-23233 BRACKET RIGHT 150MM CASSETTE USED
spsglobal
[view on eBay]
Used 6
in stock
$50.00
Description: 347-0201// AMAT APPLIED 0020-23136 REVERSE BLOCK 150MM PLASTIC CASSETTE USED
spsglobal
[view on eBay]
Used 4
in stock
$390.00
Description: 347-0201// AMAT APPLIED 0020-22994 SIDE RECEIVER, LEFT 6" USED
spsglobal
[view on eBay]
Used 2
in stock
$120.00
Description: 346-0303// AMAT APPLIED 0020-22853 200 750 CONNECTOR ADAPTER, HH PRECLEAN USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 346-0303// AMAT APPLIED 0020-24235 2400 4100 RF TUBE PRECLEAN 2 USED
spsglobal
[view on eBay]
Used 2
in stock
$390.00
Description: 347-0201// AMAT APPLIED 0020-22995 SIDE RECEIVER, RIGHT 6" USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 347-0201// AMAT APPLIED 0020-23040 BRACKET, RIGHT, CASSETTE SUPPO USED
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 347-0201// AMAT APPLIED 0020-23175 SUPPORT, LEFT USED
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 347-0201// AMAT APPLIED 0020-23176 SUPPORT, RIGHT USED
spsglobal
[view on eBay]
Used 4
in stock
$110.00
Description: 347-0201// AMAT APPLIED 0020-23234 BRACKET LEFT 150MM CASSETTE USED
spsglobal
[view on eBay]
Used 1
in stock
$210.00
Description: 347-0201// AMAT APPLIED 0020-25063 RECEIVER CASS SPECIAL USED
spsglobal
[view on eBay]
Used 1
in stock
$210.00
Description: 347-0201 AMAT APPLIED 0020-25062 RECEIVER LEFT CASS SPECIAL USED
spsglobal
[view on eBay]
Used 5
in stock
$240.00
Description: 348-0103// AMAT APPLIED 0040-13659 BLOCK MTG HEATER 1.18 DIA SFT MTR LIFT H USED
spsglobal
[view on eBay]
Used 2
in stock
$700.00
Description: 321-0103// AMAT APPLIED 0930-01033 HTSNK CNTLR 5A 25DEG AMB 5.00"X2.00" USED
spsglobal
[view on eBay]
Used 60
in stock
$20.00
Description: 324-0101// AMAT APPLIED 3090-01100 BOLT 12PT HD 5/16-24 X 1-1/4 304/316 SST USED
spsglobal
[view on eBay]
Used 65
in stock
$20.00
Description: 324-0101// AMAT APPLIED 3090-01110 BOLT 12PT HD 1/4-28 X 1-3/8 304/316 SST USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0703// AMAT APPLIED 0620-01207 CABLE ASSY LOW-PASS FLTR 24LG USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 316-0403// AMAT APPLIED 0240-20003 0020-21025 0020-20356 APPLIED MATRIALS USED
spsglobal
[view on eBay]
Used 118
in stock
$20.00
Description: 324-0102// AMAT APPLIED 0020-07272 STUD, CRYO/GATE VALVE W/HEX SOCKET FOR W USED
expertsurplus
[view on eBay]
Used 1
in stock
$145.00
Description: LAM Research 853-042500-001 SMC Valve Switch Filter Assembly, Used
grandbirdnet
[view on eBay]
Used 1
in stock
$2,300.00
Description: Lam Research 853-800085-025 Rev: B Vendor Code : 1023220, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0190-24808 3007051207-0736, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,800.00
Description: NOVELLUS 02-145223-00 Controller, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0190-17779 3150292-007 / VHF OVATION 2760 RF GENERATOR , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,200.00
Description: AMAT 0041-07361 REV 03, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,000.00
Description: AMAT 0190-11203 CHAWBER AC DISTRIBUTION BOX PRODUCER ETCH, USED
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 346-0402// AMAT APPLIED 0010-77214 HEAD ASSY USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 344-0402// AMAT APPLIED 0020-70481 BAR TIE-OFF BODY CHAMBER USED
happy_1
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0100-09006 (PCB ASSY, INTELLIGENT INTERFACE SBC) (USED CONDITION)
happy_1
[view on eBay]
Used 1
in stock
$1,450.00
Description: AMAT 0190-40086 (wCARD,SBC W/MEZ,33 MHZ 16 MBYTE V36-AMAT) (USED CONDITION)
happy_1
[view on eBay]
Used 1
in stock
$1,100.00
Description: AMAT 0150-76212 EMC COMP., CABLE ASSY, ROBOT CONTROL 40 (USED)
grandbirdnet
[view on eBay]
Used 4
in stock
$8,000.00
Description: AMAT 0010-77331 SPINDLE ASSY, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,000.00
Description: AMAT 0195-06765 HVPE UPPER DOME TEMPERATURE CONTROLLER, USED
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 344-0501// AMAT APPLIED 0021-76231 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 316-0201// AMAT APPLIED 0020-09021 BOTTOM COVER, ETCH CHMBR USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 129-0102 AMAT APPLIED 0100-35175 (#1) PCB, ASSY RTP CHAMBER INTERFACE USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 322-0303// AMAT APPLIED 0190-02855 ACTUATOR KIT, P5000 ZA MK-II SLIT VALVE USED
spsglobal
[view on eBay]
Used 2
in stock
$3,500.00
Description: 322-0303// AMAT APPLIED 0010-76175 ASSY, THROT VALVE DELTA NITRIDE W/KALREZ USED
storefarm
[view on eBay]
Used 2
in stock
$998.00
Description: [Used] AMAT, APPLIED / 0100-65496 / CHAMBER GAS BACKPLANE, Rev C or E9
storefarm
[view on eBay]
Used 4
in stock
$698.00
Description: [Used] AMAT, APPLIED / 0100-66037 / CVD CHAMBER GAS INTERLOCK, Rev A
storefarm
[view on eBay]
Used 1
in stock
$548.00
Description: [Used] AMAT, APPLIED / 0100-71026 / FACILITY GAS BACKPLANE, Rev E1
storefarm
[view on eBay]
Used 1
in stock
$548.00
Description: [Used] AMAT, APPLIED / 0100-65513 / FACILITY GAS BACKPLANE, Rev E3
storefarm
[view on eBay]
Used 1
in stock
$7,998.00
Description: [Used] APPLIED MATERIALS / 0190-71157, 12-002000-15, MW6 / POWER SUPPLY
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 344-0502// AMAT APPLIED 0090-09137 CABLE ASSY, DOOR SW, UHP USED
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 344-0502// AMAT APPLIED 0090-01147 VACUUM SWITCH, ASSEMBLY, 24V E USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 344-0502// AMAT APPLIED 0090-00316 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$420.00
Description: 344-0502// AMAT APPLIED 0090-77110 ASSY, SLIPRINGS USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 344-0502// AMAT APPLIED 0090-76107 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 344-0502// AMAT APPLIED 0090-20178 ASSYMAGNETIC SWLID USED
spsglobal
[view on eBay]
Used 1
in stock
$380.00
Description: 344-0502// AMAT APPLIED 0090-20225 VALVE ASSY, HTR AUTO SHUTOFF, USED
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 344-0502// AMAT APPLIED 0090-20283 ELECT ASSY N2 FLOW SWITCH 24 S USED
spsglobal
[view on eBay]
Used 2
in stock
$950.00
Description: 112-0601// AMAT APPLIED 0040-70150 WLDMNT ARM SOURCE WIDE B USED
spsglobal
[view on eBay]
Used 3
in stock
$200.00
Description: 125-0402// AMAT APPLIED 0021-09004 SHIELD, PROTECTIVE, MXP, SGD USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 125-0402// AMAT APPLIED 0020-32013 COVER,UNILID,W/SQ O-RING METCH USED
spsglobal
[view on eBay]
Used 1
in stock
$290.00
Description: 345-0101// AMAT APPLIED 0020-24629 SPECIAL SIDE RECEIVER W/GROOVE USED
spsglobal
[view on eBay]
Used 1
in stock
$290.00
Description: 345-0101// AMAT APPLIED 0020-23878 SIDE RECEIVER SPECIAL USED
spsglobal
[view on eBay]
Used 1
in stock
$290.00
Description: 345-0101// AMAT APPLIED 0020-23730 RECEIVER CASSETTE USED
spsglobal
[view on eBay]
Used 1
in stock
$3,300.00
Description: 113-0301 AMAT APPLIED 0010-70074 0020-70184 RING CNTRLLC LID USED
spsglobal
[view on eBay]
Used 3
in stock
$50.00
Description: 343-0302// AMAT APPLIED 0190-36349 LAMP ASSY CYCLED 480 WATT XE CHAMBER RTP USED
grandbirdnet
[view on eBay]
Used 4
in stock
$3,000.00
Description: NOVELLUS 02-262491-00 SIOC Speed 1 Field Connector Module, USED
grandbirdnet
[view on eBay]
Used 5
in stock
$3,000.00
Description: NOVELLUS 02-262490-00 SIOC Speed 1 Field Connector Module, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$300.00
Description: AMAT 0021-77867 PLATE ADAPTER MOTOR SPINDLE FLEX CLAMP K, USED
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$320.00
Description: AMAT APPLIED 3870-01307 VALVE MNL DIAPH 3500PSI 1/4VCR-F/F 1/4TU USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 318-0303// AMAT APPLIED 0040-09020 EXHAUST DUCT GAS PANEL USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 318-0303// AMAT APPLIED 0227-10344 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 318-0303 AMAT APPLIED 0240-03931 (#1) KIT, WATER RESISTIVITY METER 2 USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 318-0303// AMAT APPLIED 0020-09797 BR-T FR SUP RIGHT USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 318-0303// AMAT APPLIED 0020-09796 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0703// AMAT APPLIED 0620-01518 CABLE ASSY GROUND INTERFACE 20 FT USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 318-0302// AMAT APPLIED 0010-10373 HOSE ASSEMBLY MALE, Q-DISC 3/8 USED
spsglobal
[view on eBay]
Used 1
in stock
$210.00
Description: 318-0302// AMAT APPLIED 0010-75124 ASSY,PER CHBR 120VAC POWER DISTRIBUTION USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 318-0302// AMAT APPLIED 0023-95053 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 10
in stock
$60.00
Description: 345-0102// AMAT APPLIED 0190-20086 SOCKET, LAMPS 11 USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 345-0102// AMAT APPLIED 0190-01395 SHIPPING BAG,BOTTOM,CENTURA USED
smartelektronikgmbh
[view on eBay]
Used 1
in stock
$240.00
Description: AMAT APPLIED 0020-21105 CLAMP 8" BUFFER FROG LEG USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 345-0102// AMAT APPLIED 0190-00653 FLOW SWITCH, GEMS FS 380, PHAS USED
spsglobal
[view on eBay]
Used 5
in stock
$550.00
Description: 339-0402// AMAT APPLIED 0200-10073 CLEANED INSULATOR,QUARTZ,200MM, SIMPLE USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 322-0201// AMAT APPLIED 0190-35448 PNEUMATIC MANIFOLD,GAS PANEL,4 USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 322-0201// AMAT APPLIED 0190-35510 MCVD ENDPOINT DETECTOR ASSEMBL USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 345-0101// AMAT APPLIED 0240-70548 KIT, EMO - MOMENTARY (ALLEN-BR USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 346-0401// AMAT APPLIED 0020-40100 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 346-0401// AMAT APPLIED 0020-40101 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 346-0401// AMAT APPLIED 0020-40102 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 4
in stock
$60.00
Description: 346-0401// AMAT APPLIED 0020-40863 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 346-0401// AMAT APPLIED 0020-40864 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 346-0401// AMAT APPLIED 0020-40865 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 141-0501// AMAT APPLIED 0150-22689 C/A SRC RETURN & WATER BLOCK USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 347-0301// AMAT APPLIED 0040-09340 SCREEN, TURBO, ANODIZED USED
spsglobal
[view on eBay]
Used 2
in stock
$50.00
Description: 141-0501// AMAT APPLIED 0140-21116 HARNESS ASSY., HEATER DRIVE CV USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 141-0501// AMAT APPLIED 0150-09779 CABLE ASSY ENDPOINT DETECTOR USED
spsglobal
[view on eBay]
Used 8
in stock
$10.00
Description: 141-0501// AMAT APPLIED 0150-20184 CABLE ASSY, SOURCE SUPPLY GROU USED
spsglobal
[view on eBay]
Used 3
in stock
$30.00
Description: 141-0502// AMAT APPLIED 0140-00053 MAG. SWITCH WIRING ASSY USED
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 141-0502// AMAT APPLIED 0140-00511 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 141-0502// AMAT APPLIED 0140-02868 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 141-0502// AMAT APPLIED 0140-09076 HARNESS HEATING ELEMENT USED
spsglobal
[view on eBay]
Used 7
in stock
$20.00
Description: 141-0502// AMAT APPLIED 0140-09175 HARNESS ASSY, ROTATION MOTOR C USED
spsglobal
[view on eBay]
Used 7
in stock
$40.00
Description: 141-0502// AMAT APPLIED 0140-40204 HARNESS, BIAS RF CHAMBER INTER USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 141-0502// AMAT APPLIED 0150-01280 CABLE ASSY, LIMIT SWITCH A USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 141-0502// AMAT APPLIED 0150-09477 CABLE ASSY EXTERNAL 8/19 USED
spsglobal
[view on eBay]
Used 5
in stock
$80.00
Description: 141-0601 AMAT APPLIED 0150-09545 CABLE ASY MAGNETRON TEMP SWITC USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 141-0502// AMAT APPLIED 0150-20184 (#1) CABLE ASSY, SOURCE SUPPLY GROU USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 141-0502// AMAT APPLIED 0150-20664 CABLE ASSY WTR LEAK TRAY\TRAY USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 141-0502// AMAT APPLIED 0150-21635 CABLE ASSY, EMO FRONT PANEL INTERCONNECT USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 141-0502// AMAT APPLIED 0150-22688 C/A SRC RETURN SECOND SPLY USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 141-0502// AMAT APPLIED 0150-35250 C/A CENTERFINDER EXT USED
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 141-0502// AMAT APPLIED 0226-42789 CABLE, EXTENSION FLOW/TEMP 1/2 USED
spsglobal
[view on eBay]
Used 3
in stock
$160.00
Description: 141-0502 AMAT APPLIED 0150-09549 CBLE HEATED FINAL FILTER USED
spsglobal
[view on eBay]
Used 2
in stock
$50.00
Description: 141-0601// AMAT APPLIED 0150-10198 CABLE, LOW FREQUENCY, RF GEN. USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 141-0601// AMAT APPLIED 0150-20705 CABLE ASSY WTR FL INLK ON BD C USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 141-0502// AMAT APPLIED 0140-20283 HARNESS ASSY., EBARA MONOLITH USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 141-0502// AMAT APPLIED 0140-09479 HARN ASSY VALVE TURBO INTERLOC USED
grandbirdnet
[view on eBay]
Used 1
in stock
$11,500.00
Description: AMAT 0010-26139 ASSY, 6-PORT SPINDLE , 200MM MIRRA , USED
grandbirdnet
[view on eBay]
Used 3
in stock
$180.00
Description: AMAT 0020-77444 STOP, EXTENSION, USED
roundtable1
[view on eBay]
Used 1
in stock
$499.00
Description: AMAT 0020-46477 CLAMP, ZONE 5, 200MM 5 ZONE PROFILER , USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 141-0703// AMAT APPLIED 0140-20710 HARNESS ASSY PCII CHBR MATCH INTERLOCK USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 141-0703// AMAT APPLIED 0140-20546 HARN ASSY XFR CH RGA DC PWR USED
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 141-0703// AMAT APPLIED 0150-10253 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 141-0703// AMAT APPLIED 0150-10105 CABLE ASSY RF MATCH USED
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 141-0703// AMAT APPLIED 0150-09298 CABLE OVERFILL INTERLOCK USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 142-0502 AMAT APPLIED 0140-10097 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 142-0501// AMAT APPLIED 0150-09556 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 142-0501// AMAT APPLIED 0150-09491 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 2
in stock
$120.00
Description: 142-0501// AMAT APPLIED 0150-09179 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 4
in stock
$40.00
Description: 142-0502// AMAT APPLIED 0140-20208 HARN ASSY VME CDGE/ REMOTE GAS USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 142-0501// AMAT APPLIED 0150-70016 APPLIED MATRIALS COMPONENTS USED
grandbirdnet
[view on eBay]
Used 4
in stock
$500.00
Description: AMAT 0020-79190 FRAME, HARMONIC DRIVE, BASE ASSEMBLY, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-77683 P3, USED
grandbirdnet
[view on eBay]
Used 5
in stock
$550.00
Description: AMAT 0040-77400 DDF3 FRONT HSG, USED
grandbirdnet
[view on eBay]
Used 6
in stock
$400.00
Description: AMAT 0020-79226 COVERBASE ASS'Y,PAD CONDITIONER, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$480.00
Description: AMAT 0040-64778 POST, COVER MOUNT, 6-PORT, 200MM MIRRA, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0140-03860 CABLE, POWER 24VFLOWMETER, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$130.00
Description: AMAT 0090-03665 SUNX NX5-M30AD, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,500.00
Description: AMAT 0020-16106 MOUNT -PLATEN DRIVE MOTOR - 300MM REFLEX, USED
sx-space
[view on eBay]
Used 3
in stock
$832.70
Description: Applied Materials BARATRON P/N 1350-00681 Used with warranty Free DHL or EMS
grandbirdnet
[view on eBay]
Used 1
in stock
$7,800.00
Description: AMAT 0040-49285 LINER, CATHODE, DIRECT-COOLED, BAFFLE, 3, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,700.00
Description: AMAT 0240-77631 KIT,PORT SERVER CONTRLLER(0190-14531), USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: AMAT 0190-23518 LC5A1. 5Cu 7589228F09, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$7,000.00
Description: AMAT 0190-27049 OVATION 35162 3150861-002 RF GENERATOR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0020-79477 CROSS WHELL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-77297 Manifold Plate, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,000.00
Description: AMAT 0020-79059 COLLAR-RIGHT, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$800.00
Description: AMAT 0020-79058 COLLAR-LEFT, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$400.00
Description: AMAT 0020-79041 SPOOL, CROSS SENSORS, USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 142-0702// AMAT APPLIED 0150-20080 CABLE ASSY PUMP FRAME DIST USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 142-0702// AMAT APPLIED 0150-20038 CABLE ASSY, REMOTE AI/O CONTRO USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 142-0702// AMAT APPLIED 0150-09236 CABLE ASY FLOPPY DISK DR USED
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 142-0703// AMAT APPLIED 0150-09368 ASSY CABLE FEEDER WIRE K3-4 TO USED
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 142-0703// AMAT APPLIED 0150-09365 ASSY CABLE FEEDER WIRE K4-4 TO CB10-2 USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 142-0703// AMAT APPLIED 0150-76006 ASSY CABLE TC-B USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 142-0703// AMAT APPLIED 0150-76005 ASSY CABLE TC-C USED
spsglobal
[view on eBay]
Used 7
in stock
$20.00
Description: 142-0703// AMAT APPLIED 0150-70002 CABLE FLAT ASSY SCSI 50P EXTEN USED
spsglobal
[view on eBay]
Used 2
in stock
$640.00
Description: 143-0501// AMAT APPLIED 0150-09840 CABLE ASSY LAMP MODULE USED
grandbirdnet
[view on eBay]
Used 6
in stock
$3,800.00
Description: AMAT 0190-11598 4-PORT ROTARY UNION, 300MM LK REFLEXION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0190-34283 REV 2 MKS AS01496-0-3, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0040-09098 BLADE LAMINATION ASSY 8, USED
spsglobal
[view on eBay]
Used 1
in stock
$280.00
Description: 143-0502// AMAT APPLIED 0140-90590 CFA 2G.P11/3D.J3 RETROFIT USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0502// AMAT APPLIED 0140-40402 HARN ASSY, ADAPTER, 10 TORR MANOMETER USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0502// AMAT APPLIED 0140-20679 HARNESS ASSY RGA VALVE INTFC USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0502// AMAT APPLIED 0140-09480 HRN GATE VALVE PRESS INT USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0502// AMAT APPLIED 0140-09426 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0502// AMAT APPLIED 0140-09239 HARNESS ASSY FLOW SWITCH USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0502// AMAT APPLIED 0140-09105 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0502// AMAT APPLIED 0140-09090 HARNESS ASSY LAMP/MAG CONTROL C USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 143-0503// AMAT APPLIED 0140-00030 GATE THERMISTOR ASSY USED
spsglobal
[view on eBay]
Used 1
in stock
$520.00
Description: 143-0502// AMAT APPLIED 0226-09683 HARNESS, QDP CH PUMP W INTEGER USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 143-0502// AMAT APPLIED 0150-55151 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$260.00
Description: 143-0502// AMAT APPLIED 0150-10311 CABLE H.V. PRSP POWER SUPPLY USED
spsglobal
[view on eBay]
Used 1
in stock
$130.00
Description: 143-0502// AMAT APPLIED 0150-10189 CABLE, OVERTEMP, SWITCH USED
spsglobal
[view on eBay]
Used 2
in stock
$10.00
Description: 143-0502// AMAT APPLIED 0150-09908 CABLE ASSY, RF GROUND STRAP USED
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 143-0502// AMAT APPLIED 0150-09837 CABLE ASSY THROTTLE VALVE AT T USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0502// AMAT APPLIED 0150-09775 CABLE GATE VALVE ROUGH E.V USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0502// AMAT APPLIED 0150-05337 CABLE ASSY MF I/O-BUFFER LCF BANK ENDURA USED
spsglobal
[view on eBay]
Used 1
in stock
$210.00
Description: 143-0503// AMAT APPLIED 0140-76079 CH A PCII INTERCONNECT HARNESS USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0503// AMAT APPLIED 0140-76005 HARNESS ASSY CONTACTOR USED
spsglobal
[view on eBay]
Used 1
in stock
$270.00
Description: 143-0503// AMAT APPLIED 0140-35429 HARNESS ASSY HELIUM CNTRL METC USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0503// AMAT APPLIED 0140-20547 HARN ASSY BUF CH RGA DC PWR USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 143-0503// AMAT APPLIED 0140-09279 HARNESS ASSY GAS VALVES USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0503// AMAT APPLIED 0140-09179 HARNESS HELIUM PRESS W/D PIN CONNECTOR USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0503// AMAT APPLIED 0140-09007 HARNESS,CHBR INTERCONN D 6 POS USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0503// AMAT APPLIED 0150-10021 RIBBON CABLE, MFC HELIUM ETCH, 5000 MK I USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0503// AMAT APPLIED 0150-09810 GATE VALVE PWR EXTENDER CABLE ASSY USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0503// AMAT APPLIED 0150-09531 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$80.00
Description: 143-0503// AMAT APPLIED 0150-09144 CABLE DI/DO JUMPER TO REMOTE P USED
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 143-0503// AMAT APPLIED 0150-00315 CABLE P.C. POWER SUPPLY EXTENSION USED
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 143-0503// AMAT APPLIED 0150-00275 CABLE ASSY,PC BASED MONO USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0503// AMAT APPLIED 0150-00263 CABLE ASSY, CHART RECRDR INTERFACE B USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0503// AMAT APPLIED 0150-00259 CABLE ASSY,MONOCHROMATIC INTEFACE EXT. USED
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 143-0503// AMAT APPLIED 0150-10255 CABLE EXTENSION INJECTION VALVE HEATHER USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 143-0503// AMAT APPLIED 0150-10076 SENSOR ASSY CABLE ASSY MICROWA USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0021-13375 BRKT SENSOR, TRANSDUCER MEGASONIC, 300 M, USED
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 143-0503// AMAT APPLIED 0224-49572 CABLE, ET300WS TURBO, AT SPEED USED
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 143-0503// AMAT APPLIED 0150-92981 CFA VANE SWITCH USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 143-0503// AMAT APPLIED 0150-40214 CABLE ASSY AS232 USED
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 143-0503// AMAT APPLIED 0150-35466 CABLE ASSY,SEIKO PUMP AT TEMP USED
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 143-0503// AMAT APPLIED 0150-20640 CABLE ASSY 2-PHASE DRIVER OUTPUT USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 143-0503// AMAT APPLIED 0150-20600 CABLE ASSY BUF XFER MTR LIFT I USED
spsglobal
[view on eBay]
Used 1
in stock
$450.00
Description: 115-0401// AMAT APPLIED 0050-13029 (#2) COMPONENTS USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-40222 PLATE, X-ADJ, BACK, MEG HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0020-08545 BRACKET, CLAMP, COVER, 200MM, MESA, DARK, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0021-79784 BRACKET, HOSE MOUNTING, INTERNAL SPRAY G, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$40.00
Description: AMAT 0021-12660 BRACKET, EMO, FRONT SKIN, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0020-78849 HOLDER, USED
grandbirdnet
[view on eBay]
Used 8
in stock
$30.00
Description: AMAT 0021-12518 BRACKET HANDLE, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-07813 CAP, LONG, UPPER DRIP PAN, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0040-34942 PLATE, Z-ADJ, BACK, MEG HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0040-75191 REV. B, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$80.00
Description: AMAT 0040-78523 REV. P2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0040-78438 P1, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0040-78419 P2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$30.00
Description: AMAT 0021-79304 REV. P2, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$30.00
Description: AMAT 0020-79064 P1, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0020-61009 001, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0020-78899 BRACKET, USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 141-0303// AMAT APPLIED 0140-77726 HARNESS TUBING BUNDLE 4 USED
grandbirdnet
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT 0020-78334 REV. P1, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0041-02095 300911LNPI1323670, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$60.00
Description: AMAT 0020-78902 FRONT PLATE, CLEAN CUP, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0040-77226 ALIGNER, FEMALE, TRAC, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$70.00
Description: AMAT 0021-23555 001, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$4,000.00
Description: AMAT 0010-77217 SWEEP HEAD W/UNOCAL, USED
spsglobal
[view on eBay]
Used 2
in stock
$140.00
Description: 344-0203// AMAT APPLIED 0040-04868 HOLDER BARATRON ASSY USED
spsglobal
[view on eBay]
Used 2
in stock
$2,000.00
Description: 110-0603// AMAT APPLIED 0050-30759 COVER, GAS INLET, Mxp, DSGD USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 110-0602// AMAT APPLIED 0021-35042 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$1,440.00
Description: 110-0601// AMAT APPLIED 0190-35857 WAVEGUIDE POS B 3.4 X 1.7 MICR USED
spsglobal
[view on eBay]
Used 2
in stock
$990.00
Description: 116-0103// AMAT APPLIED 0200-09762 RING,CLAMPING,NOTCH,AL 200MM, 1.38 HT,FI USED
spsglobal
[view on eBay]
Used 3
in stock
$720.00
Description: 116-0103// AMAT APPLIED 0200-09672 RING, CLAMPING,AL,200 MM ,1.38H,NOTCH,CE USED
spsglobal
[view on eBay]
Used 2
in stock
$760.00
Description: 116-0102// AMAT APPLIED 0200-09615 LIFT WAFER, SR& BSE BWCVD USED
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 116-0101// AMAT APPLIED 0200-39140 RING, FOCUS, 195MM SNNF 1" 60DEG, DPS USED
grandbirdnet
[view on eBay]
Used 2
in stock
$6,800.00
Description: AMAT 0190-10734 ASSY,LOWER ELECTRONIC BOX,BRUSH 1,2 CHEM, USED
spsglobal
[view on eBay]
Used 3
in stock
$1,600.00
Description: 116-0201// AMAT APPLIED 0200-09559 COVER 200MM CERAMIC (6 HOLES . USED
spsglobal
[view on eBay]
Used 1
in stock
$900.00
Description: 116-0204// AMAT APPLIED 0200-35477 COVER 200MM JMF STD COVERLESS, USED
spsglobal
[view on eBay]
Used 2
in stock
$660.00
Description: 116-0202// AMAT APPLIED 0200-10235 RING,CLAMP,CER,200/194MM,NOTCH USED
spsglobal
[view on eBay]
Used 2
in stock
$270.00
Description: 116-0202// AMAT APPLIED 0200-10040 RING,OUTER,4.00",DBL ANNULUS,SGD* USED
spsglobal
[view on eBay]
Used 2
in stock
$180.00
Description: 116-0202// AMAT APPLIED 0200-10036 RING,MIDDLE,4.00"/1.50", DBL ANNULUS,SGD USED
spsglobal
[view on eBay]
Used 7
in stock
$600.00
Description: 116-0202// AMAT APPLIED 0200-09746 COLLAR AL 200MM CERAMIC USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 116-0202// AMAT APPLIED 0200-09182 ADAPTER, PUMPING PLATE, 200MM USED
spsglobal
[view on eBay]
Used 9
in stock
$230.00
Description: 125-0202// AMAT APPLIED 0020-31492 GAS DIST.PLATE,101 HOLES USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 125-0202// AMAT APPLIED 0020-31343 APPLIED MATRIALS COMPONENTSA USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 125-0103// AMAT APPLIED 0020-24531 SHIELD ADAPTER G-12 SST USED
spsglobal
[view on eBay]
Used 4
in stock
$80.00
Description: 116-0503// AMAT APPLIED 0200-36680 LINER, QUARTZ, UPPER, GAS DIST USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 116-0502// AMAT APPLIED 0200-09478 PLATE, GAS DIST, UPPER, 200MM USED
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 116-0501// AMAT APPLIED 0200-10415 FOCUS RING 2 PIECE, STRAIGHT W USED
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 116-0501// AMAT APPLIED 0200-09646 RING,FOCUS,QTZ, 125 MM, 135 MM USED
spsglobal
[view on eBay]
Used 8
in stock
$230.00
Description: 116-0401// AMAT APPLIED 0020-10941 ISOLATOR,TEFLON RING USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 116-0303// AMAT APPLIED 0200-35576 ISOLATOR,LID,TxZ USED
spsglobal
[view on eBay]
Used 1
in stock
$560.00
Description: 116-0303// AMAT APPLIED 0200-10151 SHADOW RING, QUARTZ, 200MM, FLAT (1S)GEC USED
spsglobal
[view on eBay]
Used 1
in stock
$670.00
Description: 116-0303// AMAT APPLIED 0200-09996 RING,OUTER,ALN 200 JMF SML WxZ USED
spsglobal
[view on eBay]
Used 3
in stock
$200.00
Description: 116-0303// AMAT APPLIED 0200-09765 COLLAR,200MM PEDESTAL, NOTCH,AL,FINGER USED
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 116-0302// AMAT APPLIED 0020-38890 COVER,CATHODE,DPS CHAMBER USED
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 116-0301// AMAT APPLIED 0270-76103 8 PRCLN/CL LFT/RBT CAL PLATE USED
spsglobal
[view on eBay]
Used 2
in stock
$260.00
Description: 116-0301// AMAT APPLIED 0270-20144 TOOL 6" PRECLN PASS THRU CALIBRATION USED
spsglobal
[view on eBay]
Used 2
in stock
$290.00
Description: 116-0301// AMAT APPLIED 0200-09158 WINDOW,HEATER,CVD CHAMBR USED
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 342-0202// AMAT APPLIED 0040-09548 COVER, WINDOW, SIDE SHIELD, UPPER, UNIVE USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 142-0701// AMAT APPLIED 0140-76014 HARNESS ASSY PWR INTLK WAFER ORIENTER USED
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 127-0501// AMAT APPLIED 0010-02631 ASSY AC BOX AMAT 0 W/O NEUTRAL USED
spsglobal
[view on eBay]
Used 2
in stock
$1,080.00
Description: 127-0501// AMAT APPLIED 0090-00045 CONTROLLER. A/C WINDOW USED
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 127-0501// AMAT APPLIED 0010-38838 ASS, AC DISTRIBUTION, 120 VAC, USED
spsglobal
[view on eBay]
Used 2
in stock
$2,000.00
Description: 127-0501// AMAT APPLIED 0010-10436 ASSY,AC DISTRIBUTION,120 VAC,R USED
spsglobal
[view on eBay]
Used 3
in stock
$800.00
Description: 125-0403// AMAT APPLIED 0021-09958 FOCUS RING BASE (VESPEL) FLAT USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 125-0403// AMAT APPLIED 0020-30427 RING, CLAMP, 8, EXT CATH, DC, USED
spsglobal
[view on eBay]
Used 2
in stock
$900.00
Description: 130-0102// AMAT APPLIED 0190-23509 HARD CPCI 3U QUAD SERIAL COMMUNICATION USED
spsglobal
[view on eBay]
Used 1
in stock
$1,400.00
Description: 130-0102// AMAT APPLIED 0190-22967 CARD CPCI 32/16 ANALOG 32/16 I/O USED
spsglobal
[view on eBay]
Used 2
in stock
$840.00
Description: 130-0102// AMAT APPLIED 0190-07450 CARD CPCI 48 DIGITAL 48 I/O USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0040-48108 SHIELD COVER 300MM SRD CLEANER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0040-77170 DRIVEN PULLEY , USED
apex1088
[view on eBay]
Used 1
in stock
$40.00
Description: Applied Materials 0090-07582 Remote Access Selector Switch Used
singa.seller
[view on eBay]
Used 6
in stock
$500.00
Description: Lam Research 716-140118-001 Focus Ring ESC 8" Used
spsglobal
[view on eBay]
Used 1
in stock
$220.00
Description: 116-0303// AMAT APPLIED 0200-09027 ADAPTER, PUMPING PLATE #6 USED
dr.dantom
[view on eBay]
Used 9
in stock
$500.00
Description: Applied Materials TA-BESC 0021-40239 REV 001 USED Ti Disk Shutter TWAS Plate
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 319-0501 AMAT APPLIED 1400-00207 SPECTROGRAPH SD1024DL 120VAC USED
spsglobal
[view on eBay]
Used 4
in stock
$4,000.00
Description: 319-0401 AMAT APPLIED 0190-25450 SD2048DL VERITY SPECTROMETER USED
spsglobal
[view on eBay]
Used 1
in stock
$490.00
Description: 147-0501// AMAT APPLIED 0150-20077 CABLE ASSY MAIN FRAME PUMP CONTROL USED
spsglobal
[view on eBay]
Used 1
in stock
$410.00
Description: 143-0402// AMAT APPLIED 0190-20050 CABLE ASSY,NUDE TUBE,30' USED
spsglobal
[view on eBay]
Used 1
in stock
$1,100.00
Description: 143-0402// AMAT APPLIED 0140-35787 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$380.00
Description: 143-0402// AMAT APPLIED 0140-21013 HARN ASSY, XZ-CVD CHAMBER ON ENDURA USED
spsglobal
[view on eBay]
Used 1
in stock
$350.00
Description: 348-0103// AMAT APPLIED 0020-25745 BLOCK MTG HEATER 1.18 DIA SFT PNEU LIFT USED
spsglobal
[view on eBay]
Used 3
in stock
$80.00
Description: 348-0103// AMAT APPLIED 0020-20513 MOUNT HEATER PNEU CYL USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 343-0202// AMAT APPLIED 0020-20508 SHLD HTR RF BOT USED
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 342-0401// AMAT APPLIED 0020-27135 SPACER, ACTUATOR SHUTTER LINKAGE USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 130-0102// LAM FAB 710-030480-001 SSY 810-030480-001 BOARD USED
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 347-0302// AMAT APPLIED 0020-09103 FLAP, THROTTLE *MSG* USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 347-0303// AMAT APPLIED 0190-21222 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$540.00
Description: 347-0303// AMAT APPLIED 0190-20063 TC (SPEC CONTROL) USED
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 402-0401// AMAT APPLIED 853-800749-007 LAM MODULE USED
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 129-0701// AMAT APPLIED 0010-76097 MONITOR BASE ASSY USED
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 115-0301// AMAT APPLIED 0240-30883 APPLIED MATRIALS 0190-12490 COMPONENTS USED
spsglobal
[view on eBay]
Used 3
in stock
$200.00
Description: 113-0403// AMAT APPLIED 0040-06392 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$6,500.00
Description: 140-0401// AMAT APPLIED 0242-38209 0040-32680 PLATE,CLAMP LID USED
spsglobal
[view on eBay]
Used 2
in stock
$280.00
Description: 340-0202// AMAT APPLIED 0020-19003 INSERT, SLIT VALVE SHO USED
spsglobal
[view on eBay]
Used 2
in stock
$180.00
Description: 340-0202// AMAT APPLIED 0040-03263 INSERT, SLIT VALVE, EMAX USED
spsglobal
[view on eBay]
Used 2
in stock
$380.00
Description: 340-0202// AMAT APPLIED 0021-11365 BRACKET, CHAMBER SUPPORT USED
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 349-0501// AMAT APPLIED 0040-03271 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 113-0402// AMAT APPLIED 0020-23483 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 332-0301// AMAT APPLIED 0030-09029 CRT BEZEL USED
spsglobal
[view on eBay]
Used 3
in stock
$200.00
Description: 332-0301// AMAT APPLIED 0030-70085 BEZEL MITSUBISHI MONITOR TTW USED
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 332-0301// AMAT APPLIED 0030-76014 OBS: BEZEL, MONITOR 15", TTW USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 332-0301// AMAT APPLIED 0224-43653 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 332-0301// AMAT APPLIED 0226-43544 APPLIED MATRIALS COMPONENTS USED
spsglobal
[view on eBay]
Used 1
in stock
$6,000.00
Description: 353-0401// AMAT APPLIED 3620-01124 (#2) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
storefarm
[view on eBay]
Used 1
in stock
$68.00
Description: [Used] LAM AMP / 684-019113-030 / CABLE, 27 MHz GEN, 53A2P14, 53A2P15, Rev.B
storefarm
[view on eBay]
Used 3
in stock
$198.00
Description: [Used] LAM AMP / 853-012391-003 / CABLE, 47A3P3, 53A2P30, Rev.B, 1pcs
storefarm
[view on eBay]
Used 3
in stock
$248.00
Description: [Used] LAM AMP / 853-012988-002 / CABLE, 47A3P2, 53A2P10, Rev.D, 1pcs
storefarm
[view on eBay]
Used 3
in stock
$248.00
Description: [Used] LAM AMP / 853-012284-003 / CABLE, 47A1P6, Rev.A, 1pcs
storefarm
[view on eBay]
Used 3
in stock
$248.00
Description: [Used] LAM AMP / 853-012989-001 / CABLE, 47A2P4, 53A2P9, Rev.B, 1pcs
storefarm
[view on eBay]
Used 1
in stock
$548.00
Description: [Used] LAM / MSPR0503567, 810-010473-401 / RF INTERFACE PANEL
dr.dantom
[view on eBay]
Used 10
in stock
$600.00
Description: Applied Materials Used SST Shield lower 0021-40242
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 327-0201// AMAT APPLIED 0010-20441 ASSY, 6" RF MATCH USED
spsglobal
[view on eBay]
Used 2
in stock
$20,000.00
Description: 354-0301// AMAT APPLIED 0010-27417 GEARBOX ASSY, SEALED GEARBOX, ENCORE II USED
spsglobal
[view on eBay]
Used 1
in stock
$2,800.00
Description: 105-0201// AMAT APPLIED 0010-00510 (#3) ASSEMBLY BEARING [USED]
spsglobal
[view on eBay]
Used 5
in stock
$200.00
Description: 323-0402// AMAT APPLIED 0090-76049 ASSEMBLY,300MM OTF EMITTER, J5 USED
spsglobal
[view on eBay]
Used 5
in stock
$200.00
Description: 323-0402// AMAT APPLIED 0090-76048 ASSEMBLY,300MM OTF EMITTER, J4 USED
spsglobal
[view on eBay]
Used 5
in stock
$200.00
Description: 323-0402// AMAT APPLIED 0090-76042 ASSEMBLY,300MM OTF EMITTER, J3 USED
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 162-0201// AMAT APPLIED 0010-20236 ASSY, BASE USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 322-0201// AMAT APPLIED 0190-35168 WATER FLOW SWITCH,.8GPM TRIP [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$4,300.00
Description: AMAT 0010-37735 IPS BIAS RF MATCH ASSEMBLY, USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 132-0301// AMAT APPLIED 0020-09653 CLAMP FRAME CRT MOUNT [USED]
sx-space
[view on eBay]
Used 4
in stock
$618.45
Description: 800-1514A AMAT 0190-31257 REV 05 Used with 90days warranty Free DHL or EMS
techequipsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: Novellus 02-057891-00 ARSU ADAC Board 8886800 *used working, 90 day warranty*
grandbirdnet
[view on eBay]
Used 4
in stock
$7,500.00
Description: AMAT 0190-40249 PAD CONDITIONER ASSY, USED
sx-space
[view on eBay]
Used 4
in stock
$622.97
Description: CS-G/4A4-ARX/D518 0.3M AMAT 0190-10982 Used with 90days warranty Free DHL or EMS
grandbirdnet
[view on eBay]
Used 11
in stock
$1,100.00
Description: AMAT 0090-00358 SANYO DENKI P50B050105DX00M AC Servo Motor, USED
port409
[view on eBay]
Used 1
in stock
$149.99
Description: Applied Materials 0190-26769 Hot ION Pirani Universal Vacuum Gauge Used
grandbirdnet
[view on eBay]
Used 1
in stock
$260.00
Description: AMAT 0620-03377 CABLE ASSY RS-232, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-76023 Belt Guard Left Cover, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-76024 Belt Guard right Cover, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$80.00
Description: AMAT 0090-77214 REV P4 DCA 0242, USED
grandbirdnet
[view on eBay]
Used 10
in stock
$3,500.00
Description: LAM RESEARCH 660-063435-003 D AE APEX 1513 RF GENERATOR 3156110-016, USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 327-0402// AMAT APPLIED 0040-95790 SHOE,PICK-UP,150mm [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 327-0402// AMAT APPLIED 0040-01705 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 327-0402// AMAT APPLIED 0021-11052 BRACKET, PLATE, CHILLER LINE O [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0620-02369 CABLE ASSY DNET DROP 1.5METER 300V 80C W, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0140-78218 HARNESS, DIGITAL ISRM INTLK, POLISHER BK, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0195-08598 ZONE 10 SETPOINT 160C 208 VAC, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0140-78042 CNTRL BOX BLKHD SRD , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0150-25454 SPARE DIST PNL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0150-25436 DLINK PORT 20, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0620-02664 CBL MINI DIN 6-PIN, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0090-09139 CABLE ASSY, PHOTO HELIX 2, UHP, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0150-24466 DLINK E-SW PWR INPUT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT 0150-15260 DLINK E-SW PWR INPUT, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$50.00
Description: AMAT 0150-16906 FM : DLINK PORT 19, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$220.00
Description: AMAT 0140-02822 HARN, RMT STRT/STP MF BH, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0140-78501 UPPER ELECTRONICS P6, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$3,500.00
Description: LAM RESEARCH 660-063437-002 E AE APEX 2013 RF GENERATOR 3156113-014, USED
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: LAM Research 715-803261-004 ESC Electron Static Chuck *used working*
grandbirdnet
[view on eBay]
Used 7
in stock
$700.00
Description: AMAT 0020-77925 BEARING SEAT, RACE CLAMP, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$3,500.00
Description: LAM RESEARCH 660-063437-003 AE APEX 1513 RF GENERATOR 3156113-024, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 3620-00252 FILTER, PUMP INLET MOUNTED, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0040-77157 RACK 1 CASSETTE TRAY CMP, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0140-02244 HARNESS BRUSH #2 LDM DIRECT FEED CLEANER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-77217 SILL MOUNT CUP SIDE REAR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0020-79649 ANGLE BRACKET, BEARING, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0020-78410 FRAME,SPLASH GUARD, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0020-79051 BRACKET,HOSE MNT#2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$180.00
Description: AMAT 0021-08977 BRACKET RIGHT POWER SUPPLY CNTRL CENTURA II, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0022-77136 MIDDLE COVER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$280.00
Description: AMAT 0040-48430 Assy, Exhaust Pipe, Meg Upgrade, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0021-78292 COVER, DUCT OPENING, LOWER EXHAUST, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-77981 BRACKET, 50/60 HZ POWER SUPPLY, CMP PORT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0040-73499 PANEL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0040-80093 BRACKET, MOUNT, BELT GUARD COVER, PLATEN, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-79346 BRACKET, ANTI-ROTATION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0090-00790 CHEM FLOW SWITCH, LOW FLOW, DIRECT FEED, USED
grandbirdnet
[view on eBay]
Used 5
in stock
$1,000.00
Description: AMAT 0090-01099 M-60-T31-01-012 HARNESS, CHEM FLOW SWITCH, LOW FLOW BRUS, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$150.00
Description: AMAT 0021-77074 BRACKET, ANTI-ROTATION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0090-00861 HARNESS, MEGASONIC CLEANER, WAFER PRESEN, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0090-00515 ASSY, PRESSURE MONITOR, DIW INLET, MESA, USED
spsglobal
[view on eBay]
Used 1
in stock
$4,000.00
Description: 331-0301// LAM RESEARCH 715-460214-003 6INCH CHAMVER BODY [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0090-03220 SENSOR ASSY PLATEN 2 PCW TEMPERATURE CMP, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$500.00
Description: AMAT 0090-77021 ASSY,PLATFORM LIGHT TOWER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0021-77709 BRKT, MTR, WLK BEAM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0090-77216 HARNESS, MEGASONIC NH40H PRESSURE SWITCH, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$12,000.00
Description: AMAT 0010-77227 UTILITY PANEL ASSEMBLY, USED, NOT COMPLETED
grandbirdnet
[view on eBay]
Used 1
in stock
$8,900.00
Description: AMAT 0010-29248 TSDA ASSY, STANDARD MANIFOLD, 300MM REFLEXION, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,600.00
Description: AMAT 0010-21264 ASSEMBLY SHUTTER LINKAGE, USED
spsglobal
[view on eBay]
Used 3
in stock
$50.00
Description: 319-0302// APPLIED MATRIALS 0020-62324 COMPONENTS [USED]
grandbirdnet
[view on eBay]
Used 2
in stock
$1,300.00
Description: AMAT 0200-20331 COVER RING 8" B101 CERAMIC, 10.75" OD, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$300.00
Description: AMAT 0090-02269 E8Y-AR2Y PRESSURE SENSOR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0090-77332 CHEM FLOW SWITCH, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0020-79186 OUTER BEARING SPACER PAD COND, USED
dy-global
[view on eBay]
Used 2
in stock
$1,499.95
Description: Lam Research Used 853-05487-010 Rev:G 714-120820-002 SEM-I-163=9A2D
dy-global
[view on eBay]
Used 3
in stock
$149.95
Description: Lam Research Used 853-800838-011 Rev.B SEM-I-96=2M24
grandbirdnet
[view on eBay]
Used 1
in stock
$850.00
Description: AMAT 0090-77224 RECJRCULATION FLOW SWITCH, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$8,500.00
Description: AMAT 0190-02194 ASSEMBLY, CIRCUIT BREAKER ENCL, 200MM MESA, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0010-09120 ASSY HEATSINK AND MOTOR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: AMAT 0010-05387 FLAT PANEL DISPLAY ENCLOSURE MIRRA 200MM CMP ,USED
ryacas6
[view on eBay]
Used 1
in stock
$420.00
Description: AMAT Applied Materials 0120-92722 Spin Scan Relay PCB Card (Used)
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 351-0101// AMAT APPLIED 0050-25994 MANIFOLD WATER SOURCE 13" SST [USED]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 346-0103// AMAT APPLIED 0020-21706 CHUCK 6" SEE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 136-0301 AMAT APPLIED 0090-20324 ASSY, HEDLAND WATER FLOW METER, SST [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 351-0302 AMAT APPLIED 0690-01580 (3PCS) CLIP RETAINING 13MM [USED]
spsglobal
[view on eBay]
Used 5
in stock
$1,200.00
Description: 348-0301// AMAT APPLIED 0190-20004 FEED THRU ROTARY ASSY SOURCE BASIC [USED]
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 176-0401// AMAT APPLIED 0050-06847 WELDMENT, TEPO LFM TO INJ VALVE [USED]
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT, 0040-20811, Adapter Elbow With Cryo Baffle Roughing, Used
expertsurplus
[view on eBay]
Used 2
in stock
$150.00
Description: AMAT, 0050-39363, Gas Inlet Vacuum Roughing Line, Used
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 316-0403// AMAT APPLIED 0020-22391 SHIELD HI PRESSURE FLOW RESTRICTOR [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 343-0401// AMAT APPLIED 0020-20665 PIN GUIDE SOURCE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 350-0201// AMAT APPLIED 0010-21803 ASSEMBLY, UPPER DC HOUSING W/BUSS BAR [USED]
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 350-0201// AMAT APPLIED 0020-20945 BRKT, SW MAG/H-SENSOR [USED]
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 350-0201// AMAT APPLIED 0020-21877 SHUTTER, FLOW RESTRICTOR [USED]
spsglobal
[view on eBay]
Used 2
in stock
$240.00
Description: 350-0201// AMAT APPLIED 0020-22672 LEG CRYO RESTRICTOR HIGH PRESS W/B CH [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 350-0201// AMAT APPLIED 0020-27887 SHIM, MAGNET ASSY, 1.0MM, SST [USED]
spsglobal
[view on eBay]
Used 4
in stock
$60.00
Description: 350-0201// AMAT APPLIED 0020-76992 COVER DC, UPPER, FLAME RETARDANT [USED]
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 350-0201// AMAT APPLIED 0021-09034 BRACKET, MFC [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 352-0401 AMAT APPLIED 0020-13176 PLUG DC CONN SOURCE, FLAME RETARDANT [USED]
spsglobal
[view on eBay]
Used 3
in stock
$200.00
Description: 352-0201// AMAT APPLIED 0020-21482 PLATE CONTACT DC SOURCE 13 RIGHT [USED]
spsglobal
[view on eBay]
Used 4
in stock
$200.00
Description: 352-0201// AMAT APPLIED 0020-21485 PLATE CONTACT DC SOURCE 13" LEFT [USED]
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 352-0201// AMAT APPLIED 0020-21486 PLATE CONTACT DC SOURCE 11.3" LEFT [USED]
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 352-0201// AMAT APPLIED 0020-21488 PLATE CONTACT, DC SOURCE 11.3" RIGHT [USED]
spsglobal
[view on eBay]
Used 6
in stock
$160.00
Description: 350-0202// AMAT APPLIED 0040-21164 COOLING PLATE ACTUATOR SHUTTER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$130.00
Description: 350-0202// AMAT APPLIED 0020-27136 SUPPORT, ACTUATOR SHUTTER LINKAGE [USED]
spsglobal
[view on eBay]
Used 5
in stock
$180.00
Description: 350-0202// AMAT APPLIED 0020-24778 MOUNTING BRACKET SENSORS SHUTTER [USED]
spsglobal
[view on eBay]
Used 3
in stock
$1,000.00
Description: 348-0402// AMAT APPLIED 0020-22879 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 350-0202// AMAT APPLIED 0020-09942 PLATE LOWER TRAY ELEVATOR 125MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 348-0201// AMAT APPLIED 0020-23352 DISK HEATER [USED]
spsglobal
[view on eBay]
Used 3
in stock
$60.00
Description: 348-0202// AMAT APPLIED 0020-40106 BUSSBAR, PANEL TP PANEL, PRIMARY AC [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 326-0301 AMAT APPLIED 0020-22584 BOX, RESISTIVITY METER,DOT TWO [USED]
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 326-0401 AMAT APPLIED 0010-09803, 0010-09802 TEMP CONTROL PWR DIST. [USED]
spsglobal
[view on eBay]
Used 4
in stock
$40.00
Description: 350-0203 AMAT APPLIED 0020-23469 K.MFG., BLOCK, MOUNT, UNIT MFC [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 348-0202// AMAT APPLIED 0020-70180 SUPPORT DOOR DLL [USED]
spsglobal
[view on eBay]
Used 2
in stock
$1,510.00
Description: 348-0202// AMAT APPLIED 0020-28675 ADAPTER, SPACER, CVD CHAMBER S [USED]
spsglobal
[view on eBay]
Used 10
in stock
$20.00
Description: 343-0102 AMAT APPLIED 3690-03051 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 343-0102// AMAT APPLIED 0020-22821 COVER WAFER MAP LED [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 321-0303// AMAT APPLIED 0226-46993 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$900.00
Description: 120-0501// AMAT APPLIED 0020-20691 PEDESTAL CD/PT WAFER200 MM [USED]
spsglobal
[view on eBay]
Used 2
in stock
$1,620.00
Description: 118-0601// AMAT APPLIED 0010-76634 NON ENP NB DOOR ASSEMBLY [USED]
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 116-0404// AMAT APPLIED 0270-20044 TOOL CALIB. ORIENTER 8 SEE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$780.00
Description: 116-0404// AMAT APPLIED 0050-20037 MANIFOLD PEDESTAL CD WAFER 150 [USED]
spsglobal
[view on eBay]
Used 2
in stock
$1,680.00
Description: 116-0404// AMAT APPLIED 0020-20897 SOCKET RETAINER, 5/6, DEGAS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$560.00
Description: 116-0404// AMAT APPLIED 0020-20896 REFLECTOR HEATER, 5/6, DEGAS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 350-0302// AMAT APPLIED 0090-01015 FLTR RFI POWER LINE 6 AMP SERIES S [USED]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 350-0301// AMAT APPLIED 0020-10407 GUARD AC PANEL REAR LIQ CONTROLLER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 350-0301// AMAT APPLIED 0020-10406 PANEL REAR AC, LIQUID SOURCE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 350-0301// AMAT APPLIED 0020-10113 COVER FILTER SYS CONTROLLER PS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 350-0301// AMAT APPLIED 0020-10112 MTG PLATE ,POWER SUPPLY SYS CONTROLLER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 350-0301// AMAT APPLIED 0020-10021 PANEL EXHAUST LIQ SOURCE CONTROLLER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 350-0301// AMAT APPLIED 0020-09646 PANEL,FRONT,FILTER, LIQ SOURCE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$50.00
Description: 350-0301// AMAT APPLIED 0020-09096 BRACKET, VME PS PLATE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 350-0301// AMAT APPLIED 0020-09095 PLATE FRONT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 350-0301// AMAT APPLIED 0015-09003 HORIZ RAIL MOD DBL FRONT 7" LG [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 350-0301// AMAT APPLIED 0015-09002 HORIZ RAIL MOD DBL REAR 7" LG [USED]
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 141-0401// AMAT APPLIED 0150-09182 (#1) 0020-10345 CABLE ASSY, R5232 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 141-0401// AMAT APPLIED 0150-09138 CABLE ASY SYS CONTROL.AC [USED]
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 141-0401// AMAT APPLIED 0150-09100 CBL ASSY PWR LIQ SOURCE CONTROLLER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 141-0401// AMAT APPLIED 0140-09148 HARNESS ASSY., CONT. SYS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 141-0401// AMAT APPLIED 0140-09146 HARNESS ASSY SYS CONTROLLER FILTER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 141-0401// AMAT APPLIED 0020-30872 PENEL MINI CONTROLLER 110 7 AMP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 340-0403// AMAT APPLIED 0040-00887 BRACKET, STATUS LAMP DUAL-WALL MTG [USED]
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 340-0301// AMAT APPLIED 0020-75990 BLANKOFF, MAINFRAME FLOW SWITCH [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 340-0301// AMAT APPLIED 0020-24320 PANEL MTG SMIF ARM PCB [USED]
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 340-0301// AMAT APPLIED 0020-21266 FLAT COVER, CHAMBER INTERCONNE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 340-0301// AMAT APPLIED 0020-09759 PANEL ALCATEL TURBO BLANKOFF [USED]
spsglobal
[view on eBay]
Used 4
in stock
$40.00
Description: 340-0301// AMAT APPLIED 0020-09359 ENCLOSURE, CHAMBER INTERCONNEC [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 340-0301// AMAT APPLIED 0020-09288 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 114-0501// AMAT APPLIED 0224-45163 RIL,FRONT MOUNT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 114-0501// AMAT APPLIED 0021-10664 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 114-0501// AMAT APPLIED 0020-22578 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 5
in stock
$60.00
Description: 114-0501// AMAT APPLIED 0020-21251 TURBO SUPPORT SHELF [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 114-0501// AMAT APPLIED 0020-20818 COVER PANEL PNEUMATIC DISTR BD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 114-0501// AMAT APPLIED 0020-20595 STRIP, HOLD DOWN, 10 CHANNEL G [USED]
spsglobal
[view on eBay]
Used 1
in stock
$190.00
Description: 114-0501// AMAT APPLIED 0020-09522 HOUSING, POWER CONNECTOR [USED]
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 114-0501// AMAT APPLIED 0020-09521 COVER, PWR CONN.HOUSING [USED]
spsglobal
[view on eBay]
Used 2
in stock
$100.00
Description: 340-0401// AMAT APPLIED 0020-20509 CVR HTR PKG [USED]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 114-0601// AMAT APPLIED 0020-39225 COVER,CB-1,MAIN INPUT,800A [USED]
spsglobal
[view on eBay]
Used 19
in stock
$70.00
Description: 114-0601// AMAT APPLIED 0020-23438 COVER HOUSING MOTORIZED LIFT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$70.00
Description: 114-0601// AMAT APPLIED 0020-10662, 0020-10667 BRKT MTG 01SK CONTROLLER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 114-0601// AMAT APPLIED 0020-10360 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 114-0601// AMAT APPLIED 0020-10016 SHIELD CONTACTOR REMOTE AC [USED]
spsglobal
[view on eBay]
Used 1
in stock
$5,600.00
Description: 115-0501 AMAT APPLIED 0190-15380 3-PORT UPA-B 300MM REV 2D PLUS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,120.00
Description: 115-0501// AMAT APPLIED 0020-31609 COVER RF SHIELD INTERLCK DELTA SACVD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$640.00
Description: 115-0501// AMAT APPLIED 0010-16001 ASSY,LEFT DOOR, GAS PANEL [USED]
spsglobal
[view on eBay]
Used 1
in stock
$8,000.00
Description: 114-0401// AMAT APPLIED 0010-30001 ASSY,DEGASSER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 351-0201// AMAT APPLIED 0021-03070 INSULATOR POST,IPS SIGNAL COND [USED]
spsglobal
[view on eBay]
Used 3
in stock
$200.00
Description: 126-0404// AMAT APPLIED 0680-01123 CB MAG 3P 240VAC 150A [USED]
spsglobal
[view on eBay]
Used 11
in stock
$30.00
Description: 126-0304// AMAT APPLIED 0680-01213 CB MAG THERM 1P 240VAC 30A BOLT-ON QO [USED]
spsglobal
[view on eBay]
Used 1
in stock
$460.00
Description: 136-0401// AMAT APPLIED 0090-77327 ASSY, ELECTRONIC FLOW METERS C [USED]
spsglobal
[view on eBay]
Used 1
in stock
$2,800.00
Description: 136-0401// AMAT APPLIED 0040-22415, 0100-20097 PANEL MANIFOLD GENERATER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 134-0501// AMAT APPLIED 0020-22242 LIFT HOOP 8 [USED]
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 111-0303// AMAT APPLIED 0020-32121 RING ADAPTER THROTTLE VALVE [USED]
grandbirdnet
[view on eBay]
Used 2
in stock
$350.00
Description: AMAT 0050-95588 RF GENERATOR WATER OUTPUT, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$350.00
Description: AMAT 0050-95586 RF GENERATOR WATER INPUT, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$190.00
Description: AMAT 0140-03615 CABLE ASSY RR PRESS RGLTR HEAD PNEU ER44 USED
spsglobal
[view on eBay]
Used 2
in stock
$1,360.00
Description: 134-0502// AMAT APPLIED 0040-20257 ASSY LIFT HOOP 8 WIDE BODY CH [USED]
spsglobal
[view on eBay]
Used 7
in stock
$660.00
Description: 134-0503// AMAT APPLIED 0020-10059 RING,WAFER LIFT RP [USED]
spsglobal
[view on eBay]
Used 4
in stock
$330.00
Description: 134-0503// AMAT APPLIED 0020-10192 *RING WAFER LIFT 200MM [USED]
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 352-0403// AMAT APPLIED 0020-38101 FIXTURE, CAPTIVE SCREW [USED]
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 352-0403// AMAT APPLIED 0020-10185 SUPPORT HOOP 200MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 111-0201// AMAT APPLIED 0020-10403 PUMP PLATE 4",5"&6" SILANE/OX [USED]
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 111-0201// AMAT APPLIED 0020-31342 PLATE PERF PUMPING 125mm SHADOW RING [USED]
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 111-0201// AMAT APPLIED 0020-10732 PLATE PUMPING BW 200MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 111-0201// AMAT APPLIED 0020-10731 PLATE, PUMPING 100MM-150 MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 350-0202// AMAT APPLIED 0020-28293 BLANKOFF SHUTTER ENCLOSURE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 350-0202// AMAT APPLIED 0020-24782 STOP ACTUATOR SHUTTER LINKAGE [USED]
spsglobal
[view on eBay]
Used 6
in stock
$60.00
Description: 350-0202// AMAT APPLIED 0020-23917 BRACKET CABLE COVER LIFT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 347-0403// AMAT APPLIED 0020-24779 FLAG SENSORS SHUTTER LINKAGE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$400.00
Description: 319-0303// AMAT APPLIED 3620-01355 KIT HORIZONTAL WATER FTG SEIKO STP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 130-0401// AMAT APPLIED 0100-76053 ASSY ROBOT INTERCONNECT PCB [USED]
usedeqsales
[view on eBay]
Used 14
in stock
$705.15
Description: AMAT Applied Materials 0100-01877 Focus PSU Interface PCB Card Used Working
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 355-0501// AMAT APPLIED 0020-13953 STANDOFF PLATE MOUNT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 142-0703// AMAT APPLIED 0150-20578 CBL EBARA EMO JMPR PLUG (A-SER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$210.00
Description: 355-0501// AMAT APPLIED 0020-22187 PLATE MOUNT ADAPTER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$550.00
Description: 348-0203// AMAT APPLIED 0020-31785 RING,ROBOT COOLING PHASE IIA METCH [USED]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 130-0103 AMAT APPLIED 0225-02861 PCB STEC He INTERFACE BD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 348-0203// AMAT APPLIED 0020-31786 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$890.00
Description: 123-0403// AMAT APPLIED 0010-00535 ASSY HINGE POS B&C [USED]
spsglobal
[view on eBay]
Used 1
in stock
$700.00
Description: 130-0103// AMAT APPLIED 0100-09213 DISPLAY BOARD, ASP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$180.00
Description: 111-0502// AMAT APPLIED 0270-20068 JIG 6IN CASS INDEXER TO ROBOT PLATE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 348-0202// AMAT APPLIED 0225-34611 COVER, SPAN TRANSDUCER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 111-0502// AMAT APPLIED 0270-76140 HTHU HEATER CAL TOOL, RESTRICTOR [USED]
spsglobal
[view on eBay]
Used 1
in stock
$260.00
Description: 111-0502// AMAT APPLIED 0270-76133 6" HTHU HEATER CALIBRATION TOOL [USED]
spsglobal
[view on eBay]
Used 1
in stock
$260.00
Description: 111-0502// AMAT APPLIED 0270-20212 OBS, TOOL ZERO POSITION DUAL BLADE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$260.00
Description: 111-0502// AMAT APPLIED 0270-20152 TOOL CAL 101 LIFT TO ROBOT [USED]
spsglobal
[view on eBay]
Used 5
in stock
$600.00
Description: 322-0103// AMAT APPLIED 0090-70003 MOTOR-ENCODER ASY ROBOT EXTENSION, [USED]
spsglobal
[view on eBay]
Used 2
in stock
$700.00
Description: 322-0103// AMAT APPLIED 0090-70002 MOTOR ENCODER ASY ROBOT ROTATION [USED]
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 322-0103// AMAT APPLIED 0090-09027 MOTOR ASSY CVD LIFTS [USED]
spsglobal
[view on eBay]
Used 3
in stock
$80.00
Description: 319-0102// AMAT APPLIED 0090-09042 FAN ASSY,DUCT MOUNT [USED]
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 327-0402// AMAT APPLIED 0050-10048 ADAPTER, VALVE,UNISHIELD [USED]
spsglobal
[view on eBay]
Used 3
in stock
$20.00
Description: 123-0302// AMAT APPLIED 3930-01005 SPEED CNTRL,10-AS SER/IN [USED]
spsglobal
[view on eBay]
Used 4
in stock
$60.00
Description: 123-0302// AMAT APPLIED 0020-70436 PLATE, CAM SLIT VALVE [USED]
spsglobal
[view on eBay]
Used 4
in stock
$680.00
Description: 123-0302// AMAT APPLIED 0190-09533 GATE, 5000 ZA SLIT VALVE (ANODIZED) [USED]
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 176-0404// AMAT APPLIED 0050-75499 WELDMENT, CH C, HE DELIVERY [USED]
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 177-0402// AMAT APPLIED 0050-76990 GAS LINE, CH.2&3, MFC, COH TI/TIN [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 177-0402// AMAT APPLIED 0050-75405 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$130.00
Description: 177-0402// AMAT APPLIED 0050-38361 WELDMENT,CHMB A, PUMP,FORELINE, 1/4 OD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 177-0402// AMAT APPLIED 0050-24881 GAS LINE,CH 4,MFC 5&6,O-T-BACK [USED]
spsglobal
[view on eBay]
Used 3
in stock
$250.00
Description: 177-0402// AMAT APPLIED 0050-24715 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 177-0402// AMAT APPLIED 0040-00540 HE SUPPLY LINE, CHAMBER B [USED]
spsglobal
[view on eBay]
Used 2
in stock
$250.00
Description: 177-0402// AMAT APPLIED 0040-00537 HELIUM CONTROL MANIFOLD [USED]
spsglobal
[view on eBay]
Used 2
in stock
$240.00
Description: 177-0501// AMAT APPLIED 0050-08536 29 SLOT STOR ELEV PURGE LINE WELDMENT [USED]
techequipsales
[view on eBay]
Used 1
in stock
$3,500.00
Description: LAM 832-038915-201 RF Match LAM 2300 KIY03X Process Chamber *used working
storefarm
[view on eBay]
Used 2
in stock
$1,498.00
Description: [Used] NOVELLUS / 02-273469-00 / WTS-HV SIOC 4, Rev.A, 1pcs
storefarm
[view on eBay]
Used 8
in stock
$2,240.00
Description: [Used] NOVELLUS / 02-272805-00 / PVD IXT 0, Rev.A, 1pcs
storefarm
[view on eBay]
Used 2
in stock
$1,498.00
Description: [Used] NOVELLUS / 02-266872-00 / WTS-HV SIOC 2, Rev.A, 1pcs
storefarm
[view on eBay]
Used 14
in stock
$998.00
Description: [Used] NOVELLUS / 02-310728-00 / IXT PVD SIOC 2, HDSIOC EM COIL, Rev.A, 1pcs
capitolareatech
[view on eBay]
Used 6
in stock
$134.95
Description: Applied Materials (AMAT) 0300-09015 PROM SBC VME USED
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 176-0402// AMAT APPLIED 0050-14544 ASP MAGNATRON HEAD WATER LINE-CLEAN [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 176-0402// AMAT APPLIED 0227-06186 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 176-0402// AMAT APPLIED 0050-39826 WELDMENT,INTER,CH. C,HEATED PRCS,VDS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 176-0402// AMAT APPLIED 0050-36281 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 176-0402// AMAT APPLIED 0050-31359 WELDMENT, PRESSURE TEE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 176-0402// AMAT APPLIED 0050-25238 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$240.00
Description: 176-0402// AMAT APPLIED 0050-24855 ADAPTER CONVECTRON & BARATRON W/B PVD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$380.00
Description: 176-0402// AMAT APPLIED 0050-22591 ADAPTER BARATRON GAUGE PVD CHMBR CAJON [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 176-0402// AMAT APPLIED 0050-21669 GAS LINE VENT NEEDLE VALVE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 176-0402// AMAT APPLIED 0050-16807 APPLIED MATRIALS COMPONENTS [USED]
capitolareatech
[view on eBay]
Used 32
in stock
$161.95
Description: LAM RESEARCH (LAM) 714-013638-001 Plate, Valve Label Used on 853-013610-001 ASSY
capitolareatech
[view on eBay]
Used 1
in stock
$3,229.95
Description: LAM RESEARCH (LAM) 853-013542-002 Assy., Isolation Valve, Heated with Used PH265
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 134-0501// AMAT APPLIED 0040-09575 HOOP, BASKET WAFER SUPPORT, ASP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 340-0401// AMAT APPLIED 0040-39504 SUPPORT, TURBO CONTROLLER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$140.00
Description: 111-0702// AMAT APPLIED 0020-21068 BRACKET, REAR STEP, RIGHT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 111-0702// AMAT APPLIED 0020-20919 COVER PVD POST [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 351-0202// AMAT APPLIED 0021-35741 BRACKET, LOW PROFILE SENSOR, TC [USED]
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 123-0303// AMAT APPLIED 0021-20198 SHIM 0.50MM G-TYPE ENCAPSULATED MAGNET [USED]
spsglobal
[view on eBay]
Used 2
in stock
$1,530.00
Description: 123-0303// AMAT APPLIED 0021-00546 INSULATOR,DUAL HE, 200MM DPS [USED]
spsglobal
[view on eBay]
Used 7
in stock
$100.00
Description: 123-0302// AMAT APPLIED 0020-32837 HINGE,REMOVABLE,LEFT, SLIT VALVE [USED]
spsglobal
[view on eBay]
Used 4
in stock
$80.00
Description: 123-0302// AMAT APPLIED 0020-09500 LEVER C,SLIT VALVE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 346-0403// AMAT APPLIED 0040-80356 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 137-0403// AMAT APPLIED 0040-20651 ADAPTER INFICON RGA W/B CH [USED]
spsglobal
[view on eBay]
Used 2
in stock
$290.00
Description: 137-0404// AMAT APPLIED 0050-40653 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$260.00
Description: 137-0404// AMAT APPLIED 0050-38262 FORELINE ELBOW R2 METCH POS A & B MXP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 137-0404// AMAT APPLIED 0050-34896 EXHAUST LINE,ZM CHBR,POS C, UPPER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$70.00
Description: 137-0404// AMAT APPLIED 0050-09064 TUBE WELDMENT CHAMBER A [USED]
spsglobal
[view on eBay]
Used 1
in stock
$170.00
Description: 137-0404// AMAT APPLIED 0050-09022 EXTENSION,SHORT ARM,VAC LINE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 137-0403// AMAT APPLIED 0050-41047 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$110.00
Description: 137-0403// AMAT APPLIED 0050-33825 WELDMENT,TEE EX,VLV-THROTTLE,TxZ MCVD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 137-0403// AMAT APPLIED 0050-33171 REDUCER, R2, SEIKO SEIKI, 316L, COMMON [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 137-0403// AMAT APPLIED 0050-31493 WELDMENT 90 DEG EBLOW FORELINE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 137-0403// AMAT APPLIED 0050-25242 GASLINE, ROUGH AXZ @3 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 137-0403// AMAT APPLIED 0050-25013 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 137-0403// AMAT APPLIED 0050-20202 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$220.00
Description: 137-0403// AMAT APPLIED 0040-32690 WELDMENT APPLICATOR MICROWAVE REMOTE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 137-0301// AMAT APPLIED 0050-09021 MANIFOLD VACUUM LINE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$160.00
Description: 137-0301// AMAT APPLIED 0050-09020 MANIFOLD VACUUM LINE [USED]
spsglobal
[view on eBay]
Used 3
in stock
$190.00
Description: 137-0404// AMAT APPLIED 0050-09025 MANIFOLD, LLC VACUUM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 137-0403// AMAT APPLIED 0040-20125 SLOW PUMP W/PPLE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 137-0403// AMAT APPLIED 0015-76127 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 138-0301// AMAT APPLIED 3400-01140 HOSE FLEX BLWS THIN-WALL [USED]
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 176-0402// AMAT APPLIED 3300-02674 FTGTEE WITH 2-3/4 CONFLAT FLANGES [USED]
spsglobal
[view on eBay]
Used 2
in stock
$450.00
Description: 176-0402// AMAT APPLIED 0050-09026 MANIFOLD LLC VENT [USED]
spsglobal
[view on eBay]
Used 2
in stock
$80.00
Description: 176-0501// AMAT APPLIED 0050-10167 MANIFOLD WELDMENT HE VENT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 342-0303// AMAT APPLIED 0021-19366 BRACKET, MOUNTING, BLOCK VALVE, 300MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 342-0303// AMAT APPLIED 0021-19364 BRACKET, HIGH AIR FLOW VALVE, SLOTTED [USED]
spsglobal
[view on eBay]
Used 1
in stock
$310.00
Description: 342-0303// AMAT APPLIED 0020-24349 BRKT CLAMP COH FLT-TRGT CH 1 & [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 323-0502// AMAT APPLIED 0190-35676 HOSE,HX RETURN CHMB A SP3 CENTURA CMF [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 123-0302// AMAT APPLIED 0020-21833 VIEWPORT, DETECTOR [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 342-0402// AMAT APPLIED 0020-23959 PANEL AC OUTLET 20A GEN RACK [USED]
storefarm
[view on eBay]
Used 1
in stock
$1,498.00
Description: [Used] NOVELLUS / 02-266868-00 / WTS-HV SIOC 0, Rev.A
storefarm
[view on eBay]
Used 2
in stock
$1,998.00
Description: [Used] NOVELLUS / 02-293069-00 / PVD-PRECLEAN SIOC 0, Rev.A, 1pcs
storefarm
[view on eBay]
Used 2
in stock
$1,498.00
Description: [Used] NOVELLUS / 02-266870-00 / WTS-HV SIOC 1, Rev.A, 1pcs
storefarm
[view on eBay]
Used 1
in stock
$1,498.00
Description: [Used] NOVELLUS / 02-288084-00 / WTS-HV SIOC 0, Rev.A
spsglobal
[view on eBay]
Used 5
in stock
$10.00
Description: 350-0202// AMAT APPLIED 0021-22230 CLAMP BOTTOM CABLE HOLDER SOURCE GN [USED]
spsglobal
[view on eBay]
Used 2
in stock
$170.00
Description: 322-0403// AMAT APPLIED 0020-10590 MANIFOLD INPUT BW [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 322-0403// AMAT APPLIED 0020-10134 MANIFOLD OUTPUT PL [USED]
spsglobal
[view on eBay]
Used 2
in stock
$130.00
Description: 322-0403// AMAT APPLIED 0020-09604 HOUSING [USED]
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 143-0702 AMAT APPLIED 0140-20277 HARNESS PUMP OVERTEMP 6.5FT [USED]
spsglobal
[view on eBay]
Used 2
in stock
$390.00
Description: 322-0403// AMAT APPLIED 0021-23550 MANIFOLD, INPUT MOD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$190.00
Description: 322-0403// AMAT APPLIED 0021-09720 PLATE,MOTOR,HEATER LIFT DXZ [USED]
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 322-0403// AMAT APPLIED 0020-31016 HOUSING QUARTZ TUBE BWCVD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 316-0403// AMAT APPLIED 0020-13814 200MM BLADE W/WAFER POCKET MC-ROBOT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 175-0401 AMAT APPLIED 0010-09265 125MM CASSETTE HANDLER HEAD [USED]
spsglobal
[view on eBay]
Used 3
in stock
$330.00
Description: 177-0502// AMAT APPLIED 0224-45666 MANIFOLD, 6 POS, TI AVEZZANO [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 321-0101 AMAT APPLIED 0090-09102 APPLIED MATRIALS COMPONENTS [USED]
grandbirdnet
[view on eBay]
Used 3
in stock
$5,500.00
Description: AMAT 0190-37412 LARGEHARD DRIVE SUPPORTED EyeD 4.32, USED
storefarm
[view on eBay]
Used 2
in stock
$468.00
Description: [Used] NOVELLUS / 02-252197-00 / CONTROLLER, Rev.A, 17-252191-00, 1pcs
storefarm
[view on eBay]
Used 2
in stock
$2,998.00
Description: [Used] NOVELLUS / 02-281204-00 / INOVANXT PRECLN B, Rev.D or 2, 1pcs
grandbirdnet
[view on eBay]
Used 4
in stock
$400.00
Description: AMAT 0100-00008 PCB ASSEMBLY T.C. GAUGE MONITOR, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$600.00
Description: AMAT 0100-00010 w PWB ION GAUGE, USED
spsglobal
[view on eBay]
Used 10
in stock
$30.00
Description: 350-0201// AMAT APPLIED 0020-20617 (#1) BRACKET HANDLE [USED]
grandbirdnet
[view on eBay]
Used 2
in stock
$600.00
Description: AMAT 0100-09006 PCB ASSY, INTELLIGENT INTERFACE SBC, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-00049 w PWB, ANALOG SIGNAL CND, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-00048 wPWB I/O STATUS MONITOR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0100-00046 w PWB AC CURRENT SENSE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0100-00033 wPWB, MOTOR DRIVE, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$400.00
Description: AMAT 0100-00015 PWB, BUFFER ENCODER , USED
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 136-0201// AMAT APPLIED 0020-30703 PLATE, FRONT, UPPER, L.S. ENCLOSURE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 136-0201// AMAT APPLIED 0020-30704 PLATE,FRONT,LOWER,L.S. ENCLOSURE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 123-0202// AMAT APPLIED 0010-08583 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$160.00
Description: 123-0202// AMAT APPLIED 0010-09062 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 123-0202// AMAT APPLIED 0020-04144 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 123-0202// AMAT APPLIED 0020-09818 BRACKET FRONT MOUNTING [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 123-0202// AMAT APPLIED 0020-25686 COVER HEATER CONTROL BOX [USED]
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 123-0202// AMAT APPLIED 0020-31186 BRCKET,WAVEGUIDE SUPPORT PRSP3 [USED]
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 123-0202// AMAT APPLIED 0020-31805 FLANGE HALF, COVER LID, ASP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 123-0202// AMAT APPLIED 0020-70091 DUCT PAN PUMP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 123-0202// AMAT APPLIED 0020-70320 BRKT REGULATOR MOUNTING [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 123-0202// AMAT APPLIED 0020-70377 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$130.00
Description: 123-0202// AMAT APPLIED 0040-09036 SUPPORT, BUS BAR [USED]
spsglobal
[view on eBay]
Used 1
in stock
$130.00
Description: 123-0202// AMAT APPLIED 0040-09577 COVER,SAFETY,WAVEGUIDE, ASP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 123-0202// AMAT APPLIED 0040-09681 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 344-0501// AMAT APPLIED 0040-23133 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 352-0401// AMAT APPLIED 0020-09586 CRANK WINDOW [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 136-0201// AMAT APPLIED 0020-09420 COVER,LONG, AC CHANNEL [USED]
spsglobal
[view on eBay]
Used 4
in stock
$20.00
Description: 351-0201// AMAT APPLIED 3060-01185 BRG SLEEVE OILITE .6250IDX.8770ODX1.5L [USED]
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 351-0201// AMAT APPLIED 0040-00121 FITTING,BULKHEAD WELDMENT,1/2FEMALE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 176-0401// AMAT APPLIED 0227-05877 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 176-0401// AMAT APPLIED 0226-46196 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 142-0603// AMAT APPLIED 0140-70323 HARNESS ASSY, ADAPTER HARNESS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 142-0603// AMAT APPLIED 0140-09476 HARN ASSY, WAVEGUIDE FLANGE, ASP [USED]
spsglobal
[view on eBay]
Used 3
in stock
$40.00
Description: 136-0201// AMAT APPLIED 3940-01045 PNL FRONT 19 RACK 1.72 H ANODIZED [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 136-0201// AMAT APPLIED 3940-01044 PNL FRONT 19 RACK 6.97 H ANODIZE [USED]
storefarm
[view on eBay]
Used 2
in stock
$798.00
Description: [Used] APPLIED AMAT / 0010-34437, 0040-64674 / Assembly, Rev.003, 1pcs
storefarm
[view on eBay]
Used 1
in stock
$1,498.00
Description: [Used] APPLIED AMAT / 0010-19269, MXQ25-75, D-A93 / SLIT VALVE, Rev.002
storefarm
[view on eBay]
Used 2
in stock
$726.00
Description: [Used] AMAT / 0190-16633 / 2-Channel Magnet Driver, MAG02-25021-001, 1pcs
storefarm
[view on eBay]
Used 2
in stock
$8,998.00
Description: [Used] APPLIED AMAT / 0010-35457 / Assy, 300mm Dual Heated Zone, Base Sub-Level
spsglobal
[view on eBay]
Used 6
in stock
$40.00
Description: 342-0202// AMAT APPLIED 0020-34055 SCREW, CAPTIVE [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$4,000.00
Description: AMAT 0100-00007 MVME CHASSIS WITH OUTPUT CARDS INCLUDING MVME110-1 , 202, USED
spsglobal
[view on eBay]
Used 1
in stock
$220.00
Description: 322-0203// AMAT APPLIED 0140-00024 BRAKE HARNESS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 177-0303// AMAT APPLIED 3870-01062 VALVE RLF 3/4IN 150PSI TEMP/PRESS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 177-0303// AMAT APPLIED 3870-00230 VALVE BALL 2-WAY 1500PSIG 3/8FNPT [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$1,400.00
Description: AMAT 0100-00208 PCB ASSY GAS PANEL III,FUSE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0100-00132 PCB ASSEMBLY SYNC DETECT EASE, USED
pneumatplus10
[view on eBay]
Used 1
in stock
$109.00
Description: SMC US18092 Pneumatic Cylinder,145PSI,AMAT 3020-00080 Rev0,Used,US$95421
grandbirdnet
[view on eBay]
Used 4
in stock
$100.00
Description: AMAT 0100-00134 PWB ASSY, OPTO SWITCH 5, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$100.00
Description: AMAT 0100-00061 PCB ASSEMBLY LIMIT DETECTOR, USED
grandbirdnet
[view on eBay]
Used 5
in stock
$100.00
Description: AMAT 0100-00112 PCB OPTO SW 3 ASSY F/A INDXR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$80.00
Description: AMAT 0110-00036 PCB Gripper Interconnect BD, USED
grandbirdnet
[view on eBay]
Used 5
in stock
$50.00
Description: AMAT 0100-00063 LIMIT DETECTOR PWB 1 0110-00021, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0010-03763 ASSY, DOOR, HVM 300MM 25C-APPLIED-15, USED
grandbirdnet
[view on eBay]
Used 19
in stock
$400.00
Description: AMAT 0190-08556 8" Composite Retaining Ring, USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 130-0103// AMAT APPLIED 0190-45576 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 348-0401// AMAT APPLIED 0020-81051 PANEL FRONT FILAMENT SUPPLY [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 348-0401// AMAT APPLIED 0020-31422 BRKT, MTG, MAG GEN INTERFACE, ASP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$8,000.00
Description: 355-0201 AMAT APPLIED 0010-20224 MAGNET -A- 11.3 AL ASSY [USED]
spsglobal
[view on eBay]
Used 7
in stock
$20.00
Description: 348-0301// AMAT APPLIED 3500-01153 NUT LOCK NO 6 SST [USED]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 341-0402// AMAT APPLIED 0020-29328 BLOCK, MAGNET HOLDER, RH-1, RH-2 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 341-0402// AMAT APPLIED 0020-20274 CLAMP HOLDER, SOURCE [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0270-01133 SHIPPING PLUG 200MMM SIGEN, USED
expertsurplus
[view on eBay]
Used 7
in stock
$250.00
Description: AMAT, Line, Clean EXH, CH.A/B, SIN, CMF, 0050-27933, Used
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT, Weldment, Manifold, Vent Lines, Centura, 0050-39121, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Line Bypass Manifold, CH D, 0050-08326, Used
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, Gas Line Spool 3.80" M/F, 0050-44250, Used
expertsurplus
[view on eBay]
Used 8
in stock
$250.00
Description: AMAT, Line N2 Check Valve and Filter ICP, 0050-00740, Used
expertsurplus
[view on eBay]
Used 7
in stock
$250.00
Description: AMAT, Weldment, Baratron- VAC, Tantalum, 0050-06924, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Right Angle Gas Line, 0050-44411, Used
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, Gas Line, Tee, Ampule Helium/Vacuum, Tantalum, 0050-07523, Used
expertsurplus
[view on eBay]
Used 2
in stock
$60.00
Description: AMAT Applied Materials 0050-00023 Solid Transition Gasline X-P Chamber, Used
expertsurplus
[view on eBay]
Used 4
in stock
$150.00
Description: AMAT, Line Overpressure Port, A/B, ICP, 0050-07681, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line, 0050-42920, Used
expertsurplus
[view on eBay]
Used 6
in stock
$250.00
Description: AMAT, Gas Line, Purge Man. Dual Vaporizer, 300MM Tanox, 0050-53949, Used
expertsurplus
[view on eBay]
Used 2
in stock
$75.00
Description: AMAT, FTG Adapter NW25 X 1/4 FVCR 1.40L SST, 3300-05266, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Line, 1/4" PP Downstream Nitride, 0050-02287, Used
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT, Gas Line Tee Vacuum Tantalum, 0050-07527, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line, Weldment, 0050-42911, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line, Weldment, 0050-32177, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Manifold, FAC Water Supply, Singen 300MM, 0050-04858, Used
expertsurplus
[view on eBay]
Used 6
in stock
$250.00
Description: AMAT, SBT COOLING PIPE, 0050-02989, Used
expertsurplus
[view on eBay]
Used 7
in stock
$250.00
Description: AMAT, WELDMENT, AR-BARATRON, 0050-04937, Used
expertsurplus
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT, WELDMENT MANIFOLD, 6 LINES, 0050-09386, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, 3 Port Weldment, 0050-75012, Used
expertsurplus
[view on eBay]
Used 16
in stock
$75.00
Description: AMAT, FTG Flange To Male VCR Adpt 1.2" Tube 1.57" Flng, 3300-03837, Used
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, Water Line, Lower Chamber, DPS, 0050-31457, Used
expertsurplus
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT, WELDMENT, BARATRON MANIFOLD, 0040-36094, Used
expertsurplus
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT, Weldment, Elbow 3/4" CPV Face Seal X 1/2 In FVCR, 0050-40157, Used
expertsurplus
[view on eBay]
Used 4
in stock
$150.00
Description: AMAT, Line ICP CDA Restrictor, 0050-07913, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Weldment, He Line, IHC To Dump 5RA, POS B, 0050-00450, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, TUBE WELDMENT, 6:1 OFFSET, 0050-09005, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, GAS LINE 3WAY F/F/M, 0050-75773, Used
expertsurplus
[view on eBay]
Used 2
in stock
$150.00
Description: AMAT, Gas Line Connector, 0050-21791, Used
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT, WELDMENT 1 VALVE MANF OFFSET NUPRO ULTIMA, 0050-26869, Used
expertsurplus
[view on eBay]
Used 5
in stock
$250.00
Description: AMAT, Weldment, 0050-41502, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Weldment, IHC Dump, Chamber D. Ultima, 0050-18717, Used
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AMAT, Gasline, 0050-00136, Used
expertsurplus
[view on eBay]
Used 15
in stock
$45.00
Description: AMAT, Tube Bend Coolings Tube LTBESC, 0021-08843, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Line, 1/4" Manifold PP Upstream Silicon Sih4/DCS, 0050-02283, Used
expertsurplus
[view on eBay]
Used 8
in stock
$150.00
Description: AMAT, Weldment, Ampule Adaptor, 0050-04940, Used
expertsurplus
[view on eBay]
Used 11
in stock
$250.00
Description: AMAT, Gas Line Tee Vacuum, Tantalum, 0050-07527, Used
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, Fast-Slow-Fast LL N2 Purge YWeldment Producer SE, 0050-53681, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Weldment, 0050-27989, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, N2 Vent Weldment, Loadlack Side, 0050-10029, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Weldment, 0050-42365, Used
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, Gas Line, 1/2" Line 2, Dual Vaporizer, 300MM Tanox, 0050-53948, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Line, Delivery O2 Analyzer Calibration, 0050-28617, Used
expertsurplus
[view on eBay]
Used 6
in stock
$250.00
Description: AMAT, WELDMENT MANIFOLD BLOCK BARO/SW WXZ, 0040-09945, Used
expertsurplus
[view on eBay]
Used 40
in stock
$75.00
Description: AMAT, WLDMT PURGE INTERCON RT ULTIMA HDP-CVD, 0050-18610, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Weldment, He Manifold, Tantalum, 0050-06923, Used
expertsurplus
[view on eBay]
Used 6
in stock
$350.00
Description: AMAT, Weldment, N2 Vacuum, 0050-40791, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Line, 900 Torr Overpressure Switch, RTP, 0050-08228, Used
techequipsales
[view on eBay]
Used 2
in stock
$3,000.00
Description: AMAT Applied Materials 0010-11491 001 Heater *used working, clean surface*
techequipsales
[view on eBay]
Used 2
in stock
$2,750.00
Description: AMAT Applied Materials 0010-11491 001 Heater *used working, small ding*
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT Applied Materials 0010-05254 0040-32148 Heater Assy *used working*
techequipsales
[view on eBay]
Used 3
in stock
$3,000.00
Description: AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ *used working*
techequipsales
[view on eBay]
Used 2
in stock
$2,750.00
Description: AMAT Applied Materials 0010-11491 002 Heater *used working, small ding*
techequipsales
[view on eBay]
Used 3
in stock
$3,000.00
Description: AMAT Applied Materials 0010-11491 002 Heater *used working, clean surface*
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, LINE MANIFOLD NF3 ICP, 0050-00742, Used
expertsurplus
[view on eBay]
Used 2
in stock
$150.00
Description: AMAT, Tubing Coolant Line, 0050-37815, Used, Lot of 2
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, GASLINE MIX BYPASS UPPER, 0050-75360, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, VNT LN 3/8" Adapter WBLLB, 0050-28365, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Weldment, Lower, Helium Feedthru w/Shield, 0050-08047, Used
expertsurplus
[view on eBay]
Used 2
in stock
$75.00
Description: AMAT, Gas Line Assy, 0227-33380, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, OBS Weldment, SOL Supply, 0050-04962, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line, Final Line, GPLIS, Prod Split Fra, 0050-43493, Used
expertsurplus
[view on eBay]
Used 1
in stock
$50.00
Description: AMAT, Gasket VCR 1/4 SST Contoure, 3320-01045, Used, Lot of 2
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, 0227-11428, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Pigtail, Bottle, SDS, 0040-99085, Used
expertsurplus
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT, Gas Line Weldment, Helium, 0050-30513, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Three Port Gas Line, 0050-25949, Used
expertsurplus
[view on eBay]
Used 4
in stock
$250.00
Description: AMAT, Weldment, CH C NF3 Lower, Rem. Clean, Giga-Fill SACVD, 0050-00088, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, 0050-25298, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, Gas Line Assy (Insulated) 0240-98127, Used
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, 0225-99413, Used
expertsurplus
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT, Tube, Bent Adaptor, Magnetron, RPN, 0050-43767, Used
expertsurplus
[view on eBay]
Used 30
in stock
$75.00
Description: AMAT, 0050-39399, Used
expertsurplus
[view on eBay]
Used 1
in stock
$75.00
Description: AMAT, Weldment, Gas Feed, 1.82L, RPSC, 0050-01082, Used
expertsurplus
[view on eBay]
Used 3
in stock
$250.00
Description: AMAT, Gas Line Weldment with Manual Valve, 0050-02389, Used
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 114-0301// NOVELLUS 02-387943-00 ASM, HDSIOC 2 HV INEXT PVD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,200.00
Description: 114-0301// NOVELLUS 02-279241-00 SESIOC 1 IXT PVD [USED]
spsglobal
[view on eBay]
Used 6
in stock
$360.00
Description: 322-0101// AMAT APPLIED 0150-36234 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 4
in stock
$180.00
Description: 322-0102// AMAT APPLIED 0190-35607 SWITCH, FLOW, WATER, 2.0 GPM, PROTEUS [USED]
spsglobal
[view on eBay]
Used 3
in stock
$310.00
Description: 322-0102// AMAT APPLIED 0190-35606 SWITCH, FLOW, WATER 1 GPM SET PROTEUS [USED]
shanghai*book
[view on eBay]
Used 3
in stock
$1,145.66
Description: 🔥1Pcs Used LFU20-Z07-3A-X2 AMAT 0190-33704 Via DHL or Fedex
spsglobal
[view on eBay]
Used 1
in stock
$510.00
Description: 134-0504// AMAT APPLIED 0020-25168 SUPPORT, OUTER MAGNET [USED]
spsglobal
[view on eBay]
Used 1
in stock
$450.00
Description: 134-0504// AMAT APPLIED 0020-25169 SUPPORT, INNER MAGNET [USED]
spsglobal
[view on eBay]
Used 1
in stock
$420.00
Description: 141-0401// AMAT APPLIED 0140-09744 HARNESS, HTR/TEMP SWITCH'S [USED]
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 343-0401// AMAT APPLIED 0020-31694 COVER HEATER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 419-0202// AMAT APPLIED 0190-09040 XFMR PWR 120V PRI 100V SEC 3A 50/60 HZ [USED]
spsglobal
[view on eBay]
Used 2
in stock
$360.00
Description: 347-0202// AMAT APPLIED 0040-76077 MANIFOLD TALL FACILITIES I/F CENTURA [USED]
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 350-0202// AMAT APPLIED 0040-00543 TUBE, MOUNTING [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 341-0301// AMAT APPLIED 0020-31732 COVER, PROTECTIVE SENSOR WAFER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 341-0301// AMAT APPLIED 0020-21043 COVER, SWITCH W/ CONNECTOR [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 344-0301// AMAT APPLIED 0020-21035 BRACKET SOURCE WATER LINE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$220.00
Description: 348-0202// AMAT APPLIED 0020-13337 PLATE BLANKOFF DEGAS/ORIENTER [USED]
dy-global
[view on eBay]
Used 2
in stock
$149.95
Description: Lam RESEARCH Used SYSTEM INTERLOCK 300mm 810-800031-300 REV.A SEM-I-51=9G21
spsglobal
[view on eBay]
Used 2
in stock
$20.00
Description: 343-0302// AMAT APPLIED 0020-31854 BLOCK, MOUNTING, HEAT SHIELD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$120.00
Description: 348-0403// AMAT APPLIED 0020-35213 COVER, CENTER FINDER BD [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$2,700.00
Description: AMAT 0190-01681 650 CALIBRATION REFERENCE, 3 CHANNEL H, USED
dy-global
[view on eBay]
Used 3
in stock
$169.95
Description: Lam RESEARCH Used FAB 710-099175-006 VIOP REV.B 810-099175-013 PCB-I-E-356=2M22
grandbirdnet
[view on eBay]
Used 4
in stock
$1,900.00
Description: AMAT 3870-04383 INJECTION VALVES, USED
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 349-0201// AMAT APPLIED 0010-70441 PVD HP LIFT [USED]
spsglobal
[view on eBay]
Used 4
in stock
$180.00
Description: 143-0302// AMAT APPLIED 0150-20149 ASSY,EMO/CHAMBER [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0190-13042 DUAL DNET POWER SUPPLY, USED
spsglobal
[view on eBay]
Used 2
in stock
$780.00
Description: 321-0303// AMAT APPLIED 0190-76252 CDN 294 TO A.M. SPEC. [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 143-0402// AMAT APPLIED 0140-20071 HARNESS ASSY. DC POWER GEN RACK [USED]
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 120-0401// AMAT APPLIED 0020-22920 SHIELD, 6" PRECLEAN [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0190-05351 SUBPAD PLATE, 0065 SEAL, 300MM CMP FIXED, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0021-27304 VER 001,USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,800.00
Description: AMAT 0190-23563 KENSINGTON ROBOT CONTROLLER 25-4021-0015-09, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$18,000.00
Description: NOVELLUS 02-302648-00 200MM PEO SOLA, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,900.00
Description: AMAT 0190-30233 LARGEHARD DRIVE SUPPORTED EyeD 4.32, USED
dy-global
[view on eBay]
Used 1
in stock
$269.90
Description: LAM Used VIOP PHASE III 810-099175-013 REV:B 714-000618-002 PCB-I-E-1562=6EX4
grandbirdnet
[view on eBay]
Used 4
in stock
$1,950.00
Description: AMAT 0010-77648 TITAN 2 UC MANIFOLD ASSEMBLY, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,950.00
Description: AMAT 0010-14716 MANIFOLD ASSY, RR 4 PORT UPA REFLEXION, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$1,950.00
Description: AMAT 0010-77650 ASSY, RR MANIFOLD, TITAN UPA, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,950.00
Description: AMAT 0010-77183 ASSY, RR MANIFOLD, TITAN UPA, USED
spsglobal
[view on eBay]
Used 10
in stock
$120.00
Description: 142-0501// AMAT APPLIED 0150-06686 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 9
in stock
$80.00
Description: 142-0501// AMAT APPLIED 0150-06674 CABLE ASSY, PHOTOHELIC SWITCH [USED]
spsglobal
[view on eBay]
Used 12
in stock
$100.00
Description: 142-0501// AMAT APPLIED 0150-06408 APPLIED MATRIALS COMPONENTS [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$1,950.00
Description: AMAT 0010-14718 MANIFOLD ASSY, ZONE 1/IT UPA 300MM, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$1,950.00
Description: AMAT 0010-77649 ASSY, IC MANIFOLD, TITAN UPA, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$1,950.00
Description: AMAT 0010-77647 TITAN 2 UC MANIFOLD ASSEMBLY, USED
equipplus
[view on eBay]
Used 1
in stock
$189.00
Description: Lam Research 810-802901-305 Rev C MB,Node 1,PM,Common Pcb Board,Used,Mal^7101
equipplus
[view on eBay]
Used 4
in stock
$149.00
Description: Lam Research 810-802902-006 Rev C Motherboard,Node 2,PM Pcb Board,Used,Mal*7100
equipplus
[view on eBay]
Used 23
in stock
$169.00
Description: LAM Reserch 772-801282-008 Rev A SY114-5MOU,SY100-DUI00226,Used,Jp^7115
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0190-07450 CARD CPCI 48 DIGITAL 48 I/O, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$200.00
Description: AMAT 0021-25864 BELT GUARD BOTTOM COVER, LK PLATEN, 300M, USED
spsglobal
[view on eBay]
Used 6
in stock
$60.00
Description: 142-0301// LAM CABLE 833-101410-043 [USED]
spsglobal
[view on eBay]
Used 5
in stock
$40.00
Description: 142-0301// LAM CABLE 833-101410-042 [USED]
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 177-0503// LAM GAS LINE 715-250176-003 [USED]
spsglobal
[view on eBay]
Used 3
in stock
$100.00
Description: 177-0503// LAM GAS LINE 715-250174-004 [USED]
spsglobal
[view on eBay]
Used 5
in stock
$100.00
Description: 177-0503// LAM GAS LINE 715-250173-003 [USED]
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 177-0503// LAM GAS LINE 715-250172-003 [USED]
grandbirdnet
[view on eBay]
Used 4
in stock
$600.00
Description: LAM RESEARCH 685-241652-004 SERVOPACK SGDV-7R6A11AY885AA, USED
spsglobal
[view on eBay]
Used 6
in stock
$100.00
Description: 143-0302// LAM CABLE 853-149424-010 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$180.00
Description: 343-0302// AMAT APPLIED 0020-26829 (CLEANED) CONNECTOR HEAT SHLD HTHU HTR USED
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 134-0503// AMAT APPLIED 0020-25226 (CLEANED) OBS: HOOP, HTHU 8 HEATER [USED]
spsglobal
[view on eBay]
Used 5
in stock
$100.00
Description: 143-0302// LAM CABLE 853-149424-019 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 346-0403// AMAT APPLIED 0020-26900 (CLEANED) HEAT SHIELD HTHU HEATER RIGHT USED
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 346-0403// AMAT APPLIED 0020-26899 (CLEANED) HEAT SHIELD HTHU HEATER LEFT [USED]
banyanteam
[view on eBay]
Used 1
in stock
$4,500.00
Description: Varian 00-671684-01 Used RF Match Box Novellus 0067168401 Setech 07027507
equipplus
[view on eBay]
Used 1
in stock
$1,099.00
Description: LAM Research 810-072907-052 Rev C VTM Breakout Pcb board,Used,US&7132
equipplus
[view on eBay]
Used 2
in stock
$799.00
Description: LAM Research 810-072687-523 Rev A Node1 interlock Control board,Used,US&7131
equipplus
[view on eBay]
Used 1
in stock
$79.00
Description: LAM Research 810-800031-300 Rev B System Interlock 300nm Board,Used,Mal^7135
spsglobal
[view on eBay]
Used 4
in stock
$900.00
Description: 151-0301 AMAT APPLIED 0010-76968 ASSY,OTF CENTER FINDER EMITTER,300MM [USED]
spsglobal
[view on eBay]
Used 5
in stock
$900.00
Description: 151-0301 AMAT APPLIED 0010-13967 ASSY, OTF CENTERFINDER RECEIVER, 300MM [USED]
spsglobal
[view on eBay]
Used 2
in stock
$40.00
Description: 143-0701// AMAT APPLIED 0140-20467 HARNESS ASSY, RF INTERLOCK [USED]
equipplus
[view on eBay]
Used 2
in stock
$569.00
Description: LAM Research 810-073479-205 Rev A JTS 13+4 3Zone GB Convector Board,Used,US^7140
pneumatplus10
[view on eBay]
Used 2
in stock
$199.00
Description: LAM Research 810-802902-036 Rev A Node 2 MB,PM,HE Board,Used,US^7157
pneumatplus10
[view on eBay]
Used 2
in stock
$199.00
Description: LAM Research 810-800082-306 Rev A VME Breakout Board,Used,US^7155
pneumatplus10
[view on eBay]
Used 2
in stock
$199.00
Description: LAM Research 810-802902-026 Rev D Node2 MB,PM,HE Board,Used,US^7153
equipplus
[view on eBay]
Used 1
in stock
$299.00
Description: LAM Research 719-277235-001 Rev A 1034520 1317,Used,US^7173
equipplus
[view on eBay]
Used 2
in stock
$299.00
Description: LAM Research 719-277691-001 Rev A 1034520 1317,Used,US^7172
expertsurplus
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT, 0050-18569, Gas Line Assy, Used
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 323-0402 AMAT APPLIED 0090-16018 SENSOR ASSY. LOADLOCK CASSETTE PRESENT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$90.00
Description: 323-0402 AMAT APPLIED 0090-40011 REFLEX SENSOR ASSY, LOAD LOCK [USED]
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 351-0303// AMAT APPLIED 0190-70091 TC ASSY. 3 PROBE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$600.00
Description: 124-0203// AMAT APPLIED 0021-15657 (CLEANED) SHUTTER DISC, MDP 300MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 119-0201// AMAT APPLIED 0021-22177 (CLEANED) COVER RING, ADV 101 300MM PVD USED
techequipsales
[view on eBay]
Used 1
in stock
$1,000.00
Description: LAM 715-037370-001 Assembly *used working
jane-netwrok
[view on eBay]
Used 4
in stock
$1,719.00
Description: 1pc used PM100RG1B120 (by Fedex or DHL)
banyanteam
[view on eBay]
Used 1
in stock
$1,250.00
Description: Novellus Type 15-120948-01 Used Chamber DFE Source Quartz UHV Cleaned Part STS
jane-netwrok
[view on eBay]
Used 1
in stock
$895.93
Description: 1pc used UE PV48W-15 LAM RESEARCH 768-091910-001 (by Fedex or DHL)
sdlindustrialclub
[view on eBay]
Used 1
in stock
$699.00
Description: 1 pcs Used Lam Research Power Module PN 853-015686-005
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 114-0401// AMAT APPLIED 0040-70158 STABILIZER LEG RIGHT [USED]
spsglobal
[view on eBay]
Used 2
in stock
$580.00
Description: 115-0401// AMAT APPLIED 0010-21665 ASSY, AC BOX, ASYST SMIF, W/B LL [USED]
spsglobal
[view on eBay]
Used 6
in stock
$10.00
Description: 352-0401// AMAT APPLIED 3500-01157 NUT HEX FULL 10-24 BRASS [USED]
jane-netwrok
[view on eBay]
Used 1
in stock
$819.99
Description: 1pc used FC-2902MEP5-T N2 5 SLPM AMAT 3030-06582 tylan 2900 (by Fedex or DHL)
grandbirdnet
[view on eBay]
Used 1
in stock
$5,000.00
Description: AMAT 0040-77085 LOWER PLATEN INCLUDING CHAMBER PLUG, USED
expertsurplus
[view on eBay]
Used 1
in stock
$325.00
Description: AMAT, 90 Degree Gas Line, 0227-96682, Used, Lot of 3
equipplus
[view on eBay]
Used 4
in stock
$449.00
Description: Lam Research 853-160649-006 Rev A,1043107,Used,US&7272
equipplus
[view on eBay]
Used 3
in stock
$569.00
Description: LAM Research 810-240888-002 Rev B PCBA,JTS ECAT GB CONN BD,Used,US&7276
plccenter
[view on eBay]
Used 2
in stock
$1,260.00
Description: ADVANCED ENERGY 2000000841 / 2000000841 (USED TESTED CLEANED)
maxisemi1349
[view on eBay]
Used 1
in stock
$550.00
Description: 0020-31376 FLANGE BASE , used "as is"
equipplus
[view on eBay]
Used 3
in stock
$129.00
Description: Lam Research 796-098943-004 Compact Vacuum Valve,Used,US&7290
equipplus
[view on eBay]
Used 1
in stock
$599.00
Description: Applied Materials 0041-01104 Rev04 SLIT Valve w Parker 0190-2475 R7,Used,SG_7293
spsglobal
[view on eBay]
Used 2
in stock
$5,000.00
Description: 167-0101// AMAT APPLIED 0190-26514 CONTROL COMPUTER, EYED, ROHS VERSION 3 [USED]
pneumatplus10
[view on eBay]
Used 9
in stock
$42.90
Description: LAM Research 27-303067-00 TLME310LLLLDDBB RevJ Watlow TLM-8,used,US^95741
equipplus
[view on eBay]
Used 6
in stock
$209.00
Description: Advanced Energy AE 3052634 Power Assy Module,MDX 5K,Used,US*7364
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 352-0103// AMAT APPLIED 3610-01057 PUL TMG BELT 18G .790 HUB DIA 1/4 BORE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 352-0103// AMAT APPLIED 3610-01031 PULLEY, TIMING BELT 3MM PITCH [USED]
plccenter
[view on eBay]
Used 1
in stock
$8,010.00
Description: ADVANCED ENERGY 3152363-026A / 3152363026A (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 1
in stock
$8,010.00
Description: ADVANCED ENERGY 3152353-136A / 3152353136A (USED TESTED CLEANED)
grandbirdnet
[view on eBay]
Used 3
in stock
$3,900.00
Description: AMAT 0010-23179 EMAX CHAMBER CONTROLLER RACK, USED
sdlindustrialclub
[view on eBay]
Used 1
in stock
$1,399.00
Description: 1 pcs Used Lam Research motherboard V7668A-132L00W02 605-064676-008 Defective
equipplus
[view on eBay]
Used 5
in stock
$289.00
Description: Advanced Energy AE 2060F MDK Control Board,5252264C,2302264E,MDX 5K,Used,US&7363
pneumatplus10
[view on eBay]
Used 4
in stock
$69.00
Description: Applied Material AMAT Swagelok 790-292191-001 Hose Assy,Used,UK&95817
spsglobal
[view on eBay]
Used 172
in stock
$30.00
Description: 126-0301// AMAT APPLIED 0680-01207 CB MAG THERM 1P 240VAC 15A BOLT-ON QOB [USED]
spsglobal
[view on eBay]
Used 29
in stock
$60.00
Description: 126-0401// AMAT APPLIED 0680-01211 CB MAG THERM 1P 240VAC 20A BOLT-ON QOB [USED]
spsglobal
[view on eBay]
Used 240
in stock
$30.00
Description: 126-0303// AMAT APPLIED 0680-01210 CB MAG THERM 1P 240VAC 20A BOLT-ON QOB [USED]
spsglobal
[view on eBay]
Used 4
in stock
$500.00
Description: 321-0401 AMAT APPLIED 0660-01823 DISK DRIVE HARD 4.5GB 3.5" SCSI [USED]
spsglobal
[view on eBay]
Used 2
in stock
$1,200.00
Description: 143-0302// AMAT APPLIED 0190-19764 FIBER OPTIC CABLE, LINEAR ARRAY TO BICON USED
storefarm
[view on eBay]
Used 1
in stock
$298.00
Description: [Used] NOVELLUS / 26-370720-00 / ESC, LF FILTER BOX, INEXT PVD
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 349-0401// AMAT APPLIED 0010-14862 ASSEMBLY, THROTTLE VALVE, 300MM DUAL FLA USED
equipplus
[view on eBay]
Used 1
in stock
$499.00
Description: LAM Research 810-800256-207 Rev E NODE Board Type 3,Used,US_7451
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-79008 BASE DRAIN , USED
eisale1535
[view on eBay]
Used 1
in stock
$4,815.00
Description: AMAT 0010-76306 BASE STD CATHODE USED in AMAT P5000 Etch
storefarm
[view on eBay]
Used 1
in stock
$1,490.00
Description: [Used] Applied Materials / 4610C, 0040-32477 / CONTROLLER, 300535-464-0001
techequipsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: LAM Research 715-102001-040 B ESC Electro Static Chuck *used working
txsemisales
[view on eBay]
Used 1
in stock
$196.00
Description: AMAT 0090-40014 SWITCH OVER TEMP ROOF TAP used
spsglobal
[view on eBay]
Used 1
in stock
$1,300.00
Description: 130-0701// AMAT APPLIED 0010-70058 (#1) STOR ELEV ASSY, 29 POSN USED
srctech
[view on eBay]
Used 1
in stock
$2,000.00
Description: AMAT ASSY 0100-09254 ENDPOINT SELECT BOARD_OEM USED
katiil3
[view on eBay]
Used 1
in stock
$149.00
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258 Used
katiil3
[view on eBay]
Used 1
in stock
$199.00
Description: Applied materials AMAT 0020-21028 Blade 8'' Transfer Frog Leg Used
katiil3
[view on eBay]
Used 1
in stock
$119.00
Description: STEC SEC-4500M Mass Flow Controller AMAT 3030-02330 10 SLM Used
katiil3
[view on eBay]
Used 2
in stock
$249.00
Description: Applied materials / AMAT 0100-20228 Encoder Interconnect Board ( Used good )
plccenter
[view on eBay]
Used 3
in stock
$2,190.00
Description: ADVANCED ENERGY 2256-005-C / 2256005C (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 2
in stock
$3,150.00
Description: ADVANCED ENERGY 3152256-003 / 3152256003 (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 4
in stock
$2,070.00
Description: ADVANCED ENERGY 3152256-014 / 3152256014 (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 2
in stock
$2,190.00
Description: ADVANCED ENERGY MDX-2.5K / MDX25K (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 6
in stock
$8,550.00
Description: ADVANCED ENERGY 3152353-120B / 3152353120B (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 1
in stock
$3,150.00
Description: ADVANCED ENERGY 3152256-209 / 3152256209 (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 2
in stock
$3,600.00
Description: ADVANCED ENERGY 3152256-002 / 3152256002 (USED TESTED CLEANED)
dy-global
[view on eBay]
Used 10
in stock
$1,399.90
Description: NIKON Used 4S008-164 MEAS REV.B PCB-I-E-1701=9M37
dy-global
[view on eBay]
Used 1
in stock
$1,599.90
Description: NIKON Used 4S009-058 MEAS-A REV.B PCB-I-E-1702=9G23
dy-global
[view on eBay]
Used 5
in stock
$799.90
Description: NIKON Used 4S008-179-D FPDIO, MTT-I/F 4S019-112 REV.A PCB-I-E-1707=9G23
dy-global
[view on eBay]
Used 4
in stock
$999.90
Description: NIKON Used 4S008-168-B X3AF-IO, MTT-I/F 4S019-112 REV.A PCB-I-E-1708=9G23
dy-global
[view on eBay]
Used 1
in stock
$1,199.90
Description: NIKON Used X7-PM-P2BP 4S019-520 REV.A 23000-051,23000-035 PCB-I-E-1725=9G23
dy-global
[view on eBay]
Used 1
in stock
$499.90
Description: NIKON Used 4S019-664 HSL-CMC-A REV.B ,429200-110AB X7MS-LMD PCB-I-E-1720=9G23
katiil3
[view on eBay]
Used 1
in stock
$399.00
Description: AMAT Applied Materials 0021-17722 Upper Shield Endura, Ring Used
txsemisales
[view on eBay]
Used 1
in stock
$20.00
Description: AMAT 0050-27331 WELDMENT, Used
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 344-0502// AMAT APPLIED 0090-00075 ASSY, 2 POS DOUBLE SOLENOID VA [USED]
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 343-0201// AMAT APPLIED 0020-22996 ACTIVATOR PIN ,6"ALL [USED]
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 343-0201// AMAT APPLIED 0020-22996 (#1) ACTIVATOR PIN ,6"ALL [USED]
spsglobal
[view on eBay]
Used 2
in stock
$190.00
Description: 343-0201// AMAT APPLIED 0020-13992 BLOCK NEXT CASSETTE 200MM WBLL CMF CENTU USED
spsglobal
[view on eBay]
Used 2
in stock
$250.00
Description: 341-0101// AMAT APPLIED 0020-20816 PLATE,CASSETTE 200MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 347-0202// AMAT APPLIED 0020-22885 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$390.00
Description: 347-0201// AMAT APPLIED 0020-25508 RECEIVER SPECIAL R 150MM CASS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 347-0201// AMAT APPLIED 0020-18375 BRACKET, BIAS MATCH SUPPORT, HDPCVD ULTI USED
spsglobal
[view on eBay]
Used 2
in stock
$400.00
Description: 346-0402// AMAT APPLIED 0020-20770 STAGE CASSETTE [USED]
spsglobal
[view on eBay]
Used 2
in stock
$290.00
Description: 346-0302// AMAT APPLIED 0020-24755 PLATE SP CASS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$9,000.00
Description: 355-0301// AMAT APPLIED 0010-02562 ASSY, SIP-CU, LP1.2 MAGNET [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,500.00
Description: 321-0501// AMAT APPLIED 0010-30065 ASSEMBLY, HOT FILTER, 356NM [USED]
pneumatplus10
[view on eBay]
Used 1
in stock
$99.00
Description: Lam Research 810-267159-001 Rev D PLXN17520680 Module Board,Used,USA^95938
spsglobal
[view on eBay]
Used 1
in stock
$6,000.00
Description: 319-0501 AMAT APPLIED 0190-19763 SPECTROGRAPH, HP OES, SD1024D, 24 VDC [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,900.00
Description: 317-0301// AMAT APPLIED 0020-35780 TUBE ROBOT 5200 [USED]
equipplus
[view on eBay]
Used 1
in stock
$299.00
Description: 6x Lam Research 790-213441-827 Rev C,no Motor,Used,US*7624
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 178-0301// AMAT APPLIED 0680-90155 CIRCUIT BREAKER 1P 2A REPLACES [USED]
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 178-0301// AMAT APPLIED 0680-90117 BREAKER [USED]
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 178-0301// AMAT APPLIED 0680-90076 CB 1P 6A DIN MTG [USED]
spsglobal
[view on eBay]
Used 2
in stock
$60.00
Description: 178-0301// AMAT APPLIED 0680-01813 CBSUPPL PROTECT CUR-LIMIT 2P 240VAC 5A D USED
spsglobal
[view on eBay]
Used 3
in stock
$30.00
Description: 178-0303// AMAT APPLIED 0680-01050 CIRCUIT BREAKER, 20A 1P [USED]
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 178-0303// AMAT APPLIED 0680-01231 CB THERM SGL POLE 3A PB50VDC 2 [USED]
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 178-0303// AMAT APPLIED 0680-90134 CB SP PUSH/PULL 0.5A SLOW [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 178-0401// AMAT APPLIED 0680-01252 CB MAG THERM 1P 120 VAC 30A QU [USED]
spsglobal
[view on eBay]
Used 3
in stock
$60.00
Description: 178-0401// AMAT APPLIED 0680-01323 CB MAG THERM 1P 120/240VAC 40A QUICKLAG USED
spsglobal
[view on eBay]
Used 1
in stock
$6,000.00
Description: 354-0401// AMAT APPLIED 0010-20222 MAGNET,REM 11.3 TIW [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 178-0402// AMAT APPLIED 0680-01401 CBMAG/HYD 2P 20A 250VAC 50/60H [USED]
spsglobal
[view on eBay]
Used 2
in stock
$120.00
Description: 178-0402// AMAT APPLIED 0680-01044 CIRCUIT BREAKER 2P 3A [USED]
spsglobal
[view on eBay]
Used 3
in stock
$30.00
Description: 178-0402// AMAT APPLIED 0680-01609 CB MAG/HYD 1P 250VAC 30A NORM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$60.00
Description: 344-0502// AMAT APPLIED 0090-20015 DEGAS LAMP OVERTEMP SWITCH ASSY [USED]
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 346-0302// AMAT APPLIED 0020-70343 BRACKET,MOTOR,ROTATION, ORIENTER, WAFER USED
spsglobal
[view on eBay]
Used 11
in stock
$10.00
Description: 351-0403 AMAT APPLIED 3870-02300 VALVE PNEU 3-WAY MULTIPLE PILOT [NEW]
spsglobal
[view on eBay]
Used 2
in stock
$10.00
Description: 351-0403// AMAT APPLIED 3870-01300 VALVE PNEU SPD CTL 1/4 NPT MTB OUT EL TY USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 351-0302// AMAT APPLIED 1290-01182 TERM BLK 2P 2DROW 22-16AWG 300V 15A 3/8 USED
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 351-0302// AMAT APPLIED 1290-01154 TERM BLK 3 TERM 22-14AWG [USED]
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 123-0302// AMAT APPLIED 0020-20340 DOOR TRANSFER SLIT VALVE [USED]
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-05346 Used Base, RF Heater AC 2, MCA, 102945
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-05347 Used RF Cover, Heater Box AC, 102944
katiil3
[view on eBay]
Used 1
in stock
$1,199.00
Description: Advanced Energy AE R27-368450-00 3155162-037 Navigator RF Match Used Working
pneumatplus10
[view on eBay]
Used 1
in stock
$229.00
Description: Lam Research 853-002499-011 887190 DC Prove,Used,USA^95969
katiil3
[view on eBay]
Used 1
in stock
$249.00
Description: AMAT Applied Materials Clamp Actuators 0020-24771 Used
usedeqsales
[view on eBay]
Used 4
in stock
$9,901.22
Description: Nikon SPA451B W X Linear Motor Controller 4S588-463 Used Working
equipplus
[view on eBay]
Used 22
in stock
$499.00
Description: LAM Research 810-800256-207 Rev E Node Board,Control Type 3,Used,US_7158
plccenter
[view on eBay]
Used 1
in stock
$7,770.00
Description: ADVANCED ENERGY 3152352-122C / 3152352122C (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 1
in stock
$8,237.00
Description: ADVANCED ENERGY 3152225-043A / 3152225043A (USED TESTED CLEANED)
equipplus
[view on eBay]
Used 1
in stock
$539.00
Description: LAM Research 810-073479-205 Rev A JTS,13+4,3Zone GB Connector Board,Used,US*7713
equipplus
[view on eBay]
Used 1
in stock
$239.00
Description: LAM Research 810-145150-001 Rev B DC Distributions Board,Used,US&7717
equipplus
[view on eBay]
Used 2
in stock
$199.00
Description: LAM Research 810-800082-311 Rev A VME Breakout Board,Used,US&7716
dy-global
[view on eBay]
Used 2
in stock
$799.90
Description: NIKON Used 4S008-179- FPDIO , MTT-I/F 4S019-112 REV.A PCB-I-E-1714=9G23
dy-global
[view on eBay]
Used 7
in stock
$1,499.90
Description: NIKON Used 4S019-523 X7LC-VEL PCB-I-E-1710=9M45
dy-global
[view on eBay]
Used 1
in stock
$499.90
Description: NIKON Used 4S025-305-FPDI04 MTT-I/F 4S019-112 REV.A cover bent PCB-I-E-1711=9G23
dy-global
[view on eBay]
Used 2
in stock
$1,999.90
Description: NIKON Used 4S008-298 X7MS-SUB D-NET-COM 4S008-175-B PCB-I-E-1858=9G34
dy-global
[view on eBay]
Used 3
in stock
$1,999.90
Description: NIKON Used 4S008-297 X7MS-ML D-NET-COM 4S008-175-B PCB-I-E-1859=9G34
dy-global
[view on eBay]
Used 1
in stock
$1,699.90
Description: NIKON Used 4S009-005 SPDIO-COM3 PCB-I-E-1712=9G23
dy-global
[view on eBay]
Used 1
in stock
$699.90
Description: NIKON Used 4S008-893/FPDIO-X , MTT-I/F 4S019-112 REV.A PCB-I-E-1713=9G23
dy-global
[view on eBay]
Used 1
in stock
$999.90
Description: NIKON Used 4S027-554 X7PL-ION2-S PCB-I-E-1904=9G35
dy-global
[view on eBay]
Used 1
in stock
$1,999.90
Description: NIKON Used H6AF-SRIO 4S026-746 PCB-I-E-1908=9G35
dy-global
[view on eBay]
Used 1
in stock
$1,999.90
Description: NIKON Used 4S019-529 X7PL-LINKB scratched PCB-I-E-1890=9G35
grandbirdnet
[view on eBay]
Used 2
in stock
$2,500.00
Description: AMAT 0140-77741 Harness Assy AO IO, Control Mainframe, 125FT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0150-77129 CBL ASSY DIGITAL D4 CNTRL-MNFRM 125', USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0150-77127 CBL ASSY DIGITAL D2, CNTRL-MNFRM 125', USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0150-77126 CBL ASSY DIGITAL D1, CNTRL-MNFRM 125', USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0150-77130 CBL ASSY DIGITAL D5 CNTRL-MNFRM 125', USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0150-77122 CBL ASSY PLATEN 3 MTR 125 FT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0150-77121 CBL ASSY PLATEN 2 MTR 125 FT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0150-77120 CBL PLATEN 1 MTR 125 FT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0150-77144 CBL ASSY, CAROUSEL ENCODER, UMBILICAL 12, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 0150-77145 CBL ASSY, PAD COND 1 ENCODER UMBIL 125', USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77249 CNTRL BKHD HD ROT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77265 CNTRL BKHD PAD COND 2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77264 CNTRL BKHD PAD COND 1, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77167 CBL ASSY, P23AP REV P1 KTC, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77150 CBL ASSY, P18AP REV P1 KTC, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77147 CBL ASSY, P48AS REV P1 KTC, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77246 CBL ASSY, MNFRM BKHD HD ROT1, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77247 CBL ASSY, MNFRM BKHD HD ROT2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77248 CBL ASSY HD ROTATION 3 PWR UMBIL. 125FT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77170 CBL ASSY, PAD COND 3 ENCDR, UMBILICAL 12, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT 0150-77155 CBL ASSY, SLURRY PUMP DRVR, CNTRLR-MNFRM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$100.00
Description: AMAT 0620-02364 CABLE ASSY DNET DROP 1.0METER 300V 80C W,USED
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 143-0302// AMAT APPLIED 0150-20160 CABLE ASSEMBLY EMO INTERCONNEC USED
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 176-0504// AMAT APPLIED 4020-01061 FLTR IN-LN GAS 6101PSIG 1/4-GSKT MLIN [USED]
spsglobal
[view on eBay]
Used 1
in stock
$40.00
Description: 143-0502// AMAT APPLIED 0150-09884 CABLE, ASSY LID INTERLOCK WXZ USED
farmoninc
[view on eBay]
Used 1
in stock
$250.00
Description: AMAT 0040-05347 Used RF Cover, Heater Box AC,103716
youngauctioneer
[view on eBay]
Used 6
in stock
$119.95
Description: USED CTI-Cryogenics 8112099G003 Cryopump Cable 20 FEET O3-3
youngauctioneer
[view on eBay]
Used 6
in stock
$79.95
Description: USED CTI-Cryogenics 8112463G050 Cryopump Cable 5 FEET O3-4
katiil3
[view on eBay]
Used 3
in stock
$2,499.00
Description: Trust C-2027-D01 2 Axis Vector Controller TA-2027 Novellus 63-370393-00 Used
katiil3
[view on eBay]
Used 1
in stock
$449.00
Description: AMAT Applied Materials 0090-91229 Cryo Pump Auto N2 Purge Module ( used )
katiil3
[view on eBay]
Used 1
in stock
$499.00
Description: AMAT 0021-03637 FACEPLATE SILANE, OEM USED, Producer 200mm
katiil3
[view on eBay]
Used 1
in stock
$499.00
Description: Applied Materials AMAT 0021-40239 REV 001 Used Ti Disk Shutter TWAS Plate
katiil3
[view on eBay]
Used 1
in stock
$1,199.00
Description: Advanced Energy AE R27-368450-00 3155162-037 Navigator RF Match Used
media-xpert
[view on eBay]
Used 1
in stock
$2,000.00
Description: Nikon 4S587-172 Switch Box TC-SW NSR-S306C DUV Scanning System Used Working
txsemisales
[view on eBay]
Used 1
in stock
$64.00
Description: 141-0701// AMAT APPLIED 0150-09063 ASSYCABLE, CHAMBER ATMOSPHERE SW CVD USED
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 142-0301// AMAT APPLIED 0140-20219 HARNESS ASSY, MAG LAMP CURRENT SENSE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 129-0401// AMAT APPLIED 0100-11002 (#2) PWB DIGITAL I/O [USED]
spsglobal
[view on eBay]
Used 3
in stock
$500.00
Description: 129-0401// AMAT APPLIED 0100-11002 (#1) PWB DIGITAL I/O [USED]
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 323-0402// AMAT APPLIED 0040-00457 CABLE FIBRE OPTIC 6 FOOT [USED]
katiil3
[view on eBay]
Used 2
in stock
$1,999.00
Description: Nikon 4S018-714-1 Interface PCB Card STIFMEMX4A 4S018-771 Used Working
tamoldelectronic
[view on eBay]
Used 2
in stock
$950.00
Description: Lam Research 810-102361-216 Chamber Mux Board PCB 855-102361- Used
tamoldelectronic
[view on eBay]
Used 1
in stock
$345.00
Description: LAM RESEARCH 853-203016T173 BOARD Used 1023220 810-028295-173
tamoldelectronic
[view on eBay]
Used 2
in stock
$1,750.00
Description: 1 Pc Lam Research 61-443625-00 Vector Extreme HDSIOC 0 C3 UV-CURE Rev. A Used
tamoldelectronic
[view on eBay]
Used 1
in stock
$499.00
Description: Novellus Systems 26-166313-00 Interface Gamma 03-166313-00 Used
katiil3
[view on eBay]
Used 1
in stock
$249.00
Description: LAM Research 03-108170-00 Cable Assy C3, PMP, CNTRL Used
equipplus
[view on eBay]
Used 1
in stock
$1,399.00
Description: LAM Research 16-435080-00 Rev D Shower Head,1034325-0321-0031,Used,US&7813
equipplus
[view on eBay]
Used 12
in stock
$79.00
Description: LAM Research 715-199848-009 Rev B,Used,US&7815
zoro
[view on eBay]
Used 1
in stock
$482.30
Description: AMAT Applied Materials 0100-91072 Source Magnet Control PCB Card 0120-93578 Used
best-merchant
[view on eBay]
Used 1
in stock
$1,500.00
Description: AE Advanced Energy 2321-001-A USED
equipplus
[view on eBay]
Used 1
in stock
$399.00
Description: Lot of 9 LAM Research 839-338159-001 Rev B Manifold 100257 1921,used,US&7821
katiil3
[view on eBay]
Used 1
in stock
$1,799.00
Description: Nikon 4S018-714-1 Interface PCB Card STIFMEMX4A 4S018-771 Used
farmoninc
[view on eBay]
Used 1
in stock
$390.00
Description: NIKON 4S007-243 Used PCB, R. TABLE, PEMVACSNS, 105074
spsglobal
[view on eBay]
Used 6
in stock
$20.00
Description: 344-0403// AMAT APPLIED 3690-01624 *SCREW CPTV HEX HD 4-40 [USED]
spsglobal
[view on eBay]
Used 2
in stock
$200.00
Description: 346-0302// AMAT APPLIED 0020-10758 HOUSING, TC AMP [USED]
myplcmall
[view on eBay]
Used 5
in stock
$1,146.85
Description: 1PCS AP1315SM 2PW TW8 TW8 AMAT 0051-73969 USED
spsglobal
[view on eBay]
Used 2
in stock
$210.00
Description: 143-0502// AMAT APPLIED 0140-70037 HARNESS HEAT EXCHANGER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$160.00
Description: 143-0502// AMAT APPLIED 0140-09047 HARNESS HEAT EXCHANGER INTERFACE [USED]
equipplus
[view on eBay]
Used 6
in stock
$139.00
Description: LAM Research 796-199414-002 Rev B Valve,1039980,Used,US&7837
equipplus
[view on eBay]
Used 1
in stock
$429.00
Description: LAM Research 853-160649-006 Rev A 1043107 20-41-0004 W0183494,Used,US&7836
cosplity
[view on eBay]
Used 1
in stock
$60.00
Description: AMAT 0021-77647 DDF3 IC4 NUT, DDF3 HEAD, D1 PAD CONDITIO (USED)
cosplity
[view on eBay]
Used 1
in stock
$3,900.00
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030 S/N 1819 , USED AS IS
cosplity
[view on eBay]
Used 1
in stock
$4,500.00
Description: 1040-01153 METER PRESS 0-30 PSI FLUKE RPM3 G0030 S/N 2334 , USED AS IS
dy-global
[view on eBay]
Used 4
in stock
$499.90
Description: NIKON Power supply module Used 4S065-585 SEM-I-1046=9B32
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 317-0301// AMAT APPLIED 0041-12706 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 340-0202 AMAT APPLIED 0040-54160 (#1) APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 4
in stock
$150.00
Description: 350-0102// AMAT APPLIED 0205-01874 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$150.00
Description: 342-0402// AMAT APPLIED 0020-26851 (#3) BLOCK,WATER INPUT/OUTPUT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$150.00
Description: 341-0202// AMAT APPLIED 0020-63686 HARD STOP BRACKET, LCF, PRODUCER SE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 350-0301// AMAT APPLIED 0021-61444 APPLIED MATRIALS COMPONENTS [USED]
storefarm
[view on eBay]
Used 1
in stock
$998.00
Description: [Used] AMAT HP / 0010-00183 / EC-732 MOTOR
equipplus
[view on eBay]
Used 1
in stock
$119.00
Description: Lam Research 771-211462-114 Rev C Flow Controller,1002600,Used,US*7873
dy-global
[view on eBay]
Used 4
in stock
$399.90
Description: NIKON Used 4S019-789 , X7PL-INLIF PCB-I-E-2046=9G14
spsglobal
[view on eBay]
Used 4
in stock
$2,000.00
Description: 303-0201// AMAT APPLIED 0190-76273 DRIVER, PVD LAMP/HEATER DRIVER [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0010-76097 Stand Alone VGA Monitor Base, USED
plccenter
[view on eBay]
Used 1
in stock
$420.00
Description: LAM RESEARCH 518-025348-002 / 518025348002 (USED TESTED CLEANED)
spsglobal
[view on eBay]
Used 1
in stock
$6,000.00
Description: 329-0501// AMAT APPLIED 0010-08322 ASSEMBLY, LOCAL MATCH, TOP, 300MM [USED}
spsglobal
[view on eBay]
Used 1
in stock
$500.00
Description: 143-0301// AMAT APPLIED 0140-09065 HARNESS, ETCH [USED]
liquiditech
[view on eBay]
Used 1
in stock
$2,150.00
Description: applied materials 0041-48723 rev 02 showerhead used
storefarm
[view on eBay]
Used 1
in stock
$498.00
Description: [Used] NOVELLUS / 26-271818-00 / DETECTION, ARC, IXT PVD, Rev.A, 02-344889-00
spsglobal
[view on eBay]
Used 1
in stock
$50.00
Description: 351-0403// AMAT APPLIED 3870-01035 VALVE POPPET 3 WAY NC 0-30PSI [USED]
myplcmall
[view on eBay]
Used 5
in stock
$1,408.89
Description: 1PCS MKS 872B-29942 AMAT 1350-00734 USED
myplcmall
[view on eBay]
Used 5
in stock
$1,396.48
Description: 1PCS AMAT 0090-76031 0020-75733 USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: NIKON 4T081-656 NSR THERMO-CON SMC INR-244-722B-1 , USED
expertsurplus
[view on eBay]
Used 2
in stock
$250.00
Description: AE Advanced Energy, 3150302-000, Controller, Used
usedeqsales
[view on eBay]
Used 1
in stock
$1,203.19
Description: Nikon 4S013-467 Interface Board PCB CSTLNK3 NSR-S307E DUV Scanning System Used
plccenter
[view on eBay]
Used 6
in stock
$240.00
Description: APPLIED MATERIALS 0100-00002 / 010000002 (USED TESTED CLEANED)
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: AMAT 0190-66024 ASSY W/ 0050-71656 0190-11452 , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,200.00
Description: AMAT 0190-11452 ASSY W/ 0050-71656 0190-66024 , USED
katiil3
[view on eBay]
Used 1
in stock
$1,599.00
Description: Lam Research 61-443625-00 Vector Extreme HDSIOC 0 C3 UV-CURE Rev. A Used
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.18
Description: Nikon 4S013-500 Processor Interface Board PCB BLIFX4B1 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$8,010.17
Description: Nikon 4S013-487 Interface Control Board PCB IFIOPIF3 Used Working
equipplus
[view on eBay]
Used 1
in stock
$499.00
Description: LAM Research 839-275640-002 Rev A Robot Arm Assy,1034160,Used,US*7984
equipplus
[view on eBay]
Used 1
in stock
$499.00
Description: LAM Research 839-275227-002 Rev A Robot Arm Assy,1034160,Used,US*7985
equipplus
[view on eBay]
Used 2
in stock
$289.00
Description: LAM Reaearch Novellus 16-377105-00 Rev A,1616 1001656,Used,US*7987
storefarm
[view on eBay]
Used 1
in stock
$4,500.00
Description: [Used] APPLIED MATERIALS, AMAT / 0040-39662 REV 003, 30056500-153-001 / RF MATCH
storefarm
[view on eBay]
Used 7
in stock
$2,700.00
Description: [Used] AE, Advanced Energy / PE-1000, 3157501-000D / AC PLASMA POWER SOURCE
storefarm
[view on eBay]
Used 1
in stock
$3,498.00
Description: [Used] AE, Advanced Energy / 0190-19023-001 / RF MATCH
storefarm
[view on eBay]
Used 1
in stock
$4,998.00
Description: [Used] AE, Advanced Energy / AZX-90, 3155031-004 / RF MATCH, ANAT AME 8300
grandbirdnet
[view on eBay]
Used 1
in stock
$3,000.00
Description: NOVELLUS 02-321159-00 FE-HD1 MACH HNDLR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$8,500.00
Description: NIKON 4T072-738 CONTROL ASSY NSR, USED
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 177-0103// AMAT APPLIED 0021-13741 PLENUM WATER, UPPER CHAMBER, 300MM [USED]
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 177-0403// AMAT APPLIED 0050-87467 0190-22850 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 177-0402// AMAT APPLIED 0050-46295 (#1) 0050-46755 0050-46756 0050-83995 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 177-0403// AMAT APPLIED 0050-88510 0190-22851 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 177-0404// AMAT APPLIED 0050-88507 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 177-0404// AMAT APPLIED 0050-88506 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 177-0501// AMAT APPLIED 0050-43066 WELDMENT, IHC LINE-1 TO HE AR ETCH [USED]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 177-0404// AMAT APPLIED 0050-88508 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 3
in stock
$300.00
Description: 318-0103// AMAT APPLIED 0040-61257 0021-18996 BRACKET, CAP DPS II, 300MM [USED]
spsglobal
[view on eBay]
Used 3
in stock
$200.00
Description: 318-0103// AMAT APPLIED 0020-63713 APPLIED MATRIALS 0020-63714 0020-19150 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 351-0501// AMAT APPLIED 0190-23498 TS HEATED VALVE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 319-0302 AMAT APPLIED 1400-00433 NTLR MODULE, LIQUID LEAK DETECTION, [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 354-0501// AMAT APPLIED 0040-88434 (#1) GS22-200-ACR-225 APPLIED MATRIALS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 354-0501// AMAT APPLIED 0040-88433 (#1) GS22-200-ACR-225 APPLIED MATRIALS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$790.00
Description: 354-0501// AMAT APPLIED 0040-47311 HINGE BASE ASSY, BOLTED INDUCTIVE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$10,000.00
Description: 104-0201// AMAT APPLIED 0010-19899 ASSEMBLY WAIST, FIXED WING UPPER [USED]
spsglobal
[view on eBay]
Used 2
in stock
$30.00
Description: 350-0203// AMAT APPLIED 0020-29960 COVER INSULATOR,COMER VAC CAP [USED]
spsglobal
[view on eBay]
Used 1
in stock
$30.00
Description: 141-0502// AMAT APPLIED 0150-35073 CABLE ASSY, CENTER FINDER INTERCONNECT [USED]
spsglobal
[view on eBay]
Used 3
in stock
$1,500.00
Description: 149-0401// AMAT APPLIED 0150-20166 CABLE ASSY, TURBO PUMP TO CONTROLLER 75F USED
spsglobal
[view on eBay]
Used 2
in stock
$500.00
Description: 322-0103// AMAT APPLIED 1080-01204 MOTOR STEPPING 4.6A 1/4SHFT FLT 1.8DEG [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 323-0402 AMAT APPLIED 0090-04065 SENSOR ASSY, LIQUID LEAK DETECTION, [USED]
dgold32
[view on eBay]
Used 1
in stock
$249.99
Description: 321-0303// AMAT APPLIED 0190-76252 CDN 294 TO A.M. SPEC. [USED] 30 Day Warranty
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 000-0000// AMAT APPLIED 0242-49487 APPLIED MATRIALS COMPONENTS [USED]
pneumatplus10
[view on eBay]
Used 2
in stock
$799.00
Description: Lam Research 853-083795-021 Rev B,SMC LLA5B-03-T19-X3,1001656,Used,US&96149
storefarm
[view on eBay]
Used 1
in stock
$4,498.00
Description: [Used] AE Advanced Energy / 3157601-100 M / PEII 5K PLASMA POWER GENERATORS
equipplus
[view on eBay]
Used 1
in stock
$79.00
Description: Applied Materials 0050-61479 Rev B,301423-272,153611 M60,AMAT,used,US&8037
pneumatplus10
[view on eBay]
Used 1
in stock
$329.00
Description: Lam Research P/N. 61-192466-00, SMC VV100-DUMO0060 Manifold,Used,&96158
equipplus
[view on eBay]
Used 1
in stock
$199.00
Description: LAM Research 810-143453-002 Rev B Interlock Control Board,Used,US&8053
surplusautomationequipment
[view on eBay]
Used 2
in stock
$315.99
Description: Advanced Energy 2300676-B IGBT Gate Driver Board USED
equipplus
[view on eBay]
Used 1
in stock
$169.00
Description: LAM Research 810-800082-311 Rev A VME Breakout Board,Used,US_7156
grandbirdnet
[view on eBay]
Used 2
in stock
$4,500.00
Description: AMAT 0190-00312 MAGMOTOR CONTROLLER BOX 200MM 71-100-1856 , USED
equipplus
[view on eBay]
Used 6
in stock
$429.00
Description: Lam Research 810-069751-201 Rev B Node Board Type 27,Used,US*8072
equipplus
[view on eBay]
Used 1
in stock
$379.00
Description: Lam Research 810-800256-207 Rev B Node Board Board,Controller,Type3,Used,US&8074
spsglobal
[view on eBay]
Used 6
in stock
$2,600.00
Description: 129-0102// AMAT APPLIED 0100-02134 (#1) PCB ASSEMBLY, GAS PANEL [USED]
equipplus
[view on eBay]
Used 7
in stock
$699.00
Description: Lam Research 810-031325-003 Rev B 16 IGS Motherboard,DGF,Used,US&8107
equipplus
[view on eBay]
Used 10
in stock
$749.00
Description: Lam Research 810-031325-002 Rev B 16 IGS Motherboard,DGF,Used,US&8106
equipplus
[view on eBay]
Used 2
in stock
$599.00
Description: Lam Research 810-031325-002 Rev A 16 IGS Motherboard,DGF BD,Used,US*8112
equipplus
[view on eBay]
Used 3
in stock
$529.00
Description: Lam Research 810-031325-003 Rev A 16 IGS Motherboard,DGF BD,Used,US_8111
equipplus
[view on eBay]
Used 8
in stock
$79.00
Description: Lam Research 810-001489-003 Rev D Dual Valve Control Board,Used,US*8114
equipplus
[view on eBay]
Used 4
in stock
$89.00
Description: Lam Research 810-001489-002 Rev C Dual Valve Control Board,Used,US&8115
grandbirdnet
[view on eBay]
Used 2
in stock
$9,500.00
Description: AMAT 0040-76151 CHAMBER LINER CT, USED CLEANED
grandbirdnet
[view on eBay]
Used 4
in stock
$900.00
Description: AMAT 0040-95475 HIGH DEP RATE FACEPLATE TEOS, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$900.00
Description: AMAT 0020-84596 FACEPLATE, 300MM SILANE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0040-88654 CLEAR LID 300MM COMMON, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,000.00
Description: AMAT 0010-29882 GATE VALVE ENDURA2 300MM SST CBM, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$9,500.00
Description: AMAT 0041-05536 CHAMBER LINER CT, USED CLEANED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,900.00
Description: AMAT 0040-63694 REFLECTOR PLATE PROBE INJECT 300MM RADIA, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: NOVELLUS 15-129181-00 SEAL PL, PED TEST, 300HDP, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$1,300.00
Description: LAM RESEARCH 716-003686-188 INSERT FOCUS RING, USED CLEANED
grandbirdnet
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0021-14589 BLOCKER PLATE 300MM XGEN, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,200.00
Description: AMAT 0021-14590 300MM FACE H016 PLATE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0020-34111 PLATE,LEVELING,HEATER,DXZ, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: LAM RESEARCH 715-049079-001 TOP LINER 2300 MW STRPR, USED CLEANED
grandbirdnet
[view on eBay]
Used 2
in stock
$700.00
Description: LAM RESEARCH 715-026588-510 BAFFLE PLATE, USED CLEANED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0100-01714 Heater Control Controller Spill W/ WATLOW CONTROLLER,USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0090-00836 MOTOR ASSY., ROTATION, 300MM, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$650.00
Description: AMAT 0190-09209 JUNCTION BOX, RETRO REPLACE, PRODUCER SE, USED
albsemicon
[view on eBay]
Used 1
in stock
$299.99
Description: AMAT 1270-01475 Flow Switch N4B-6WD-05-L Lake Monitors, Great used item
grandbirdnet
[view on eBay]
Used 1
in stock
$2,000.00
Description: ADVANCED ENERGY AS71C Aera Source Unit, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,000.00
Description: ADVANCED ENERGY AS71C Aera Source Unit SI(OC2H5)4 1 SCCM, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$1,600.00
Description: LAM RESEARCH 716-800952-001 BASE FOCUS RING, USED CLEANED
grandbirdnet
[view on eBay]
Used 3
in stock
$5,500.00
Description: AMAT 0010-04542 200MM HEATER ASSY WXZ, USED
danielyin-2012
[view on eBay]
Used 1
in stock
$229.00
Description: 1PCS USED Lam Research 685-042168-004
txsemisales
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0190-15030 / ROBOT 1 SIGNAL CONTROL CABLE FOR KAWASAKI A3 ROBOT Used
grandbirdnet
[view on eBay]
Used 3
in stock
$400.00
Description: AMAT 0021-35946 SST RING, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0190-28962 IFC-125C NH3 700SCCM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 3030-07515 10Ra FC-D980C, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 3030-11267 UFC-8565 He 15SLM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 3030-12193 UFC-8565C O2 100SCCM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 3030-12206 UFC-8565C CHF3 200SCCM, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$600.00
Description: AMAT 0190-14220 DRIVE SGDF-A2CPY503, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$8,000.00
Description: AMAT 0190-15489 BOARD 80GB WD8900BEVE-00A0HTO, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 3030-11041 SFC-7340M MASS FLOW CONTROLLER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0190-16332 UFC-8565C Ar 10000sccm, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0190-16333 UFC-8565C, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,200.00
Description: AMAT 0195-14816 DUAL AXIS SERVO DRIVE ACCELNET 800-1931 , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0190-43437 SERVO DRIVE Accelnet 800-1773A, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0500-00065 PM DRIVER ASSY W/ 0100-00537 , USED
cosplity
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0090-00442 ELECT ASSY, 200W SERVO MOTOR W/BRAKE , USED AS IS
grandbirdnet
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0500-01076 CNTRL ASSY VACUUM GAUGE 300MM DNET 352001, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$600.00
Description: AMAT 0190-31257 Accelnet 800-1514A, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 1080-00143 AC SERVO MOTOR SGMPH-01AAE-YA11, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 0090-06299 GE INTELLIGENT PLATFORMS VMICPCI-7326, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: LAM RESEARCH 716-082039-001 INSULATION RING, USED
brauning_finds
[view on eBay]
Used 1
in stock
$725.00
Description: Nikon 4S018-144 Interface Board PCB C30-I/F NSR-S204B Step-and-Repeat Used
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0150-15265 RKC FSFD572-5.2M/C1126, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0150-15219 RKC FSFD572-5.2M/C1126, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0150-15266 RKC FSFD572-5.2M/C1126, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0010-70774 ASSY, PUMPING PLATE, TXZ CH, 200MM, USED
pneumatplus10
[view on eBay]
Used 4
in stock
$220.00
Description: Lam Research Assy 810-802799-010 Rev A LON-RS485/RS232 Board,Used,USA&96224
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0190-08875 MESA POWER SYSTEMS, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0190-16526 MESA POWER SYSTEMS , USED
pneumatplus10
[view on eBay]
Used 1
in stock
$220.00
Description: Lam Research Assy 810-802799-010 Rev B LON-RS485/RS232 Board,Used,USA&96225
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0021-26274 SCREEN FLAT POLY ETCH 300MM DPS II, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: LAM RESEARCH 880-12536-101 BOARD SYS68K/SASI-1 810-017035-001, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: LAM RESEARCH 880-12537-101 BOARD SYS68K/CPU-6VB 810-017034-300, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: NOVELLUS 02-169207-00 ASSY, PC, GAMMA 2130 79-257795-00, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$2,900.00
Description: NOVELLUS 02-264309-00 Heater Pedestal Assembly, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,900.00
Description: NOVELLUS 02-264308-00 Heater Pedestal Assembly, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,900.00
Description: NOVELLUS 02-255996-00 Heater Pedestal Assembly, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0190-51414 AC SERVO DRIVER SGDV-OCA05AY890AA, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$450.00
Description: AMAT 0090-00961 CABLE ASSY PRESS TRANSDUCER -14.7 - 15, USED
dy-global
[view on eBay]
Used 6
in stock
$149.90
Description: Lam Research Used PCB ASSY SYSTEM INTERLOCK VIOP, 810-800031-345 rev.B SEM-I-108
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0040-77493 COVER, SLURRY SYSTEM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0040-80199 Bracket, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0020-17188 W/ 0040-45850, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0020-79342 BRACKET, BELT COVER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0021-77478 BRACKET, FLOW SWITCH, HCLU RINSE LOT OF 2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0020-75428 BRACKET, 2 PHASE DRIVER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0040-77310 PANEL, SIDE, UPPER, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$3,500.00
Description: AMAT 0020-78092 PANEL, RIGHT 75 ROBOT, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0020-78078 PANEL,FRONT UPPER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0020-78078 PANEL,FRONT UPPER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0040-45123 PANEL UPPER W/ KNOCKOUT KEYSW&VERT/POLE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0040-77349 BACK PANEL,112FRAME, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0021-77574 PANEL,FRONT LOWER 75 NOVA INTEGRATAION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0020-79122 P2 APW2400 100011167, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0040-77530 PANEL,LEFT,ROBOT W/LOWER EXHAUST W. NOVA, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0040-77542 PANEL, LOWER LONG, PAD PULLER OPTION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0021-77281 PANEL, LOWER LONG, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0020-78078 PANEL,FRONT UPPER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0021-77281 PANEL, LOWER LONG, USED
ron6834
[view on eBay]
Used 1
in stock
$235.51
Description: 1pc used 685-042168-004 Lam Research Controller
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0040-77575 P2 CORTE 0700, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 999ZZZ-0610 W / 0021-12682 BRACKET, TOP, RIGHT SKIN, HVM 300MM, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$500.00
Description: AMAT 0040-77979 PANEL,SIDE CENTER,HINGED NON-NOVA, USED
grandbirdnet
[view on eBay]
Used 7
in stock
$100.00
Description: AMAT 0020-75117 MEMBRANE 200MM TITAN CENTER BUMP HEAD, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0040-77170 DRIVEN PULLEY, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$800.00
Description: AMAT 0040-77531 PANEL LOWER FRONT NOVA INTEGRATION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0040-77315 P1 CORTE 0899, USED
equipplus
[view on eBay]
Used 1
in stock
$1,999.00
Description: Lam Research 715-146929-106 Rev D,1003306-20-20-0056,used,US&8187
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 316-0403 AMAT APPLIED 0021-22485 BLADE, 8 BUFFER HP+, HTHU, RCF COMPATIB [USED]
equipplus
[view on eBay]
Used 1
in stock
$329.00
Description: Lam Research 765-137010-113 Plunger,A-2675564,9800X-AX99-AAA/8029,used,US*8191
pneumatplus10
[view on eBay]
Used 1
in stock
$1,199.00
Description: Lam Research 715-065654-115 Rev B,1002245-20-14-0015,Used,US_96245
pneumatplus10
[view on eBay]
Used 1
in stock
$1,390.00
Description: Lam Research 796-901160-217 Rev B Pendulum Valve Assy,Used,US&96246
equipplus
[view on eBay]
Used 3
in stock
$219.00
Description: Lam Research 605-802799-009 Rev A Lon-RS485/RS232 Board,used,US*8199,8252
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: LAM RESEARCH 660-063437-004 AE APEX 2013 RF GENERATOR 3156113-026, USED
refinders
[view on eBay]
Used 2
in stock
$149.00
Description: Used Applied Materials (AMAT) 0020-10157 Solid Brass Water Manifold 3/8" 1/4"x4
liufen-20162
[view on eBay]
Used 1
in stock
$537.30
Description: 1pc Used APPLIED MATERIALS Industrial board card 0100-09225
spsglobal
[view on eBay]
Used 1
in stock
$1,000.00
Description: 167-0301 AMAT 0242-33587 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 132-0501 ADVANCED 3155126-020 0190-23905 AMAT 1013 RF MATCH NAVIGATOR [USED]
spsglobal
[view on eBay]
Used 1
in stock
$2,000.00
Description: 175-0201 AMAT 0190-25278 CH AC DIST BOX DUAL HTR, ADVANTEDGE TSUBASA0 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$20,000.00
Description: 175-0201 AMAT 0190-26514 0190-19763 0190-28658 0190-29889 0190-19764 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 406-0401 AMAT APPLIED 0190-26495 ENG SPECIFICATION TEMP CONTROLLER 6 CH [USED]
dy-global
[view on eBay]
Used 1
in stock
$999.90
Description: LAM RESEARCH Used ASSY 810-800256-207 REV.E SEM-I-1095=9G21
pneumatplus10
[view on eBay]
Used 1
in stock
$188.00
Description: Lam Research 853-333580-005 Rev A Assy INTLK Master,Strata HX,Used,USA&96271
ylzone
[view on eBay]
Used 2
in stock
$1,545.39
Description: 1PCS USED - MKS 872B-29942 AMAT 1350-00734
hyin-2012
[view on eBay]
Used 1
in stock
$278.00
Description: 1Pcs Used 685-042168-004 Lam Research Controller
spsglobal
[view on eBay]
Used 2
in stock
$7,900.00
Description: 324-0301 AMAT APPLIED 0090-08137 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$1,840.00
Description: 129-0101 AMAT APPLIED 0100-00003 w PWB VME STEP CONT. I [USED]
spsglobal
[view on eBay]
Used 1
in stock
$14,000.00
Description: 305-0101 AMAT APPLIED 0010-30012 (#1)MAGNETIC DRIVE ASSY, MC ROBOT P5000 [USED]
spsglobal
[view on eBay]
Used 1
in stock
$5,000.00
Description: 304-0201 AMAT APPLIED 0010-47964 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 129-0203 AMAT APPLIED 0100-09126 wPCB ASY, REMOTE WIRING [USED]
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 129-0102 AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION 30 [USED]
spsglobal
[view on eBay]
Used 3
in stock
$1,500.00
Description: 321-0303 AMAT APPLIED 0090-35731 ASSY, STPR MTR DRIVER, ASP+ ENHANCED LIF [USED]
spsglobal
[view on eBay]
Used 1
in stock
$250.00
Description: 130-0102 AMAT APPLIED 0100-20078 PCBA LASER DRIVER SEE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 326-0301 AMAT APPLIED 0090-36235 ASSY,CABLE,BOTTOM MODULE,DXZ+,OPTIMA & C [USED]
spsglobal
[view on eBay]
Used 2
in stock
$15,000.00
Description: 114-0201 ADVANCED ENERGY 3156024-030E PDX 900-2V GENERATOR [USED]
equipplus
[view on eBay]
Used 1
in stock
$239.00
Description: Lam Research 810-802799-009 Rev B LON-RS485/RS232 Board,used,US&8253
spsglobal
[view on eBay]
Used 1
in stock
$80.00
Description: 343-0401 AMAT APPLIED 0021-10855 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$100.00
Description: 142-0501 AMAT APPLIED 0150-15254 APPLIED MATRIALS COMPONENTS [USED]
equipplus
[view on eBay]
Used 2
in stock
$549.00
Description: Lam Research 605-031325-002 Rev A 16IGS MotherBoard,DGF,Used,US_8261
dy-global
[view on eBay]
Used 4
in stock
$2,999.90
Description: NIKON Used HG-LAMP POWER SUPPLY UNIT 4S065-556 BDE-1272N-PWR SEM-I-1121=P1-1
dy-global
[view on eBay]
Used 1
in stock
$2,999.90
Description: ORC NIKON Used 4S065-556 BDE-1272N-PWR Hg-Lamp power supply unit SEM-I-1124
dy-global
[view on eBay]
Used 1
in stock
$2,999.90
Description: ORC NIKON Used 4S065-556 BDE-1272N-PWR Hg-Lamp power supply unit SEM-I-1123
dy-global
[view on eBay]
Used 1
in stock
$2,999.90
Description: NIKON Used 4S065-556 HG-LAMP POWER SUPPLY UNIT BDE-1272N-PWR SEM-I-1122=P1-1
waxue-1990
[view on eBay]
Used 1
in stock
$991.00
Description: 1pcs Used Copley Controls 800-1537 ; AMAT 0190-24677
equipplus
[view on eBay]
Used 3
in stock
$259.00
Description: Lam Research 684-129144-072 Rev B RF Match Cable,TRU-13418-06,Used,US*8289
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: ADVANCED ENERGY 3152013-001B POWER SUPPLY, USED
coptel_technology
[view on eBay]
Used 3
in stock
$1,745.00
Description: 1pc for used NCDQ2WB63-80-DA US7366 AMAT 3020-00119 Via DHL or Fedex //
spsglobal
[view on eBay]
Used 2
in stock
$50.00
Description: 142-0503 AMAT APPLIED 0620-01696 CABLE ASSY NETWORK 5.0FT W/MODULAR PLUG [USED]
spsglobal
[view on eBay]
Used 1
in stock
$200.00
Description: 142-0503 AMAT APPLIED 0150-06293 CABLE ASSY, DC SOURCE RETURN-GROUND [USED]
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 144-0401 AMAT APPLIED 3400-01382 HOSE ASSY FLEX HELIUM 1/2IDX32"L STR-M/ [USED]
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 144-0401 AMAT APPLIED 3400-01381 HOSE ASSY FLEX HELIUM 1/2IDX32"L STR-F/ [USED]
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 144-0401 AMAT APPLIED 0190-13626 PURCHASE SPEC. CTI OBIS HE 8 FLEXLINE ME [USED]
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 323-0402 AMAT APPLIED 0090-20020 SENSOR ASSY, HALL EFFECT [USED]
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 350-0101 AMAT APPLIED 0040-81497 COVER, DC SOURCE CONNECTOR, 300MM PVD [USED]
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 350-0101 AMAT APPLIED 0020-27294 BUS GROUND DC LP CONNECTOR 300MM PVD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,800.00
Description: 317-0101 AMAT APPLIED 0040-20313 HOOP LIFT 6" WELDMENT [USED]
spsglobal
[view on eBay]
Used 1
in stock
$1,400.00
Description: 130-0201 AMAT APPLIED 0100-20003 OBS DIGITAL INPUT OUTPUT PCB [USED]
spsglobal
[view on eBay]
Used 1
in stock
$900.00
Description: 143-0401 AMAT APPLIED 0140-16707 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$900.00
Description: 143-0401 AMAT APPLIED 0140-15413 HARNESS ASSEMBLY TC FIRST TEMP MONITOR M [USED]
spsglobal
[view on eBay]
Used 2
in stock
$3,500.00
Description: 130-0101 AMAT APPLIED 0010-13439 MCA 2 TC AMP BOX [USED]
lokinb
[view on eBay]
Used 1
in stock
$220.00
Description: ADVANCED ENERGY GENCAL POWER METER Used Working, No power Supply (C6B5)
grandbirdnet
[view on eBay]
Used 1
in stock
$1,300.00
Description: LAM RESEARCH 810-234640-112 PCBA VIOP PCB ASSY, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: LAM RESEARCH 810-031135-001 PCB BOARD JABM18260397 REV D, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,500.00
Description: LAM RESEARCH 605-111846-001 VME PCB BOARD V7668A-1310A0, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: LAM RESEARCH 810-072687-401 NODE1 , CHAMBER PCB BOARD, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,400.00
Description: LAM RESEARCH 685-312632-402 CONTROLLER TRUST AUTOMATION TA-22445-CG05, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,500.00
Description: LAM RESEARCH 605-111846-002 VME PCB BOARD GE V7668A-1310A0, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: LAM RESEARCH 810-800082-307 PCB BOARD REV. A, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: LAM Research 810-072687-116 PCB BOARD Rev A, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$950.00
Description: LAM RESEARCH 810-311261-003 PCBA, 5W EFEM MOTHERBOARD, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$750.00
Description: LAM RESEARCH 810-005841-004 PCB BOARD REV. B, USED
bms-semicon
[view on eBay]
Used 3
in stock
$1,999.90
Description: AKT CVD POWER P/N 0192-57914 REV 1 MVP 2007 AMAT Applied Materials
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: LAM RESEARCH 810-099175-013 PCB VIOP PHASE III, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: LAM RESEARCH 810-341682-002 PCB BOARD REV.B, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: LAM RESEARCH 810-099175-009 VIOP PHASE III PCB BOARD REV. A, USED
grandbirdnet
[view on eBay]
Used 5
in stock
$2,000.00
Description: NOVELLUS 03-299425-00 BACKSIDE WAFER HANDLING INTERFACE G-XRPS, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: LAM RESEARCH 810-802902-033 NODE 2 MB, PM, HE REV A, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: LAM RESEARCH 810-077959-101 PCB ASSY , LAM KVM REV A, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: LAM RESEARCH 853-203016-174 D NODE 24CH TEMP CTR, USED
grandbirdnet
[view on eBay]
Used 3
in stock
$300.00
Description: NOVELLUS 03-164888-00 DC/DC CONVERTER BOARD PCB, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$8,000.00
Description: Lam Research 715-073734-007 ESC Chuck 300mm 839-019090-611, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: LAM RESEARCH 63-431069-00 COMPUTER, EC2, WIN XP REV A, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: LAM Research 715-069724-021 ELECTROSTATIC CHUCK, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$8,000.00
Description: LAM RESEARCH 715-073734-002 ESC, TUNABLE 4-ZONE 300MM 839-019080-608, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-16710 FLAG SENSOR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: NOVELLUS 02-123960-00 WTS-HV SIOC 3&4 W/ 27-053659-00 27-053660-00, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,500.00
Description: NOVELLUS 02-123962-00 SIOC WTS-HV SIOC 0 W/ 02-122156-00 02-123963-00, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$600.00
Description: LAM Research 810-800031-345 PCB ASSY SYSTEM INTERLOCK VIOP, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: LAM Research 715-042350-156 REV. D, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$800.00
Description: LAM Research 685-069171-002 101061 640028 Spectrometer, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: LAM Research 715-052395-301 Rev C ELCTD Lower Bevel, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: LAM Research 715-800332-028 REV. B, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,800.00
Description: LAM Research 715-283028-002 REV. A SHWRHD,MARS, 2-Step Cleaning, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,900.00
Description: LAM Research 715-052393-001 Rev D, USED
spsglobal
[view on eBay]
Used 1
in stock
$12,000.00
Description: 110-0101 AMAT APPLIED 0190-25423 DC POWER SUPPLY, CENTURA CONT [USED]
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: LAM Research 715-073734-014 G ESC Electrostatic Chuck, USED
spsglobal
[view on eBay]
Used 1
in stock
$20.00
Description: 323-0401 AMAT APPLIED 0090-20016 DEGAS COVER OPEN SWITCH [USED]
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 322-0203 AMAT APPLIED 0190-10982 SENSOR HX/FCW FLOWSWITCH 5 SEC DELAY CEN [USED]
spsglobal
[view on eBay]
Used 1
in stock
$2,400.00
Description: 319-0103 AMAT APPLIED 0050-38614 WELDMENT, MANIFOLD, 5 STAT, RIGHT, NUPRO [USED]
spsglobal
[view on eBay]
Used 2
in stock
$300.00
Description: 316-0403 AMAT APPLIED 0040-23915 SLEEVED CAP HP+ ROBOT WRIST ENDURA TRANS [USED]
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 166-0201 AMAT APPLIED 0270-35183 SUSCEPTOR LEVELING TOOL [USED]
bms-semicon
[view on eBay]
Used 2
in stock
$399.90
Description: Used AMAT 0190-04457 REV 002 DIP BOARD CDN491, DIP-146-610
spsglobal
[view on eBay]
Used 1
in stock
$3,000.00
Description: 318-0203 AMAT APPLIED 0010-00957 ASSY, ORIENTER LASER OPTICS [USED]
spsglobal
[view on eBay]
Used 2
in stock
$1,500.00
Description: 130-0101 AMAT APPLIED 0100-20026 wPCB ASSY,STEPPER DRIVER DISTRIBUTION [USED]
spsglobal
[view on eBay]
Used 1
in stock
$600.00
Description: 129-0201 AMAT APPLIED 0100-20070 (#1) 0020-21637 PCB ASSY,MAG/LAMP DIST [USED]
spsglobal
[view on eBay]
Used 1
in stock
$240.00
Description: 150-0101 AMAT APPLIED 0050-81424 HOSE SUPPLY 1/2 ID X 100 LG TUBE/QD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$240.00
Description: 150-0101 AMAT APPLIED 0050-81423 HOSE SUPPLY 1/2 ID X 148LG TUBE/TUBE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$240.00
Description: 150-0101 AMAT APPLIED 0050-81425 HOSE ASSY 1/2ID X 148LG QC/TUBE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$240.00
Description: 150-0101 AMAT APPLIED 0050-81427 HOSE SUPPLY 1/2 ID X 148 LG QC/TUBE [USED]
spsglobal
[view on eBay]
Used 1
in stock
$240.00
Description: 150-0101 AMAT APPLIED 0050-81426 HOSE SUPPLY 1/2 ID X 196LG TUBE/QD [USED]
spsglobal
[view on eBay]
Used 1
in stock
$400.00
Description: 165-0301 AMAT APPLIED 0030-00397 APPLIED MATRIALS COMPONENTS [USED]
spsglobal
[view on eBay]
Used 6
in stock
$240.00
Description: 150-0201 AMAT APPLIED 0050-81422 HOSE ASSY, W/O INSULATION 135LG, 3/ [USED]
spsglobal
[view on eBay]
Used 3
in stock
$400.00
Description: 165-0401 AMAT APPLIED 0030-00049 COVER SOURCE 300MM CWF OVER RF [USED]
spsglobal
[view on eBay]
Used 1
in stock
$300.00
Description: 165-0201 AMAT APPLIED 0030-00569 APPLIED MATRIALS COMPONENTS [USED]
cosplity
[view on eBay]
Used 1
in stock
$15,000.00
Description: AMAT 0010-17352 WALKING BEAM ASSEMBLY , USED AS IS
spsglobal
[view on eBay]
Used 3
in stock
$50.00
Description: 346-0302 AMAT APPLIED 3480-01020 MOUNT LVL FEET 1/2-13 W/JAM NUT STL ZINC [USED]
spsglobal
[view on eBay]
Used 4
in stock
$100.00
Description: 346-0302 AMAT APPLIED 0190-76003 BALL,LNR/ROTARY 1.250 ID X 2.000 OD [USED]
techequipsales
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT Applied Materials 0019-09340 G 5000 CVD Susceptor Lift *used working
techequipsales
[view on eBay]
Used 1
in stock
$1,000.00
Description: HNL 0190-09315 A Magnetron Waveguide Assembly AMAT P5000 CVD *used working
techequipsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: HNL 0190-09315 A Magnetron Waveguide Assembly AMAT P5000 CVD *used working
grandbirdnet
[view on eBay]
Used 1
in stock
$3,500.00
Description: LAM Research 719-005481-872 Rev E Ceramic Plate, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$3,900.00
Description: LAM Research 716-069688-789 REV. A, USED
equipplus
[view on eBay]
Used 2
in stock
$699.00
Description: Lam Research 810-031325-105 Rev B 16 IGS Motherboard,DGF,Used,US*8371
pneumatplus10
[view on eBay]
Used 1
in stock
$389.00
Description: Lam Research 853-160649-006 Rev A 1043107 20-28-0020 WO172156,Used,US*96339
grandbirdnet
[view on eBay]
Used 2
in stock
$6,900.00
Description: AMAT 0190-40351 MOTOR PC SWEEP POLISHER W/ 0041-43119, USED
txsemisales
[view on eBay]
Used 1
in stock
$240.00
Description: AMAT APPLIED 0010-15505 CABLE ASSY RevD CVD supply Cable USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,800.00
Description: AMAT 0190-35783 DAIHEN ATP-15B CONTROLLER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$6,000.00
Description: LAM RESEARCH 660-101818-002 RF MATCH ADVANCED ENERGY 3150321-000 B, USED
grandbirdnet
[view on eBay]
Used 4
in stock
$6,000.00
Description: LAM RESEARCH 27-374427-00 RF MATCH COMET 20030650, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$5,500.00
Description: LAM RESEARCH 27-432930-00 RF MATCH COMET 20063534, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$900.00
Description: AMAT 0190-12138 SERVO DRIVE SANYO DENKI PV2A015SMT1P50, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: NIKON 4S025-824 NSR PCIe-PCI-BR W/ 4S013-768-1F2X8, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: NIKON 4S015-629 BOARD NSR AVAL DATA ACX-134N NK1110 SGCP2/3, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: NIKON 4S015-628 BOARD NSR AVAL DATA ACX-134N NK1110 SGCP2/3, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: NOVELLUS 27-047499-00 AE PDX 2500 2.5KW MF GEN AE 3156012-101B, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: NIKON 4S025-415 NSR PCB BOARD ATM-X8, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: NIKON 4S025-825 PCB BOARD PCIe-SW, USED
grandbirdnet
[view on eBay]
Used 2
in stock
$4,500.00
Description: NIKON 4S025-826 NSR BOARD SU-AOI-V3, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,500.00
Description: NIKON 4S025-233 NSR BOARD RMD-X8, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$12,000.00
Description: ADVANCED ENERGY 3156330-017A RF Generator, USED
grandbirdnet
[view on eBay]
NEW 1
in stock
$38,000.00
Description: NOVELLUS 02-369996-00 TRANSFER ROBOT W/ 02-343877-00 CONTROLLER, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$4,900.00
Description: AMAT 0190-01973 3ROTARY UNION-PLATEN TEMPERATURE CONTROL, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$8,900.00
Description: AMAT 0010-77332 6" OXIDE TITAN 2 HEAD ASSEMBLY CMP, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$16,000.00
Description: AMAT 0010-47052 REV.02 Controller CCM DPS232, USED
hjtec53040044
[view on eBay]
Used 1
in stock
$4,000.00
Description: Brush Mount Assy DRIVE SIDE 0010-25970 0010-05398 Used
hjtec53040044
[view on eBay]
Used 1
in stock
$2,667.00
Description: Q.C Gear Assy 0010-13192 0010-12472 Used
hjtec53040044
[view on eBay]
Used 1
in stock
$4,000.00
Description: Brush Mount Assy FLOW THRU 0010-25969 0010-05289 Used
grandbirdnet
[view on eBay]
Used 9
in stock
$400.00
Description: AMAT 0090-77067 IT PRESSURE TRANSDUCER, USED
equipplus
[view on eBay]
Used 1
in stock
$59.00
Description: Lam Research 839-195163-002 Rev A,Used,US*8417
hjtec53040044
[view on eBay]
Used 1
in stock
$5,000.00
Description: Upper Spindle Novellus C2 Sequel 02-106507-00 Used
equipplus
[view on eBay]
Used 1
in stock
$399.00
Description: LAM Research 853-064887-402 Rev B RF Source,vendor Code 1033341,Used,US*7086
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0150-24847 CABLE REV. 02, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0226-32748 HARNESS, ENDPOINT DETECTOR, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0040-45853 TOOL GRIPPER AIR CHUCK MESA CMP MHZL2-20C, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$300.00
Description: AMAT 0041-12959 118044 0210, USED
hjtec53040044
[view on eBay]
Used 1
in stock
$6,667.00
Description: Head Spindle Reflexion 0010-77307 Used
hjtec53040044
[view on eBay]
Used 1
in stock
$8,333.00
Description: DPS DTCU EDTCU Assy DPS 0010-39919 Used
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0020-79996 VID 100000039, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0150-17475 CABLE REV. 002, USED
hjtec53040044
[view on eBay]
Used 1
in stock
$6,667.00
Description: Head Spindle Mirra 0010-26127 Used
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0150-16284 CABLE REV. E4, USED
hjtec53040044
[view on eBay]
Used 1
in stock
$13,333.00
Description: PCH Gear Assembly Mirra 0010-12344 0010-12343 Used
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0150-11308 CABLE ASSY, UPPER DI/O EX, USED
hjtec53040044
[view on eBay]
Used 1
in stock
$8,333.00
Description: Head Spindle LK 0010-30256 Used
hjtec53040044
[view on eBay]
Used 1
in stock
$5,500.00
Description: CPLG UNION ROTARY 3-PORT/Rotary Unit 3 Zone 0760-01020 Mirra AMAT Used
hjtec53040044
[view on eBay]
Used 1
in stock
$6,000.00
Description: CPLG UNION ROTARY 4-PORT/Rotary Unit 4 Zone 0760-01037 Mirra AMAT Used
hjtec53040044
[view on eBay]
Used 1
in stock
$16,667.00
Description: PCH Gear Assembly/Pad Conditioner LK 0010-25303 AMAT Used
grandbirdnet
[view on eBay]
Used 2
in stock
$200.00
Description: AMAT 0150-23345 CABLE REV. 02, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0190-49664 CABLE RR-221434B, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0020-10829 NUT PLATE, BELT GUARD, 300 MM REFLEXION, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$400.00
Description: AMAT 0150-05477 CABLE ASSY, WAFER LOSS/HD SWP, MIRRA 300, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$9,500.00
Description: AMAT 0190-04681 ROTARY UNION AND SLIP RING ASSY, 300MM, USED
equipplus
[view on eBay]
Used 1
in stock
$229.00
Description: Lam Research 839-338171-002 Rev B,1001656-30-29-0001,Used,US*8349
equipplus
[view on eBay]
Used 1
in stock
$109.00
Description: Applied Material AMAT 0150-32853 Rev 02 Cable Assy,300535-2118-0009,Used,CN*7839
plccenter
[view on eBay]
Used 2
in stock
$600.00
Description: APPLIED MATERIAL 0100-09099 / 010009099 (USED TESTED CLEANED)
ma-cotrolsystem
[view on eBay]
Used 2
in stock
$2,574.00
Description: 2096-1009A AMAT P/N 0190-50934 Used Fast Shipping By DHL
equipplus
[view on eBay]
Used 8
in stock
$119.00
Description: LAM Research 853-251625-004 RevA,Haydon Ametek E21HAN-7.5-A05 Motor,Used,US*7376
albsemicon
[view on eBay]
Used 1
in stock
$354.88
Description: Lam Research Used PCB ASSY SYSTEM INTERLOCK 300MM 810-800031-002 REV.A
grandbirdnet
[view on eBay]
Used 1
in stock
$7,500.00
Description: AMAT 3930-00188 CONT TEMP 10-70C 220V 50/60HZ , USED
storefarm
[view on eBay]
Used 1
in stock
$220.00
Description: [Used] ADVANCED ENERGY / 3152295-004A / MAGNETRON DC POWER SUPPLY, 97923030011
storefarm
[view on eBay]
Used 1
in stock
$398.00
Description: [Used] NOVELLUS / 26-251829-00 / EM POLARITY SW W/FDBK, 03-251829-00 Rev.A
storefarm
[view on eBay]
Used 6
in stock
$298.00
Description: [Used] NOVELLUS / 26-185793-00 / CONTROL, 03-185793, 76-185793-00, Rev.A, 1pcs
grandbirdnet
[view on eBay]
Used 1
in stock
$1,300.00
Description: AMAT 0090-00924 MOTOR ENCODER ASSY, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0010-72875 25K POWERBOX SHUNT REGULATOR. USED
grandbirdnet
[view on eBay]
Used 1
in stock
$3,500.00
Description: NOVELLUS 02-168108-00 SESIOC BATH MODULE. USED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,300.00
Description: AMAT 0190-25727 24 Port Fast 10/100 Ethernet Switch, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$700.00
Description: AMAT 0190-15401 TURCK SDNL-0404D-0003S, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$350.00
Description: AMAT 0090-77151 DOOR OPEN SWITCH, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 0190-33704 Flow Controller SMC LFU20-1601-DAN00243 , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,000.00
Description: AMAT 0190-76252 CDN 294 DEVICE NET I/O BLOCK , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0190-28859 IFC-125C N2 860SCCM., USED
grandbirdnet
[view on eBay]
Used 1
in stock
$500.00
Description: AMAT 0190-28961 IFC-125C BF3 400SCCM, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$200.00
Description: AMAT 0090-05811 Pad Press Transducer ISE40-T1-22L, USED
equipplus
[view on eBay]
Used 1
in stock
$999.00
Description: Advanced Energy AE MDX II RF Generator Power Supply,3152254-210A,used,USA*5044
bms-semicon
[view on eBay]
Used 1
in stock
$2,999.90
Description: USED AE Advanced Energy M/N 3152194-040A MDX MAGNETRON DRIVE
spsglobal
[view on eBay]
Used 3
in stock
$80.00
Description: 123-0302// AMAT APPLIED 0015-70041 ASSY CAM FOLLOWER CROWNE [USED]
cosplity
[view on eBay]
Used 1
in stock
$250.00
Description: 0090-20036 MOTOR MODIFIED ORIENTER , USED AS IS
cosplity
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0190-77526 SERVOMOTOR, PC SWEEP/WAFER EXCHANGE, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-08514 CONTROLLER ASSY, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-31993 PWR CABLE, USED
equipplus
[view on eBay]
Used 1
in stock
$2,999.00
Description: LAM Research 853-051190-526 Rev A,1001685,714-050912-203 Rev E,Used,US*6956
grandbirdnet
[view on eBay]
Used 1
in stock
$3,500.00
Description: Novellus 02-266279-00 ASSY. RF MATCH, USED
plccenter
[view on eBay]
Used 5
in stock
$1,200.00
Description: APPLIED MATERIALS 0100-00014 / 010000014 (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 1
in stock
$952.00
Description: LAM RESEARCH 810-017086-444 / 810017086444 (USED TESTED CLEANED)
liufen-20162
[view on eBay]
Used 1
in stock
$303.58
Description: 1 pc Used 685-042168-004 Lam Research controller
dgold32
[view on eBay]
Used 1
in stock
$399.99
Description: Lam Research 853-256249-211 Rev C MRCC Control Module 1046879 Used
dy-global
[view on eBay]
Used 1
in stock
$2,999.90
Description: ADVANCED ENERGY used CESAR 0450 5000W RF Power Generator 60400062 SEM-I-1243=P1
allenbradley-auto
[view on eBay]
Used 2
in stock
$2,100.00
Description: USED 0010-09035 AMAT Butterfly Valve Assembly Fast ship DHL/FedEX
dy-global
[view on eBay]
Used 1
in stock
$2,999.90
Description: ADVANCED ENERGY USED 3157601-100 T SEM-I-1241=P3
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0023-70129 R:2 0095, USED
cosplity
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 0190-10187 HEAD SWEEP SERVO MOTOR, 300MM REFLEXION, USED
usedeqsales
[view on eBay]
Used 13
in stock
$503.18
Description: Nikon 4S005-344 Interface Board PCB AF-SENSOR21 NSR System Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,406.18
Description: Nikon 4S013-202 Interface Encoder Board PCB IM-ENCD1 NSR-S202A Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$406.18
Description: Nikon 4S013-211 Interface Board PCB NSR-S202A Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$506.18
Description: Nikon 4S007-665 Interface Control Board PCB FIAAF-TYUUKEI-V NSR-S202A Used
usedeqsales
[view on eBay]
Used 8
in stock
$2,006.17
Description: Nikon 4S013-510 Interface PCB Card SPAIFX4B NSR-S307E DUV Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$456.18
Description: Nikon 4S007-664 FIAAF Sensor Interface Board PCB FIAAF-SENSOR-D NSR-S202A Used
usedeqsales
[view on eBay]
Used 1
in stock
$803.18
Description: Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S205C Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$503.18
Description: Nikon 4S013-189 LINK-RZ Interface Board PCB NSR System Used Working
grandbirdnet
[view on eBay]
Used 1
in stock
$2,700.00
Description: AMAT 0010-25273 FAST DATA COLLECTOR , USED
grandbirdnet
[view on eBay]
Used 7
in stock
$2,900.00
Description: AMAT 0190-26873 CARD MOTION DELTA TAU PMAC2 CPU 160MHZ FW , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0660-00624 CARD CPCI 3U POWER SUPPLY 24VDC , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0190-37790 INTERLOCK MODULE P3I CHAMBER , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0100-90127 TEMPERATRUE CONTROL SERVO , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0190-04735 EPI 300MM ANALOG CARD CDN496 , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0100-00546 ASSY PCB CHAMBER I/O DISTRIBUTION , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0190-01965 DC POWER SUPPLY, 300MM CHAMBER LAMBDA VA-26603 , USED
grandbirdnet
[view on eBay]
Used 4
in stock
$6,000.00
Description: AMAT 0010-32110 300MM DUAL SLOT INDEXER ASSY ENDURA2 , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,800.00
Description: AMAT 0190-52419 Abaco Systems CA3D1L24D1A CA3D Producer , USED
grandbirdnet
[view on eBay]
Used 2
in stock
$800.00
Description: AMAT 0190-03680 IO Backplane PCB Board , USED
grandbirdnet
[view on eBay]
Used 2
in stock
$2,500.00
Description: AMAT 0190-49999 ACTUATOR ASSEMBLY , USED
grandbirdnet
[view on eBay]
Used 2
in stock
$2,900.00
Description: AMAT 0195-14444 BZPED BSTR,S2 INTFC,W/O XFMR, PROD PRECISION , USED
grandbirdnet
[view on eBay]
Used 5
in stock
$1,800.00
Description: AMAT 0010-40489 GATE VALVE V70-P-353-7-HAAZZBB , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0090-06913 CONTROL BOX , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0195-13443 FCS VAP CNTRL I/O BOX , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,900.00
Description: AMAT 0240-33145 SLIT VALVE VAT 0300X-M24-1008 , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,800.00
Description: AMAT 0190-24676 Copley Controls 800-1536 Accelnet Servo Drive , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,300.00
Description: NOVELLUS 03-263834-00 RF GEN INTERFACE ,PDP/MSSD, C3SPD , USED
grandbirdnet
[view on eBay]
Used 2
in stock
$1,500.00
Description: AMAT 0190-05399 DUAL CHNL SST CPCI DEVICENET BUS SCANNER , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0190-49999 ACTUATOR ASSEMBLY ( COVER BROKEN ) , USED AS IS
grandbirdnet
[view on eBay]
Used 1
in stock
$4,000.00
Description: AMAT 0190-01428 PW SUP 2 CHN PLSD PLATE 60A/48V ENI , USED
grandbirdnet
[view on eBay]
Used 54
in stock
$750.00
Description: AMAT 0870-00017 DRVR SERVO AMP 200V 30A 750W DNET FIRMW , USED
grandbirdnet
[view on eBay]
Used 7
in stock
$950.00
Description: AMAT 0190-10187 HEAD SWEEP SERVO MOTOR, 300MM REFLEXION , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$2,200.00
Description: AMAT 0190-10187 HEAD SWEEP MOTOR W/ LINEAR BEARING, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$6,500.00
Description: AMAT 0190-25187 RF MATCH, DUAL FREQUENCY W/ 0190-17029 , USED
equipplus
[view on eBay]
Used 5
in stock
$1,169.00
Description: Lam Research 810-073479-306 Rev A JTS GB with HE&SiH4 Connector Bd,Used,US*8452
grandbirdnet
[view on eBay]
Used 1
in stock
$800.00
Description: AMAT 0190-77016 ENCODER SERVMOTOR PC1-3, USED
kelly-lan1581
[view on eBay]
Used 1
in stock
$830.80
Description: 1pc used FC-2902MEP5-T N2 5 SLPM AMAT 3030-06582 tylan 2900 (by Fedex or DHL)
kelly-lan1581
[view on eBay]
Used 1
in stock
$892.80
Description: 1pc used UE PV48W-15 LAM RESEARCH 768-091910-001 (by Fedex or DHL)
kelly-lan1581
[view on eBay]
Used 2
in stock
$933.75
Description: 1pc for USED PI-98 FCPI980CBAXDIDJAA AMAT 0190-34214 H2 N2 1000SCCM
grandbirdnet
[view on eBay]
Used 1
in stock
$900.00
Description: AMAT 1230-00025 PM DRIVER PMDPC1C3P50A01, 103H5505-70EG2, USED
grandbirdnet
[view on eBay]
Used 1
in stock
$600.00
Description: AMAT 3030-11068 FLOW CONTROLLER LF-A40M-A-EVD TEOS 7G , USED
grandbirdnet
[view on eBay]
Used 1
in stock
$5,500.00
Description: ADVANCED ENERGY 3151278-000 A RF GENERATOR, USED
albsemicon
[view on eBay]
Used 1
in stock
$2,650.00
Description: NOVELLUS ASSY,ESC, 200MM SEMI, MC, 15-118976-00 CA6800500356 REV.E USED CHUCK
equipplus
[view on eBay]
Used 1
in stock
$349.00
Description: Novellus 02-111301-00 Rev A Gas Box Distribution,Speed 300 Board,Used,US*8465
expertsurplus
[view on eBay]
Used 1
in stock
$1,250.00
Description: LAM Research, 515-021101-001, Tool, Seal, PL, UPR RECTM Chamber, Used
spsglobal
[view on eBay]
Used 2
in stock
$1,000.00
Description: 129-0101 AMAT APPLIED 0100-09022 wPCB ASSY, MINI AI/AO [USED]
spsglobal
[view on eBay]
Used 2
in stock
$500.00
Description: 126-0501 AMAT APPLIED 0680-01183 KT3350T CB MAG THERM 3P 600VAC 400AFRAME [USED]
spsglobal
[view on eBay]
Used 8
in stock
$100.00
Description: 130-0103 AMAT APPLIED 0130-20265 (#1) PCB ASSY, FILTER BOARD USED
spsglobal
[view on eBay]
Used 1
in stock
$800.00
Description: 346-0202 AMAT APPLIED 0020-34048 SUPPORT, BELLOWS [USED]
qqba3369
[view on eBay]
NEW 5
in stock
$758.48
Description: for 1pcs used LF-F40M-A-EVD1 TEOS 1.5G/MIN AMAT 3030-17701 @24
zyxy6868
[view on eBay]
Used 5
in stock
$758.48
Description: for 1pcs used LF-F40M-A-EVD1 TEOS 1.5G/MIN AMAT 3030-17701 #1z
grandbirdnet
[view on eBay]
Used 1
in stock
$8,500.00
Description: ADVANCED ENERGY 3152255-015B DC MAGNETRON POWER SUPPLY MDX II , USED
spsglobal
[view on eBay]
Used 1
in stock
$10.00
Description: 142-0601// AMAT APPLIED 0150-20764 C/A CONTROLLER SMOKE DETECTOR USED
katiil3
[view on eBay]
Used 1
in stock
$349.00
Description: Applied Materials AMAT 0020-21589 SHIELD, LOWER, 200MM SIP TA Used
cosplity
[view on eBay]
Used 1
in stock
$2,900.00
Description: AMAT 3200-01071 PCB ASSY, HALF RACK 1/8 (USED TO BOTTOM RACK)
equipplus
[view on eBay]
Used 1
in stock
$239.00
Description: Lam Research 17-193309-00 Rev A Cosel ADA1000F-24 x2,Used,US*8498
spsglobal
[view on eBay]
Used 1
in stock
$130.00
Description: 143-0502 AMAT APPLIED 0150-02157 CABLE,ASSY,RF/RPS2/FINAL VLV STATUS,YEL USED
pneumatplus10
[view on eBay]
Used 1
in stock
$2,499.00
Description: Lam Research 685-151509-001 Rev G Computer,EC2,WINXP UI Assy,SSD,Used,US*96448
pneumatplus10
[view on eBay]
Used 5
in stock
$2,069.00
Description: Lam Research 685-151545-001 Rev E Computer,EC2,QNX6,Nexus,SSD,Used,US_96449
equipplus
[view on eBay]
Used 1
in stock
$539.00
Description: Lam Research 810-069751-211 Rev C Node Board,Used,US*8511
spsglobal
[view on eBay]
Used 5
in stock
$2,000.00
Description: 148-0201 AMAT APPLIED 0150-35210 HARNESS ASSY CHAMBR A-B- C-D USED
pneumatplus10
[view on eBay]
Used 1
in stock
$299.00
Description: Lam Research 03-192419-00 Rev B PWR,BOTTOM,LPB VCTR XTRM Board,Used,US*96452
pneumatplus10
[view on eBay]
Used 3
in stock
$1,299.00
Description: Lam Research 810-281670-001 Rev A PWR,TOP,LPB Board,710-281670-001,Used,US*96450
plccenter
[view on eBay]
Used 8
in stock
$1,950.00
Description: ADVANCED ENERGY 3152256-005E / 3152256005E (USED TESTED CLEANED)
grandbirdnet
[view on eBay]
Used 1
in stock
$1,900.00
Description: AMAT 0190-12126 HEATER, POLYIMIDE, FLAT, LID ASSY, 300MM, USED
chengaoshop
[view on eBay]
Used 5
in stock
$767.80
Description: 1PCS USED AMAT 3870-03652 CTI 8039145G003 (by DHL or Fedex) #U1056D YG
chengaoshop
[view on eBay]
Used 2
in stock
$1,198.80
Description: 1PCS USED AMAT 3870-03651 CTI 8039145G002 (by DHL or Fedex) #U1055D YG
apex1088
[view on eBay]
Used 1
in stock
$380.00
Description: Applied Materials 0190-51545 REV 03 1125 Torr Universal Gauge Used
cosplity
[view on eBay]
Used 1
in stock
$650.00
Description: AMAT 0190-12138 PV2 SERVO DRIVER FOR 400W MOTOR DNET , USED
cosplity
[view on eBay]
Used 1
in stock
$950.00
Description: AMAT 0190-51712 S5 AMP 200V 100W DNET CPY EXT, USED
equipplus
[view on eBay]
Used 3
in stock
$899.00
Description: Lam Research 853-235683-401 Rev C Control Assy,208V~,Used,US_8523
equipplus
[view on eBay]
Used 1
in stock
$729.00
Description: Lam Research 853-235683-401 Rev C Control Assy,208V~,1034088,Used,US_8524
cosplity
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0190-09939 SPEC CONTROL DRAWING INTELLIGENT MOTOR C , USED AS IS
yiannisshop
[view on eBay]
Used 3
in stock
$282.75
Description: Applied Materials 0150-09397 ASSY, CHAMBER PRESSURE SWITCH Looks Unused
cosplity
[view on eBay]
Used 1
in stock
$650.00
Description: LAM RESEARCH 810-800256-004 NODE BOARD TYPE 3 , USED AS IS
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: Daihen SGP-15B 1140-01216 Microwave Power Generator AMAT *used working
plccenter
[view on eBay]
Used 1
in stock
$2,100.00
Description: ADVANCED ENERGY 7512-006B / 7512006B (USED TESTED CLEANED)
cosplity
[view on eBay]
Used 1
in stock
$3,500.00
Description: AMAT 0010-77445 UPPER PNEUMATIC ASSEMBLY, TITAN 1, USED AS IS
plccenter
[view on eBay]
Used 1
in stock
$2,371.93
Description: ADVANCED ENERGY 3152352-132A / 3152352132A (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 1
in stock
$2,190.00
Description: ADVANCED ENERGY 2256-001-A / 2256001A (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 2
in stock
$2,190.00
Description: ADVANCED ENERGY 2254-001-A / 2254001A (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 1
in stock
$2,220.00
Description: ADVANCED ENERGY 3152254-001B / 3152254001B (USED TESTED CLEANED)
plccenter
[view on eBay]
Used 1
in stock
$2,220.00
Description: ADVANCED ENERGY 2250-001-D / 2250001D (USED TESTED CLEANED)
wiseguy1962
[view on eBay]
NEW 1
in stock
$49.00
Description: Applied Materials 0040-96158 Rev004 300mm SLIT Valve Door,Used,US^7283
dy-global
[view on eBay]
Used 1
in stock
$1,499.90
Description: ADVANCED ENERGY Used RF NAVIGATOR II 3155321-000 A,12100016-01 SEM-I-1376=9N44
pneumatplus10
[view on eBay]
Used 3
in stock
$2,299.00
Description: Lam Research 853-182680-004 Rev H6 RWT Axis Motor Controller,208Vac,Use,US_96476
pneumatplus10
[view on eBay]
Used 1
in stock
$2,499.00
Description: Lam Research 853-182680-004 Rev J 6 Axis Motor Controller,208Vac,Used,US*96475
jiecana69
[view on eBay]
Used 1
in stock
$343.59
Description: 1 pc Used 685-042168-004 Lam Research controller #A6-9
yiannisshop
[view on eBay]
Used 1
in stock
$432.75
Description: 0010-70162 SLIT VALVE ACTUATOR 5000 One Looks Very Light Used And One Not So Goo
grandbirdnet
[view on eBay]
Used 1
in stock
$450.00
Description: AMAT 0020-47187 PLATE, ADAPTER, MOTOR MT, 6-PORT SPINDLE, 200MM MIRRA , USED

This tag has been viewed 1 time

Most recent views:

Korea (Republic of) Thursday, May/09/2024 at 8:06 am CST
Russian Federation Thursday, May/09/2024 at 7:59 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 7:55 am CST
United States of America Thursday, May/09/2024 at 7:41 am CST
Korea (Republic of) Thursday, May/09/2024 at 7:25 am CST
Korea (Republic of) Thursday, May/09/2024 at 6:38 am CST
Japan Thursday, May/09/2024 at 6:35 am CST
China Thursday, May/09/2024 at 6:12 am CST
China Thursday, May/09/2024 at 6:12 am CST
China Thursday, May/09/2024 at 6:12 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
usedeqsales Used - $702.12 1 Feb/29/12 Nov/06/13
Description: Lam Research 4420 Endpoint Detector 853-001983-005-H-PCBELE Used Working
usedeqsales Used - $808.12 2 Aug/20/12 Jul/08/21
Description: Lam Research 810-17031-2 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
usedeqsales Used - $808.12 0 Aug/20/12 Sep/16/22
Description: Lam Research 810-17031-4 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
usedeqsales Used - $52.56 1 May/22/12 Feb/26/13
Description: Lam Research Protector Drive Gap Assembly 853-011168-001-C Used Working
usedeqsales Used - $309.12 1 Sep/19/12 Jun/12/15
Description: Tylan Lam Research 4420 Manometer 853-017653-001-A-MDFT CMLA-11S02 Used Working
usedeqsales Used - $403.12 1 Mar/13/12 Feb/14/20
Description: Lam Research 4420 RF Switch Box 853-017163-001-D-3710 Used Working
usedeqsales Used - $803.12 0 Mar/14/12 Jan/20/14
Description: Lam Research 4420 DIP High Frequency Board 810-017003-004 Rev. B Used Working
usedeqsales Used - $282.18 1 Mar/14/12 Oct/06/13
Description: Lam Research 4420 RF Sense Box Autotune 853-025944-001-1-C221 Used Working
usedeqsales Used - $603.12 1 Mar/14/12 Feb/02/13
Description: Lam Research 4420 Transformer Assembly 853-025902-001-4-C474 Used Working
usedeqsales Used - $1,003.12 0 Mar/14/12 Jun/03/22
Description: Lam Research 853-013610-001-D 4420 Solenoid Tray Assembly Used Working
usedeqsales Used - $1,010.11 1 Oct/14/11 Jun/06/14
Description: Ateq Interface Board 8004-0014 Used 8004-0024 Rev. C
usedeqsales Used - $504.12 30 Apr/11/12 Jun/07/22
Description: MKS Instruments AS01391-22 Control PCB Card AMAT 0190-26786 Used Working
usedeqsales Used - $357.12 0 Jul/10/12 May/05/15
Description: Powertec Power Relay Board 070-25134-006 Rev. T Used Working
usedeqsales Used - $3,509.07 1 Dec/05/07 Nov/09/12
Description: Equipe PRE-301B PRI Automation 300mm Prealigner used working surplus
usedeqsales Used - $202.06 1 Apr/13/12 Dec/07/12
Description: Westinghouse Circuit Breaker MC3800F 0680-01431 Used Working
usedeqsales Used - $203.56 1 Jul/20/12 Dec/07/12
Description: Pro-Face Operator Panel GP37W2-BG41-24V Used Working
usedeqsales Used - $203.56 1 Jul/19/12 Dec/06/12
Description: Allen Bradley PLC Controller SLC500 1746-A7 Used Working
usedeqsales Used - $252.56 1 May/24/12 Dec/06/12
Description: Cosel DC Power Supply MMB75U-1 Lot of 5 Used Working
sparepartssolution Used - $70.00 0 Jul/12/12 Sep/02/15
Description: (AA01) AMAT APPLIED MATERIALS 0020-24412 CATHODE SWITCH COVER PRECLEAN 2 USED
usedeqsales Used - $504.06 1 Aug/23/12 Dec/15/12
Description: Thermo Electron Corp. Cryotiger Cold End T2118-01-290-14 Used Working
usedeqsales Used - $1,009.12 1 Sep/10/12 Dec/18/12
Description: Asyst 300FL, S2.1, HAMA ROX Load Port 9700-8106-01 300mm Used, Working
sparepartssolution Used - $250.00 0 May/09/12 Dec/19/12
Description: (AA01) AMAT APPLIED MATERIALS 3870-01213 PENUMATIC VALVE USED WORKING
esprprts Used - $225.00 1 Dec/21/12 Jan/02/13
Description: AMAT 3030-09099 CELERITY UFM-9660 6L He. Only used 1 day.
jrrogal NEW - $85.00 1 Dec/16/12 Dec/21/12
Description: OPTO 22 Snap B3000-HA Brain New In Box - Never Used
jrrogal NEW - $145.00 0 Dec/22/12 Jan/01/13
Description: OPTO 22 Snap B3000-HA Brain New In Box - Never Used
bti611 Used - $109.99 0 Dec/22/12 Dec/29/12
Description: PANASONIC FEEDER 8 X 2 PAPER, DOUBLE, M SIZE, K TYPE, P/N 1049556000 ( USED )
usedeqsales Used - $809.12 1 Sep/18/12 Jul/09/14
Description: Lam Research Transfer Arm 15-024611-00 Rev. C Used Working
supertechshop Used - $199.00 0 Dec/29/12 Jan/28/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
bobsgoodies NEW - $67.00 0 Jan/03/13 May/17/13
Description: Used in AMAT 0050-28314 Assy. Gems Piston Type, FS-380 Flow Switch 183431 1 GPM
usedeqsales Used - $1,212.12 1 Dec/13/12 Jan/04/13
Description: LAM Research 4420 Etcher 853-012123-001-G-230D Harmonic Arm Drive Assembly used
bbs_express Used - $275.00 1 Jan/05/13 Jan/16/18
Description: APPLIED MATERIALS - Model # 0190-09401 (used)
bbs_express Used - $250.00 0 Jan/06/13 Sep/03/13
Description: APPLIED MATERIALS - ELEVATOR UNIT -- Model # 0190-09401 (used)
usedeqsales Used - $104.56 1 Sep/07/12 Jan/06/13
Description: Baldor Motor and Gear Head GP7304 Used Working
ati_semi Refurbished - $2,075.00 4 Oct/25/12 Jan/07/13
Description: AXCELIS SHUTTER ASSEMBLY ROTARY GRAPHITE USED ON NV6200A, AV 0342-0667-3001 B
usedeqsales Used - $216.38 1 Sep/11/12 Jan/07/13
Description: Jennings Capacitor CMV1-4000-0605 Used Working
usedeqsales Used - $502.12 1 Oct/25/12 Jan/07/13
Description: MKS Baratron Pressure Transducer 852B-13384 Lot of 12 Used Working
usedeqsales Used - $216.38 1 Sep/11/12 Jan/08/13
Description: Jennings Capacitor CMV1-4000-0305 Used Working
usedeqsales Used - $424.98 3 Jul/20/12 Jan/08/13
Description: Socapel AC Servo Drive STI-10-310 Used Working
ati_semi NEW - $1,500.00 2 Nov/01/12 Jan/09/13
Description: AXCELIS SOURCE GAS CONTROL USED ON NV6200A NV6200AV 0342-1433-0001 B
usedeqsales Used - $283.58 1 May/16/12 Jan/09/13
Description: Watlow Anafaze Temperature Controller 88-30500-550 Rev. A Used Working
usedeqsales Used - $505.12 1 May/24/12 Jan/09/13
Description: Applied Materials AMAT XR80 Cooled Tube 0040-96386 Used Working
usedeqsales Used - $1,001.13 0 Jan/10/13 May/01/24
Description: AMAT Applied Materials 0090-91409 Beamline Interlock Box Rev. A Used
usedeqsales Used - $705.68 1 Aug/10/12 Jan/13/13
Description: Lam 4420 Edge Sensor Assembly 853-012500-002-E-3117 Used Working
electronicswest Used - $500.00 1 Jan/10/13 Jan/11/13
Description: Asyst Technolgies 3200-1107-01 LoadPort LPT Servo Driver Board Assembly *Used*
usedeqsales Used - $318.58 1 May/15/12 Jan/10/13
Description: MKS Pressure Gauge LDM-B12PA2CC1 1040-01218 LDM-B12PA2CC3 Lot of 6 Used Working
sparepartssolution Used - $999.99 1 Jun/13/12 Jan/14/13
Description: (AJ01) SGDS-08A12A SGMAS-08ACA21 YASKAWA SERVOPACK SERVO MOTOR USED WORKING
usedeqsales Used - $501.13 3 Jan/16/13 May/14/19
Description: AMAT Applied Materials 0040-79668 Wafer Carrier used
sparepartssolution Used - $899.99 1 Aug/24/12 Jan/19/13
Description: (AC03) TYLAN GENERAL AC-2S06 AC206 796-006238-002 ADAPTORR USED WORKING
usedeqsales Used - $104.56 1 Sep/05/12 Jan/19/13
Description: Mitsubishi Contactor SD-N50 Lot of 4 Used Working
sparepartssolution NEW - $99.99 0 Sep/04/12 Sep/02/15
Description: (127-0602) AMAT APPLIED MATERIALS 0020-20716 FINGER USED WORKING
sparepartssolution Used - $799.99 1 Aug/29/12 Jun/25/14
Description: (127-0205) AMAT APPLIED MATERIALS 0010-21336 SHUTTER BAR ASSEMBLY USED WORKING
usedeqsales Used - $1,108.11 0 Aug/30/11 Aug/09/22
Description: Nikon 4S085-431 Carrier Module Board 4S019-327 PCB Card NSR-S307E Used Working
usedeqsales Used - $656.12 3 Jun/25/12 Apr/04/23
Description: VAT 26332-KA11-1001 Right Angle Isolation Valve Lot of 9 Used Working
usedeqsales Used - $407.12 3 Jul/25/12 Jul/09/13
Description: TEL Unity 2 AC Pow FAIL PCB 1D81-000065-1 Used Working
usedeqsales Used - $1,205.12 4 May/02/12 Jul/12/13
Description: Applied Materials AMAT Mirra Mesa Pneumatic Box 0010-77682 Used Working
usedeqsales Used - $5,000.56 2 Jan/16/12 Jan/26/13
Description: Daihen Advanced Microwave Generator System ATM-30A 0190-03117 Used Working
bruce135 Used - $99.00 0 Jan/28/13 Feb/27/13
Description: Lot of 3 Keyence AP-31A Pressure Sensors / Switches / Vacuum Gauge Used
supertechshop Used - $199.00 0 Jan/29/13 Feb/28/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
sparepartssolution Used - $999.99 0 Jan/30/13 Sep/02/15
Description: (HA01) AMAT APPLIED MATERIALS 0020-20691 COOLDOWN CH PEDESTAL ASSY USED WORKING
sparepartssolution Used - $1,499.99 1 Jan/30/13 Jun/03/13
Description: (126-0105) AMAT APPLIED MATERIALS 0010-09874 0010-09871 VDS CONTROL USED WORKING
sparepartssolution Used - $459.99 0 Jan/30/13 Aug/27/13
Description: (126-0103) AMAT APPLIED MATERIALS 1080-01133 MOTOR DRIVER USED WORKING
sparepartssolution Used - $19.99 1 Jan/30/13 Mar/26/13
Description: (126-0103) AMAT APPLIED MATERIALS 0720-01597 CONNECTOR USED WORKING
sparepartssolution Used - $299.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0090-70000 MTR-BRK-ENCDR ASSY USED WORKING
sparepartssolution Used - $149.99 1 Jan/30/13 Feb/22/13
Description: (126-0103) AMAT APPLIED MATERIALS 0050-21983 GAS LINE USED WORKING
sparepartssolution Used - $199.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0040-20056 ADAPTOR ELBOW .25VCR USED WORKING
sparepartssolution Used - $249.99 1 Jan/30/13 Mar/31/15
Description: (126-0103) AMAT APPLIED MATERIALS 0020-23635 LIFT HOOP 6 101% W/B USED WORKING
sparepartssolution Used - $499.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0020-21690 PLATE CCD VACUUM SEAL USED WORKING
sparepartssolution Used - $299.99 1 Jan/30/13 Feb/19/13
Description: (126-0103) AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT USED WORKING
sparepartssolution Used - $999.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0010-05574 P5000 ZA SLIT VALVE USED WORKING
sparepartssolution Used - $5,999.99 0 Jan/30/13 May/13/14
Description: (117-0101) AMAT APPLIED MATERIALS 0010-76152 HTHU 8inch HEATER USED WORKING
sparepartssolution Used - $199.99 0 Jan/30/13 Oct/17/13
Description: (116-0201) AMAT APPLIED MATERIALS 0030-20006 SOURCE COVER USED WORKING
sparepartssolution Used - $4,599.99 0 Jan/30/13 Aug/03/13
Description: (116-0201) AMAT APPLIED MATERIALS 0010-70441 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Jan/30/13 Apr/11/13
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13629 MOTORIZED LIFT ASSY USED WORKING
bruce135 Used - $99.00 0 Jan/31/13 Mar/02/13
Description: CKD PMM20-10BUP Teflon High Purity Type Regulator Used
bruce135 Used - $49.00 0 Jan/31/13 Mar/02/13
Description: One CKD LYX-1205 Adjustable Chemical Liquid Valves w/ Position Sensors Used
bruce135 Used - $49.00 0 Jan/31/13 Mar/02/13
Description: Huba Control 604.E010020 Mechanical Pressure Switch & SMC ISE50-02-22L-M Used
bruce135 Used - $59.00 0 Jan/31/13 Mar/02/13
Description: 2 pc lot SMC VNB204AS Pilot Process Valves Main 0-1MPa Pilot 0.25 - 7MPa Used
bruce135 Used - $49.00 1 Jan/31/13 Feb/28/13
Description: Lot of 3 CKD TMD30-X0222 High Purity Type Valves with Handle Used
bruce135 Used - $39.00 0 Jan/31/13 Mar/02/13
Description: Lot of 5 CKD AMD312-10BUP Air-Operated Manifold Branch Pneumatic Valves Used
bruce135 Used - $99.00 0 Jan/31/13 Mar/02/13
Description: Lot of 2 CKD LYX-1160 Adjustable Chemical Liquid Valves w/ Position Sensor Used
bruce135 Used - $39.00 0 Jan/31/13 Mar/02/13
Description: CKD TMD40-X0205 High Purity Type Valve with Handle Used & SUNX GL-18HL Sensor
ab-international Used - $73.96 0 Jan/30/13 Mar/12/24
Description: USED Veriflo 959100W2PFSMM 43700614 REGULATOR
sparepartssolution Used - $49.99 3 Sep/21/12 Jan/30/13
Description: (118-0101) NUPRO SS-BNV51-C PENUMATIC VALVE USED WORKING
sparepartssolution Used - $49.99 5 Sep/21/12 Jan/30/13
Description: (118-0101) NUPRO SS-BNVCR4-C PENUMATIC VALVE USED WORKING
sparepartssolution Used - $9,999.99 0 Feb/01/13 Jun/24/14
Description: (HA01) AMAT APPLIED MATERIALS 0010-21844 G12 DURA SOURCE MAGNET USED WORKING
alphatronique Used - $550.00 1 Jan/02/13 Feb/01/13
Description: USED Zevatech PM460 Pick and Place Complete and working HEAD
tubeseller919 NEW - $122.50 1 Feb/01/13 Feb/06/13
Description: SWAGELOK MS-HTB-4T 1/4 TUBE BENDER NEW NEVER USED NIB
usedeqsales Used - $109.12 1 Sep/04/12 Jan/31/13
Description: Applied Materials AMAT Quantum Leap 3 Wafer on Heatsink Assy 9010-00536ITL Used
usedeqsales Used - $109.12 1 Sep/04/12 Jan/31/13
Description: Applied Materials AMAT Quantum Leap 3 Wafer Heatsink Sensor 9010-01347ITL Used
usedeqsales Used - $205.12 1 May/31/12 Jan/31/13
Description: MKS Pressure Transducer 131882-G3 Lot of 3 Used Working
usedeqsales Used - $204.12 1 Apr/09/12 Jan/31/13
Description: Carlo Gavazzi Contactor RJ1P23V50E Lot of 4 Used Working
usedeqsales Used - $753.12 1 Mar/21/12 Jan/31/13
Description: SMC Cylinder Actuator NCDQ1B32-G0069-100 Lot of 11 Used Working
esolutions1 Used - $199.00 1 Feb/03/13 Nov/03/14
Description: Nor-Cal Valve Actuator AMAT 0190-25722 Used Working
waste-not-recycling Used - $259.99 0 Feb/05/13 Mar/07/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
waste-not-recycling Used - $259.99 0 Feb/05/13 Mar/07/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT, Rev B We Pulled, Used
waste-not-recycling Used - $399.99 0 Feb/05/13 Mar/07/13
Description: AMAT 0100-00003 Rev C Stepper Drive PCB, Used from a retired mainframe.
ab-international Used - $91.35 0 Feb/06/13 Jan/31/24
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
ab-international Used - $78.75 0 Feb/06/13 Jan/31/24
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
waste-not-recycling Used - $24.99 0 Feb/07/13 Feb/14/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
trees_for_a_better_tomorrow Used - $435.00 0 Feb/08/13 Jun/08/13
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $362.50 1 Feb/08/13 Mar/15/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
usedeqsales Used - $5,002.13 0 Feb/08/13 Jun/03/13
Description: Applied Materials AMAT Quantum Beamline Flight Tube 9010-00940 Used Working
ab-international Used - $131.25 0 Feb/08/13 May/08/19
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $682.50 1 Feb/08/13 Jan/27/21
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
neilan1987 Used - $355.00 1 Feb/09/13 Feb/16/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable Used
neilan1987 Used - $202.50 1 Feb/09/13 Feb/16/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
neilan1987 Used - $224.50 1 Feb/09/13 Feb/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
trees_for_a_better_tomorrow Used - $75.00 0 Feb/11/13 Dec/23/13
Description: Used AMAT Applied Materials 0190-09085 60 EV Manifold Pnuematic PCB READ DESCRIP
trees_for_a_better_tomorrow Used - $198.75 1 Feb/11/13 Apr/28/15
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $477.00 1 Feb/11/13 May/28/13
Description: Used Card Controller 16MB PCBA V36 33MHZ CPU 0660-01624 GMSV36-05-F AMAT
sparepartssolution Used - $299.99 1 Feb/20/13 Feb/22/13
Description: (126-0103) AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT USED WORKING
waste-not-recycling Used - $24.99 0 Feb/19/13 Mar/21/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
neilan1987 Used - $100.00 1 Feb/24/13 Mar/03/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable Used
neilan1987 Used - $100.00 0 Feb/24/13 Mar/03/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
neilan1987 Used - $100.00 1 Feb/24/13 Mar/03/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
sellyoursurplus Used - $750.00 0 Feb/26/13 Mar/05/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus Used - $115.00 0 Feb/26/13 Mar/05/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $350.00 0 Feb/26/13 Mar/05/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $350.00 0 Feb/26/13 Mar/05/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus Used - $95.00 0 Feb/26/13 Mar/05/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $100.00 0 Feb/26/13 Mar/05/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $995.00 0 Feb/26/13 Mar/05/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $95.00 0 Feb/26/13 Mar/05/13
Description: Used Card Controller 16MB PCBA V36 33MHZ CPU 0660-01624 GMSV36-05-F AMAT
supertechshop Used - $199.00 0 Mar/01/13 Mar/31/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
neilan1987 Used - $127.50 1 Mar/05/13 Mar/12/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
waste-not-recycling Used - $399.99 0 Mar/07/13 Apr/06/13
Description: AMAT 0100-00003 Rev C Stepper Drive PCB, Used from a retired mainframe.
waste-not-recycling Used - $259.99 0 Mar/08/13 Apr/07/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
waste-not-recycling Used - $259.99 0 Mar/08/13 Apr/07/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT, Rev B We Pulled, Used
usedeqsales Used - $1,003.13 0 Mar/12/13 Oct/22/13
Description: AMAT 0010-99090 Quantum Leap 3 Process Assembly 0020-81401 Used Working
usedeqsales Used - $803.13 1 Mar/12/13 Jul/22/13
Description: AMAT 0020-87074 XR80 Cylinder Drive Assembly 0010-92377 Used, Working
neilan1987 Used - $150.00 1 Mar/13/13 Mar/20/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable Used
neilan1987 Used - $100.00 0 Mar/13/13 Mar/20/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
neilan1987 Used - $100.00 0 Mar/13/13 Mar/20/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
sellyoursurplus Used - $115.00 0 Mar/17/13 Mar/24/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $350.00 0 Mar/17/13 Mar/24/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $100.00 0 Mar/17/13 Mar/24/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus NEW - $995.00 0 Mar/17/13 Mar/24/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $95.00 0 Mar/17/13 Mar/24/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $750.00 0 Mar/17/13 Mar/24/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus Used - $350.00 0 Mar/17/13 Mar/24/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus Used - $95.00 0 Mar/17/13 Mar/24/13
Description: Used Card Controller 16MB PCBA V36 33MHZ CPU 0660-01624 GMSV36-05-F AMAT
used1eqsales Used - $703.13 2 Mar/18/13 Aug/22/13
Description: AMAT Applied Materials 0020-31347 P5000 Lamp Module Assembly Used Working
semiguy2596 NEW - $150.00 0 Mar/18/13 Mar/21/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
used1eqsales Used - $10,003.10 0 Mar/19/13 Nov/11/14
Description: AMAT Quantum Leap 3 Process Wheel used 300mm 0040-49084
usedeqsales Used - $703.13 0 Mar/19/13 Oct/18/18
Description: AMAT 0040-77316 copper exposed robot alignment fixture and manifold used
capitolareatech Used - $350.00 0 Mar/19/13 Sep/15/13
Description: LAM RESEARCH 716-011427-003 USED DISK,CER,9600 DSQ
neilan1987 Used - $100.00 0 Mar/20/13 Mar/27/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
neilan1987 Used - $100.00 1 Mar/20/13 Mar/27/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
semiguy2596 NEW - $0.99 0 Mar/21/13 Mar/28/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
waste-not-recycling Used - $24.99 0 Mar/22/13 Apr/21/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
farmoninc Used - $17,500.00 0 Mar/22/13 Dec/06/14
Description: 2 USED AMAT Endura PVD source 0020-20171. One w/ used magnet 0010-20818
semiguy2596 NEW - $150.00 0 Mar/23/13 Apr/03/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
usedeqsales Used - $503.13 1 Mar/26/13 Jun/18/13
Description: Millipore CMHT-11S02 Manometer 685-008451-001 REV.D LAM 4420 Used Working
usedeqsales Used - $303.13 1 Mar/27/13 Oct/02/18
Description: Mykrolis CMHT110232E Manometer 853-011053-002 LAM 4420 Used Working
usedeqsales Used - $1,503.13 2 Mar/27/13 Mar/10/14
Description: AMAT Applied Materials 0020-02284 Shield Mounting Assembly Quantum Leap 2 used
neilan1987 Used - $100.00 1 Mar/27/13 Apr/03/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
usedeqsales Used - $403.13 0 Mar/28/13 Sep/24/13
Description: LAM Research 715-130080-008 200mm End Effector REV. F LAM 4500 Used Working
usedeqsales Used - $2,003.13 0 Mar/28/13 May/01/15
Description: Berkeley Process Control BX2-8/6-01-A BX2 Controller AMAT 0500-00282 Used
farmoninc Used - $2,500.00 0 Mar/28/13 May/13/13
Description: AMAT 0040-33998 Chamber Liner, looks new, sell as used
usedeqsales Used - $404.13 0 Apr/01/13 May/05/15
Description: MKS Instruments AS00124-04 MicroNode I/O 409-019 AMAT 0190-25870 lot of 4 used
usedeqsales Used - $304.13 2 Apr/01/13 May/04/15
Description: MKS Instruments AS00124-04 MicroNode I/O 509-020 AMAT 0190-25870 lot of 3 used
neilan1987 Used - $69.99 1 Apr/02/13 Apr/02/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 Used - $49.99 1 Apr/02/13 Apr/09/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
usedeqsales Used - $3,504.13 1 Apr/02/13 Oct/29/13
Description: Animatics Novellus Concept Two CDP2407-2 Motion Controller 27-050014-02 Used
semiguy2596 NEW - $0.99 1 Apr/03/13 Apr/10/13
Description: NO RESERVE!!(127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $0.99 0 Apr/03/13 Apr/10/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
supertechshop Used - $199.00 0 Apr/03/13 May/03/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $404.13 0 Apr/03/13 May/05/15
Description: Mass-Vac 355084 MV Multi-Trap Vacuum AMAT 0190-34121 Used Working
usedeqsales Used - $654.13 1 Apr/04/13 Sep/06/13
Description: MDC 996035 Manual Operated Angle Valve AMAT 3870-01352 Lot of 4 Used Working
usedeqsales Used - $1,804.13 0 Apr/05/13 Apr/30/15
Description: Horiba STEC LF-310A-EVD Liquid Mass Flow Meter AMAT 3030-08009 Used Working
usedeqsales Used - $2,104.13 0 Apr/05/13 Feb/20/15
Description: Horiba STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-09966 Used Working
sellyoursurplus NEW - $995.00 0 Apr/07/13 Apr/14/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Apr/07/13 Apr/14/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus Used - $95.00 0 Apr/07/13 Apr/14/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $350.00 0 Apr/07/13 Apr/14/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus Used - $350.00 0 Apr/07/13 Apr/14/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $115.00 0 Apr/07/13 Apr/14/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $100.00 0 Apr/07/13 Apr/14/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus Used - $95.00 0 Apr/07/13 Apr/14/13
Description: Used Card Controller 16MB PCBA V36 33MHZ CPU 0660-01624 GMSV36-05-F AMAT
waste-not-recycling Used - $259.99 0 Apr/08/13 May/08/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
waste-not-recycling Used - $259.99 0 Apr/08/13 May/08/13
Description: Motorola MVME 202 Applied Materials 0100-00127 VME AMAT, Rev B We Pulled, Used
waste-not-recycling Used - $399.99 0 Apr/08/13 May/08/13
Description: AMAT 0100-00003 Rev C Stepper Drive PCB, Used from a retired mainframe.
bobsgoodies NEW - $97.00 0 Apr/08/13 May/08/13
Description: Oriental Motors Vexta PK569AUA 5-Phase Stepper Motor used in AMAT 0190-35788
neilan1987 Used - $150.00 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
neilan1987 Used - $49.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
sparepartssolution Used - $999.99 0 Apr/16/13 Apr/23/14
Description: (AC06) NOVELLUS 02-034833-00 SESIOC WTS 0 USED WORKING
usedeqsales Used - $1,204.13 4 Apr/15/13 May/01/13
Description: Trazar Corporation AMU2-1 Automatch Novellus 27-032653-00 Concept II Altus used
sellyoursurplus Used - $100.00 0 Apr/16/13 May/16/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus Used - $95.00 0 Apr/16/13 May/16/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $350.00 0 Apr/16/13 May/16/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus Used - $350.00 0 Apr/16/13 May/16/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $115.00 0 Apr/16/13 May/16/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus NEW - $995.00 0 Apr/16/13 May/16/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Apr/16/13 May/16/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus Used - $95.00 0 Apr/16/13 May/16/13
Description: Used Card Controller 16MB PCBA V36 33MHZ CPU 0660-01624 GMSV36-05-F AMAT
waste-not-recycling Used - $24.99 0 Apr/22/13 May/22/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
usedeqsales Used - $504.13 1 Apr/23/13 May/24/13
Description: MEI Motion Engineering T008-2005 Motion Controller Rev.R1B AMAT 0190-39949 used
usedeqsales Used - $902.07 2 Apr/24/13 Jun/01/13
Description: Parker Veriflo SQMICRO302PFSMM Pressure Regulator AMAT 3800-01173 Lot of 23 Used
sellyoursurplus Used - $750.00 0 Apr/24/13 May/01/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus NEW - $995.00 0 Apr/24/13 May/01/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $350.00 0 Apr/24/13 May/01/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $350.00 0 Apr/24/13 May/01/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus Used - $95.00 0 Apr/24/13 May/01/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $115.00 0 Apr/24/13 May/01/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $95.00 0 Apr/24/13 May/01/13
Description: Used Card Controller 16MB PCBA V36 33MHZ CPU 0660-01624 GMSV36-05-F AMAT
usedeqsales Used - $1,504.13 3 Apr/25/13 Apr/16/14
Description: MKS 852B12PCJGC Baratron Pressure Transducer AMAT 1350-01200 Lot of 24 Used
usedeqsales Used - $1,004.13 3 Apr/25/13 Jul/13/14
Description: Veriflo SQMICROHF302PFSMM Pressure Regulator AMAT 3800-01169 Lot of 12 Used
kinneycorp Used - $365.87 0 Apr/25/13 May/05/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales Used - $804.13 1 Apr/25/13 Sep/04/13
Description: Fujikin 038819 Diaphragm Valve AMAT 3870-01766 Lot of 17 Used working
sellyoursurplus Used - $100.00 0 Apr/25/13 May/02/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sparepartssolution Used - $999.99 0 Apr/29/13 Sep/02/15
Description: (127-0201) AMAT APPLIED MATERIALS 0010-35511 PID CONTROLLER EXCLUDE CABLE USED
sparepartssolution Used - $1,999.99 0 Apr/29/13 Feb/03/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-35302 DPS LAMP DRIVER USED
sparepartssolution Used - $1,399.99 0 Apr/29/13 Apr/20/15
Description: (127-0201) AMAT APPLIED MATERIALS 1210-02865 RES DUMMY LOAD 1.5K USED WORKING
neilan1987 Used - $125.00 0 Apr/30/13 May/04/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
usedeqsales Used - $504.13 2 Apr/30/13 Dec/01/14
Description: Fujikin 043926 Diaphragm Valve Block AMAT 3870-01828 Lot of 10 Used
usedeqsales Used - $254.13 0 Apr/30/13 Apr/21/14
Description: Fujikin 043926 Diaphragm Valve Block AMAT 3870-01828 Lot of 5 Used
usedeqsales Used - $254.13 0 May/01/13 Jul/03/13
Description: Fujikin 036782 Diaphragm Valve Block AMAT 3870-01828 Lot of 5 Used
sparepartssolution Used - $2,799.99 1 May/01/13 Jul/01/13
Description: (117-0501) AMAT APPLIED MATERIALS 0010-70254 STANDARD HEATER USED WORKING
sparepartssolution Used - $9,999.99 0 May/02/13 Aug/20/13
Description: (HA01) AMAT APPLIED MATERIALS 0010-11997 0040-36180 TXZ HEATER USED CLEANED
sparepartssolution NEW - $450.00 0 May/02/13 Dec/04/14
Description: (112-0201) AMAT APPLIED MATERIALS 0150-76461 CABLE, 55 FT RF COAXIAL USED WORKIN
usedeqsales Used - $505.13 0 May/01/13 Apr/21/14
Description: Fujikin 038838 Diaphragm 2-Way Valve AMAT 3870-01767 Lot of 11 Used
usedeqsales Used - $8,005.13 0 May/02/13 Apr/30/15
Description: MKS AX7685-20 Remote Plasma Source ASTRONex AMAT 0190-34542 Rev. B Used Working
usedeqsales Used - $504.12 1 Apr/10/12 May/01/13
Description: Oriental Motor Stepping Motor A3723-9215 C5347-9212M Lot of 10 Used Working
usedeqsales Used - $5,505.13 0 May/03/13 May/23/14
Description: MKS FI80131 Remote Plasma Source ASTRONex AMAT 0920-00131 Rev C Used Working
usedeqsales Used - $505.13 4 May/03/13 Dec/11/14
Description: Novellus 03-032706-00 DLCM Interlock Board Used Working
supertechshop Used - $199.00 0 May/03/13 Jun/02/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
used1eqsales Used - $1,205.13 1 May/06/13 Oct/13/15
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis Rev. C Used Working
waste-not-recycling Used - $199.99 0 May/08/13 Jun/07/13
Description: AMAT 0100-00003 Rev C Stepper Drive PCB, Used from a retired mainframe.
waste-not-recycling Used - $259.99 0 May/08/13 Jun/07/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
usedeqsales Used - $505.13 2 May/08/13 Jan/31/14
Description: Novellus 03-034719-00 Left Loadlock Interface Rev G PCB Used Working
usedeqsales Used - $105.13 1 May/09/13 Jun/26/13
Description: Leica 86 11101553/0208 BZ:2 Lamp House AMAT 0060-21446 Rev. A Used Working
usedeqsales Used - $305.13 1 May/09/13 May/21/13
Description: Deublin 957-600 Air Rotary Union AMAT 0190-01272 used working
bobsgoodies NEW - $97.00 0 May/10/13 May/17/13
Description: Oriental Motors Vexta PK569AUA 5-Phase Stepper Motor used in AMAT 0190-35788
csi.usa Used - $1,000.00 0 May/10/13 May/13/13
Description: APPLIED MATERIALS P/N 0010-70162 ACTUATOR SLIT VALVE ASSY.(1 new, 1 used)
kinneycorp Used - $355.77 0 May/16/13 May/26/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
bruce135 Used - $499.00 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
usedeqsales Used - $605.13 5 May/17/13 Jun/22/13
Description: Applied Materials 50001344-01 ConnectPort TS 16 AMAT 0190-27952 Used Working
usedeqsales Used - $405.13 0 May/20/13 May/05/15
Description: Applied Materials 0190-16375 Nano-Change MPIS DeviceNet Rev. 002 Lot of 2 Used
usedeqsales Used - $505.13 10 May/21/13 Dec/18/14
Description: Applied Materials P1166 Zero Firing Variable Time Base SCR Power 0190-09764 Used
usedeqsales Used - $305.13 0 May/22/13 May/04/15
Description: Pneumatic N.C. 3870-00899 Diaphragm Valve AMAT Lot of 8 Used
waste-not-recycling Used - $19.99 0 May/22/13 Jun/13/13
Description: AMAT Output PWB Fuse 0100-00002 Rev E, Applied Materials Used
usedeqsales Used - $155.13 1 May/28/13 Jun/27/13
Description: MDC 996035 Manual Operated Angle Valve AMAT 3870-01352 Used
used1eqsales Used - $2,005.13 1 May/29/13 Apr/22/16
Description: AMAT Applied Materials 0190-01769 Endura Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 1 May/29/13 Apr/22/16
Description: AMAT Applied Materials 0190-05576 Endura Mainframe Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 1 May/29/13 May/23/17
Description: AMAT Applied Materials 0190-02032 Endura RF Cable Rev. 006 Used Working
usedeqsales Used - $505.13 0 May/30/13 May/05/15
Description: DIP CDN497 Interlock Control Module AMAT 0190-06178 Used
trees_for_a_better_tomorrow Used - $100.00 0 Jun/01/13 Jun/08/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
supertechshop Used - $199.00 0 Jun/04/13 Jul/04/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $606.13 1 Jun/05/13 Nov/02/13
Description: Reliance Electric 184363012 Stepper Motor AMAT 0010-03907 Used
usedeqsales Used - $7,506.13 0 Jun/06/13 Sep/04/13
Description: Applied Materials 0195-10797 3 Phase Transformer Square D EE225T1755H Used
sparepartssolution Used - $2,999.99 0 Jun/08/13 Apr/20/15
Description: (127-0203) AMAT APPLIED MATERIALS 0010-76600 BLADE ASSY 8 THIN META USED WORKING
sparepartssolution Used - $99.99 0 Jun/08/13 Sep/02/15
Description: (118-0101) AMAT APPLIED MATERIALS 3870-01306 VERIFLO 930AOPLPNCSFSFF USED WORKIN
sparepartssolution Used - $999.99 0 Jun/08/13 Sep/02/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-22545 SPECIFICATION, 5.X FI USED WORKING
sparepartssolution Used - $499.99 0 Jun/08/13 Sep/02/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-22543 SPECIFICATION, 5.X FI USED WORKING
usedeqsales Used - $506.13 2 Jun/10/13 May/05/15
Description: Schneider Electric ATV32HU40N4 Variable Speed Drive AMAT 0190-34535 Used Working
usedeqsales Used - $456.13 1 Jun/10/13 Sep/18/13
Description: Veriflo SQMICRO302PFSMM Pressure Regulator AMAT 3800-01173 Lot of 9 Used
usedeqsales Used - $606.13 0 Jun/11/13 Aug/21/13
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27880 Lot of 6 Used
usedeqsales Used - $506.13 0 Jun/11/13 Aug/21/13
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27880 Lot of 5 Used
usedeqsales Used - $1,806.13 0 Jun/11/13 Apr/30/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27882 Lot of 4 Used Wokring
usedeqsales Used - $206.13 1 Jun/11/13 Jun/12/13
Description: Horibastec SEC-Z522MGXN Mass Flow Controller AMAT 3030-15620 Lot of 2 Used
usedeqsales Used - $306.13 1 Jun/11/13 Jul/02/13
Description: Aera FCPI980CBAXDIDJAA Mass Flow Controller AMAT 0190-34214 Lot of 3 Used
usedeqsales Used - $456.13 0 Jun/11/13 May/05/15
Description: Aera FCPI981CBAXDIDJAA Mass Flow Controller AMAT 0190-34216 Lot of 2 Used
usedeqsales Used - $456.13 0 Jun/11/13 May/05/15
Description: Aera FCPI981CBAXDIDJAA Mass Flow Controller AMAT 0190-34218 Lot of 2 Used
usedeqsales Used - $3,506.13 1 Jun/11/13 May/01/15
Description: AE Advanced Energy 3151801-004 Plasma Source Generator AMAT No. 0190-13025 Used
sparepartssolution Used - $399.99 0 Jun/13/13 Sep/02/15
Description: (128-0201) AMAT APPLIED MATERIALS 3870-01331 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $299.99 0 Jun/13/13 Sep/02/15
Description: (118-0201) AMAT APPLIED MATERIALS 3870-01919 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $299.99 0 Jun/13/13 Sep/02/15
Description: (118-0201) AMAT APPLIED MATERIALS 3870-01244 NOR-CAL VALVE USED WORKING
usedeqsales Used - $306.13 6 Jun/13/13 Feb/03/21
Description: Sanyo Denki PV1A015SM61P50 Servo Amplifier BL Super PV AMAT 0870-01072 Used
sellyoursurplus Used - $95.00 0 Jun/16/13 Jun/19/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $115.00 0 Jun/16/13 Jun/19/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $350.00 0 Jun/16/13 Jun/19/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $995.00 0 Jun/16/13 Jun/19/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Jun/16/13 Jun/19/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus Used - $350.00 0 Jun/16/13 Jun/19/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus Used - $100.00 0 Jun/16/13 Jun/19/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
usedeqsales Used - $503.07 1 Jun/17/13 Dec/18/13
Description: Xantrex XHR 150-7 DC Power Supply AMAT 1140-00153 Used Tested Working
usedeqsales Used - $1,006.13 0 Jun/17/13 Dec/31/13
Description: Xantrex XHR 7.5-80 DC Power Supply AMAT 1140-00057 Used Tested Working
usedeqsales Scrap, for parts - $3,006.13 1 Jun/17/13 Aug/12/13
Description: Daihen RGA-300C2 RF Power Generator AMAT 0190-73112 Used As Is
usedeqsales Used - $1,206.13 1 Jun/17/13 Jun/18/13
Description: NSK ELA-B014CG2-04 Servo Motor Driver 2 Axis AMAT 0190-25916 Used
usedeqsales Used - $306.13 2 Jun/17/13 Oct/12/13
Description: MDC KAV-100 Manual Angle Valve AMAT 3870-02742 Lot of 2 Used Working
usedeqsales Used - $356.13 2 Jun/17/13 Dec/06/14
Description: Mass-Vac 355084 MV Multi-Trap Vacuum AMAT 0190-34121 Used
usedeqsales Used - $2,506.13 1 Jun/18/13 Aug/29/13
Description: Fujikin 038838 Diaphragm 2-Way Valve AMAT 3870-01767 Reseller Lot of 258 Used
usedeqsales Used - $1,006.13 1 Jun/18/13 Sep/17/14
Description: Leybold NT 340 MA Turbopump Controller 85732 AMAT 0730-01040 Used Tested Working
usedeqsales Used - $153.07 1 Jun/18/13 Nov/12/13
Description: Parker Veriflow 45200266 Pneumatic Diaphram Valve AMAT 3870-04150 Lot of 5 Used
sparepartssolution Used - $2,999.99 0 Jun/19/13 Mar/06/14
Description: (112-0301) AMAT APPLIED MATERIALS 0010-20351 5/6 PVD Degas USED WORKING
usedeqsales Used - $756.13 0 Jun/19/13 Sep/04/13
Description: Fujikin 038819 Manual Diaphragm Valve AMAT 3870-01766 Lot of 19 Used
usedeqsales Used - $300.13 0 Jun/19/13 May/04/15
Description: Yaskawa SGDH-01AE-N3Y929 Servo Drive AMAT 0190-41094 Used Working
usedeqsales Used - $453.07 1 Jun/19/13 Nov/23/13
Description: Animatics SM3410-APPMAT1 Severo Motor SmartMotor AMAT 1080-00125 Used
usedeqsales Scrap, for parts - $3,206.13 1 Jun/19/13 Sep/05/13
Description: MKS AX7685-20 Remote Plasma Source AMAT 0190-34542 Used Tested Not Working As-Is
usedeqsales Used - $3,506.13 0 Jun/20/13 Jan/11/17
Description: ASTRONex MKS Instruments AX7685-20 Plasma Source Rev. B AMAT 0190-34542 Used
sellyoursurplus Used - $350.00 0 Jun/20/13 Jun/30/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus NEW - $995.00 0 Jun/20/13 Jun/30/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Jun/20/13 Jun/30/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus Used - $115.00 0 Jun/20/13 Jun/30/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $100.00 0 Jun/20/13 Jun/30/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus Used - $95.00 0 Jun/20/13 Jun/30/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $350.00 0 Jun/20/13 Jun/30/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
usedeqsales Used - $306.13 1 Jun/21/13 Oct/05/14
Description: MDC KAV-150-P-10 Pneumatic Angle Valve AMAT 3870-02890 Lot of 2 Used Working
mister-surplus Used - $799.00 0 Jun/21/13 May/17/14
Description: Novellus 33-042380-00 Model Motor AC REV A. Used
usedeqsales Used - $506.13 4 Jun/24/13 Sep/02/13
Description: Fujikin 076911 Manual Diaphragm Valve AMAT 3870-01766 Reseller Lot of 50 Used
usedeqsales Used - $506.13 2 Jun/24/13 Sep/02/13
Description: Fujikin 043926 Diaphragm Valve AMAT 3870-01828 Reseller Lot of 50 Used
usedeqsales Used - $506.13 2 Jun/24/13 Jul/15/13
Description: MKS 852B-13384 Baratron Presssure Transducer AMAT 1350-01200 Lot of 50 Used
usedeqsales Used - $6,506.13 1 Jun/24/13 Jun/25/13
Description: ENI GHW50A-12DF3S0-001 RF Generator Rev. E AMAT 0190-34296 Used Tested Working
usedeqsales Used - $2,506.13 1 Jun/25/13 Sep/04/14
Description: Comdel FP1335R4 RF Generator CLX-2500 AMAT 0190-01370 Used Tested Working
usedeqsales Used - $606.13 0 Jun/25/13 May/04/15
Description: SMC VV5Q11-08-DAJ00314 Pneumatic Manifold AMAT 0190-23114 Lot of 3 Used
usedeqsales Used - $122.45 3 Jun/26/13 May/18/14
Description: SMC VV5Q11-ULB990135 Pneumatic Manifold AMAT 4060-01172 Used Working
usedeqsales Used - $153.07 1 Jun/28/13 Dec/29/14
Description: Granville-Phillips 20360140 Ion Gauge Cable AMAT 0190-21223 Used Working
bruce135 Used - $499.00 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
trees_for_a_better_tomorrow Used - $100.00 0 Jul/01/13 Jul/08/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
neilan1987 Used - $49.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 Used - $149.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
sparepartssolution Used - $2,799.99 0 Jul/05/13 Jul/02/14
Description: (117-0501) AMAT APPLIED MATERIALS 0010-70254 STANDARD HEATER USED WORKING
neilan1987 Used - $299.99 0 Jul/04/13 Jul/11/13
Description: DIP INC. CDN396 15039603 I/O Card AMAT 0190-01270 USED
supertechshop Used - $199.00 0 Jul/05/13 Aug/04/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
kinneycorp Used - $299.73 0 Jul/18/13 Jul/28/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
neilan1987 Used - $99.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
neilan1987 Used - $39.99 0 Jul/17/13 Jul/24/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
usedeqsales Used - $2,707.13 1 Jul/17/13 Sep/19/14
Description: Comdel FP3206R1 RF Generator CX1250S AMAT 0190-22925 Used Tested Working
usedeqsales Used - $507.13 1 Jul/11/13 Oct/09/19
Description: Novellus Systems 03-283968-00 Interface FE/LL C3 Vector Used Working
usedeqsales Used - $307.13 0 Jul/15/13 Dec/15/20
Description: AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working
usedeqsales Used - $407.13 1 Jul/19/13 Apr/03/14
Description: Norgren VM10AMATACP161 Pneumatic Manifold AMAT 0190-24983 Used Working
usedeqsales Used - $2,007.13 0 Jul/19/13 May/01/15
Description: Kensington 25-4021-0015-01 Servo Position Controller 40000 AMAT 0190-06908 Used
neilan1987 Used - $249.99 0 Jul/20/13 Jul/27/13
Description: DIP INC. CDN396 15039603 I/O Card AMAT 0190-01270 USED
usedeqsales Used - $307.13 1 Jul/22/13 Sep/29/14
Description: Exactus IFM8-915 8 Channel HUB Pyro/Em AMAT 0190-15988 Used Working
usedeqsales Used - $307.13 2 Jul/22/13 Sep/10/14
Description: Exactus IFM8-915 8 Channel Hub PYRO/EM AMAT 0190-32884 Used
usedeqsales Used - $307.13 0 Jul/22/13 May/05/15
Description: Watlow D881-0000-1000 D8 Series Temperature Controller AMAT 0190-25286 Used
usedeqsales Used - $307.13 0 Jul/22/13 Oct/15/14
Description: Watlow D881-0000-1000 D8 Series Temperature Controller AMAT 0190-29681 Used
usedeqsales Used - $407.13 0 Jul/23/13 May/05/15
Description: AMAT Applied Materials 0270-70685 Centura Endura LLK Assembly Stand Used Working
neilan1987 Used - $39.99 0 Jul/24/13 Jul/31/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
usedeqsales Used - $657.13 1 Jul/25/13 Sep/27/16
Description: LAM Research 853-001983-010 Endpoint Detector 4420 Rev. T Used Working
usedeqsales Used - $307.13 0 Jul/25/13 May/18/23
Description: AMAT Applied Materials 0270-04365 EVR Extraction Tool Used Working
neilan1987 Used - $89.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
farmoninc Used - $30.00 0 Jul/26/13 May/18/23
Description: used AMAT 0050-77104 tubing interplaten
kinneycorp Used - $240.68 0 Aug/01/13 Aug/11/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales Used - $608.13 1 Aug/01/13 Aug/02/13
Description: Stober P821SPZ0070MT Precision Gearhead AMAT 3970-00029 Lot of 6 Used Working
bruce135 Used - $499.00 0 Aug/01/13 Aug/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
usedeqsales Used - $605.13 2 Aug/02/13 Dec/05/17
Description: AMAT Applied Materials 0190-27952 ConnectPort TS 16 50001344-01 Used Working
usedeqsales Used - $308.13 5 Aug/02/13 Jan/21/14
Description: Sensor Technics SQ01568 Transducer AMAT 0090-00963 Lot of 4 Used Working
usedeqsales Used - $508.13 0 Aug/02/13 May/04/15
Description: AMAT Applied Materials 0090-06586 Rack Tool Control Server Rev. 01 Used Working
neilan1987 Used - $89.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
trees_for_a_better_tomorrow Used - $100.00 0 Aug/03/13 Aug/10/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
usedeqsales Used - $808.13 1 Aug/05/13 Aug/18/13
Description: Synergy V440 I/O Module Board AMAT 0190-76043 Used
usedeqsales Used - $508.13 0 Aug/05/13 May/04/15
Description: DIP CDN396 PCB AMAT 0190-01270 Rev. 005 Used
usedeqsales Used - $408.13 0 Aug/05/13 May/05/15
Description: NANOmetrics 3200-016471 Power Pack Assembly AMAT 0500-00243 Used Working
supertechshop Used - $199.00 0 Aug/06/13 Sep/05/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $308.13 0 Aug/06/13 May/05/15
Description: SMC SS5V1-DUO02365 Pneumatic Manifold AMAT 0190-38051 Used Working
usedeqsales Used - $558.13 1 Aug/06/13 Aug/14/13
Description: Pearson 6165 Current Monitor 0.10 Volts/Amp AMAT 1360-01145 Lot of 4 Used
usedeqsales Used - $408.13 1 Aug/06/13 Aug/18/13
Description: Pearson 6164 Current Monitor 0.05 Volts/Amp AMAT 1360-01144 Lot of 3 Used
usedeqsales Used - $308.13 0 Aug/06/13 May/05/15
Description: AMAT Applied Materials 0190-27313 Capacitance/Pirani Gauge Used Working
usedeqsales Used - $308.13 0 Aug/06/13 May/05/15
Description: Sanyo Denki P30B04010PCKSTA01 AC Servo Motor AMAT 0190-27188 BL Super P3 Used
usedeqsales Used - $408.13 1 Aug/07/13 Oct/29/13
Description: Sanyo Denki P30B04010DXS1CM AC Servo Motor AMAT 0190-15759 Lot of 3 Used
usedeqsales Used - $408.13 1 Aug/07/13 Oct/02/13
Description: Control Instruments SNT476 Sensor AMAT 0140-04933 Lot of 4 Used Working
usedeqsales Used - $308.13 1 Aug/07/13 Jan/21/14
Description: Sensor Technics SQ99770 Pressure Transducer AMAT 0090-77297 Lot of 3 Used
usedeqsales Used - $708.13 1 Aug/07/13 Aug/10/13
Description: Oriental Motor K0043-D Brushless DC Motor Driver AMAT 1080-01190 Used Working
usedeqsales Used - $2,008.13 0 Aug/07/13 Oct/09/14
Description: AMAT Applied Materials 9090-00945 ITL MAG PSU Assembly XR80 Used Working
usedeqsales Used - $1,006.10 3 Aug/09/13 Mar/08/17
Description: Brooks Automation WTM-511-2-FWS02-V1-CU Wet Robot AMAT 0190-08246 Used Working
usedeqsales Used - $2,006.10 0 Aug/09/13 Aug/19/14
Description: Brooks Automation WTM-511-2-FWS02-V1-CU Wet Robot AMAT 0195-02883 Used Working
neilan1987 Used - $79.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
neilan1987 Used - $59.99 0 Aug/12/13 Aug/19/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
usedeqsales Used - $352.10 1 Aug/14/13 Oct/17/18
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
neilan1987 Used - $249.99 0 Aug/14/13 Sep/13/13
Description: DIP INC. CDN396 15039603 I/O Card AMAT 0190-01270 USED
neilan1987 Used - $129.99 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
neilan1987 Used - $59.99 0 Aug/19/13 Sep/18/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
sellyoursurplus Used - $250.00 0 Aug/21/13 Aug/28/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $250.00 0 Aug/21/13 Aug/28/13
Description: Lot of 5 Used AMAT Applied Material 0100-40025 Mainframe Interconnect Board RevA
sellyoursurplus Used - $600.00 0 Aug/21/13 Aug/28/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
sellyoursurplus Used - $115.00 0 Aug/23/13 Aug/30/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
sellyoursurplus Used - $750.00 0 Aug/23/13 Aug/30/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus NEW - $995.00 0 Aug/23/13 Aug/30/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $350.00 0 Aug/23/13 Aug/30/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus Used - $95.00 0 Aug/23/13 Aug/30/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $350.00 0 Aug/23/13 Aug/30/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $100.00 0 Aug/23/13 Aug/30/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
usedeqsales Used - $408.13 1 Aug/29/13 May/05/15
Description: MDC KIV-150-P-51892PC Inline Angle Valve AMAT 0190-40016 Used
usedeqsales Used - $258.13 0 Aug/30/13 May/04/15
Description: MDC KAV-150-P Pneumatic Angle Valve AMAT 3870-01141 Used Working
usedeqsales Used - $3,404.07 1 Aug/30/13 Mar/17/14
Description: MKS AX7670-72 Remote Plasma Source ASTRONi AMAT 0190-40690 Used Tested Working
sellyoursurplus Used - $350.00 0 Aug/31/13 Sep/07/13
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
sellyoursurplus Used - $600.00 0 Aug/31/13 Sep/07/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
sellyoursurplus NEW - $995.00 0 Aug/31/13 Sep/07/13
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
sellyoursurplus Used - $750.00 0 Aug/31/13 Sep/07/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
sellyoursurplus Used - $250.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: Lot of 5 Used AMAT Applied Material 0100-40025 Mainframe Interconnect Board RevA
sellyoursurplus Used - $95.00 0 Aug/31/13 Sep/07/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
sellyoursurplus Used - $350.00 0 Aug/31/13 Sep/07/13
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
sellyoursurplus Used - $100.00 0 Aug/31/13 Sep/07/13
Description: Lot of 2 Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board
sellyoursurplus Used - $115.00 0 Aug/31/13 Sep/07/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board
usedeqsales Used - $43.65 1 Sep/05/13 May/14/14
Description: MKS LPV1-50-AK-CNVS Isolation Pneumatic Angle Valve AMAT 3870-03372 Used Working
usedeqsales Used - $509.13 0 Sep/05/13 May/04/15
Description: AMAT Applied Materials 0010-09933 HV Module ESC Assembly Used Working
usedeqsales Used - $359.13 0 Sep/09/13 Mar/28/22
Description: AMAT Applied Materials 9090-00273 DeviceNet I/O Block DIP294-2 Used Working
usedeqsales Used - $409.13 0 Sep/09/13 May/05/15
Description: SMC US447 24 Port Manifold Valve AMAT 0190-02046 Used Working
supertechshop Used - $199.00 0 Sep/09/13 Oct/09/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
chzamdeals4u Used - $250.00 0 Sep/09/13 Sep/16/13
Description: Used AMAT HSS696 Acquisition Module 0190-19109
chzamdeals4u Used - $300.00 0 Sep/09/13 Sep/16/13
Description: Used AMAT MKS CDN127-1 DeviceNet Peripheral Device Adapter 3620-00122
usedeqsales Used - $369.13 1 Sep/10/13 Oct/26/13
Description: SMC D-276-990020A 24-Port Pneumatic Manifold AMAT 0190-01401 Lot of 5 Used
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: SMC VV5Q11-13-DAN00058 Pneumatic Manifold AMAT 0190-33070 Used Working
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: SMC US15677 Pneumatic Manifold AMAT 4060-00301 Used Working
usedeqsales Used - $359.13 0 Sep/10/13 May/05/15
Description: SMC IN587-23 Pneumatic Manifold AMAT 0190-37883 Used Working
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: SMC VV5Q11-16-DAP00260 Pneumatic Manifold AMAT 4060-00870 Used Working
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: Tescom 44-4760-T9-042 Pressure Regulator Valve Assembly AMAT 0050-40524 Used
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: Tescom 44-4760-T9-042 Pressure Regulator Valve Assembly AMAT 0050-40523 Used
chzamdeals4u Used - $550.00 0 Sep/11/13 Sep/18/13
Description: AMAT Tenta CCM Water Leak Detector PCB AS00021-02 Rev. A 0190-02076 Used
usedeqsales Used - $359.13 3 Sep/12/13 Mar/23/15
Description: Kollmorgen 1000-0121-01 Preliminary Magnet Drive AMAT 0190-03554 Used Working
neilan1987 Used - $249.99 0 Sep/13/13 Feb/25/14
Description: DIP INC. CDN396 15039603 I/O Card AMAT 0190-01270 USED
trees_for_a_better_tomorrow Used - $100.00 0 Sep/15/13 Sep/22/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
neilan1987 Used - $129.99 1 Sep/16/13 Oct/08/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
jygdiy1976 NEW - $399.00 0 Sep/18/13 Jul/04/16
Description: Applied Materials AMAT 0100-01366 Vibration Monitor Board Used Working XR80
usedeqsales Used - $2,009.13 1 Sep/18/13 Feb/07/14
Description: Quality Transformer And Electronics 8453 Transformer AMAT 0190-23160 Used
usedeqsales Used - $1,004.57 0 Sep/18/13 Dec/18/13
Description: Quality Transformer And Electronics 6656 Transformer AMAT 0190-20024 Rev. C Used
usedeqsales Used - $1,004.57 0 Sep/18/13 Dec/18/13
Description: Quality Transformer And Electronics 6656 Transformer AMAT 0190-20024 Rev. B Used
usedeqsales Used - $754.57 0 Sep/18/13 Dec/18/13
Description: Quality Transformer And Electronics 6656 Transformer AMAT 0190-20024 Rev. D Used
neilan1987 Used - $59.99 0 Sep/18/13 Oct/18/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
bobsgoodies NEW - $99.00 0 Sep/23/13 Dec/27/13
Description: SensorTechnics SQ 96612, -14.7 to +10 psig Used in AMAT 0090-77263
usedeqsales Used - $3,509.13 3 Sep/25/13 Apr/14/17
Description: MKS Instruments AX7685-20-C Remote Plasma Source ASTRONex AMAT 0190-34542 Used
usedeqsales Used - $3,510.10 0 Sep/26/13 May/01/15
Description: Asyst UTX-F5500-16 Transfer Robot AMAT 0190-14191 Used Working
chzamdeals4u Used - $250.00 0 Sep/29/13 Oct/06/13
Description: Used AMAT HSS696 Acquisition Module 0190-19109
chzamdeals4u Used - $300.00 0 Sep/29/13 Oct/06/13
Description: Used AMAT MKS CDN127-1 DeviceNet Peripheral Device Adapter 3620-00122
chzamdeals4u Used - $550.00 0 Sep/29/13 Oct/06/13
Description: AMAT Tenta CCM Water Leak Detector PCB AS00021-02 Rev. A 0190-02076 Used
usedeqsales Used - $454.57 6 Sep/30/13 Dec/16/13
Description: VAT 10846-XE28-AXI1 Gate Valve AMAT 0190-37618 Used Working
usedeqsales Used - $1,009.13 6 Sep/30/13 Oct/01/14
Description: VAT 0340X-CA24-BXB1 Rectangular Insert MONOVAT Slit Valve AMAT 0190-40489 Used
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/19/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13235 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/19/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-70441 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/01/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13629 MOTORIZED LIFT ASSEMBY USED WORKING
usedeqsales Used - $4,510.13 0 Oct/01/13 Apr/30/15
Description: Kawasaki C61C-B002 Robot Controller AMAT 0190-06225 Used Working
usedeqsales Used - $510.13 1 Oct/01/13 Nov/05/13
Description: Nor-Cal 021010-1 Gate Valve AMAT 3870-03322 Used Working
usedeqsales Used - $5,510.13 1 Oct/02/13 May/23/14
Description: MKS Instruments AX7685-20 Remote Plasma Source Astron e/ex AMAT 0190-34542 Used
bruce135 Used - $499.00 0 Oct/03/13 Nov/02/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
usedeqsales Used - $1,510.13 4 Oct/03/13 May/01/15
Description: Daihen ATP-15B Microwave Power Generator AMAT 0190-35783 Used Working
usedeqsales Used - $455.07 1 Oct/04/13 Dec/02/13
Description: VAT 10846-XE28-ANL1 Gate Valve AMAT 0190-37618 Used Working
usedeqsales Used - $2,010.13 0 Oct/04/13 Oct/04/13
Description: AMAT Applied Materials 0040-53718 ESC Assembly 300mm OD 5mm 0010-24774 Used
usedeqsales Used - $1,806.08 2 Oct/04/13 Dec/10/13
Description: AE Advanced Energy 3155126-009 RF Match RF Navagator AMAT 0190-23623 Used
sellyoursurplus Used - $750.00 0 Oct/06/13 Oct/13/13
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
usedeqsales Used - $910.13 1 Oct/07/13 Oct/14/13
Description: AE Advanced Energy 3153110-001 DC Power Supply 2305596-A AMAT 0190-28127 Used
usedeqsales Used - $5,510.13 1 Oct/08/13 Nov/21/13
Description: ASTRONi MKS AX7670-19 Remote Plasma Source Rev. F AMAT 0190-26744 Used Working
usedeqsales Used - $3,157.09 1 Oct/08/13 Nov/07/13
Description: ASTRONi MKS AX7670-19 Remote Plasma Source Rev. E AMAT 0190-26744 Used Working
usedeqsales Used - $4,086.08 1 Oct/08/13 Dec/18/13
Description: ASTRONi MKS AX7670-72 Remote Plasma Source Rev. A AMAT 0190-40690 Used Working
usedeqsales Used - $2,107.09 0 Oct/08/13 Nov/05/13
Description: HFV 8000 AE Advanced Energy 3155083-151 RF Power Supply AMAT 0190-01457 Used
usedeqsales Used - $360.13 1 Oct/08/13 Jun/01/15
Description: SBS Technologies CL7R2Q0B00C1HW25 Single Board Computer AMAT 0090-03902 Used
usedeqsales Used - $755.07 1 Oct/09/13 Dec/29/13
Description: Teledyne Instruments API 029290000 Ozonator Controller AMAT 0190-01398 Used
chzamdeals4u Used - $250.00 0 Oct/09/13 Oct/16/13
Description: Used AMAT HSS696 Acquisition Module 0190-19109
sunpunkin73 NEW - $49.99 0 Oct/09/13 Oct/14/13
Description: Lam Research 715-011986-108 Lower Electrode Cap Never Used
chzamdeals4u Used - $550.00 0 Oct/09/13 Oct/16/13
Description: AMAT Tenta CCM Water Leak Detector PCB AS00021-02 Rev. A 0190-02076 Used
chzamdeals4u Used - $300.00 0 Oct/09/13 Oct/16/13
Description: Used AMAT MKS CDN127-1 DeviceNet Peripheral Device Adapter 3620-00122
usedeqsales Scrap, for parts - $1,057.09 0 Oct/09/13 Nov/07/13
Description: Apex 5513 AE Advanced Energy 3156115-002 RF Generator AMAT 0190-16198 Used As-Is
sparepartssolution Used - $950.00 1 Oct/11/13 May/14/14
Description: AMAT APPLIED MATERIALS 0010-10982 SHELL ASY,150MM,FLAT(1S),5.679DIA,5MIC USED
sparepartssolution Used - $2,000.00 0 Oct/11/13 Nov/10/13
Description: AMAT APPLIED MATERIALS 0010-10448 ASSEMBLY,HINGE,R2 USED
sparepartssolution Used - $1,000.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-10436 SCU-STC-L ASSY,AC DISTRIBUTION,120 VAC,R2 USED
sparepartssolution Used - $300.00 1 Oct/11/13 Aug/05/14
Description: AMAT APPLIED MATERIALS 0010-10061 ASSY, HINGE-POS A & D- UNILID USED
sparepartssolution Used - $500.00 0 Oct/11/13 Jul/09/14
Description: AMAT APPLIED MATERIALS 0010-09967 ASSY 8-SLOT WAFER POSITION SENSOR MOUNT USED
sparepartssolution Used - $1,000.00 2 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09928 CONTROLLER BOX ASSY, ESC USED
sparepartssolution Used - $3,000.00 0 Oct/11/13 Apr/29/15
Description: AMAT APPLIED MATERIALS 0010-09913 ASP, LAMP MODULE ASSY, 500W USED
sparepartssolution Used - $3,000.00 0 Oct/11/13 Apr/29/15
Description: AMAT APPLIED MATERIALS 0010-09911 ASP,LAMP MODULE ASSY, 1000W USED
sparepartssolution Used - $700.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09803 TEMP CONTROL PWR DIST. ASSY PER SYSTEM USED
sparepartssolution Used - $800.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09779 ASSY LEVEL SENSE/TEMPURATURE PCB'S USED
sparepartssolution Used - $1,000.00 0 Oct/11/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09497 ASSY LID GAS BOX WSI USED
sparepartssolution Used - $1,000.00 0 Oct/11/13 Jun/17/14
Description: AMAT APPLIED MATERIALS 0010-09427 ASSY,RETROFIT,CHAMBER CLAMP,SACVD/USG USED
supertechshop Used - $199.00 0 Oct/10/13 Nov/09/13
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $310.13 2 Oct/10/13 Mar/30/15
Description: AMAT Applied Materials 0100-90863 Hi-Standard Gas Interlock XR80 Used Working
usedeqsales Used - $310.13 2 Oct/10/13 Oct/14/14
Description: Applied Materials AMAT 0110-99011 Hi Standard Gas Interlock XR80 Used Working
sparepartssolution Used - $500.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09316 TEMP CONT ASSY CLOSED LOOP,TEOS USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09301 THROTTLE VALVE ASSEMBLY,TEOS, DOWNSTREAM USED
sparepartssolution Used - $500.00 0 Oct/10/13 Dec/01/14
Description: AMAT APPLIED MATERIALS 0010-09292 ASSY, TC AMP HOUSING GENERIC USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-09267 CASSETTE ASSEMBLY USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-09265 125MM CASSETTE HANDLER HEAD USED
sparepartssolution Used - $300.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09120 ASSY HEATSINK AND MOTOR USED
sparepartssolution Used - $600.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-09103 STAND ALONE MONITOR USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-09053 ASSY, 200MM STORAGE USED
sparepartssolution Used - $800.00 2 Oct/10/13 Nov/06/13
Description: AMAT APPLIED MATERIALS 0010-09052 ASSY, 150MM STORAGE USED
sparepartssolution Used - $800.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-09051 ASSEMBLY, 125MM STORAGE USED
sparepartssolution Used - $1,800.00 0 Oct/10/13 Dec/09/14
Description: AMAT APPLIED MATERIALS 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Jul/15/14
Description: AMAT APPLIED MATERIALS 0010-09019 THROTTLE VALVE ASSY, ETCH USED
sparepartssolution Used - $200.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-05428 ASSY, HOSE, CATHODE LINER IX, SS/TFE RET USED
sparepartssolution Used - $8,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-04941 ASSEMBLY;MAGNET SIP-CU 300MM;VERSION 2 USED
sparepartssolution Used - $1,500.00 2 Oct/10/13 Dec/18/13
Description: AMAT APPLIED MATERIALS 0010-04926 HV MODULE ASSY, NEGATIVE, CESC USED OVERHAULED
sparepartssolution Used - $5,000.00 0 Oct/10/13 Sep/29/14
Description: AMAT APPLIED MATERIALS 0010-04542 ASSY, HEATER, 200MM WXZ CERAMIC RING, OS USED
sparepartssolution Used - $8,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-03488 MAGNET, IMP 300MM PVD USED
sparepartssolution Used - $5,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-03486 MAGNET TI ASSY 300MM PVD USED
sparepartssolution Used - $8,000.00 0 Oct/10/13 Dec/18/13
Description: AMAT APPLIED MATERIALS 0010-03244 ASSEMBLY PURGE HEATER, E.U. SNNF, HP TXZ USED
sparepartssolution Used - $2,500.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-02631 ASSY AC BOX AMAT 0 W/O NEUTRAL USED
sparepartssolution Used - $4,000.00 1 Oct/10/13 Mar/30/15
Description: AMAT APPLIED MATERIALS 0010-01711 ASSY, HP, UPGRADE ROBOT, ENDURA USED
sparepartssolution Used - $2,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-00510 ASSEMBLY BEARING USED
sparepartssolution Used - $8,000.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-01198 ASSEMBLY MINI LP-3 MAGNET USED
sparepartssolution Used - $1,000.00 0 Oct/10/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-00744 HE PRESSURE CONTROL (20SCCM) ASSY USED
usedeqsales Used - $3,510.13 1 Oct/11/13 Oct/27/13
Description: Pinnacle AE Advanced Energy 3152412-264 DC Power Supply AMAT 0190-22782 Used
usedeqsales Used - $3,306.08 1 Oct/11/13 Feb/27/15
Description: MKS Instruments AX7685-20 Remote Plasma Source AMAT 0190-34542 Used Working
sparepartssolution Used - $1,500.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20664 ASSY, SLIT VALVE USED
sparepartssolution Used - $10,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21676 RH-2 MAGNET ASSY USED
sparepartssolution Used - $150.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21409 ASSY THERMAL SWITCH PVD CHAMBER USED
sparepartssolution Used - $6,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-21403 MAGNET ASSY DURASOURCE TTN MINUS USED
sparepartssolution Used - $5,000.00 0 Oct/12/13 Jan/06/15
Description: AMAT APPLIED MATERIALS 0010-21393 ASSY, SHUTTER ROTATION, LINKAGE USED
sparepartssolution Used - $5,000.00 0 Oct/12/13 Oct/16/13
Description: AMAT APPLIED MATERIALS 0010-21246 ASSEMBLY, NON-NTM ADVANCED 101 - 8" USED
sparepartssolution Used - $8,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20819 MAGNET ASSY UNCHARGED 13TI *** USED
sparepartssolution Used - $1,800.00 0 Oct/12/13 Dec/16/14
Description: AMAT APPLIED MATERIALS 0010-20753 WAFER LIFT ASSEMBLY PRECLEAN 2 USED
sparepartssolution Used - $350.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20683 ASSY SPECIAL CASS CLAMPING USED
sparepartssolution Used - $350.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT MONITOR USED
sparepartssolution Used - $3,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20481 ASSY 200MM CASSETTE HANDLER (LLB) USED
sparepartssolution Used - $3,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20480 ASSY 200MM CASSETTE HANDLER LEFT (LLA) USED
sparepartssolution Used - $5,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20422 ASSY, 8 IN SHIELD TREATMENT W/PROTECTIVE USED
sparepartssolution Used - $700.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20399 ASSY, CASSETTE CLAMPING 200MM PLASTIC USED
sparepartssolution Used - $6,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20328 OPTIONAL 8"AL MAGNET ASY USED
sparepartssolution Used - $3,000.00 0 Oct/12/13 Mar/06/14
Description: AMAT APPLIED MATERIALS 0010-20317 8PVD Degas USED
sparepartssolution Used - $2,000.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20300 ASSY LIFT PVD USED
sparepartssolution Used - $1,500.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20295 ASSY LIFTER DEGAS/ORIENT USED
sparepartssolution Used - $2,000.00 1 Oct/12/13 May/22/14
Description: AMAT APPLIED MATERIALS 0010-20286 ASSY, INDEXER RIGHT AUTOMATED LOAD LOCK USED
sparepartssolution Used - $8,000.00 0 Oct/12/13 Mar/04/15
Description: AMAT APPLIED MATERIALS 0010-20225 MAGNET 'A' 13.0 AL ASSY USED
sparepartssolution Used - $7,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20223 wMAGNET REM 11.3"TIN ASY USED
sparepartssolution Used - $1,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20098 CHASSIS ASSY, SHIELD TREATMENT USED
sparepartssolution Used - $1,500.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-20021 SLIT VALVE ASSEMBLY USED
sparepartssolution Used - $5,000.00 0 Oct/12/13 Nov/05/13
Description: AMAT APPLIED MATERIALS 0010-20000 ASSY PWR SUPPLY 15V USED
sparepartssolution Used - $900.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-18159 INTERLOCK SWITCH BOX, BIAS MATCH, HDPCVD USED
sparepartssolution Used - $4,000.00 0 Oct/12/13 Mar/10/14
Description: AMAT APPLIED MATERIALS 0010-13927 PEDESTAL LIFT ASSEMBLY PRECLEAN 2K USED
sparepartssolution Used - $2,000.00 0 Oct/12/13 Oct/09/14
Description: AMAT APPLIED MATERIALS 0010-13650 AC BOX, HTESC, P1 USED
sparepartssolution Used - $1,500.00 0 Oct/12/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-13642 29 POSN STORAGE ELEVATOR USED
sparepartssolution Used - $4,000.00 0 Oct/12/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-13437 AC-BOX, HTESC USED
sparepartssolution Used - $4,000.00 0 Oct/12/13 Sep/25/14
Description: AMAT APPLIED MATERIALS 0010-13242 ASSEMBLY,EXTENDED REACH WRIST USED
sparepartssolution Used - $3,800.00 0 Oct/12/13 Apr/17/14
Description: AMAT APPLIED MATERIALS 0010-12168 AC BOX 200MM SLT ESC ASSEMBLY USED
neilan1987 Used - $149.99 1 Oct/12/13 Apr/17/14
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
sunpunkin73 NEW - $49.99 0 Oct/14/13 Oct/19/13
Description: Lam Research 715-011986-108 Lower Electrode Cap Never Used
sparepartssolution Used - $10,000.00 0 Oct/15/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-25254 HEATER USED
sparepartssolution Used - $10,000.00 0 Oct/15/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-22043 MAGNET USED
usedeqsales Used - $610.13 0 Oct/15/13 Apr/13/15
Description: Magnedyne Kollomorgen 1100-0051-00 Magnet Driver Rev. M AMAT 0190-09024 Used
usedeqsales Used - $610.13 0 Oct/15/13 Oct/29/13
Description: Magnedyne Kollomorgen 1100-0051-00 Magnet Driver Rev. V AMAT 0190-70060 Used
grandbirdnet Used - $600.00 3 Oct/16/13 Aug/16/22
Description: AMAT 0200-36666 ISOLATOR CERAMIC PRODUCER 200MM, 2ND USED
usedeqsales Used - $1,010.13 0 Oct/16/13 Jan/07/14
Description: Astex TS90 3-Stub Manual Microwave Tuner AMAT 0190-09246 Used Working
usedeqsales Used - $510.13 0 Oct/16/13 May/04/15
Description: Comdel 0190-14090 Electrostatic Chuck Power Supply AMAT Used Working
usedeqsales Used - $610.13 0 Oct/16/13 Jan/08/14
Description: PM-6 VAT 650PM-24CG-ADI1 Adaptive Pressure Controller AMAT 0190-25307 Used
usedeqsales Used - $610.13 1 Oct/16/13 Jan/08/14
Description: PM-6 VAT 650PM-24CG-ADK2 Adaptive Pressure Controller AMAT 0190-25307 Used
usedeqsales Used - $610.13 3 Oct/16/13 Dec/06/14
Description: PM-6 VAT 650PM-24CG-ADK3 Adaptive Pressure Controller AMAT 0190-25307 Used
usedeqsales Used - $637.09 4 Oct/16/13 Nov/01/13
Description: 3153110-001 AE Advanced Energy DC Power Supply 2305596-A AMAT 0190-28127 Used
keykorea Used - $3,900.00 1 Oct/16/13 Apr/17/15
Description: AMAT 0010-01711 5-PHASE STEPPING MOTOR, 1 SET, USED
keykorea Used - $3,900.00 0 Oct/16/13 Feb/21/18
Description: AMAT 0010-35048 5-PHASE STEPPING MOTOR, 1 SET, USED
keykorea Used - $3,900.00 1 Oct/16/13 Apr/03/17
Description: AMAT 0010-70264 5-PHASE STEPPING MOTOR, 1 SET, USED
keykorea Used - $1,200.00 0 Oct/16/13 Mar/21/18
Description: AMAT 0010-39207 HIGH EFFICIENCY RF MATCH, ETCH, SUPER-E, USED
keykorea Used - $1,100.00 4 Oct/16/13 Feb/24/15
Description: AMAT 0010-09978 ASSY LAMP MODULE AU-PLATED 200MM, USED
keykorea Used - $3,900.00 0 Oct/16/13 Apr/11/19
Description: AMAT 0010-70149 5-PHASE STEPPING MOTOR, 1 SET, USED
usedeqsales Used - $605.07 0 Oct/18/13 Jan/23/14
Description: AMAT Applied Materials 1080-00069 Process Module Quantum Leap III Used
usedeqsales Used - $410.13 0 Oct/18/13 Oct/16/14
Description: CTI-Cryogenics 0190-19393 IS Controller On-Board AMAT Used Working
usedeqsales Used - $3,510.13 0 Oct/18/13 Apr/30/15
Description: Bay Voltex LT-HRE-1650-9650-WC-DC Thermo Chiller AMAT 0190-36058 Used Working
usedeqsales NEW - $310.13 5 Oct/18/13 Apr/03/14
Description: TRU RG-271/U RF Cable 50 Foot AMAT 0620-00517 Lot of 2 Used Working
chzamdeals4u Used - $300.00 0 Oct/17/13 Oct/24/13
Description: Used AMAT MKS CDN127-1 DeviceNet Peripheral Device Adapter 3620-00122
usedeqsales Used - $410.13 2 Oct/17/13 Jan/20/14
Description: CTI-Cryogenics 0190-11422 IS Controller On-Board AMAT Used Working
usedeqsales Used - $3,510.13 0 Oct/17/13 Apr/30/15
Description: Trazar 4459-001 Adjustable RF Match P3I AMAT 0190-25682 Used
neilan1987 Used - $59.99 0 Oct/18/13 Nov/17/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
sunpunkin73 NEW - $49.99 0 Oct/19/13 Oct/24/13
Description: Lam Research 715-011986-108 Lower Electrode Cap Never Used
chzamdeals4u Used - $250.00 0 Oct/19/13 Nov/18/13
Description: Used AMAT HSS696 Acquisition Module 0190-19109
chzamdeals4u Used - $550.00 0 Oct/19/13 Oct/26/13
Description: AMAT Tenta CCM Water Leak Detector PCB AS00021-02 Rev. A 0190-02076 Used
usedeqsales Used - $310.13 0 Oct/21/13 May/23/14
Description: TRU RG-217U RF Cable 50 Foot AMAT 0620-00516 Lot of 2 Used Working
usedeqsales Used - $2,255.07 1 Oct/21/13 Dec/07/13
Description: AE Advanced Energy 3152439-402 DC Power Supply AMAT 0190-28821 Used Working
usedeqsales Used - $360.13 1 Oct/24/13 Oct/25/13
Description: Applied Materials AMAT 4060-00324 24-Port Pneumatic Manifold Lot of 2 Used
sparepartssolution NEW - $500.00 0 Oct/24/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-01052 PANEL BRIDGE ASSY POWER SUPPLY USED
sparepartssolution Refurbished - $5,000.00 0 Oct/24/13 May/13/14
Description: AMAT APPLIED MATERIALS 0010-23794 ASSY, CERAMIC HEATER, MC, HA-12, USED ASIS
usedeqsales Used - $310.13 0 Oct/25/13 May/05/15
Description: SMC NCQ2B40-UIA990830 Pneumatic Cylinder AMAT 3020-00306 Lot of 3 Used
usedeqsales Used - $460.13 1 Oct/25/13 Oct/29/13
Description: SMC 13618600 28-Port Pneumatic Manifold AMAT 0190-18038 Lot of 3 Used
usedeqsales Used - $360.13 1 Oct/25/13 May/05/15
Description: SMC NVV5J5-ULB980165 28-Port Pneumatic Manifold Assembly AMAT 0190-18038 Used
usedeqsales Used - $310.13 1 Oct/25/13 Oct/26/13
Description: SMC D-276-990020B 24-Port Pneumatic Manifold AMAT 0190-01401 Lot of 4 Used
usedeqsales Used - $310.13 24 Oct/25/13 Feb/20/15
Description: SMC NVV5J3-UIB980146 24-Port Pneumatic Manifold AMAT 0190-09487 Used Working
usedeqsales Used - $310.13 0 Oct/25/13 May/05/15
Description: SMC VV5Q11-14-DAK00810 28-Port Pneumatic Manifold AMAT 4060-00680 Used Working
usedeqsales Used - $310.13 0 Oct/25/13 May/05/15
Description: SMC VV5Q11-14-DAK00811 28-Port Pneumatic Manifold AMAT 4060-00678 Used
usedeqsales Used - $1,855.07 0 Oct/25/13 Mar/05/14
Description: Kawasaki 320032-B011 Interface Robot Track AMAT 0190-17959 Used Working
usedeqsales Used - $410.13 2 Oct/25/13 Jul/08/14
Description: Lambda LIS-3I-12 Regulated Power Supply AMAT 1140-01046 Lot of 2 Used Working
usedeqsales Used - $2,010.13 1 Oct/24/13 Nov/03/13
Description: NSK ELA-B014CFL-03 300mm Robot Servo Driver NSK-M-CLR AMAT 0190-17853 Used
sunpunkin73 NEW - $49.99 0 Oct/24/13 Oct/29/13
Description: Lam Research 715-011986-108 Lower Electrode Cap Never Used
sparepartssolution Used - $4,500.00 0 Oct/25/13 Mar/04/15
Description: AMAT APPLIED MATERIALS 0190-70099 COMDEL CPS 1001S GENERATOR USED
sparepartssolution Used - $350.00 0 Oct/25/13 May/17/15
Description: AMAT APPLIED MATERIALS 0150-76288 CABLE ASSY 50FT DC SOURCE - MDL USED
sparepartssolution Used - $600.00 0 Oct/25/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0150-01409 CABLE ASSY 50' RX COAXIAL 400KHZ W/ CLAM USED
sparepartssolution Used - $1,500.00 0 Oct/25/13 Nov/20/14
Description: AMAT APPLIED MATERIALS 0100-20069 OBS,PCB WAFER ORIENTER USED
sparepartssolution Used - $500.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-29346 SHIELD 8" CLMPD .190"THK AL 3 SPRTS VECT USED
sparepartssolution Used - $350.00 3 Oct/25/13 Dec/18/13
Description: AMAT APPLIED MATERIALS 0010-76317 CENTURA INDEXER MOTOR ASSY. USED
sparepartssolution Used - $9,000.00 0 Oct/25/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0242-88819 0020-23286 0020-26321 IMP SOURCE ASSEMBLY USED
sparepartssolution Used - $300.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium USED
sparepartssolution Used - $200.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 3400-01160 SS Braid CTI Flex-line Cryogenics Helium USED
sparepartssolution Used - $250.00 0 Oct/25/13 Dec/21/14
Description: AMAT APPLIED MATERIALS 3400-01134 sOSE ASSY FLEX BRAID 1/4IDX23.5L 1/4VC USED
sparepartssolution Used - $250.00 0 Oct/25/13 May/25/15
Description: AMAT APPLIED MATERIALS 0870-01003 DRVER 2-PHASE STEPPER MOTOR USED
sparepartssolution Used - $2,000.00 2 Oct/25/13 Mar/05/15
Description: AMAT APPLIED MATERIALS 0190-76043 PCBA ASSY, SBC SYNERGY 68040 CONTROLLER USED
sparepartssolution Used - $1,500.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0150-38585 CABLE ASSY, FIBER OBTIC, 25, HI-TEMP, I USED
sparepartssolution Used - $2,000.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0090-75015 PCBA ASSY,SBC SYNERGY 68040 CONTROLLER USED
sparepartssolution Used - $4,000.00 1 Oct/25/13 Jan/22/14
Description: AMAT APPLIED MATERIALS 0090-03467 ASSEMBLY, ELECTRICAL, SYNERGY V452 SBC W USED
sparepartssolution Used - $100.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0050-54970 GASLINE, 3/8 OD BACKSIDE GAS, 300MM ESC, USED
sparepartssolution Used - $300.00 0 Oct/25/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0015-01649 FLEX HOSE, 1/4IDX36L 1/4VCR-F/F SST, CLE USED
sparepartssolution Used - $10,000.00 0 Oct/25/13 Jun/02/14
Description: AMAT APPLIED MATERIALS 0010-22411 HEATER ASSEMBLY MCA'D, JMF, LOW TEMP MCA+ USED
sparepartssolution Used - $7,000.00 0 Oct/26/13 Mar/29/15
Description: AMAT 0010-76387 12.9" SOURCE ASSEMBLY 5:1 GEAR RAITO 0020-20171 0020-20296 USED
grandbirdnet Used - $1,500.00 1 Oct/28/13 Oct/13/21
Description: AMAT 0100-09251 Seriplex PCB SPX-MUXADIO01, USED
usedeqsales Used - $310.13 1 Oct/28/13 Aug/13/14
Description: SMC NCDQ2B69-UIA981030 Pneumatic Cylinder AMAT 0010-70822 Lot of 3 Used Working
usedeqsales Used - $1,510.13 1 Oct/28/13 Dec/03/14
Description: Rexroth R055701426 Linear Slide Actuator System AMAT 3760-00274 Used Working
usedeqsales Used - $310.13 0 Oct/28/13 May/05/15
Description: SMC VV5Q11-14-DAK00786 24-Port Pneumatic Manifold AMAT 4060-00681 Used Working
chzamdeals4u Used - $350.00 0 Oct/30/13 Nov/29/13
Description: AMAT Tenta CCM Water Leak Detector PCB AS00021-02 Rev. A 0190-02076 Used
usedeqsales Used - $2,511.11 0 Oct/31/13 May/01/15
Description: Daihen RMN-40A RF Dome Automatic Matching Unit AMAT 1110-01068 Used Working
usedeqsales Used - $567.09 0 Oct/31/13 Nov/12/13
Description: ASTeX AG9131A Magentron Head Assembly WR340 Isolator Rev. Q AMAT 0190-18128 Used
usedeqsales Used - $310.13 0 Oct/31/13 May/05/15
Description: VAT 26432-KH11-BJI1 Pneumatic Angle Valve AMAT 3870-05916 Lot of 2 Used Working
usedeqsales Used - $510.13 0 Oct/31/13 May/04/15
Description: MKS Instruments 1036564-001 Exhaust Throttle Valve AMAT 3870-06353 Used Working
sagatron-inc Used - $270.00 1 Oct/31/13 Mar/20/15
Description: AMAT Applied Materials 0010-09933 HV Module ESC Assembly Used Working
sparepartssolution Used - $300.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 3870-02569 0050-31433 VALVE QUICK EXHAUST .1-1MPA USED
sparepartssolution Used - $100.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 3780-01130 SPR GAS 7.88 STROKE120LB USED
sparepartssolution Used - $20.00 0 Nov/01/13 Mar/12/15
Description: AMAT APPLIED MATERIALS 3550-01096 PIN QUICK RELEASE 3/8 DIA 3 GRIP LENGTH USED
sparepartssolution Used - $400.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0870-01002 DRIVE 5-PHASE STEPPER MOTOR USED
sparepartssolution Used - $6,500.00 0 Nov/01/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0190-27891 DC Power Supply, ENI DCG-200Z OPT-200Z-00 USED
sparepartssolution Used - $150.00 0 Nov/01/13 May/22/15
Description: AMAT APPLIED MATERIALS 0090-39197 ELECT. ASSY,INTLK SW,LOWER CHAMBER COVER USED
sparepartssolution Used - $330.00 1 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0050-39361 INSERT,GAS FEED,LOWER CHAMBER,POLY,DPS-A USED
sparepartssolution Used - $1,200.00 0 Nov/01/13 Nov/03/14
Description: AMAT APPLIED MATERIALS 0040-76073 ARM, WELDMENT, SOURCE, W/B USED
sparepartssolution Used - $1,200.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0040-76072 ARM, WELDMENT USED
sparepartssolution Used - $150.00 0 Nov/01/13 Jan/26/15
Description: AMAT APPLIED MATERIALS 0040-20048 FEEDTHRU LAMP USED
sparepartssolution Used - $299.99 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0040-02425 MTG BLOCK, WB CHAMBER, 150MM SPACING, AL USED
sparepartssolution Used - $160.00 2 Nov/01/13 Jun/07/14
Description: AMAT APPLIED MATERIALS 0021-70282 COVER,BACK,CHMBR COOLING,DPS POLY USED
sparepartssolution Used - $120.00 2 Nov/01/13 Jun/07/14
Description: AMAT APPLIED MATERIALS 0021-70281 COVER,FRONT,CHMBR COOLING,DPS POLY USED
sparepartssolution Used - $450.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0021-70269 BLANK-OFF, SIDE, LWR CHBR, DPS USED
sparepartssolution Used - $50.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0021-36724 BRACKET, MOUNTING, PONTOON, FACILITIES, USED
sparepartssolution Used - $200.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0021-36625 COVER,SIDE,LOWER CHAMBER,DPS USED
sparepartssolution Used - $120.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0021-09580 BLOCK,BRACKET,MAIN,LOWER CHAMBER,DPS USED
sparepartssolution Used - $400.00 1 Nov/01/13 May/22/14
Description: AMAT APPLIED MATERIALS 0020-33782 HOOP,8 INCH,WAFER LIFT,DPS USED
sparepartssolution Used - $60.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-20484 HUB END LAMP COVER USED
sparepartssolution Used - $200.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-20483 HUB LAMP CORNER COVER USED
sparepartssolution Used - $299.99 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-20156 BRKT, PILLOW BLOCK SOURCE USED
sparepartssolution Used - $4,500.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-30138 ASSEMBLY, MAIN LIFT, DPS CHAMBER USED
sparepartssolution Used - $3,800.00 0 Nov/01/13 May/22/15
Description: AMAT APPLIED MATERIALS 0010-10979 ASSY, SIMPLE CATHODE, 200MM, RPS USED
usedeqsales Used - $1,011.13 2 Nov/01/13 Oct/01/14
Description: VAT 0340X-CA24-BXR1 Pneumatic Slit Valve AMAT 0190-34901 Used Working
sparepartssolution Used - $6,000.00 0 Nov/01/13 Nov/05/13
Description: AMAT APPLIED MATERIALS 0190-13203 HFV8000 3155083-002A GENERATOR USED WORKING
sparepartssolution Used - $800.00 1 Nov/01/13 Jun/04/14
Description: AMAT APPLIED MATERIALS 0021-35819 CHUCK, ASP, 200MM, TOP MOUNT TYPE USED
sparepartssolution Used - $800.00 0 Nov/01/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0020-31503 CHUCK,200MM,ASP USED
usedeqsales Used - $311.13 2 Nov/04/13 Sep/29/14
Description: Exactus IFM8-915 8 Serial Port Channel Hub AMAT 0190-19384 Used Working
usedeqsales Used - $1,407.79 0 Nov/05/13 Nov/14/13
Description: NSK ELA-B014CFL-03 300mm Robot Servo Driver NKS-M-CLR AMAT 0190-17853 Used
usedeqsales Used - $2,457.79 1 Nov/06/13 Nov/12/13
Description: Yaskawa XU-BCD2081D Robot Controller AMAT 0190-14741 Used Working
usedeqsales Used - $411.13 1 Nov/06/13 Mar/13/14
Description: AMAT Applied Materials 101714-01 DC Power Supply AMAT 0190-34624 Used
sparepartssolution Used - $7,000.00 0 Nov/06/13 Feb/12/14
Description: AMAT APPLIED MATERIALS 0010-10970 0010-09874 VDS ASSEMBLY USED
sparepartssolution Used - $250.00 0 Nov/07/13 Apr/23/14
Description: AMAT APPLIED MATERIALS 0520-01036 SCHRADER BELLOWS VA22-XX2-B15 ACTUATOR USED
sparepartssolution Used - $1,500.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3 USED
sparepartssolution Used - $1,500.00 2 Nov/07/13 Mar/18/14
Description: AMAT APPLIED MATERIALS 0190-22326 MICROSTEP DRIVE/CONTROLLER, OEM750X, ASP USED
sparepartssolution Used - $500.00 0 Nov/07/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0150-76771 CABLE ASSY, 50 FT, HIGH VOLTAGE POWERSUP USED
sparepartssolution Used - $300.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0150-05864 MKS 750B13TCD2GA 1000TORR MONOMETER USED
sparepartssolution Used - $350.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0140-70500 DPS, POLY, HARNESS, CATHODE MAINT. USED
sparepartssolution Used - $600.00 0 Nov/07/13 Nov/20/14
Description: AMAT APPLIED MATERIALS 0140-37846 HARNESS ASSY, INTERCONNECT, PVD IMP CH, USED
sparepartssolution Used - $100.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0140-20694 HARNESS ASSY PVD SHUTTER SENSORS USED
sparepartssolution Used - $400.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0140-04267 HRNS ASSY MF INTERCONNECT USED
sparepartssolution Used - $500.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0140-01927 HRNS ASSY INTRCONN PVD CHMBR WB CHMBR USED
sparepartssolution Used - $1,300.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0100-18043 ASSEMBLY, PCB REMOTE SERIPLEX I/O HDP-CV USED
sparepartssolution Used - $1,000.00 0 Nov/07/13 Dec/14/14
Description: AMAT APPLIED MATERIALS 0100-09172 EIGHT CHANNEL EMMISSION/LASER ENDPO USED
sparepartssolution Used - $2,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0090-01432 ASSY TC AMP LOW TEMP BESC USED
sparepartssolution Used - $350.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0050-75354 FEEDTHRU ADJ AR, MIX USED
sparepartssolution Used - $350.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0040-20055 FEED THRU ADJ AR USED
sparepartssolution Used - $100.00 0 Nov/07/13 Sep/19/14
Description: AMAT APPLIED MATERIALS 0020-23441 BRACKET PLUMBING MOTORIZED LFT USED
sparepartssolution Used - $5,000.00 0 Nov/07/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-30689 AXZ LIFT DRIVE ASSEMBLY USED
sparepartssolution Used - $2,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-22158 0010-22158 TC AMP BOARD USED
sparepartssolution Used - $1,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-20498 ASSY +/- 15V POWER SUPPLY GEN RACK USED
sparepartssolution Used - $1,200.00 1 Nov/07/13 Oct/16/14
Description: AMAT APPLIED MATERIALS 0010-20498 0140-20073 ASSY +/- 15V POWER SUPPLY GEN USED
sparepartssolution Used - $1,000.00 0 Nov/07/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-13647 0050-75733 0050-75732 GASLINE, ISOLATOR, USED
sparepartssolution Used - $400.00 1 Nov/07/13 Jun/04/14
Description: AMAT 3300-01990 3400-01098 0021-21864 0040-23560 0690-02006 WATER HOSE ASSY USED
sparepartssolution Used - $2,500.00 0 Nov/07/13 Mar/04/15
Description: AMAT 0040-13567 0240-27037 0010-13593 0040-13569 0010-13438 WATER BOX USED
sparepartssolution Used - $2,500.00 0 Nov/07/13 Aug/15/14
Description: AMAT 0040-23549 0050-75732 0010-13647 0040-23547 0090-01432 WATER BOX USED
usedeqsales Used - $1,755.57 2 Nov/08/13 Dec/18/13
Description: MDX Pinnacle AE Advanced Energy 3152411-243 DC Power Supply AMAT 0190-34646 Used
usedeqsales Used - $411.13 0 Nov/12/13 May/05/15
Description: AMAT Applied Materials 0190-33337 Heater Jacket Gemini Used Working
trees_for_a_better_tomorrow Used - $100.00 0 Nov/12/13 Nov/19/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
sparepartssolution Used - $5,000.00 0 Nov/13/13 Apr/20/15
Description: AMAT APPLIED MATERIALS 0010-28081 0010-28081 HEATER ASSY, HA-12, MC USED ASIS
sparepartssolution Used - $4,000.00 0 Nov/13/13 Dec/04/13
Description: AMAT APPLIED MATERIALS 0190-20098 PC II PRECLEAN II CHAMEBR RESONATOR USED
usedeqsales Used - $3,511.13 0 Nov/14/13 Apr/30/15
Description: Yaskawa XU-ACP4870 300mm Prealigner AMAT 0190-12263 Used Working
usedeqsales Used - $2,511.13 0 Nov/13/13 May/01/15
Description: GHW-12Z ENI GHW12Z-13DF2N0-001 Genesis RF Generator AMAT 0190-25527 Rev. M Used
usedeqsales Used - $2,005.57 0 Nov/13/13 Jan/22/14
Description: DCG-200Z Optima ENI DCG-200Z-S00 DC Plasma Generator AMAT 0190-07969 Rev. E Used
usedeqsales Used - $2,255.57 0 Nov/13/13 Dec/18/13
Description: DCG-200Z ENI DC24M-Z141300110A DC Plasma Generator AMAT 0190-07964 Used Working
usedeqsales Used - $3,511.13 1 Nov/13/13 Nov/14/13
Description: MDX Pinnacle AE Advanced Energy 3152412-116 DC Power Supply AMAT 0190-07172 Used
usedeqsales Used - $2,011.13 0 Nov/15/13 Sep/02/14
Description: Quality 6657 Transformer 480/208V 150kVA Domestic Delta-WYE AMAT 0190-20023 Used
usedeqsales Used - $411.13 2 Nov/15/13 Feb/20/14
Description: Yaskawa SGDH-08AE-SY905 Seropack Servo Drive AMAT 0190-08005 Used
usedeqsales Used - $251.13 0 Nov/15/13 Mar/25/23
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-28965 MultiFlo SC28 Used
usedeqsales Used - $361.13 0 Nov/22/13 May/05/15
Description: AMAT Applied Materials 0190-76252 DeviceNet I/O Block DIP294 Used Working
trees_for_a_better_tomorrow Used - $100.00 0 Nov/22/13 Nov/29/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
usedeqsales Used - $261.13 0 Nov/25/13 May/04/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 3030-00298 1 SLPM N2 Used
usedeqsales Used - $251.13 0 Nov/25/13 May/01/15
Description: Mykrolis AAKGD40W1 Digital Mass Flow Controller AMAT 0190-19973 18 SCCM N2 Used
trees_for_a_better_tomorrow Used - $225.00 0 Nov/25/13 Dec/02/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $20.00 1 Nov/25/13 Dec/02/13
Description: Used AMAT Applied Materials 0190-09085 60 EV Manifold Pnuematic PCB READ DESCRIP
usedeqsales Used - $251.13 0 Nov/25/13 May/01/15
Description: Millipore FC-2900MEP5-4V Mass Flow Controller AMAT 0227-01643 50 SCCM SF6 Used
usedeqsales Used - $251.13 0 Nov/25/13 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24840 3L NF3 Used
usedeqsales Used - $261.13 1 Nov/25/13 May/04/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-10989 100cc O2 Used
usedeqsales Used - $261.13 0 Nov/25/13 May/04/15
Description: Mykrolis FC-2902MEP5-T Mass Flow Controller AMAT 3030-04246 300 SCCM SiH4 Used
usedeqsales Used - $261.13 0 Nov/25/13 May/04/15
Description: Millipore FC-2900M Mass Flow Controller AMAT 0225-05386 200 SCCM BCL3 Used
usedeqsales Used - $910.13 3 Nov/25/13 May/21/14
Description: MKS-Astex F120661 Ignition Upgrade Kit AMAT 0190-04581 Used Working
usedeqsales Used - $261.13 0 Nov/25/13 May/04/15
Description: Millipore FC-2952MEP5-T Mass Flow Controller AMAT 3030-04123 100 SCCM C4F8 Used
usedeqsales Used - $261.13 0 Nov/25/13 May/04/15
Description: Millipore FC-2952MEP5 Mass Flow Controller AMAT 3030-09381 100 SCCM SiF4 Used
usedeqsales Used - $251.13 0 Nov/25/13 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-10990 600cc He Used
usedeqsales Used - $251.13 0 Nov/25/13 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-12079 30cc SiH4 Used
trees_for_a_better_tomorrow Used - $100.00 0 Nov/25/13 Dec/02/13
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
usedeqsales Used - $261.13 0 Nov/25/13 May/04/15
Description: Millipore FC-2902MEP5-T Mass Flow Controller AMAT 0227-64277 500 SCCM Ar Used
trees_for_a_better_tomorrow Used - $50.00 0 Nov/25/13 Dec/02/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
usedeqsales Used - $261.13 0 Nov/26/13 May/04/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02944 10L N2 Used
usedeqsales Used - $511.13 0 Nov/26/13 Jan/22/15
Description: Unit Instruments UFC-3165 AMAT 3030-03331 100L N2 Used
usedeqsales Used - $251.13 0 Nov/26/13 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-00519 30L N2 Used
usedeqsales Used - $2,011.13 2 Nov/26/13 Apr/07/17
Description: Kawasaki 320032-B011 Interface Robot Track AMAT 0190-17959 Rev. 001 Used Working
usedeqsales Used - $261.13 0 Nov/27/13 May/04/15
Description: Tylan FC2900MEP 4V Mass Flow Controller AMAT 3030-04984 200 SCCM C12 Used
usedeqsales Used - $251.13 0 Nov/27/13 May/01/15
Description: Celerity AAPGD40W1 Mass Flow Controller AMAT 0190-19977 50 SCCM SiCl4 Used
usedeqsales Used - $261.13 0 Nov/27/13 May/04/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 3030-04726 200 SCCM Ar Used
usedeqsales Used - $261.13 0 Nov/27/13 May/04/15
Description: Millipore FC-2900MEP Mass Flow Controller AMAT 0224-44143 1500 SCCM C2F6 Used
usedeqsales Used - $261.13 0 Nov/27/13 May/04/15
Description: Mykrolis FC-2952MEP5-T-4V Mass Flow Controller AMAT 3030-04191 10 SLPM N2 Used
usedeqsales Used - $511.13 0 Nov/27/13 Jan/29/14
Description: Celerity AARGD40W1 Mass Flow Controller AMAT 0190-19978 200 SCCM SF6 Used
usedeqsales Used - $261.13 0 Nov/27/13 May/04/15
Description: Celerity AANGD40W1 Mass Flow Controller AMAT 0190-19976 172 SCCM N2 Used
usedeqsales Used - $511.13 0 Nov/27/13 Jan/29/14
Description: Celerity AARGD40W1 Mass Flow Controller AMAT 0190-19978 200 SCCM SiF4 Used
usedeqsales Used - $511.13 0 Nov/27/13 Jan/29/14
Description: Celerity AAPGD40W1 Mass Flow Controller AMAT 0190-19977 200 SCCM HCl Used
usedeqsales Used - $251.13 0 Nov/27/13 May/01/15
Description: Celerity AASGD40W1 Mass Flow Controller AMAT 0190-19979 1000 SCCM HBr Used
usedeqsales Used - $262.13 0 Dec/02/13 May/04/15
Description: Millipore FSDGD1000Y00 Mass Flow Controller AMAT 3030-03161 200 SCCM NF3 Used
usedeqsales Used - $252.13 0 Dec/02/13 May/04/15
Description: Mykrolis AAPGD40W1 Mass Flow Controller AMAT 0190-19977 50 SCCM SiCl4 Used
usedeqsales Used - $252.13 0 Dec/02/13 May/04/15
Description: Millipore FSDGD100AQ00 Mass Flow Controller AMAT 3030-00833 200 SCCM N2 Used
usedeqsales Used - $2,107.28 0 Dec/02/13 Dec/18/13
Description: MDX Pinnacle AE Advanced Energy 3152411-264 DC Power Supply AMAT 0190-34645 Used
usedeqsales Used - $2,107.28 1 Dec/02/13 Dec/11/13
Description: MDX Pinnacle AE Advanced Energy 3152412-264 DC Power Supply AMAT 0190-22782 Used
usedeqsales Used - $512.13 0 Dec/02/13 Jan/29/14
Description: Celerity AARGD40W1 Mass Flow Controller AMAT 0190-19978 1000 SCCM Ar Used
usedeqsales Used - $512.13 2 Dec/02/13 Dec/17/14
Description: MKS Instruments 649A12T51CAVR-S Pressure Controller AMAT 0190-10184 Used
usedeqsales Used - $1,012.13 2 Dec/02/13 Dec/09/14
Description: Animatics SM3410-APPMAT1 AC Servo Motor SmartMotor AMAT 1080-00125 Used Working
usedeqsales Used - $252.13 0 Dec/02/13 May/04/15
Description: Celerity AANGD40W1 Mass Flow Controller AMAT 0190-19976 45 SCCM NF3 Used
usedeqsales Used - $252.13 0 Dec/02/13 May/04/15
Description: Celerity AARGD40W1 Mass Flow Controller AMAT 0190-19978 400 SCCM NF3 Used
usedeqsales Used - $252.13 0 Dec/02/13 May/04/15
Description: Celerity AANGD40W1 Mass Flow Controller AMAT 0190-19976 100 SCCM O2 Used
usedeqsales Used - $252.13 0 Dec/02/13 May/04/15
Description: Millipore FC-2900MEP5 Mass Flow Controller AMAT 3030-04733 50 SCCM CF4 Used
usedeqsales Used - $262.13 0 Dec/02/13 May/04/15
Description: Millipore FC-2952MEP5-T Mass Flow Controller AMAT 3030-04146 200 SCCM HBR Used
usedeqsales Used - $262.13 0 Dec/02/13 May/04/15
Description: Millipore FC-2900MEP Mass Flow Controller AMAT 3030-05354 50 SCCM N2 Used
trees_for_a_better_tomorrow Used - $100.00 0 Dec/02/13 Dec/09/13
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow Used - $225.00 0 Dec/02/13 Dec/09/13
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $100.00 0 Dec/02/13 Dec/09/13
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $50.00 0 Dec/02/13 Dec/09/13
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
usedeqsales Used - $262.13 0 Dec/03/13 May/04/15
Description: Tylan FC-2952MEP5 Mass Flow Controller AMAT 0225-40682 50 SCCM C2F6 Used
epicrew01 Used - $50.00 0 Dec/04/13 Dec/11/13
Description: 0200-35022 RING,PRE-HEAT for AMAT Centura 731-923255-A2 Used (#13-4)
epicrew01 Used - $50.00 0 Dec/04/13 Dec/11/13
Description: TOS 0200-35081 RING,PRE-HEAT 0 for AMAT Centura Used (#13-3)
epicrew01 Used - $500.00 0 Dec/04/13 Dec/11/13
Description: AMAT 0200-35098 CHAMBER LINER QTZ LOWER Used (#14-1)
usedeqsales Used - $2,706.08 1 Dec/04/13 Dec/18/13
Description: ASTRONi MKS AX7670-19 Remote Plasma Source Rev. E AMAT 0190-26744 Used Working
usedeqsales Used - $252.13 0 Dec/04/13 May/04/15
Description: Millipore FSDGD100GM00 Mass Flow Controller AMAT 3030-00931 100 SCCM NF3 Used
usedeqsales Used - $262.13 0 Dec/04/13 May/04/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26358 500cc O2 Used
usedeqsales Used - $252.13 0 Dec/04/13 May/04/15
Description: Millipore FSDGD100D800 Mass Flow Controller AMAT 3030-00939 200 SCCM O2 Used
usedeqsales Used - $262.13 0 Dec/04/13 May/04/15
Description: Millipore FSCGD1000T00 Mass Flow Controller AMAT 3030-00834 50 SCCM O2 Used
usedeqsales Used - $252.13 0 Dec/04/13 May/04/15
Description: Millipore FC2900MEP5 Mass Flow Controller AMAT 3030-04346 200 SCCM HBR Used
usedeqsales Used - $262.13 0 Dec/04/13 May/04/15
Description: Mykrolis DSMGD100 Mass Flow Controller AMAT 3030-11342 30 SCCM SiH4 Used
usedeqsales Used - $252.13 0 Dec/04/13 May/04/15
Description: Millipore FSDGD100DC00 Mass Flow Controller AMAT 3030-03381 500 SCCM O2 Used
usedeqsales Used - $262.13 0 Dec/04/13 May/04/15
Description: Millipore FSEGD100LN00 Mass Flow Controller AMAT 3030-09727 3000 SCCM O2 Used
usedeqsales Used - $252.13 0 Dec/04/13 May/04/15
Description: Millipore FSEGD100JR00 Mass Flow Controller AMAT 3030-03079 1500 SCCM Ar Used
usedeqsales Used - $252.13 0 Dec/04/13 May/04/15
Description: Millipore FSEGD100F100 Mass Flow Controller AMAT 3030-10374 1000 SCCM H2 Used
usedeqsales Used - $262.13 0 Dec/04/13 May/04/15
Description: Aera FCPI981CBAXD1DJAA Mass Flow Controller AMAT 0190-34218 50000 SCCM N2 Used
jackiclements2012 Used - $0.99 0 Dec/04/13 Dec/07/13
Description: AMAT Applied Materials 0040-09723 Chamber Used Excellent Condition, Waffer chips
epicrew01 Used - $500.00 0 Dec/04/13 Dec/11/13
Description: 0200-00539 CHAMBER UPPER DOME QTZ 2ea for AMAT Centura, Used (#16-1,-2)
epicrew01 Used - $500.00 0 Dec/04/13 Dec/11/13
Description: 0200-35162 CHAMBER LINER QTZ UPPER 2ea for AMAT Centura, Used (#15-4,-5)
epicrew01 Used - $500.00 0 Dec/04/13 Dec/11/13
Description: 0200-35017 CACHAMBER LINER QTZ UPPER 2ea for AMAT Centura, Used (#15-2,-3)
epicrew01 Used - $500.00 0 Dec/04/13 Dec/11/13
Description: 0200-35573 SUSCEPTOR SUPPORT 2ea for AMAT Centura, Used (#17-1,-2)
epicrew01 Used - $250.00 0 Dec/04/13 Dec/11/13
Description: 0200-35007 CHAMBER UPPER DOME QTZ for AMAT Centura, Used (#16-3)
usedeqsales Used - $262.13 0 Dec/05/13 May/04/15
Description: Millipore 3030-12900 Mass Flow Controller AMAT 300 SCCM He Used
usedeqsales Used - $262.13 0 Dec/05/13 May/04/15
Description: Millipore FSDGD100QU00 Mass Flow Controller AMAT 3030-10634 400 SCCM N2 Used
usedeqsales Used - $411.13 6 Dec/05/13 Feb/19/14
Description: InUSA gFFOZ Full Flow Ozone Sensor AMAT 3030-06231 Used Working
usedeqsales Used - $262.13 0 Dec/05/13 May/04/15
Description: Millipore FSEGD100B700 Mass Flow Controller AMAT 3030-00837 1000 SCCM Ar Used
usedeqsales Used - $252.13 0 Dec/05/13 May/04/15
Description: AERA FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27876 Used
usedeqsales Used - $412.13 0 Dec/06/13 Dec/06/13
Description: MKS Instruments 253B-14461 Exhaust Throttle Valve AMAT 3870-02937 Used Working
usedeqsales Used - $512.13 1 Dec/06/13 Apr/03/14
Description: ETO ABX-X348 SSD Driver Board Rev. F AMAT 0190-01292 Used Working
usedeqsales Used - $512.13 0 Dec/06/13 Dec/10/13
Description: Millipore FSCGD1000T00 Mass Flow Controller AMAT 3030-00834 50 SCCM O2 Used
usedeqsales Used - $262.13 0 Dec/06/13 May/04/15
Description: Millipore FSCGD100FC00 Mass Flow Controller AMAT 3030-00826 50 SCCM CF4 Used
usedeqsales Used - $252.13 0 Dec/06/13 May/04/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 0190-16328 250cc MFloSC13 Used
usedeqsales Used - $252.13 0 Dec/06/13 May/04/15
Description: Millipore FSDGD100UP00 Mass Flow Controller AMAT 3030-10028 200 SCCM CH3F Used
usedeqsales Used - $262.13 0 Dec/06/13 May/04/15
Description: Millipore FSDGD100BF00 Mass Flow Controller AMAT 3030-03550 200 SCCM He Used
usedeqsales Used - $252.13 0 Dec/06/13 May/04/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-13016 500 SCCM H2 Used
usedeqsales Used - $252.13 0 Dec/06/13 May/04/15
Description: Mykrolis DSMAE1XM Mass Flow Controller AMAT 3030-14430 20 SCCM O2 Used
usedeqsales Used - $252.13 0 Dec/09/13 May/04/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27879 Used Working
usedeqsales Refurbished - $1,512.13 10 Dec/09/13 May/01/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-08120 20 SLM N2O Used Working
usedeqsales Used - $312.13 0 Dec/09/13 May/05/15
Description: Entegris 6500-T1-F02-H04-M-P2-U1 Integrated Flow Controller AMAT 1350-00239 Used
usedeqsales Used - $2,812.13 1 Dec/09/13 Jan/07/14
Description: PRI Automation ESC-218BT-FWS Robot Controller AMAT 0190-08247 Used Working
usedeqsales Used - $1,812.13 0 Dec/10/13 Dec/31/13
Description: HiTek Power OL1K High Voltage Rack Mount Power Supply AMAT 9090-00801 Used
usedeqsales Used - $2,012.13 1 Dec/10/13 Feb/23/15
Description: Delatech 814-01-034 Spec Multinozzle Inlet Assembly AMAT 0190-18291 Used Working
epicrew01 Used - $100.00 0 Dec/11/13 Oct/06/21
Description: 0200-35022 RING,PRE-HEAT for AMAT Centura 731-923255-A2 Used (#13-4)
epicrew01 Used - $149.00 1 Dec/11/13 May/29/14
Description: TOS 0200-35081 RING,PRE-HEAT 0 for AMAT Centura Used (#13-3)
epicrew01 Used - $200.00 0 Dec/11/13 Dec/17/18
Description: AMAT 0200-35098 CHAMBER LINER QTZ LOWER Used (#14-1)
everything4copiers Used - $750.00 0 Dec/11/13 Jan/10/14
Description: Lot of 9 Used AMAT Applied Materials 0190-40086 A General Microsystems 16 Mbyte
epicrew01 Used - $300.00 0 Dec/11/13 Dec/17/18
Description: 0200-35017 CACHAMBER LINER QTZ UPPER 2ea for AMAT Centura, Used (#15-2,-3)
epicrew01 Used - $300.00 0 Dec/11/13 Dec/17/18
Description: 0200-35162 CHAMBER LINER QTZ UPPER 2ea for AMAT Centura, Used (#15-4,-5)
epicrew01 Used - $300.00 0 Dec/11/13 Aug/09/21
Description: 0200-00539 CHAMBER UPPER DOME QTZ 2ea for AMAT Centura, Used (#16-1,-2)
epicrew01 Used - $150.00 0 Dec/11/13 Jul/30/19
Description: 0200-35007 CHAMBER UPPER DOME QTZ for AMAT Centura, Used (#16-3)
epicrew01 Used - $500.00 1 Dec/11/13 Dec/09/14
Description: 0200-35573 SUSCEPTOR SUPPORT 2ea for AMAT Centura, Used (#17-1,-2)
usedeqsales Used - $412.13 3 Dec/12/13 Jan/28/14
Description: Stober P821SPZ0070MT Precision Gearhead AMAT 3970-00029 Used Working
supertechshop Used - $199.00 0 Dec/12/13 Jan/11/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
conquer_2011 Used - $5,484.00 0 Dec/16/13 Dec/26/13
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW?? Priced as Used
usedeqsales Used - $252.13 0 Dec/16/13 May/04/15
Description: Millipore FSEGD1000W00 Mass Flow Controller AMAT 3030-00831 200 SCCM SF6 Used
usedeqsales Used - $252.13 0 Dec/16/13 May/04/15
Description: Millipore FSCGD100CG00 Mass Flow Controller AMAT 3030-00826 50 SCCM CHF3 Used
usedeqsales Used - $204.85 1 Dec/20/13 Sep/02/14
Description: Brooks 6256SCZVCLDC Mass Flow Controller 6256S AMAT 3030-12019 Used
usedeqsales Used - $204.85 0 Dec/20/13 Feb/11/14
Description: Brooks 5964C2MAFN5KD Mass Flow Controller AMAT 3030-05037 Used
usedeqsales Used - $204.85 0 Dec/20/13 Feb/11/14
Description: Brooks 5964C2MAEL5KA Mass Flow Controller AMAT 3030-01542 Used
usedeqsales Used - $204.85 0 Dec/20/13 Feb/11/14
Description: Brooks 5964C6MAEH5KD Mass Flow Controller AMAT 3030-02657 Used
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: SAM SFC1480F Mass Flow Controller AMAT 3030-14662 Used Surplus
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: SAM SFC1483F Mass Flow Controller AMAT 3030-14663 Used Surplus
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: SAM SFC1480FPD Mass Flow Controller AMAT 3030-10262 Used Surplus
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: SAM SFC1482F Mass Flow Controller AMAT 3030-14661 Used
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: Celerity DSPRD100 Mass Flow Controller AMAT 3030-12891 Used
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: SAM SFC1483F Mass Flow Controller AMAT 3030-14659 Used
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: Millipore FSDAE100FU05 Mass Flow Controller AMAT 3030-03686 Used
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: SAM SFC1482F Mass Flow Controller AMAT 3030-14665 Used
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: SAM SFC1483F Mass Flow Controller AMAT 3030-14664 Used
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: Mykrolis DSPGD1XM Mass Flow Controller AMAT 0190-24693 Used
usedeqsales Used - $252.13 0 Dec/20/13 May/04/15
Description: Millipore FSDGD100CK00 Mass Flow Controller AMAT 3030-00835 Used
usedeqsales Used - $262.13 0 Dec/20/13 May/04/15
Description: Mykrolis FSCGD100B100 Mass Flow Controller AMAT 3030-03089 Used
semipart Used - $150.00 0 Dec/21/13 Jan/20/14
Description: AMAT 3870-01215 Pneumatic Angle Valve. Used, Rebuilt and Working
semipart Used - $1,200.00 0 Dec/21/13 Dec/28/13
Description: 8" BUFFER W/SLOT FROG LEG, AMAT 0021-35713 NEW, BLADE AMAT 0021-70285 USED
neilan1987 Used - $59.99 0 Dec/21/13 Apr/21/14
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
usedeqsales Used - $312.13 3 Dec/26/13 Apr/18/14
Description: AMAT Applied Materials 10762200 RF Cable 50 FT 0620-02885 Lot of 3 Used Working
usedeqsales Used - $312.13 0 Dec/26/13 Feb/20/14
Description: TRU RG-217U RF Cable 50 Foot AMAT 0620-00517 Lot of 2 Used Working
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Celerity DSNRD100 Mass Flow Controllers AMAT 3030-12892 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Celerity DSSGD10W Mass Flow Controller AMAT 0190-16481 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Mykrolis DSLGD1XM Mass Flow Controller AMAT 3030-14118 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Celerity DSWGD10W Mass Flow Controller AMAT 0190-16478 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Mykrolis DSSGD100 Mass Flow Controller AMAT 3030-14033 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Celerity DSVGD10W Mass Flow Controller AMAT 0190-16475 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Celerity DSVGD10W Mass Flow Controller AMAT 0190-16479 Used
usedeqsales Used - $252.13 1 Dec/26/13 May/04/15
Description: Celerity DSYUK20W Mass Flow Controller AMAT 0190-19512 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Millipore FSDAE100JS05 Mass Flow Controller AMAT 3030-03693 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Millipore FSEAE100AM00 Mass Flow Controller AMAT 3030-03755 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Mykrolis DSRAE100 Mass Flow Controller AMAT 0190-24922 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Mykrolis DSRAE100 Mass Flow Controller AMAT 3030-13641 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Millipore FSCAE1000T05 Mass Flow Controller AMAT 3030-03698 Used
usedeqsales Used - $252.13 0 Dec/26/13 May/04/15
Description: Mykrolis FSDGD100CL00 Mass Flow Controller AMAT 3030-03382 Used
bobsgoodies NEW - $99.00 0 Dec/27/13 Jun/17/14
Description: SensorTechnics SQ 96612, -14.7 to +10 psig Used in AMAT 0090-77263
semipart NEW - $1,500.00 0 Dec/27/13 Jan/26/14
Description: 8" BUFFER W/SLOT FROG LEG, AMAT 0021-35713 NEW, BLADE AMAT 0021-70285 USED
usedeqsales Used - $252.13 0 Dec/30/13 May/04/15
Description: Celerity DSNRD100 Mass Flow Controller AMAT 3030-12153 Used
usedeqsales Used - $204.85 0 Dec/30/13 Feb/03/14
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-13089 Used
usedeqsales Used - $252.13 0 Dec/30/13 May/04/15
Description: Celerity DSMRD100 Mass Flow Controller AMAT 3030-12951 Used
usedeqsales Used - $252.13 0 Dec/31/13 May/04/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27877 PI-980 Used
usedeqsales Used - $612.13 1 Dec/31/13 Dec/31/13
Description: AMAT Applied Materials 0100-20100 Analog I/O PCB Used Working
trees_for_a_better_tomorrow Used - $225.00 0 Jan/02/14 Jan/09/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $100.00 0 Jan/02/14 Jan/09/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $50.00 0 Jan/02/14 Jan/09/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow Used - $100.00 0 Jan/02/14 Jan/09/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
usedeqsales Used - $501.14 0 Jan/02/14 Oct/13/14
Description: Aera FC-7810CD Mass Flow Controller AMAT 3030-08172 Used
usedeqsales Used - $141.13 0 Jan/02/14 Apr/30/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-08120 Used
usedeqsales Used - $501.14 0 Jan/02/14 May/19/14
Description: Horiba STEC SEC-Z512MG Digital Mass Flow Device AMAT 3030-14637 Used
usedeqsales Used - $501.14 0 Jan/02/14 May/19/14
Description: Horiba STEC SEC-Z512MG Digital Mass Flow Device AMAT 3030-15445 Used
usedeqsales Used - $200.46 1 Jan/03/14 Feb/16/14
Description: Aera FC-780C Mass Flow Controller AMAT 3030-05349 Used
usedeqsales Used - $251.14 0 Jan/03/14 May/01/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27881 Used
usedeqsales Used - $200.46 0 Jan/03/14 Feb/21/14
Description: Aera FC-780C Mass Flow Controller AMAT 3030-00951 Used
usedeqsales Used - $200.46 0 Jan/03/14 Feb/21/14
Description: Aera FC-780C Mass Flow Controller AMAT 3030-00953 Used
usedeqsales Used - $200.46 0 Jan/03/14 Feb/21/14
Description: Aera FC-780C Mass Flow Controller AMAT 3030-04578 Used
usedeqsales Used - $200.46 0 Jan/03/14 Feb/21/14
Description: Aera FC-780C Mass Flow Controller AMAT 3030-00950 Used
yayais2012 Used - $900.00 0 Jan/03/14 Feb/02/14
Description: HFV-8000 AE Advanced Energy 3155083-192 RF Generator AMAT 0190-15553 Used
usedeqsales Used - $601.14 1 Jan/03/14 Jun/22/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
usedeqsales Used - $601.14 1 Jan/03/14 Nov/14/14
Description: AMAT Applied Materials 0100-00396 Analog AI/O PCB Used Working
usedeqsales Used - $801.13 1 Jan/03/14 Jan/05/14
Description: OMS Oregon Micro Systems SPC0005 Stepper Driver PCB VMEX AMAT 0190-76005 Used
usedeqsales Used - $251.14 0 Jan/03/14 May/01/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27875 PI-980 Used
usedeqsales Used - $351.14 1 Jan/03/14 Mar/24/23
Description: Kinetics KM405618 Gas Flow Box AMAT 0240-97138 Millipore FC2900 Used Working
conquer_2011 Used - $5,999.00 0 Jan/04/14 Feb/02/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
torastreasures Used - $750.00 0 Jan/05/14 Feb/04/14
Description: Xantrex XHR 150-7 DC Power Supply AMAT 1140-00153 Used Tested Working
usedeqsales Used - $251.14 1 Jan/06/14 May/04/15
Description: STEC SEC-4600M Mass Flow Controller AMAT 3030-09676 100 SLM N2 Used
usedeqsales Used - $501.14 0 Jan/06/14 May/19/14
Description: Horbia STEC SEC-Z512MGX Digital Mass Flow Device AMAT 3030-15827 Used
usedeqsales Used - $501.14 1 Jan/07/14 Jan/08/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-17165 Used
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: Horiba STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-06043 Used Working
usedeqsales Refurbished - $251.14 0 Jan/07/14 May/01/15
Description: STEC SEC-A21DM Mass Flow Controller AMAT 3030-00781 Used
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-14336 Used Working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-10059 Used Working
usedeqsales Used - $501.14 1 Jan/07/14 May/09/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-12648 Used Working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: STEC LF-A40M-A-EVD Liquid Mass Flow Meter AMAT 3030-11068 Used Working
usedeqsales Used - $501.14 1 Jan/07/14 Jan/28/14
Description: STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-11633 Used Working
usedeqsales Used - $501.14 2 Jan/07/14 Mar/20/15
Description: STEC LF-310A-EVD Liquid Mass Flow Meter AMAT 3030-05744 Used Working
bruce135 Used - $499.00 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
trees_for_a_better_tomorrow Used - $100.00 0 Jan/11/14 Jan/18/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow Used - $50.00 0 Jan/11/14 Jan/18/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow Used - $225.00 0 Jan/11/14 Jan/18/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $100.00 0 Jan/11/14 Jan/18/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
usedeqsales Used - $251.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11414 Used
usedeqsales Used - $251.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11486 Used
usedeqsales Used - $251.14 0 Jan/13/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11436 Used
usedeqsales Used - $3,001.14 2 Jan/13/14 Aug/21/17
Description: Newport 15-3701-1425-26 300mm Wafer Transfer Robot AMAT 0190-19123 Used Working
usedeqsales Used - $3,001.14 4 Jan/13/14 Dec/06/17
Description: Newport 15-3702-1425-26 300mm Wafer Transfer Robot AMAT 0190-22250 Used Working
usedeqsales Used - $251.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11487 Used
usedeqsales Used - $251.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11427 Used
usedeqsales Used - $501.14 0 Jan/14/14 May/30/14
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11456 Used
usedeqsales Used - $251.14 0 Jan/14/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11509 Used
usedeqsales Used - $251.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11377 Used
usedeqsales Used - $501.14 1 Jan/14/14 Feb/05/14
Description: MKS Instruments T3BIA-30321 Exhaust Throttle Control Valve AMAT 0190-39691 Used
usedeqsales Used - $3,501.14 0 Jan/14/14 May/01/15
Description: AE Advanced Energy 3155000-000 RF Match Navigator AMAT 0190-30887 Used Working
usedeqsales Used - $401.14 6 Jan/14/14 Jun/08/14
Description: Yaskawa SGDH-08AE-SY905 Servopack Servo Drive AMAT 0190-08005 Rev. 2 Used
usedeqsales Used - $251.14 0 Jan/14/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 0190-16329 Used
trees_for_a_better_tomorrow Used - $225.00 0 Jan/19/14 Jan/26/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $50.00 0 Jan/19/14 Jan/26/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow Used - $100.00 0 Jan/19/14 Jan/26/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $100.00 0 Jan/19/14 Jan/26/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-16343 MultiFlo SC22 Used
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-16345 MultiFlo SC24 Used
usedeqsales Used - $10,000.60 1 Jan/20/14 Oct/12/15
Description: Lam Research 685-495112-900 AC Power Box RPM A6-L9 Used Working
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-19316 MultiFlo SC23 Used
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-19321 MultiFlo SC28 Used
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-19313 MultiFlo SC21 Used
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0010-30921 MultiFlo SC21 Used
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-16344 MultiFlo SC23 Used
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0010-42304 MultiFlo SC23 Used
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-19318 MultiFlo SC25 Used
usedeqsales Used - $200.46 0 Jan/20/14 Feb/11/14
Description: Brooks Instruments 5964 Mass Flow Controller AMAT 3030-02017 Used
usedeqsales Used - $200.46 0 Jan/20/14 Feb/11/14
Description: Brooks Instruments 5964 Mass Flow Controller AMAT 3030-02018 Used
usedeqsales Used - $251.14 0 Jan/20/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-19315 MultiFlo SC22 Used
semipart Used - $150.00 1 Jan/20/14 Jan/28/14
Description: AMAT 3870-01215 Pneumatic Angle Valve. Used, Rebuilt and Working
usedeqsales Used - $501.14 5 Jan/20/14 Aug/01/14
Description: Unit Instruments UFC-3165 Mass Flow Controller AMAT 0190-08914 Used
usedeqsales Used - $401.14 3 Jan/21/14 Mar/03/15
Description: AMAT Applied Materials 450219696 Deionizer DI Tank Container 3250-01007 Used
usedeqsales Used - $251.14 0 Jan/21/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-16346 MultiFlo SC25 Used
usedeqsales Used - $251.14 0 Jan/21/14 May/01/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-19317 MultiFlo SC24 Used
usedeqsales Used - $301.14 0 Jan/21/14 Nov/12/14
Description: MKS Instruments L2-40-SP1-316 Pneumatic Right Angle Valve AMAT 0190-13041 Used
usedeqsales Used - $401.14 0 Jan/21/14 Sep/16/14
Description: MKS Instruments 253B-14461 Exhaust Throttle Control Valve AMAT 3870-02937 Used
usedeqsales Used - $251.14 0 Jan/22/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11425 Used
usedeqsales Used - $251.14 0 Jan/22/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11744 Used
usedeqsales Used - $251.14 0 Jan/22/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11484 Used
usedeqsales Used - $251.14 0 Jan/22/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11743 Used
usedeqsales Used - $251.14 0 Jan/22/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11461 Used
usedeqsales Used - $251.14 0 Jan/22/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11525 Used
usedeqsales Used - $251.14 0 Jan/22/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11443 Used
usedeqsales Used - $251.14 0 Jan/22/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11431 Used
usedeqsales Used - $251.14 0 Jan/22/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11437 Used
epicrew01 Used - $50.00 0 Jan/22/14 Oct/06/21
Description: 0200-35022 RING,PRE-HEAT for AMAT Centura S36367 Used (#13-5)
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11419 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-15876 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12341 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-14179 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8564 Mass Flow Controller AMAT 3030-13328 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12779 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-13618 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11265 Used
usedeqsales Used - $200.46 1 Jan/23/14 Feb/17/14
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-12167 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-12238 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-12224 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11626 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11662 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-15024 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12715 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12992 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12148 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-12056 Used
usedeqsales Used - $251.14 0 Jan/23/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-12579 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11625 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-12183 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-12184 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11618 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11448 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-13340 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11386 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 0190-16330 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11374 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11590 Used
usedeqsales Used - $251.14 0 Jan/24/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11268 Used
usedeqsales Refurbished - $251.14 2 Jan/24/14 May/01/15
Description: Unit Instruments UFC-8561C Mass Flow Controller AMAT 3030-11701 Used
keykorea Used - $220.00 0 Jan/26/14 Dec/10/15
Description: AMAT 0015-01043 PULLEY, BASE ASSEMBLY PAD CONDITIONER, USED
semipart NEW - $1,500.00 1 Jan/27/14 Feb/19/14
Description: 8" BUFFER W/SLOT FROG LEG, AMAT 0021-35713 NEW, BLADE AMAT 0021-70285 USED
usedeqsales Used - $200.46 2 Jan/27/14 Feb/04/14
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-00571 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-03621 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-03618 Used
usedeqsales Used - $200.46 1 Jan/27/14 Feb/20/14
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-09940 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-09941 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-01158 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-09942 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-10998 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-03617 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-03294 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-00057 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-00960 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-00580 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02991 Used
usedeqsales Used - $501.14 0 Jan/27/14 Dec/29/14
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-00501 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 0190-11183 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02989 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-12076 Used
usedeqsales Used - $351.14 2 Jan/27/14 Apr/07/14
Description: Mitsubishi HC-UFS30MW8-S2-W AC Servo Motor AMAT 0190-09809 Used Working
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8164 Mass Flow Controller AMAT 3030-09509 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-03045 Used
usedeqsales Used - $501.14 0 Jan/27/14 Dec/29/14
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02678 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-11006 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8164 Mass Flow Controller AMAT 3030-11005 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-10735 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-12086 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-11571 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-11261 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-11967 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-11003 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-12073 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-11039 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 0190-08925 Used
usedeqsales Used - $501.14 0 Jan/27/14 Dec/29/14
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02684 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 0190-08919 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-08923 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02994 Used
usedeqsales Used - $501.14 0 Jan/27/14 Dec/29/14
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02686 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-14632 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-10997 Used
usedeqsales Used - $251.14 1 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-10999 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 0190-08930 Used
usedeqsales Used - $251.14 0 Jan/27/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-09507 Used
keykorea Used - $50.00 0 Jan/28/14 Feb/10/14
Description: AMAT 0020-77377 CLAMP, SWEEP, DRIVE GEAR, USED
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26207 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26213 Used
usedeqsales Used - $1,001.14 0 Jan/28/14 Feb/03/14
Description: Edwards P035Y003B031-3 Turbo Molecular Pump Cable P035P AMAT 0620-01407 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26292 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24836 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26208 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24825 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-25652 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-13784 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-15928 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-14655 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-14610 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24828 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-25547 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24822 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Unit Instruments UFC-8164 Mass Flow Controller AMAT 3030-12087 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-12078 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFM-1660 Mass Flow Meter AMAT 3030-07103 Used
usedeqsales Used - $251.14 1 Jan/28/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-11002 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24835 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Unit Instruments UFC-1665 Mass Flow Controller AMAT 3030-06920 Used
usedeqsales Used - $501.14 0 Jan/28/14 Jan/22/15
Description: Unit Instruments UFC-3165 Mass Flow Controller AMAT 3030-03184 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-12070 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26357 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26356 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-28190 Used
usedeqsales Used - $251.14 0 Jan/28/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-14777 Used
usedeqsales Used - $251.14 0 Jan/29/14 May/01/15
Description: Aera FC-780CS Mass Flow Controller AMAT 3030-06257 Used
usedeqsales Used - $251.14 0 Jan/29/14 May/01/15
Description: Unit Instruments UFC-8100 Mass Flow Controller AMAT 3030-07107 Used
usedeqsales Used - $251.14 0 Jan/29/14 May/01/15
Description: Celerity UFC-8161 Mass Flow Controller AMAT 3030-10298 Used
usedeqsales Used - $251.14 0 Jan/29/14 May/01/15
Description: Celerity UFC-8161 Mass Flow Controller AMAT 3030-12121 Used
usedeqsales Used - $251.14 0 Jan/29/14 May/01/15
Description: Celerity UFC-8161 Mass Flow Controller AMAT 3030-03886 Used
usedeqsales Used - $200.46 0 Jan/29/14 Feb/14/14
Description: Unit Instruments UFC-8160 Mass Flow Controller AMAT 3030-11368 Used
usedeqsales Used - $251.14 0 Jan/29/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-15025 Used
usedeqsales Used - $501.14 2 Jan/30/14 Jan/30/14
Description: Yaskawa SGMPH-04AAAP20 AC Servo Motor AMAT 0190-77528 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 0190-08929 Used
usedeqsales Used - $501.14 1 Jan/30/14 Jan/31/14
Description: Brooks Instrument GF125C-845022 Mass Flow Controller AMAT 0190-32305 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11266 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-26291 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Unit Instruments UFC-8561C Mass Flow Controller AMAT 3030-11681 Used
usedeqsales Used - $125.28 1 Jan/30/14 Dec/01/14
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-03283 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Unit Instruments UFC-8561 Mass Flow Controller AMAT 3030-10804 Used
usedeqsales Used - $125.28 0 Jan/30/14 Dec/05/14
Description: Celerity UFC-8161C Mass Flow Controller AMAT 3030-14213 Used
usedeqsales Used - $251.14 1 Jan/30/14 Jul/11/16
Description: Celerity UFC-3165 Mass Flow Controller AMAT 0190-08915 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-15354 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 0190-16332 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11385 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Unit Instruments UFC-8565 Mass Flow Controller AMAT 3030-11502 Used
usedeqsales Refurbished - $400.46 1 Jan/30/14 Feb/13/14
Description: MKS Instruments 1179A11CR1BV--S Mass Flow Controller AMAT 3030-05858 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11513 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-10996 Used
usedeqsales Used - $501.14 0 Jan/30/14 Dec/29/14
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 0190-08923 Used
usedeqsales Used - $251.14 0 Jan/30/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-12071 Used
usedeqsales Used - $612.13 1 Jan/31/14 Aug/11/14
Description: AMAT Applied Materials 0100-20100 Analog I/O PCB Used Working
usedeqsales Used - $251.14 0 Jan/31/14 May/01/15
Description: Unit Instruments UFC-1100A Mass Flow Controller AMAT 3030-01536 Used
usedeqsales Used - $501.14 0 Jan/31/14 Feb/28/14
Description: Unit Instruments UFC-1100A Mass Flow Controller AMAT 0225-10135 Used
usedeqsales Used - $251.14 0 Jan/31/14 May/01/15
Description: Unit Instruments UFC-1100 Mass Flow Controller AMAT 3030-01686 Used
usedeqsales Used - $7,002.13 0 Jan/31/14 Apr/30/15
Description: Alcatel ATH 1600 M Vacuum Turbopump P25621B8 AMAT 3620-00272 Used Working
usedeqsales Used - $251.14 0 Jan/31/14 May/01/15
Description: Unit Instruments UFC-1100 Mass Flow Controller AMAT 3030-01684 Used
usedeqsales Used - $200.46 1 Jan/31/14 Feb/04/14
Description: Unit Instruments UFC-8565C Mass Flow Controller AMAT 3030-13421 Used
usedeqsales Used - $501.14 0 Jan/31/14 Feb/28/14
Description: Unit Instruments UFC-1100A Mass Flow Controller AMAT 3030-01070 Used
usedeqsales Used - $501.14 0 Jan/31/14 Feb/28/14
Description: Unit Instruments UFC-1100A Mass Flow Controller AMAT 3030-01678 Used
usedeqsales Used - $251.14 0 Jan/31/14 May/01/15
Description: Celerity UFC-8161 Mass Flow Controller AMAT 3030-12678 Used
conquer_2011 Used - $5,699.00 0 Feb/02/14 Feb/09/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
keykorea Used - $200.00 0 Feb/02/14 Dec/10/15
Description: AMAT 0015-77132 SST PULLEY, USED
keykorea Used - $200.00 0 Feb/02/14 Aug/04/16
Description: AMAT 0020-79897 DDF3 O-DPM CLP, USED
yayais2012 Used - $900.00 0 Feb/03/14 Mar/05/14
Description: HFV-8000 AE Advanced Energy 3155083-192 RF Generator AMAT 0190-15553 Used
usedeqsales Used - $251.14 1 Feb/03/14 Sep/02/15
Description: Tylan FC-2900M Mass Flow Controller AMAT 0226-49259 Used
usedeqsales Used - $251.14 1 Feb/03/14 Aug/18/17
Description: Horiba Stec LF-F40M-A-EVD Liquid Mass Flow Meter AMAT 3030-14690 Used
trees_for_a_better_tomorrow Used - $100.00 0 Feb/03/14 Feb/10/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $225.00 0 Feb/03/14 Feb/10/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $50.00 0 Feb/03/14 Feb/10/14
Description: Used AMAT Applied Materials 0100-01973 300mm LFD Radaince Rev 003 Board Warranty
trees_for_a_better_tomorrow Used - $100.00 0 Feb/03/14 Feb/10/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
torastreasures Used - $750.00 1 Feb/04/14 Feb/05/14
Description: Xantrex XHR 150-7 DC Power Supply AMAT 1140-00153 Used Tested Working
usedeqsales Used - $602.14 0 Feb/04/14 May/04/15
Description: Fujikin FSR-C 8 Channel Servo Driver 23.6C-DR AMAT 0660-00204 Used
usedeqsales Used - $282.14 2 Feb/05/14 Nov/16/15
Description: AMAT Applied Materials 1843623012 AC Servo Motor XBR-2310 AMAT 0010-03907 Used
usedeqsales Used - $196.39 0 Feb/05/14 Apr/06/15
Description: AMAT Applied Materials 0190-14178 MAG-LEV Machine Controller Cable Used Working
usedeqsales Used - $35.75 4 Feb/05/14 Mar/18/14
Description: AMAT Applied Materials 3400-00434 40FT Flexline Cryo Hose Used Working
usedeqsales Used - $802.14 0 Feb/05/14 Feb/06/14
Description: AMAT Applied Materials 0100-18043 Remote Scriplex I/O HDP-CVD Ultima Board Used
usedeqsales Used - $802.14 1 Feb/05/14 Apr/07/14
Description: Square D SPXMUXADIO110 Seriplex Control Bus AMAT 0190-35651 Used
usedeqsales Used - $1,502.14 1 Feb/05/14 Mar/09/14
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Used
usedeqsales Used - $802.14 1 Feb/05/14 Apr/07/14
Description: Square D SPXMUXADIO110 Seriplex Control Bus AMAT 0190-35765 Used
usedeqsales Used - $2,002.14 0 Feb/05/14 May/01/15
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Used Working
usedeqsales Used - $602.14 0 Feb/05/14 May/04/15
Description: Arcom 7041-64423-004-101 Rack Mounted Industrial PC Used Working
usedeqsales Used - $302.14 0 Feb/06/14 May/04/15
Description: MKS Instruments 852B61PCA2GF Baratron Pressure Transducer AMAT 0227-08560 Used
usedeqsales Used - $502.14 0 Feb/06/14 May/05/15
Description: A.C.S Electronics SB214PC2-E XY Controller 210-40540-00/A AMAT 0660-01789 Used
usedeqsales Used - $2,002.14 0 Feb/06/14 Dec/04/14
Description: VAT 65044-PH52-AJD1 Pendulum Valve AMAT 3870-01925 Used
usedeqsales Used - $1,002.14 2 Feb/06/14 Feb/20/14
Description: Edwards P035Y003B031A3 STP Turbo Molecular Pump Cable P035P AMAT 0620-01407 Used
usedeqsales Used - $502.14 0 Feb/06/14 Nov/16/20
Description: Hitachi K8-022604-23 Gas Flow Control Box AMAT 0190-33364 Used Working
bruce135 Used - $449.00 0 Feb/07/14 Mar/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
conquer_2011 NEW - $275.00 0 Feb/07/14 Mar/09/14
Description: AMAT APPLIED MATERIALS 3400-01166 SS Braid CTI Flex-line Cryogenics Helium USED
conquer_2011 Used - $5,699.00 0 Feb/09/14 Feb/16/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
grandbirdnet Used - $500.00 10 Feb/11/14 Apr/26/24
Description: AMAT 0040-80234 CROSS CROSS COVER VERTICAL, USED
keykorea Used - $77.00 0 Feb/11/14 Jul/14/15
Description: AMAT 0020-77374 GEAR, USED
keykorea Used - $18.00 0 Feb/11/14 Jun/27/15
Description: AMAT 0690-01767 CLAMP SPLIT & SCREW 1/2 SHAFT SIZE .562, USED
keykorea Used - $3,500.00 0 Feb/11/14 Jan/28/21
Description: AMAT 0020-79021 HOUSING, LOADCUP, USED
usedeqsales Used - $502.14 1 Feb/13/14 Mar/18/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 0224-42723 Used
usedeqsales Used - $502.14 1 Feb/13/14 Mar/20/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 0225-16278 Used
usedeqsales Used - $502.14 1 Feb/13/14 Mar/20/14
Description: STEC SEC-4400MC-UC Mass Flow Controller AMAT 3030-01413 Used
usedeqsales Used - $502.14 1 Feb/13/14 Mar/18/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04809 Used
keykorea Used - $100.00 3 Feb/13/14 Nov/06/19
Description: AMAT 0020-79227 SENSOR PLATE, USED
keykorea Used - $320.00 0 Feb/14/14 Feb/25/14
Description: AMAT 0020-26311 SHIELD LOWER 8″ DURA TTN SST, USED
usedeqsales Used - $502.14 0 Feb/20/14 May/19/14
Description: Brooks GF100C-923327 Thermal Mass Flow AMAT 3030-17057 5000 SCCM N2 Used
usedeqsales Used - $402.14 2 Feb/20/14 Jul/26/17
Description: VAT 0430X-BA24-AFU1 Transfer Valve Pneumatic Actuator AMAT 0190-37105 Used
usedeqsales Used - $252.14 0 Feb/20/14 Feb/08/18
Description: Aera FC-PA7820C Mass Flow Controller AMAT 3030-15278 Used
usedeqsales Used - $452.14 5 Feb/20/14 May/30/14
Description: TRU RG-217U RF Cable 32m AMAT 0620-00516 Lot of 2 Used Working
usedeqsales Used - $252.14 0 Feb/21/14 May/04/15
Description: Horiba STEC LF-A40M-A-EVD Liquid Mass Flow Meter AMAT 3030-11063 Used
usedeqsales Used - $802.14 0 Feb/21/14 May/04/15
Description: Spellman ESC5PN25X4250 Compact Power Supply X4250 AMAT 0190-37102 Used Working
usedeqsales Used - $3,502.14 1 Feb/21/14 Dec/12/14
Description: AE Advanced Energy 3155132-001 RF Match AMAT 0190-27576 Used Working
usedeqsales Used - $1,007.12 0 Feb/24/14 Jan/10/19
Description: AMAT Applied Materials 9090-00785 High Voltage Module 3X2H XR80 Used Working
bbs_express Used - $450.00 1 Feb/24/14 Jun/05/14
Description: APPLIED MATERIALS - 9090-00093 - Power Supply - daq Mk II type H (Used)
usedeqsales Used - $502.14 0 Feb/24/14 May/05/15
Description: TeNTA AS00720-04 PCI Power Board AMAT 0190-07502 Used Working
neilan1987 Used - $299.99 0 Feb/25/14 Jul/30/14
Description: DIP INC. CDN396 15039603 I/O Card AMAT 0190-01270 USED
semipart Used - $175.00 1 Feb/25/14 Feb/26/14
Description: AMAT 3870-01215 Pneumatic Angle Valve. Used, Rebuilt and Working
usedeqsales Used - $302.14 0 Feb/26/14 May/04/15
Description: Control Concepts 2092-1005 Single Zone Bake Out Driver AMAT 0190-25055 Used
usedeqsales Used - $3,002.14 0 Feb/27/14 May/01/15
Description: AMAT Applied Materials 0195-08438 Disk Brush Driver Enclosure Used Working
usedeqsales Used - $252.14 0 Feb/27/14 May/04/15
Description: STEC LF-A40M-A-EVD Liquid Mass Flow Meter AMAT 3030-11074 Used
usedeqsales Used - $402.14 0 Feb/28/14 Jan/22/18
Description: DIP Inc. 15049105 PCB Card CDN491 AMAT 0660-01879 Used Working
usedeqsales Used - $602.14 1 Feb/28/14 Dec/07/17
Description: AMAT Applied Materials 0100-00581 300mm Gas Interlock Card Used Working
usedeqsales Used - $602.14 1 Feb/28/14 Jan/21/18
Description: DIP Inc 15049602 Network PCB Card CDN496 AMAT 0660-01880 Used Working
bruce135 Used - $449.00 0 Mar/10/14 Apr/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
keykorea Used - $4,400.00 1 Mar/12/14 Nov/14/17
Description: AMAT 0190-17056 SCR LAMP DRIVER 15 ZONE RADIANCE, USED
usedeqsales Used - $253.14 0 Mar/12/14 May/04/15
Description: Cybex 500-103 PC Expander Plus AMAT 0650-01088 Used Working
usedeqsales Used - $197.04 2 Mar/11/14 Apr/06/15
Description: MKS Instruments 1651D2S2 Throttle Valve Control Type 1651 AMAT 0500-01147 Used
usedeqsales Used - $503.14 1 Mar/11/14 Mar/13/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used
usedeqsales Used - $503.14 2 Mar/07/14 Jul/06/18
Description: Novellus 03-034720-00 DCCM-S Right Loadlock Interface PCB Rev. A Used Working
usedeqsales Used - $251.14 0 Mar/13/14 May/01/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-00059 Used
usedeqsales Used - $1,003.14 0 Mar/13/14 Apr/17/14
Description: HVA 21251-1003Z-001 Pneumatic Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $253.14 0 Mar/13/14 May/04/15
Description: Unit Instruments 1665-100170 Mass Flow Controller AMAT 3030-03075 Used
usedeqsales Used - $253.14 0 Mar/13/14 May/04/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02983 Used
usedeqsales Used - $253.14 0 Mar/13/14 May/04/15
Description: Unit Instruments 8165-100076 Mass Flow Controller AMAT 3030-00058 Used
usedeqsales Used - $253.14 0 Mar/13/14 May/04/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-00886 Used
usedeqsales Used - $253.14 0 Mar/13/14 May/04/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-09735 Used
usedeqsales Used - $253.14 0 Mar/13/14 May/04/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02946 Used
usedeqsales Used - $253.14 0 Mar/13/14 May/04/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-03263 Used
usedeqsales Used - $253.14 0 Mar/13/14 May/04/15
Description: Unit Instruments 8165-100075 Mass Flow Controller AMAT 3030-00056 Used
usedeqsales Used - $253.14 0 Mar/13/14 May/04/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-02947 Used
usedeqsales Used - $403.14 0 Mar/13/14 May/05/15
Description: Stober Drives P821SPZ0070MT Precision Gearhead ServoFit AMAT 3970-00029 Used
usedeqsales Used - $303.14 0 Mar/14/14 May/04/15
Description: AMAT Applied Materials 3310-00139 Capacitance Pirani Gauge Used Working
usedeqsales Used - $411.13 6 Mar/14/14 Jul/26/14
Description: InUSA gFFOZ Full Flow Ozone Sensor AMAT 3030-06231 Used Working
trees_for_a_better_tomorrow Used - $225.00 0 Mar/16/14 Mar/23/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $100.00 0 Mar/16/14 Mar/23/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
grandbirdnet Used - $420.00 1 Mar/17/14 Oct/19/23
Description: AMAT 0090-77290 ASSY MEGASONIC TANK LOW LEVEL SWITCH, USED
keykorea Used - $20.00 0 Mar/17/14 Feb/10/15
Description: AMAT 0090-77098 ASSY RBT DOOR SW WAFER MA, USED
keykorea Used - $220.00 0 Mar/17/14 Mar/01/18
Description: AMAT 0090-77234 HARNESS, WATER LEVER SENSOR, USED
grandbirdnet Used - $300.00 0 Mar/17/14 Dec/17/15
Description: AMAT 0090-77294 ASSY MEGASONIC, USED
grandbirdnet Used - $170.00 0 Mar/17/14 Nov/03/22
Description: AMAT 0090-77034 ASSY,SENSR,SLURRY DISPENSE ARM HOME LIMT, USED
keykorea Used - $80.00 0 Mar/17/14 Nov/09/20
Description: AMAT 0090-77173 WAFER PRESENT SWITCH, OUTPUT, USED
fablogic Used - $1,500.00 0 Mar/20/14 Aug/17/14
Description: Daihen SGM-15B Microwave Magnetron Applied Materials part # 0920-01044 (used)
usedeqsales Used - $3,503.14 2 Mar/21/14 Mar/25/14
Description: AE Advanced Energy 3152412-310 DC Power Supply MDX Pinnacle AMAT 0190-15608 Used
supertechshop Used - $199.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
fablogic Used - $1,150.00 1 Mar/21/14 Mar/25/14
Description: Applied Materials DXZ Match Adapter 1110-01015 (Used; Lot of 2)
yayais2012 Used - $400.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
fablogic Used - $850.00 0 Mar/21/14 Aug/18/14
Description: Applied Materials RPS Chamber liners 0020-34315 ( Lot of 2; Used)
fablogic Used - $755.00 0 Mar/21/14 Aug/18/14
Description: Applied Materials 8300 Robot 0010-00212 (used)
fablogic Used - $600.00 0 Mar/22/14 Apr/21/14
Description: AMAT 0010-70321 Slit Valve Assy. Precision 500 Mark II (Used; Lot of 4)
fablogic Used - $550.00 0 Mar/22/14 Aug/19/14
Description: Applied Materials 0240-31632 Kit, Wafer Position Sensor 29 Slot P-5000 (used)
fablogic Used - $600.00 1 Mar/22/14 Mar/23/14
Description: Applied Materials 3750-01112 Tube, Plasma Source Astex Model No. AX7610-5 (used)
trees_for_a_better_tomorrow Used - $100.00 0 Mar/23/14 Mar/30/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $225.00 0 Mar/23/14 Mar/30/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
usedeqsales Used - $603.14 0 Mar/24/14 May/04/15
Description: Sanyo Denki 103H7851-72B2 Stepping Servo Motor AMAT 0090-00412 Lot of 4 Used
usedeqsales Used - $3,503.14 0 Mar/27/14 May/01/15
Description: AE Advanced Energy 3155301-007 RF Match Navigator AMAT 0190-42448 Used Working
usedeqsales Used - $1,003.14 1 Mar/26/14 Apr/01/14
Description: NSK M-RS0810FN544 Megatorque Motor AMAT 0190-14344 Used Working
usedeqsales Used - $2,503.14 1 Mar/27/14 Apr/16/14
Description: Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. G Used Working
usedeqsales Used - $2,503.14 1 Mar/28/14 Apr/16/14
Description: Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. J Used Working
xltechtexas NEW - $399.00 4 Mar/28/14 Oct/01/15
Description: AMAT P5000 CENTURA DXZ HEATER CONNECTOR used on 0150-38915 and others
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: STEC SEC-7440M Mass Flow Controller AMAT 3030-02716 Used Working
usedeqsales Used - $125.78 1 Mar/28/14 Nov/28/14
Description: Unit Instruments 1660-100926 Mass Flow Controller UFC-1660 AMAT 0227-10750 Used
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: Unit Instruments 1660-100929 Mass Flow Controller AMAT 0227-10753 Used Working
usedeqsales Used - $10,003.10 0 Mar/28/14 Apr/30/15
Description: ETO 80-S09-UW RF Generator Rack A AMAT 0190-18181 0290-76193 Used Working
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: Unit Instruments UFC-8160 Mass Flow Controller AMAT 3030-03237 Used Working
usedeqsales Used - $503.14 1 Mar/28/14 May/09/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-01517 Used Working
usedeqsales Used - $503.14 0 Mar/28/14 Oct/17/14
Description: Horiba STEC SEC-4400M Mass Flow Controller AMAT 0227-04814 Used Working
usedeqsales Used - $10,003.10 0 Mar/28/14 Apr/30/15
Description: ETO 80-S09-UW RF Generator Rack D AMAT 0190-18181 0290-76193 Used Working
usedeqsales Used - $503.14 1 Mar/28/14 Apr/17/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-16742 Used Working
usedeqsales Used - $10,003.10 0 Mar/28/14 Apr/30/15
Description: ETO 80-S09-UW RF Generator Rack B AMAT 0190-18181 0290-76193 Used Working
usedeqsales Used - $503.14 0 Mar/28/14 May/19/14
Description: Brooks Instruments GF125CXXC Digital Mass Flow Controller AMAT 0190-40281 Used
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: Tylan FC-2902MEP5-T Mass Flow Controller AMAT 3030-04260 Used Working
trees_for_a_better_tomorrow Used - $225.00 0 Mar/30/14 Apr/06/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $100.00 0 Mar/30/14 Apr/06/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
usedeqsales Used - $4,503.14 1 Mar/31/14 Mar/31/14
Description: Kawasaki 30C61E-B003 Master Robot Controller AMAT 0190-12697 Used Working
usedeqsales Used - $353.14 0 Mar/31/14 Aug/12/14
Description: Oriental Motor UDK5128NW-A4 5-Phase Servo Motor Driver AMAT 0870-01031 Used
usedeqsales Used - $125.78 0 Mar/31/14 Dec/10/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04702 Used Working
usedeqsales Used - $2,009.11 0 Mar/31/14 Apr/01/14
Description: Adlink Technology cPCI-3720TB/P82 Single Board Computer AMAT 0190-28791 Used
usedeqsales Used - $251.14 0 Mar/31/14 May/01/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 3030-12167 Used
usedeqsales Used - $654.14 1 Apr/01/14 Oct/14/14
Description: MKS Instruments 683B-29016 Exhaust Throttle Valve AMAT 0190-32100 Used Working
usedeqsales Used - $3,504.14 0 Apr/01/14 Apr/04/14
Description: Neslab 327099991701 Heat Exchanger Chiller AMAT 0190-76118 Used Working
usedeqsales Used - $2,009.11 0 Apr/02/14 May/01/15
Description: Adlink Technology cPCI-3720TB/P82 Single Board Computer AMAT 0190-28791 Used
usedeqsales Used - $1,004.14 0 Apr/02/14 Apr/04/14
Description: Edwards P035Y003B031-3 Turbo Molecular Pump Cable P035 AMAT 0620-02695 Used
usedeqsales Used - $3,510.13 0 Apr/02/14 Apr/30/15
Description: DCG-200Z OPTIMA ENI DCG-200ZH-00 DC Power Supply Master AMAT 0190-22931 Used
usedeqsales Used - $604.14 1 Apr/03/14 Apr/25/14
Description: MKS Instruments 628B12TBE1B Baratron Capacitance Manometer AMAT 1350-00042 Used
usedeqsales Used - $504.14 13 Apr/03/14 Apr/03/14
Description: Novellus 11362100 Spindle Housing Assembly AMAT 0020-79039 Used Working
usedeqsales Used - $284.14 0 Apr/04/14 May/04/15
Description: AMAT Applied Materials 1843623012 AC Servo Motor XBR-2310 AMAT 0010-70836 Used
usedeqsales Used - $1,004.14 0 Apr/04/14 Apr/10/14
Description: AMAT Applied Materials 0010-18129 Sidematch Centura Rev. D Used Working
usedeqsales Used - $254.14 0 Apr/04/14 May/04/15
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-06075 Used
usedeqsales Used - $504.14 1 Apr/04/14 May/09/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-06074 Used
usedeqsales Used - $254.14 0 Apr/04/14 May/04/15
Description: Celerity UFC-1660 Mass Flow Controller AMAT 3030-12921 Used
usedeqsales Used - $254.14 0 Apr/04/14 May/04/15
Description: Celerity UFC-1660 Mass Flow Controller AMAT 3030-12923 Used
usedeqsales Used - $254.14 0 Apr/04/14 May/04/15
Description: Celerity UFC-1660 Mass Flow Controller AMAT 3030-12922 Used
usedeqsales Used - $254.14 0 Apr/04/14 May/04/15
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-06140 Used
usedeqsales Used - $504.14 1 Apr/04/14 May/09/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-01519 Used
fablogic Used - $450.00 0 Apr/05/14 Sep/02/14
Description: Applied Materials 3750-01112 Tube, Plasma Source Astex Model No. AX7610-5 (used)
yayais2012 Used - $900.00 0 Apr/06/14 May/06/14
Description: HFV-8000 AE Advanced Energy 3155083-192 RF Generator AMAT 0190-15553 Used
usedeqsales Used - $354.14 0 Apr/07/14 May/05/15
Description: Copley Controls 800-1514A Servo Amplifier AMAT 0190-31257 Used Working
usedeqsales Used - $504.14 0 Apr/07/14 May/05/15
Description: VAT 26432-KA11-BJI1 Pneumatic Angle Valve AMAT 3870-04912 Lot of 3 Used Working
usedeqsales Used - $502.14 0 Apr/07/14 Jul/18/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04809 Used
usedeqsales Used - $502.14 0 Apr/07/14 Oct/17/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 0224-42723 Used
usedeqsales Used - $126.04 0 Apr/08/14 Dec/08/14
Description: Aera FC-7800CD Mass Flow Controller AMAT 3030-00313 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Unit Instruments UFC-1660 Mass Flow Controller AMAT 3030-01906 Used
usedeqsales Used - $504.14 0 Apr/08/14 May/19/14
Description: Brooks Instruments GF125CXXC Thermal Mass Flow Controller AMAT 0190-40280 Used
usedeqsales Used - $254.14 0 Apr/08/14 May/01/15
Description: Aera FC-7810CD Mass Flow Controller AMAT 3030-01857 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Celerity UFC-1661 Mass Flow Controller AMAT 3030-15049 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Celerity UFC-1660 Mass Flow Controller AMAT 3030-12920 Used Working
usedeqsales Used - $126.04 5 Apr/08/14 Dec/09/14
Description: MKS Instruments 1179A11CR1BV--S Mass Flow Controller AMAT 0190-18037 Used
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-09320 Used Working
usedeqsales Used - $2,504.14 0 Apr/08/14 May/01/15
Description: Daihen ATM-30A Microwave Generator AMAT 0190-28437 Used Working
usedeqsales Used - $2,204.14 2 Apr/09/14 Jan/14/15
Description: Daihen RMN-50M2 RF Match AMAT 0190-10906 Used Working
grandbirdnet NEW - $4,000.00 0 Apr/09/14 Oct/09/22
Description: AMAT 0190-27818 1007-0062 Specification, MEI XMP-Sercos-PCI Motion, USED
usedeqsales Used - $2,204.14 0 Apr/11/14 May/01/15
Description: Daihen RMN-50N6 Dual Frequency RF Match Box AMAT 0190-25187 Used Working
keykorea Used - $3,000.00 1 Apr/14/14 Aug/05/19
Description: AMAT 3870-04672 THROTTLE BUTTERFLY VALVE IQD, USED
usedeqsales Used - $4,504.14 0 Apr/14/14 Nov/25/14
Description: ENI OEM-28B-02 Solid State Power Generator OEM-28B Rev. L AMAT 0190-01264 Used
usedeqsales Used - $3,509.13 5 Apr/14/14 May/01/15
Description: IPUP A100L Alcatel A100 Dry Vacuum Pump AMAT 0190-01042 Used Working
usedeqsales Used - $3,504.14 1 Apr/15/14 Apr/17/14
Description: NSK RZ0810FN507 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $3,504.14 3 Apr/15/14 Apr/17/14
Description: NSK RS0810FN544 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $3,504.14 1 Apr/15/14 Apr/17/14
Description: NSK RS0810FN544 Megatorque Motor And EP0810AF5-05 Driver AMAT 0190-14344 Used
usedeqsales Used - $2,004.14 0 Apr/16/14 May/01/15
Description: Daihen AMN-30H RF Match Box AMAT 0190-15323 Used Working
usedeqsales Used - $1,004.14 1 Apr/16/14 Apr/17/14
Description: NSK RS0810FN544 Megatorque Motor AMAT 0180-00036 Used Working
usedeqsales Used - $1,004.14 0 Apr/16/14 May/01/15
Description: VAT 0750X-UA24-AIP2 ATM L-Motion Actuator AMAT 0190-31117 Used Working
usedeqsales Used - $504.14 0 Apr/16/14 May/05/15
Description: MEI Motion Engineering T008-2001 eXMP Controller Rev. 7 AMAT 0190-13990 Used
usedeqsales Used - $121.66 1 Apr/16/14 May/19/14
Description: MKS Instruments 253B-26661 Exhaust Throttle Control Valve AMAT 0190-25586 Used
usedeqsales Used - $102.07 1 Apr/16/14 Jan/02/15
Description: Fuji Electric EL90P0 Earth Leakage Protection Relay AMAT 1200-01213 Used
usedeqsales Used - $2,004.14 0 Apr/16/14 May/01/15
Description: Comet 20045812 RF Match Box AMAT 0190-38179 Used Working
usedeqsales Used - $804.14 0 Apr/17/14 May/04/15
Description: NPI Northwest Power Integrations 3604 Power Supply Card AMAT 0190-02479 Used
trees_for_a_better_tomorrow Used - $100.00 0 Apr/17/14 Apr/24/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $225.00 0 Apr/17/14 Apr/24/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
usedeqsales Used - $604.14 0 Apr/17/14 May/04/15
Description: NPI Northwest Power Integrations 3634 Power Supply Card AMAT 0190-02473 Used
grandbirdnet Used - $110.00 0 Apr/18/14 Apr/12/18
Description: AMAT 0190-77140 SILL, LONG SIDE, USED
keykorea Used - $92.00 0 Apr/18/14 Apr/12/18
Description: AMAT 0190-77106 SILL, SHORT SKINS, USED
usedeqsales Used - $7,504.14 1 Apr/18/14 Apr/18/14
Description: Kawasaki 3NS411B-F003 Wafer Handling Robot AMAT 0190-16621 Used Working
conquer_2011 Used - $6,299.10 0 Apr/18/14 May/18/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
keykorea Used - $300.00 3 Apr/21/14 Jun/08/17
Description: AMAT 0020-79378 CROSS END SHIELD, USED
yayais2012 Used - $400.00 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
usedeqsales Used - $5,504.14 1 Apr/21/14 Jul/30/14
Description: HiTek Power HiVolt A1007990 High Voltage Stack AMAT 0090-90388 Used Working
usedeqsales Used - $604.14 0 Apr/21/14 May/04/15
Description: Spellman X3208 High Voltage Power Supply Rev. B11 AMAT 0190-17029 Used Working
keykorea Used - $3,500.00 0 Apr/22/14 Oct/29/14
Description: AMAT 0100-77017 ASSY, LOWER PNEUM CNTRLR, USED WORKING
keykorea Used - $200.00 0 Apr/22/14 Mar/21/18
Description: AMAT 0040-77206 COVER, USED
keykorea Used - $100.00 0 Apr/22/14 Dec/18/14
Description: AMAT 0140-77182 CABLE, LOWER PNEUMATICS, USED WORKING
saranghalee Used - $1,499.00 0 Apr/22/14 Sep/16/15
Description: SAYS// NOVELLUS 03-157052-00 CONTROLLER USED
saranghalee Used - $1,499.00 0 Apr/22/14 Sep/16/15
Description: SAYS// NOVELLUS 02-280596-00 CONTROLLER USED
saranghalee Used - $999.00 0 Apr/22/14 Sep/16/15
Description: SAYS// NOVELLUS 02-264834-00 CONTROLLER USED
grandbirdnet Used - $1,300.00 1 Apr/22/14 Oct/13/22
Description: AMAT 0021-78219 COVER, SHORT UPPER, USED
keykorea Used - $600.00 1 Apr/22/14 Jun/17/17
Description: AMAT 0020-78460 SHIELD, USED
usedeqsales Used - $3,004.14 2 Apr/22/14 May/06/14
Description: Daihen RMN-100B1 RF Automatic Matching AMAT 0190-71954 Used Working
usedeqsales Used - $2,004.14 1 Apr/22/14 Aug/15/14
Description: Beckhoff C6340-0020 Industrial PC AMAT 0190-29169 Used Working
usedeqsales Used - $254.14 0 Apr/22/14 May/04/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 3030-11371 Used
usedeqsales Used - $504.14 1 Apr/22/14 Apr/23/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15723 Used
supertechshop Used - $199.00 0 Apr/22/14 May/22/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
keykorea Used - $18,000.00 0 Apr/23/14 Mar/31/15
Description: AMAT 0010-77157 ASSY, LOAD CUP, USED
keykorea Used - $14,000.00 0 Apr/22/14 Oct/30/14
Description: AMAT 0010-77141 ASSY,CROSS PEDESTAL, USED
keykorea Used - $200.00 0 Apr/23/14 Jun/29/17
Description: AMAT 0020-78984 PLATE,ADAPTER FLEX, USED
keykorea Used - $8,000.00 0 Apr/23/14 Sep/11/17
Description: AMAT 0020-78996 CROSS, USED
keykorea Used - $450.00 2 Apr/23/14 Sep/13/18
Description: AMAT 0020-78997 FLANGE, USED
usedeqsales Used - $1,204.14 1 Apr/23/14 Apr/24/14
Description: Malema MFC-8000-T2104-052-P-001 Digital Flow Controller AMAT 0190-14383 Used
usedeqsales Used - $3,504.15 1 Apr/23/14 Apr/24/14
Description: Yaskawa XU-RCM6841 Robot AMAT 0190-14738 Used Working
keykorea Used - $50.00 0 Apr/24/14 Feb/02/17
Description: AMAT 0140-77021 HARNESS,X-CAR,MAINFBLKHD, USED
keykorea Used - $95.00 0 Apr/24/14 Feb/02/17
Description: AMAT 0140-77011 HARNESS,PAD1, MAINFRAME, USED
keykorea Used - $86.00 0 Apr/24/14 Jun/16/20
Description: AMAT 0140-77683 CABLE PLATFORM EMO, USED
keykorea Used - $120.00 0 Apr/24/14 Feb/02/17
Description: AMAT 0140-77037 HARNESS X-CAR, MAINF, USED
grandbirdnet Used - $96.00 0 Apr/24/14 Feb/02/17
Description: AMAT 0140-77009 HARNESS, PAD3,MAINFBLKHD-, USED
keykorea Used - $85.00 0 Apr/24/14 Jun/16/20
Description: AMAT 0140-77424 CABLE MIDDLE COVER SWITCH CABLE/HARNESS, USED
keykorea Used - $96.00 0 Apr/24/14 Feb/02/17
Description: AMAT 0140-77010 HARNESS, PAD2,MAINFBLKHD-, USED
keykorea Used - $360.00 0 Apr/24/14 Nov/15/15
Description: AMAT 0140-77154 CABLE ROBOT, ENCL, MNFRM-ROBOT BLKHD, USED
keykorea Used - $120.00 0 Apr/24/14 Feb/02/17
Description: AMAT 0140-77077 CABLE, PLATFORM BKHD, USED
keykorea Used - $310.00 1 Apr/24/14 Jul/23/15
Description: AMAT 0140-77677 CBL SIGNAL NOVA, USED
keykorea Used - $170.00 0 Apr/24/14 Mar/17/15
Description: AMAT 0140-77032 HARNESS ROBOT Y2T, USED
usedeqsales Used - $502.14 4 Apr/24/14 Jul/26/14
Description: Horiba STEC LF-A40M-A-EVD Liquid Mass Flow Meter AMAT 3030-11066 Used Working
usedeqsales Used - $254.14 0 Apr/24/14 May/01/15
Description: Aera FC-7810CD Mass Flow Controller AMAT 3030-08167 Used Working
usedeqsales Used - $254.14 0 Apr/24/14 May/04/15
Description: Unit Instruments UFC-1660 Mass Flow Controller AMAT 3030-04368 Used Working
usedeqsales Used - $754.14 0 Apr/24/14 May/04/15
Description: Sinfonia Technology SBX93-100149-11 Communication PCB AMAT 0190-32697 Used
usedeqsales Used - $254.14 0 Apr/24/14 May/04/15
Description: Unit Instruments UFC-1660 Mass Flow Controller AMAT 3030-04479 Used Working
usedeqsales Used - $304.14 0 Apr/24/14 May/04/15
Description: SMC VV5Q11-ULB970109 16-Port Pneumatic Manifold AMAT 4060-01110 Used Working
usedeqsales Used - $604.14 0 Apr/24/14 May/04/15
Description: Spellman X3208 High Voltage Power Supply Rev. B4 AMAT 0190-17029 Used Working
usedeqsales Used - $604.14 0 Apr/24/14 May/04/15
Description: Spellman X3862 High Voltage Power Supply Rev. B11 AMAT 0190-26332 Used Working
usedeqsales Used - $201.66 1 Apr/24/14 Sep/04/14
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-07514 Used Working
usedeqsales Used - $254.14 0 Apr/24/14 May/04/15
Description: STEC SEC-4400MC-RUC-G2 Mass Flow Controller AMAT 3030-07751 Used
usedeqsales Used - $504.14 1 Apr/24/14 May/28/14
Description: Verity Instruments 1006340 Spectrometer SD1024D-2-S AMAT 0190-19763 Used Working
usedeqsales Used - $504.14 0 Apr/24/14 May/19/14
Description: Brooks GF125C-101419 Digital Mass Flow Controller AMAT 0190-32366 Used
usedeqsales Used - $504.14 0 Apr/24/14 May/19/14
Description: Brooks GF125C-910576 Digital Mass Flow Controller AMAT 0010-42855 Used
usedeqsales Used - $254.14 0 Apr/24/14 May/04/15
Description: Aera FC-7800CD Mass Flow Controller AMAT 3030-07274 Used
keykorea Used - $210.00 0 Apr/24/14 Dec/06/14
Description: AMAT 0140-77614 CABLE, BULKHEAD-PLAT, USED
keykorea Used - $210.00 0 Apr/24/14 Feb/02/17
Description: AMAT 0140-77385 CBL,CVR SW MAINFRBHD-SW, USED
keykorea Used - $100.00 1 Apr/25/14 Oct/08/14
Description: AMAT 0150-35169 H/A, SYSTEM VME BUS, USED
keykorea Used - $170.00 1 Apr/25/14 Oct/08/14
Description: AMAT 0150-76463 CABLE ASSY SYSTEM ELECTRONICS BACKPLANE, USED
keykorea Used - $100.00 1 Apr/25/14 Oct/08/14
Description: AMAT 0150-35170 H/A, SYSTEM CONTROLLER I/O, USED
keykorea Used - $180.00 0 Apr/25/14 Aug/27/14
Description: AMAT 0140-77661 KIT,CABLE HARNESS ASSY, USED
keykorea Used - $210.00 0 Apr/25/14 Apr/11/18
Description: AMAT 0140-77049 PAD CONDITION1 MP4-DVRS 10&11, USED
keykorea Used - $3,500.00 0 Apr/25/14 Nov/16/15
Description: AMAT 0240-77346 KIT, SLURRY MOTOR AMPLIFIER, USED
keykorea Used - $1,500.00 1 Apr/25/14 Aug/01/18
Description: AMAT 0100-35084 CHAMBER DIO BACKPLANE, USED
keykorea Used - $8,500.00 0 Apr/25/14 Aug/10/14
Description: AMAT 0010-77293 ASSY, LOWER PLATEN , USED
keykorea Used - $8,000.00 0 Apr/25/14 Jan/05/18
Description: AMAT 0100-13016 ASSEMBLY, PCB, CONTROLLER I/O , USED
keykorea Used - $190.00 0 Apr/25/14 Jan/05/18
Description: AMAT 0140-77007 H/A PAD 2, DVRS-CONT BLKHD COND & SWP, E, USED
trees_for_a_better_tomorrow Used - $225.00 0 Apr/26/14 May/03/14
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $100.00 0 Apr/26/14 May/03/14
Description: *2* Used Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
keykorea Used - $320.00 0 Apr/27/14 Mar/12/18
Description: AMAT 0140-75169 HEAD ROT 1&2, DVR 2&3 BKPLN BD, USED
keykorea Used - $120.00 0 Apr/27/14 Jan/03/18
Description: AMAT 0140-77135 HD ROT 4,PWR,DVR-CNTRL, USED
keykorea Used - $150.00 1 Apr/27/14 Sep/19/18
Description: AMAT 0140-77042 H/A ROBOT X, DVRS-CONT BULKHD, PWR, USED
keykorea Used - $350.00 0 Apr/27/14 Jan/05/18
Description: AMAT 0140-77030 CNTRL BKHD PLATEN ENCODER J12, USED
keykorea Used - $320.00 1 Apr/27/14 Jul/24/17
Description: AMAT 0140-75170 HEAD ROT 3&4 MP3-DVR 4&5, USED
keykorea Used - $220.00 0 Apr/27/14 Mar/14/21
Description: AMAT 0140-77134 HD ROT 3,PWR,DVR-CNTRL, USED
keykorea Used - $280.00 1 Apr/27/14 Sep/19/18
Description: AMAT 0140-75135 H/A, ROBOT X, CNTRL BKHD, ROBOT ENCODER-, USED
keykorea Used - $280.00 0 Apr/27/14 May/27/18
Description: AMAT 0140-77027 H/A ROBOT, PWR, DRVRS-CONT BULKHD, USED
grandbirdnet Used - $160.00 0 Apr/27/14 Jan/05/18
Description: AMAT 0140-77006 H/A PAD 3, DVRS-CONT BLKHD COND & SWP EN, USED
keykorea Used - $280.00 0 Apr/27/14 Nov/18/15
Description: AMAT 0140-77018 H/A HD ROT, ENC, DVRS TO CNTRL BKHD, USED
keykorea Used - $2,000.00 0 Apr/27/14 Aug/15/16
Description: AMAT 0870-01023 DRVR SERVOMOTOR 200V 4.4KW FOR SGMG, USED
keykorea Used - $6,500.00 1 Apr/28/14 Feb/05/16
Description: AMAT 0190-35076 POWER SUPPLY DRAWER - 5200 , USED
keykorea Used - $200.00 0 Apr/27/14 Jan/05/18
Description: AMAT 0140-77041 H/A PAD1, DVRS-CONT BLKHD COND & SWP, EN, USED
keykorea Used - $100.00 1 Apr/27/14 Mar/15/17
Description: AMAT 0140-77039 H/A X-CAR, DVRS-CONT BLKHD ENC, USED
keykorea Used - $6,000.00 0 Apr/28/14 Nov/13/18
Description: AMAT 0240-43874 KIT, PLATEN DRIVE MTR- 200MM WITH 3970-00030 GEAR BOX, USED
keykorea Used - $97.00 0 Apr/28/14 Feb/25/16
Description: AMAT 0140-77057 ROBOT Z,W,S CP26-DVRS 20,21,22, USED
keykorea Used - $500.00 1 Apr/28/14 Apr/19/18
Description: AMAT 0100-77002 ASSY, PCB SYSTEM ELECT , USED
keykorea Used - $450.00 0 Apr/28/14 Aug/22/16
Description: AMAT 0100-77011 PCB ASSY, LIGHT TOWER RELAY, CMP CONTROL , USED
usedeqsales Used - $1,004.14 1 Apr/28/14 Apr/29/14
Description: Malema MFC-8000-T2104-052-P-001 Digital Flow Controller AMAT 0190-14383 Used
usedeqsales Used - $654.14 2 Apr/28/14 Apr/11/15
Description: HVA 21251-1003Z-001 Pneumatic Gate Valve AMAT 3870-04276 Used Working
usedeqsales Used - $1,404.14 2 Apr/28/14 May/01/15
Description: Mitsubishi HC-UFS30MW8-S2-W AC Servo Motor AMAT 0190-09809 Used Working
usedeqsales Used - $304.14 0 Apr/28/14 Sep/12/14
Description: Granville-Phillips 20360161 Transfer Ion Gauge Cable 60 FT AMAT 0190-21446 Used
sparepartssolution Used - $500.00 0 Apr/29/14 Sep/02/15
Description: (101-0201) AMAT APPLIED MATERIALS 3870-01212 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $360.00 0 Apr/29/14 Apr/20/15
Description: (101-0201) AMAT APPLIED MATERIALS 3870-01455 NOR-CAL VALVE USED WORKING
usedeqsales Used - $304.14 0 Apr/29/14 May/04/15
Description: SMC US15471 32-Port Pneumatic Manifold AMAT 4060-00247 Used Working
usedeqsales Used - $604.14 0 Apr/29/14 May/04/15
Description: GEMU 1435000Z10001-0 Intelligent Positioner Module AMAT 0520-90086 Used Working
usedeqsales Used - $404.14 0 Apr/29/14 May/05/15
Description: SMC PF2D540-19-1 Digital Flow Switch AMAT 1350-00178 Used Working
usedeqsales Used - $404.14 2 Apr/29/14 Dec/11/14
Description: MKS Instruments 153D-3-80-2 Exhaust Throttle Valve AMAT 3870-01840 Used Working
keykorea Used - $4,500.00 0 Apr/30/14 May/03/15
Description: AMAT 0010-04423 LIQUID DELIVERY, SRD, DIW PRESS REG, 300 , USED
keykorea Used - $9,000.00 0 Apr/30/14 Apr/11/18
Description: AMAT 0010-07903 LDM ASSY BRUSH DIRECT FEED 300MM , USED
usedeqsales Used - $2,004.14 0 Apr/30/14 May/01/15
Description: AMAT Applied Materials 0010-44281 Gas Delivery System Used
usedeqsales Used - $504.14 0 Apr/30/14 May/19/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15619 Used
usedeqsales Used - $126.04 6 Apr/30/14 Dec/01/14
Description: Horiba STEC SEC-Z522MGXN Mass Flow Controller AMAT 3030-15620 Used
usedeqsales Used - $504.14 0 Apr/30/14 May/19/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15616 Used
usedeqsales Used - $504.14 0 Apr/30/14 May/19/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15621 Used
usedeqsales Used - $704.14 0 Apr/30/14 May/04/15
Description: VAT 650VM-24HT-ADQ2 Valve Position Controller VM-6 AMAT 3930-00194 Used
usedeqsales Used - $154.14 0 Apr/30/14 May/01/15
Description: Gemu 16D77305A13HPW Pneumatic Diaphragm Valve AMAT 3870-05064 Used
usedeqsales Used - $904.14 0 Apr/30/14 May/04/15
Description: AMAT Applied Materials 0150-17460 Regal Vapor Generator With Jacket Used
keykorea Used - $28,000.00 0 Apr/30/14 Nov/21/15
Description: AMAT 0010-17352 WALKING BEAM ASSEMBLY, BASIC , USED
sparepartssolution Used - $600.00 1 May/01/14 May/12/14
Description: (103-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A-15359 GAUGE USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A1TAB S BARATRO USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A.1TBD BARATRON USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01054 MKS 624A13TBC BARATRON USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01026 MKS 627A01TBC GAUGE USED WORKING
sparepartssolution NEW - $600.00 0 May/01/14 Sep/02/15
Description: (101-0301) AMAT APPLIED MATERIALS 0225-33295 122BA-00010BB GAUGE USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Oct/13/14
Description: (101-0202) AMAT APPLIED MATERIALS 0190-01186 SENSOR,HX/FCW FLOWSWI USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Sep/02/15
Description: (101-0202) AMAT APPLIED MATERIALS 0190-00544 SENSOR,HX/FCW FLOWSWI USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Sep/02/15
Description: (101-0202) AMAT APPLIED MATERIALS 0010-02509 ASSY, FCW VALVE W/FLO USED WORKING
keykorea Used - $28,000.00 0 May/01/14 Nov/22/15
Description: AMAT 0010-08942 UPPER ELECTRONIC , CLEANER 300 , USED
usedeqsales Used - $605.14 0 May/01/14 May/04/15
Description: AMAT Applied Materials 0010-30076 Generator Assembly IPA Vapor GEN III Used
usedeqsales Used - $505.14 0 May/01/14 May/07/14
Description: Horiba STEC UR-7340M0-B-UC Auto Pressure Regulator AMAT 3800-00424 Used
usedeqsales Used - $1,005.14 0 May/01/14 May/01/15
Description: AMAT Applied Materials 0150-17462 Watlow Regal N2 Heater Used Working
usedeqsales Used - $1,005.14 0 May/01/14 May/01/15
Description: AMAT Applied Materials 0150-17461 Watlow Regal N2 Heater Used Working
usedeqsales Used - $305.14 0 May/01/14 Oct/15/14
Description: Watlow D880-0000-0000 Temperature Controller D8 Series AMAT 3930-00234 Used
keykorea Used - $3,800.00 1 May/02/14 Apr/11/18
Description: AMAT 3620-01603 PUMP PNEU 6GPM 60PSI 1/2" , USED
keykorea Used - $1,300.00 1 May/02/14 Jul/09/14
Description: AMAT 0190-77374 MANIFOLD, 8-VALVE, CHEMICAL SUPPLY, MEGA , USED
usedeqsales Used - $305.14 0 May/02/14 May/04/15
Description: UE Precision Sensors TS12T-58 Temperature Sensor AMAT 1400-00694 Lot of 2 Used
usedeqsales Used - $305.14 0 May/02/14 May/04/15
Description: Advantech DVS-510-35 IKE Digital Video Server DVS-510 AMAT 0246-02835 Used
keykorea Used - $600.00 0 May/02/14 Apr/12/18
Description: AMAT 0090-77292 ASSY, CHEM 2 PRESSURE SWITCH, MEG LDM , USED
grandbirdnet Used - $600.00 1 May/02/14 Mar/13/18
Description: AMAT 0090-77221 HARNESS, MEGASONIC DIW FLOW SWITCH , USED
keykorea Used - $300.00 0 May/02/14 Apr/12/18
Description: AMAT 3870-03130 VALVE RLF 35PSI 1/4T FLARE PFA RTANG , USED
usedeqsales Used - $405.14 2 May/02/14 May/09/14
Description: Levitronix 100-10001 Pump Motor BSM-3.2 AMAT 3620-99071 Used Working
keykorea Used - $450.00 0 May/04/14 Aug/18/14
Description: AMAT 0090-77240 HARNESS, H2O2 HIGH LEVEL SENSOR , USED
keykorea Used - $450.00 0 May/04/14 Apr/12/18
Description: AMAT 0090-77245 HARNESS, NH4OH LOW LEVEL SENSOR , USED
keykorea Used - $450.00 0 May/04/14 Apr/12/18
Description: AMAT 0090-77241 HARNESS, H2O2 LOW LEVEL SENSOR , USED
keykorea Used - $450.00 0 May/04/14 Apr/12/18
Description: AMAT 0090-77246 HARNESS, NH4OH LL LEVEL SENSOR , USED
keykorea Used - $450.00 0 May/04/14 Apr/12/18
Description: AMAT 0090-77239 HARNESS, H2O2 HH LEVEL SENSOR , USED
keykorea Used - $450.00 0 May/04/14 Aug/18/14
Description: AMAT 0090-77243 HARNESS, NH4OH HH LEVEL SENSOR , USED
keykorea Used - $450.00 0 May/04/14 Apr/12/18
Description: AMAT 0090-77242 HARNESS H2O2 LL LEVEL SENSOR , USED
keykorea Used - $450.00 0 May/04/14 Apr/12/18
Description: AMAT 0090-77244 HARNESS NH4OH HIGH LEVEL SENSOR , USED
keykorea Used - $50.00 0 May/04/14 Apr/12/18
Description: AMAT 0140-78470 HARNESS, ADPATER CABLE, DIRECT DELIVERY , USED
keykorea Used - $100.00 0 May/04/14 Nov/25/15
Description: AMAT 0140-78039 CABLE, SENSOR-CONTROL, BLKHD 1, SRD , USED
keykorea Used - $50.00 0 May/04/14 Apr/12/18
Description: AMAT 0620-02369 CABLE ASSY DNET DROP 1.5METER 300V 80C W , USED
keykorea Used - $90.00 0 May/04/14 Apr/12/18
Description: AMAT 0620-02899 CABLE ASSY DNET DROP 4.5M WSC-RKC , USED
keykorea Used - $100.00 4 May/04/14 Feb/22/16
Description: AMAT 0620-02353 CABLE ASSY DNET TRUNK 10.0METER 300V 80C , USED
keykorea Used - $80.00 0 May/04/14 Apr/12/18
Description: AMAT 0620-02816 CABLE ASSY DNET DROP 2.5METER 300V 80C W , USED
conquer_2011 Used - $6,500.00 0 May/18/14 Jun/17/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
usedeqsales Used - $255.14 0 May/16/14 May/04/15
Description: Aera FC-PA7810C-BA Mass Flow Controller AMAT 3030-16525 Used Working
usedeqsales Used - $255.14 0 May/16/14 May/04/15
Description: Aera FC-PA7810C-BA Mass Flow Controller AMAT 3030-13508 Used Working
usedeqsales Used - $126.28 0 May/16/14 Dec/10/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04795 Used Working
usedeqsales Used - $505.14 0 May/16/14 Jul/18/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04800 Used Working
usedeqsales Used - $255.14 0 May/19/14 May/04/15
Description: Celerity UFC-3165 Mass Flow Controller AMAT 0190-15026 Used Working
usedeqsales Used - $202.06 0 May/19/14 Aug/22/14
Description: Brooks Instruments GF125C-901098 Mass Flow Controller AMAT 0190-32366 Used
usedeqsales Used - $202.06 0 May/19/14 Oct/06/14
Description: Celerity UFC-3165 Mass Flow Controller AMAT 0190-24671 Used Working
usedeqsales Used - $505.14 1 May/19/14 Mar/23/15
Description: Horiba STEC IV-2410AV Injection Valve AMAT 3870-04383 Used Working
usedeqsales Used - $505.14 1 May/19/14 Aug/08/14
Description: Horiba STEC SEC-4400M Mass Flow Controller AMAT 3030-12517 Used Working
usedeqsales Used - $202.06 0 May/19/14 Aug/22/14
Description: Brooks Instruments GF125C-916414 Mass Flow Controller AMAT 0190-40283 Used
usedeqsales Used - $255.14 0 May/19/14 May/04/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 0190-16327 Used Working
usedeqsales Used - $255.14 0 May/19/14 May/04/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-12576 Used Working
usedeqsales Used - $3,505.14 0 May/19/14 Feb/11/16
Description: Novellus 02-034310-00 Module Controller P100 Rev. K Used Working
usedeqsales Used - $511.09 9 May/19/14 Feb/21/19
Description: AMAT Applied Materials 9090-00093 daq MkII type H Power Supply XR80 Used Working
keykorea Used - $40.00 0 May/19/14 Dec/10/15
Description: AMAT 0140-77613 CABLE, SLIPRINGS-DETEC, USED
keykorea Used - $4,500.00 0 May/19/14 Apr/11/18
Description: AMAT 0040-32927 HOUSING, BRUSH MODULE, 200MM , USED
grandbirdnet Used - $1,800.00 1 May/19/14 Dec/10/15
Description: AMAT 0015-77080 HARMONIC DRIVE , USED
fablogic Used - $225.00 0 May/19/14 Jul/18/14
Description: AMAT 0010-70321 Slit Valve Assy. Precision 500 Mark II (Used; Lot of 4)
keykorea Used - $100.00 1 May/20/14 Jun/09/16
Description: AMAT 0040-77121 COVER LEFT, USED
keykorea Used - $900.00 1 May/20/14 Jun/18/14
Description: AMAT 3760-00091 SLIDE, RIGHT HAND, LIQUID DELIVERY MODULE , USED
keykorea Used - $1,500.00 0 May/20/14 May/06/15
Description: AMAT 0040-78184 COOLING BLOCK QUARTZ LAMP HEATER DRYER , USED
keykorea Used - $2,500.00 0 May/20/14 Apr/11/18
Description: AMAT 0190-16834 HEPA FILTER PRESSURE SENSOR READY 300MM , USED
usedeqsales Used - $705.14 0 May/20/14 May/04/15
Description: AMAT Applied Materials 0090-90967 daq MKII type H Power Supply XR80 Used Working
usedeqsales Used - $305.14 0 May/20/14 May/05/15
Description: AMAT Applied Materials 0120-00415 G2/G3 Protection And Delatch PCB Used Working
usedeqsales Used - $3,505.14 1 May/20/14 May/25/14
Description: NSK RS0810FN544 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $505.14 1 May/20/14 May/21/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15461 Used Working
usedeqsales Used - $505.14 1 May/20/14 May/21/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $255.14 0 May/20/14 May/04/15
Description: Aera FC-PA7820C-6BM Mass Flow Controller AMAT 3030-15732 Used Working
usedeqsales Used - $405.14 0 May/20/14 May/05/15
Description: MKS Instruments Type 683 Throttle Control Valve AMAT 3870-03835 Used Working
usedeqsales Used - $305.14 0 May/21/14 Sep/02/14
Description: Granville-Phillips 010863-60 Ion Gauge Cable AMAT 0190-21305 Used Working
usedeqsales Used - $505.14 1 May/21/14 May/22/14
Description: Teledyne 033590300 Process Ozone Sensor Model 452 AMAT 0190-27543 Used
yayais2012 Used - $400.00 0 May/22/14 Jun/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
usedeqsales Used - $1,010.13 1 May/22/14 May/01/15
Description: VAT 10846-XE28-ANL1 Gate Valve AMAT 0190-37618 Copper Exposed Used Working
usedeqsales Used - $405.14 0 May/22/14 Jul/18/14
Description: Kollmorgen MAG04-25041-003 4-Channel Magnet Driver AMAT 0190-17272 Used
usedeqsales Used - $2,503.14 1 May/22/14 Mar/04/18
Description: Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. G Used Working
usedeqsales Used - $2,805.14 0 May/23/14 Jul/08/22
Description: AMAT Applied Materials 0010-21745 Endura 5500 Operator Control Panel Used
usedeqsales Used - $152.57 2 May/23/14 Dec/17/14
Description: TRU 10762200 10m RF Cable AMAT 0620-03165 Used Working
keykorea Used - $20,000.00 0 May/26/14 Sep/03/15
Description: AMAT 0010-17445 & 0010-14606 DIRECT FEED BRUSH LDM FOR 300MM DESICA , USED
keykorea Used - $13,000.00 0 May/26/14 May/26/14
Description: AMAT 0010-77153 LONG ROBOT ASSY FOR MIRRA CMP , USED
keykorea Used - $260.00 0 May/26/14 Feb/20/17
Description: AMAT 0090-77262 UC PRESSURE TRANDUCER, USED
keykorea Used - $200.00 5 May/26/14 Feb/05/17
Description: AMAT 0090-77067 IT PRESSURE TRANSDUCER, USED
keykorea Used - $280.00 4 May/26/14 Dec/09/15
Description: AMAT 0090-77084 RR PRESSURE TRANSDUCER, USED
keykorea Used - $240.00 3 May/26/14 Mar/05/17
Description: AMAT 0090-77085 PP PRESSURE TRANSDUCER, USED
grandbirdnet Used - $1,400.00 1 May/26/14 Dec/09/22
Description: AMAT 0090-77094 OBSOLETE, REPLACED BY 0090-04257, USED
keykorea Used - $600.00 1 May/27/14 Apr/22/15
Description: AMAT 0190-77395 MANIFOLD, BLEED VALVE, MEG LDM , USED
keykorea Used - $1,500.00 0 May/27/14 Jul/20/15
Description: AMAT 0140-77688 CBL ASSY ROB0T ENCODER 75 , USED
usedeqsales Used - $305.14 3 May/27/14 Jul/13/14
Description: TRU 0620-02935 24m RF Coax Cable AMAT Applied Materials Used Working
grandbirdnet Used - $2,000.00 0 May/28/14 Jun/01/23
Description: AMAT 0040-54386 CUP, HCLU 200MM , USED
grandbirdnet Used - $400.00 0 May/28/14 Jun/01/23
Description: AMAT 0140-77898 CBL AC POWER, NOVA , USED
supertechshop Used - $199.00 0 May/28/14 Jun/27/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $605.14 1 May/28/14 May/28/14
Description: AMAT Applied Materials 322095 Pedestal Lift 0010-13927 Endura Used Working
keykorea Used - $150.00 0 May/28/14 Apr/11/18
Description: AMAT 0090-77155 BRUSH BAR OPEN (R), USED
keykorea Used - $150.00 0 May/28/14 Nov/19/15
Description: AMAT 0090-77154 BRUSH BAR OPEN (L), USED
keykorea Used - $140.00 1 May/28/14 Feb/17/15
Description: AMAT 0090-77152 BRUSH BAR OPEN (L), USED
grandbirdnet Used - $170.00 0 May/28/14 Jun/01/23
Description: AMAT 0090-77209 HARNESS, WAFER SW PASS THRU, USED
grandbirdnet Used - $220.00 0 May/28/14 Jun/01/23
Description: AMAT 0090-00526 ASSY, SWITCH, LEAK DETECT, MEG LDM, MESA, USED
keykorea Used - $7,000.00 0 May/28/14 Jul/14/15
Description: AMAT 0010-25974 GEAR ASSEMBLY, BRUSH ROTATION, BRUSH MODULE , USED
grandbirdnet Used - $300.00 0 May/29/14 Jun/01/23
Description: AMAT 0040-64457 BRACKET, WAFER PRESENT SENSOR, MEG 300MM , USED
keykorea Used - $2,000.00 0 May/29/14 Jun/24/15
Description: AMAT 0190-77339 LEAK DETECTOR MODULE , USED
keykorea Used - $4,500.00 0 May/29/14 Apr/11/18
Description: AMAT 0010-33479 ROLLER 1 ASSY,MEGASONIC TANK 300MM , USED
keykorea Used - $4,500.00 0 May/29/14 Apr/11/18
Description: AMAT 0010-33478 ROLLER 2 ASSY, DESICA , USED
grandbirdnet Used - $1,500.00 1 May/29/14 Dec/24/21
Description: AMAT 3870-02365 3 WAY N.C. MODULE , USED
usedeqsales Used - $305.14 0 May/29/14 Dec/10/14
Description: Moxa 6650-16-AMAT 16-Port Secure Device Server NPort AMAT 0190-38063 Used
usedeqsales Used - $3,504.14 0 May/29/14 May/30/14
Description: Neslab 327099991701 Heat Exchanger Chiller AMAT 0190-76118 Used Working
usedeqsales Used - $205.14 1 May/29/14 May/30/14
Description: Supermicro 5017P-TF 4-Channel Digital Recorder AMAT 1160-00034 Used
usedeqsales Used - $255.14 1 May/29/14 Jan/08/19
Description: Square D 316-2121-003 Analog Motherboard Seriplex AMAT 0660-01506 Used
usedeqsales Used - $133.34 0 May/29/14 Apr/02/15
Description: LAM Research 853-017423-002 RF COAX Cable Used
epicrew01 Used - $149.00 1 May/29/14 Jun/23/15
Description: TOS 0200-35081 RING,PRE-HEAT 0 for AMAT Centura Used (#13-3)
keykorea Used - $1,500.00 0 May/29/14 Nov/20/15
Description: AMAT 3620-00252 FILTER, PUMP INLET MOUNTED , USED
grandbirdnet Used - $200.00 0 May/29/14 Jun/01/23
Description: AMAT 3870-01735 VALVE MNL DIAPH 145PSI 1/4VCR-M/M GRN-RN , USED
keykorea Used - $200.00 0 May/29/14 Nov/17/14
Description: AMAT 3870-01126 VALVE PNEU BLWS 3/8 ON/OFF NCSST 3/8IN , USED
grandbirdnet Used - $400.00 0 May/30/14 Jun/01/23
Description: AMAT 0090-00481 ASSY, CDA PRESSURE GAUGE, MEG LDM, MESA , USED
grandbirdnet Used - $400.00 0 May/30/14 Jun/01/23
Description: AMAT 0090-77293 ASSY, N2 PRESSURE GAUGE, MEG LDM, MESA C , USED
keykorea Used - $1,000.00 0 May/30/14 Apr/25/15
Description: AMAT 1350-00045 XDCR PRESS 0-145PSIG 3/4"FLARE 1-5VDC PF , USED
grandbirdnet Used - $300.00 0 May/30/14 Nov/18/15
Description: AMAT 0090-00515 ASSY, PRESSURE MONITOR, DIW INLET, MESA , USED
grandbirdnet Used - $150.00 0 May/30/14 Jun/01/23
Description: AMAT 3870-01230 3/8" 2 WAY BALL VALVE , USED
keykorea Used - $450.00 0 May/30/14 Apr/11/18
Description: AMAT 0090-77284 ASSY, PRESSURE SW, N2 INLET , USED
keykorea Used - $450.00 0 May/30/14 Apr/11/18
Description: AMAT 0090-77283 ASSY, PRESSURE SW, CDA INLET , USED
grandbirdnet Used - $130.00 0 May/30/14 Sep/12/22
Description: AMAT 0680-01881 CB MAG THERM 3P 120/240VAC 30A QC-REA , USED
grandbirdnet Used - $100.00 8 May/30/14 Jul/05/22
Description: AMAT 0680-01945 CB MAG THERM 3P 120/240VAC 15A QC-REA , USED
keykorea Used - $23,000.00 0 May/30/14 Apr/11/18
Description: AMAT 0010-12523 ASSY, SRD MODULE CU WITHOUT LAMP 300MM HVM , USED
keykorea Used - $35,000.00 0 May/31/14 Apr/11/18
Description: AMAT 0240-15860 MEGASONIC MODULE ASSEMBLY, 300MM , USED
keykorea Used - $38,000.00 0 May/31/14 Apr/11/18
Description: AMAT 0240-15713 BRUSH MODULE ASSY HIGH PRECISION SPRAY B , USED
used1eqsales Used - $377.14 1 Jul/30/14 May/02/17
Description: Festo Air Regulator Assembly 3800-00296 AMAT Quantum X used working
fablogic Used - $2,800.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-30687 RF Match, Assy. H.E. (USED) AMAT
fablogic Used - $3,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials Metal Etch 0010-70078 Tray, Assy. 200 MM 29 slot (USED) AMAT
usedeqsales Used - $3,007.14 0 Jul/30/14 May/01/15
Description: AMAT Applied Materials 0010-13321 8" Robot Drive No Arms P5000 0242-70220 Used
used1eqsales Used - $1,753.57 1 Jul/30/14 Jan/23/15
Description: AMAT Quantum X 9090-01385 62 Series Res & Voltage Strek Rev. B used working
fablogic Used - $6,500.00 0 Jul/30/14 Aug/29/14
Description: Applied Materials 0010-09806 Gas Box, WSI 150 MM (USED) AMAT
usedeqsales Used - $3,507.14 0 Jul/29/14 May/01/15
Description: DCG-200Z ENI DC22M-Z041300111A DC Plasma Generator AMAT 0190-07961 Used Working
usedeqsales Used - $2,007.14 1 Jul/29/14 Aug/05/14
Description: OMS Oregon Micro System SPC0005 PCB Card VMEX AMAT 0190-76005 Used Working
usedeqsales Used - $3,507.14 0 Jul/29/14 May/01/15
Description: MDX Pinnacle AE Advanced Energy 3152412-402 DC Power Supply AMAT 0190-25698 Used
usedeqsales Used - $3,007.14 1 Jul/29/14 Oct/09/14
Description: MDX Pinnacle AE Advanced Energy 3152412-264 DC Power Supply AMAT 0190-25692 Used
grandbirdnet Used - $800.00 0 Jul/30/14 Oct/07/21
Description: AMAT 0020-79008 BASE DRAIN , USED
grandbirdnet Used - $2,500.00 0 Jul/31/14 Jun/01/23
Description: AMAT 0620-02935 CABLE ASSY RF BIAS 79FT HN-MALE TO QRM-F , USED
used1eqsales Used - $903.21 3 Jul/31/14 Mar/27/15
Description: Motorola VME162PA 344SE VME CPU PCB 9240-06323 AMAT Quantum X used working
used1eqsales Used - $507.14 0 Jul/31/14 May/26/17
Description: AMAT Quantum X 9090-00879 Gas Interlock Chassis Module Rev. B used working
used1eqsales Used - $1,128.21 0 Jul/31/14 Mar/31/15
Description: HiTek 1140-00166 Power Supply A1028760 AMAT Quantum X used working
used1eqsales Used - $1,378.93 1 Jul/31/14 Apr/21/15
Description: HiTek 9090-00801 Power Supply A1031250 AMAT Quantum X used working
fablogic Used - $2,500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0190-70060 Magnet Driver (USED) AMAT Etch
supertechshop Used - $199.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $3,507.14 0 Aug/01/14 May/01/15
Description: HFV 8000 AE Advanced Energy 3155083-111 RF Generator AMAT 0190-09841 Used Tested
usedeqsales Used - $257.14 0 Aug/01/14 May/04/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-26052 20000 sccm NH3 Used
usedeqsales Used - $507.14 1 Aug/01/14 Aug/02/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $258.14 0 Aug/01/14 May/04/15
Description: Horiba STEC SEC-7440M Mass Flow Controller AMAT 3030-08510 Used Working
usedeqsales Used - $127.04 0 Aug/01/14 Dec/09/14
Description: Horiba STEC SEC-7440M Mass Flow Controller AMAT 3030-05153 Used Working
fablogic Used - $425.00 0 Aug/01/14 Aug/31/14
Description: AMAT 0010-70321 Slit Valve Assy. Precision 500 Mark II (Used; Lot of 4)
fablogic Used - $2,700.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0040-33998 Liner, Wall MXP+ (USED) AMAT Etch
fablogic Used - $1,500.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0010-35492 Lamp Module, Delta DCS AU (USED) AMAT Centura
fablogic Used - $325.00 0 Aug/02/14 Sep/01/14
Description: Applied Materials 0970-01001 Isolator, Water-Cooled Microwave RPS Chamber (USED)
fablogic Used - $4,500.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0040-09001 Chamber Body, Standard 4" to 6" (USED) AMAT CVD
fablogic Used - $3,000.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-10556 Monochromator Module, Assy. (USED) AMAT Centura
fablogic Used - $950.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-10139 Hinge, Adjustable Uni-lid A&D (USED) AMAT MXP Etch
usedeqsales Used - $408.14 3 Aug/04/14 Jan/23/15
Description: Astech ATL-100RA Low Frequency Matching Box AMAT 1110-01006 AE 3150086-003 Used
usedeqsales Used - $507.14 1 Aug/04/14 Aug/06/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $2,507.14 1 Aug/04/14 Aug/14/14
Description: Brooks Automation ESC-201B Pre-aligner Controller AMAT 0520-90033 Used Working
fablogic Used - $450.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-00561 Emission Detector (USED) AMAT 8300 Etch
fablogic Used - $2,250.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0021-09835 Liner, Wall MXP+ Poly (Used; good condition) AMAT
fablogic Used - $1,795.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0190-35452 Lamp Driver, RTP (USED) AMAT Centura 5200
fablogic Used - $750.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials 0010-20251 Laser Optics. Assy. (USED) Wafer Orienter PVD
usedeqsales Used - $1,508.14 6 Aug/05/14 Nov/25/14
Description: AE Advanced Energy 1110-00059 RF Match FixedMatch 3155094-007 AMAT Used Working
oautomation Used - $1,899.99 0 Aug/05/14 Aug/06/14
Description: NSK RS0810FN544 Megatorque Motor AMAT 0190-14344 Used Working
usedeqsales Used - $3,507.14 3 Aug/05/14 Aug/05/14
Description: Synergy Microsystems V452 SBC Controller PCB Card 310ENNI AMAT 0090-03467 Used
smartelektronikgmbh Used - $189.00 5 Aug/06/14 Mar/21/16
Description: Oriental Motor PH265-05B-C12 2-Phase Stepping Motor AMAT 0090-02952 Used
usedeqsales Used - $2,508.14 1 Aug/06/14 Aug/07/14
Description: GE Fanuc VME-7645-130L05 SBC Single Board Computer AMAT 0190-19549 Used Working
usedeqsales Used - $408.14 0 Aug/06/14 May/05/15
Description: Glentek 11564022 Amplifier PCB Card SMA8515HP11-3U AMAT 0540-00091 Used Working
usedeqsales Used - $407.13 1 Aug/06/14 Apr/01/15
Description: MKS Instruments 253B-23957 Throttle Control Valve AMAT 3870-03328 Used Working
usedeqsales Used - $258.14 0 Aug/06/14 May/04/15
Description: Unit Instruments UFC-8165 Mass Flow Controller AMAT 3030-10295 500 SCCM Used
usedeqsales Used - $258.14 0 Aug/06/14 May/04/15
Description: Celerity UFC-8161 Mass Flow Controller AMAT 3030-00628 10 SLM N2 Used
usedeqsales Used - $508.14 0 Aug/06/14 May/04/15
Description: MKS Instruments 649A21T11CAFR-S Pressure Controller AMAT 0010-02513 Used Working
usedeqsales Used - $508.14 0 Aug/06/14 May/04/15
Description: MKS Instruments 640A13TW2M52T Pressure Controller AMAT 0190-19522 1000 Torr Used
usedeqsales Used - $258.14 0 Aug/06/14 May/04/15
Description: Celerity IFC-125C Mass Flow Controller AMAT 0190-19319 MultiFlo SC26 Used
usedeqsales Used - $508.14 1 Aug/06/14 Aug/22/14
Description: Celerity VC-4900MEPR-4V Vapor Flow Controller AMAT 3030-16950 750 SCCM Used
usedeqsales Used - $308.14 0 Aug/06/14 May/05/15
Description: Inficon 0190-19964 Foreline Heated Extended Bellows AMAT Used Working
fablogic Used - $395.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 1110-01015 DXZ Match Adapter (USED) AMAT CVD
usedeqsales Used - $300.14 0 Aug/07/14 May/04/15
Description: Yaskawa SGDH-01AEY901 Servopack Servo Drive Amplifier AMAT 0190-08035 Used
usedeqsales Used - $3,208.14 1 Aug/07/14 Sep/18/14
Description: Hamar Laser L-730 Leveling Laser Alignment System AMAT 0242-72362 Used Working
usedeqsales Used - $3,508.14 0 Aug/07/14 Feb/11/15
Description: Kawasaki 3NS001S-L003 300mm NF Prealigner AMAT 0190-14786 Used Working
usedeqsales Used - $408.14 0 Aug/07/14 May/05/15
Description: Nor-Cal 3870-00859 Adaptive Pressure Controller Intellisys AMAT Used Working
usedeqsales Used - $258.14 0 Aug/07/14 May/04/15
Description: Omron CPM2A-20CDR-D-U1 SYSMAC Programmable Controller AMAT 0190-19080 Used
fablogic Used - $2,750.00 0 Aug/07/14 Sep/06/14
Description: Applied Materials 0010-37167 HV Filter, Assy. Centura 5200 DPS (USED)
usedeqsales Used - $3,506.11 0 Aug/15/14 May/01/15
Description: AMAT Applied Materials 0010-28724 RF Match Vectra IMP Source 300mm Used Working
usedeqsales Used - $3,808.14 0 Aug/15/14 Dec/23/20
Description: AMAT Applied Materials 6-0000-4663-PC Transfer Robot 0195-02883 Used Working
used1eqsales Used - $2,208.14 0 Aug/15/14 Aug/22/14
Description: VMCI VMIVME-7645-130 Single Board Computer 0190-19549 AMAT Reflexion used works
used1eqsales Used - $754.07 1 Aug/14/14 Jan/23/15
Description: AMAT 0100-01109 Load Circuit Board Rev. B AMAT Quantum X used working
used1eqsales Used - $1,508.14 1 Aug/14/14 Sep/15/14
Description: AMAT 9090-00785 High Voltage Module AMAT Quantum Leap 3 used working
used1eqsales Used - $678.66 0 Aug/14/14 Dec/12/14
Description: AMAT 9010-00504 0020-81401 Electron Assembly AMAT Quantum Leap 3 used working
rfsurplus123 Used - $500.00 1 Aug/13/14 Sep/13/14
Description: Applied Materials AMAT ESC Remote Box Assembly 0010-14507 Used Working
usedeqsales Scrap, for parts - $3,508.14 0 Aug/15/14 May/01/15
Description: DCG-200Z ENI DC21M-Z041300110A DC Plasma Generator AMAT 0190-08279 Used Working
usedeqsales Used - $7,008.14 0 Aug/15/14 Apr/30/15
Description: ATH 1600 M Alcatel P65621B2 Turbomolecular Pump AMAT 3620-00192 Used Working
usedeqsales Used - $704.12 1 Aug/14/14 Jan/28/15
Description: Shimadzu 262-78189-51V1 Turbo Pump Cable Set 262-76411-51V2 AMAT 0620-02820 Used
usedeqsales Used - $180.00 0 Aug/14/14 Apr/15/15
Description: Celerity UFC-3101 Mass Flow Controller AMAT 3030-06292 Used Working
used1eqsales Used - $903.66 0 Aug/15/14 Aug/19/15
Description: AMAT 9090-00742 Beamline Monitoring Module Rev. B Quantum Leap 3 used working
conquer_2011 Used - $6,500.00 0 Aug/16/14 Sep/15/14
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
trees_for_a_better_tomorrow Used - $400.00 0 Aug/17/14 Aug/27/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
speedsell2014 Used - $1,500.00 0 Aug/17/14 Jan/05/15
Description: AMAT 0190-15377 MKS, 3-PORT USED
speedsell2014 Used - $1,500.00 0 Aug/17/14 Jan/05/15
Description: AMAT 0190-15378 MKS, 3-PORT USED
keykorea Used - $700.00 1 Aug/17/14 Dec/04/17
Description: AMAT 0090-36452 ASSY, 5-PHASE MOTOR WITH BRAKE PK569AHWM , USED
grandbirdnet Used - $3,800.00 3 Aug/17/14 Dec/08/22
Description: AMAT 0190-12477 6-PORT ROTARY UNION, 300MM 5-ZONE PROFILER , USED
waste-not-recycling Scrap, for parts - $99.99 0 Aug/18/14 Aug/25/14
Description: Applied Materials 0010-02862 Spare 6", 150mm TEOS Susceptor, Used Surplus
used1eqsales Used - $6,008.14 0 Aug/19/14 May/26/17
Description: AMAT 0010-22568 Chamber Source Rev 001 AMAT Endura 300mm used working
usedeqsales Used - $808.14 2 Aug/20/14 Jan/21/20
Description: Lam Research 810-017075-003 Gas Panel Interlock PCB Rainbow 4420 Used Working
usedeqsales Used - $258.14 0 Aug/20/14 Jun/01/23
Description: Tylan FC-2900M Mass Flow Controller Lam 797-091413-524 200 SCCM HBr Used
usedeqsales Used - $1,208.14 1 Aug/20/14 May/31/18
Description: LAM Research 853-012123-500-E1-230S Harmonic Arm Drive 715-130080-008 4420 Used
usedeqsales Used - $129.07 1 Aug/20/14 Dec/14/18
Description: Millipore FC-2900M Mass Flow Controller MFC 500 SCCM Ar Lam 797-091413-606 Used
usedeqsales Used - $1,208.14 1 Aug/20/14 May/31/18
Description: Lam Research 853-012123-001-G-230S Harmonic Arm Drive 715-130092-008 4420 Used
usedeqsales Used - $608.14 0 Aug/21/14 May/04/15
Description: AMAT Applied Materials 1350-00284 Baratron Pressure Transducer Used Tested
usedeqsales Used - $608.14 0 Aug/21/14 May/04/15
Description: AMAT Applied Materials 1350-00289 Baratron Pressure Transducer Used Tested
usedeqsales Used - $3,505.14 1 Aug/21/14 Oct/19/14
Description: Novellus 02-406848-00 MC3R Module Controller Assembly 75-322679-00 Used Working
usedeqsales Used - $1,708.14 1 Aug/22/14 Nov/17/14
Description: Lam Research 832-038915-001 13.56MHz RF Match Network Poly Etch Autotuner Used
usedeqsales Used - $2,008.14 2 Aug/22/14 Nov/17/14
Description: Lam Research 832-038915-001 RF Match Network Poly Etch Autotuner Used Working
usedeqsales Used - $2,508.14 0 Aug/22/14 Jun/01/23
Description: Novellus 02-324753-00 C3 Vector Spindle Assembly Rev. 2 Used Working
usedeqsales Used - $408.14 3 Aug/22/14 Mar/17/23
Description: Lam Research 853-015686-005 Power Module Rev. B Used Working
usedeqsales Used - $2,508.14 3 Aug/22/14 Feb/23/16
Description: AMAT Applied Materials 300750 RF Match Vectra IMP Source 0010-34895 Used Working
usedeqsales Used - $608.14 0 Aug/22/14 May/04/15
Description: AMAT Applied Materials 1350-00255 Baratron Pressure Transducer Used Tested
usedeqsales Used - $608.14 0 Aug/22/14 May/04/15
Description: AMAT Applied Materials 1350-00683 Baratron Pressure Transducer Used Tested
usedeqsales Used - $608.14 0 Aug/22/14 May/04/15
Description: AMAT Applied Materials 1350-00682 Baratron Pressure Transducer Used Tested
usedeqsales Used - $608.14 0 Aug/22/14 Jun/01/23
Description: Lam Research 810-800082-029 VME Breakout KIY045 PCB 710-800082-029 Used Working
usedeqsales Used - $808.14 3 Aug/22/14 Jul/02/22
Description: Lam Research 810-800081-013 P2 MB VME PCB 710-800081-013 Used Working
exper-tech Used - $135.00 0 Aug/22/14 Mar/01/19
Description: AMAT Applied Materials 0020-70299 FLANGE BLANKOFF ORIENTER PORT W/RGA POS. Used
exper-tech Used - $135.00 1 Aug/22/14 May/26/17
Description: AMAT Applied Materials 0020-21073 Blank Flange Trsnsferwith RGA Port, Used
yayais2012 Used - $200.00 3 Aug/24/14 Sep/13/16
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
usedeqsales Used - $3,855.70 0 Aug/25/14 Oct/26/15
Description: CTI-Cryogenics 0190-25015 Cryopump On-Board IS-8F AMAT Used Working
usedeqsales Used - $2,508.14 0 Aug/25/14 Mar/01/16
Description: MKS Instruments AX7658-AMAT-01 Plasma Source ASTRON2L Rev. B 0190-36754 Used
usedeqsales Used - $2,508.14 2 Aug/25/14 Aug/28/14
Description: MKS Instruments 134500-G4-Plus Multi-Zone Flow Regulator AMAT 0190-24854 Used
usedeqsales Used - $408.14 1 Aug/25/14 Jun/01/23
Description: Lam Research 810-802901-300 MB Node 1 PM Common PCB 710-802901-300 Used Working
usedeqsales Used - $208.14 5 Aug/25/14 Aug/08/16
Description: Lam Research 810-802902-006 Motherboard Node 2 PM PCB 710-802902-006 Used
usedeqsales Used - $808.14 1 Aug/25/14 Nov/06/15
Description: Engenuity Systems VME-LTNI-S2 Network Interface PCB Card Lam 605-707109-012 Used
dr.fantom Used - $600.00 0 Aug/26/14 Feb/12/15
Description: Applied Materials Used SST Shield lower 0021-40242
waste-not-recycling Scrap, for parts - $99.99 1 Aug/26/14 Sep/02/14
Description: Applied Materials 0010-02862 Spare 6", 150mm TEOS Susceptor, Used Surplus
usedeqsales Used - $408.14 1 Aug/26/14 Feb/05/18
Description: Lam Research 810-802901-307 MB Node 1 PM Common PCB 710-802901-307 Used Working
usedeqsales Used - $708.14 1 Aug/26/14 Jan/17/17
Description: Lam Research 810-800256-005 Node Board Type 3 PCB 800256-005 Used Working
usedeqsales Used - $2,508.14 1 Aug/26/14 Nov/26/14
Description: MKS 148365-12XX06-014910942 Multi-Zone Flow Regulator AMAT 0190-15840 Used
usedeqsales Used - $1,508.14 0 Aug/26/14 Apr/17/15
Description: HiTek Power A1031250 High Voltage Power Supply AMAT 9090-00801 Used Working
usedeqsales Used - $1,808.14 0 Aug/26/14 Apr/17/15
Description: HiTek Power A1030770 High Voltage Power Supply AMAT 9090-00801 Used Working
usedeqsales Used - $2,008.14 0 Aug/26/14 May/01/15
Description: Comet 20045812 RF Match Box AMAT 0190-39689 Used Working
usedeqsales Used - $3,508.14 2 Aug/26/14 Feb/26/15
Description: AE Advanced Energy 3155031-020 RF Match Navigator AMAT 0190-01371 Used Working
usedeqsales Used - $3,508.14 1 Aug/26/14 Dec/05/14
Description: AE Advanced Energy 3155031-039 RF Match Navigator AMAT 0190-16215 Used Working
bobsgoodies NEW - $99.00 1 Aug/27/14 May/27/15
Description: SensorTechnics SQ 96612, -14.7 to +10 psig Used in AMAT 0090-77263
usedeqsales Used - $428.14 0 Aug/27/14 May/05/15
Description: MKS Instruments MFVA-27960 Mass Flow Verifier πMFV AMAT 0190-26370 Used Working
usedeqsales Used - $511.13 0 Aug/27/14 May/04/15
Description: MKS Instruments 640A-27996 Pressure Controller AMAT 1350-00654 Used Working
usedeqsales Used - $508.14 0 Aug/27/14 Sep/12/14
Description: Unit Instruments UFC-8161 Mass Flow Controller AMAT 3030-00171 Used Working
usedeqsales Used - $308.14 4 Aug/27/14 Mar/11/15
Description: Inficon 0190-26328 Ion Universal Gauge BPG400 AMAT Used Working
usedeqsales Used - $308.14 2 Aug/27/14 Oct/15/15
Description: Inficon 0190-26769 Ion Universal Gauge BPG400 AMAT Used Working
usedeqsales Used - $258.14 0 Aug/27/14 May/04/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27882 Used Working
bobsgoodies NEW - $47.00 2 Aug/27/14 Jan/23/15
Description: Turck 3030-05392 Bridge Amplifier Dual input, used as flow monitor/switch AMAT
bobsgoodies NEW - $175.00 0 Aug/27/14 Aug/03/15
Description: Oriental Motors Vexta PK569AUA 5-Phase Stepper Motor used in AMAT 0190-35788
usedeqsales Used - $655.28 4 Aug/27/14 Nov/14/23
Description: Lam Research 853-190023-001 Controller Assembly 272072-00 Lam Rainbow 4420 Used
usedeqsales Used - $808.14 6 Aug/27/14 Jul/28/18
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 1 810-17031-4 Used Working
usedeqsales Used - $808.14 0 Aug/27/14 Dec/13/19
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 810-17031 Used Working
usedeqsales Used - $808.14 1 Aug/27/14 Dec/13/19
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A 810-17031 Used Working
usedeqsales Used - $4,008.14 0 Aug/28/14 Sep/05/14
Description: AX8400 MKS AX8407A Ozone Generator Rev. G AMAT 0190-17159 Used Tested Working
trees_for_a_better_tomorrow Used - $400.00 0 Aug/28/14 Sep/07/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
usedeqsales Used - $258.14 0 Aug/28/14 May/04/15
Description: STEC SEC-7330M Mass Flow Controller SEC-7330 AMAT 3030-10853 Used Working
usedeqsales Used - $4,008.14 0 Aug/28/14 Sep/05/14
Description: AX8400 MKS AX8407A Ozone Generator Rev. H AMAT 0190-17159 Used Tested Working
used1eqsales Used - $629.07 1 Aug/28/14 Sep/23/16
Description: AMAT 9010-01382 Gas Module SiF4 AMAT Quantum X Gas Cabinet used working
grandbirdnet Used - $1,900.00 0 Aug/29/14 Jun/01/23
Description: AMAT 0090-00673 ASSEMBLY, ENHANCED ROTATION RPM FAULT DETECTOR , USED
usedeqsales Used - $4,006.51 0 Aug/29/14 Sep/08/14
Description: Turbovac 361C Leybold Oerlikon 85675 AMAT 0150-14879 Turbo Pump Used Working
usedeqsales Used - $508.14 0 Aug/29/14 Jan/22/15
Description: Unit Instruments 1663-100010 Mass Flow Controller UFC-1663 AMAT 3030-09153 Used
usedeqsales Used - $508.14 0 Aug/29/14 May/04/15
Description: Horiba STEC IV-2410AV-03 Injection Valve AMAT 3030-36238 Used Working
usedeqsales Used - $4,508.14 1 Aug/29/14 Sep/01/14
Description: Yaskawa XU-RCM6841 Wafer Handling Robot AMAT 0190-14738 Used Working
trees_for_a_better_tomorrow Used - $150.00 0 Aug/30/14 Sep/09/14
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $125.00 0 Aug/30/14 Sep/09/14
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $150.00 0 Aug/30/14 Sep/09/14
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $150.00 0 Aug/30/14 Sep/09/14
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
keykorea Used - $1,200.00 0 Aug/31/14 Feb/05/15
Description: AMAT 0500-01091 CONTROLLER ASSY, PRESSURE PNEU , USED
grandbirdnet Used - $2,600.00 0 Sep/01/14 Mar/22/18
Description: AMAT 0040-37984 ZERO CLEARANCE BLADE, 200M PRODUCER , USED
usedeqsales Used - $150.14 1 Sep/02/14 May/18/15
Description: Yaskawa SGDH-04AEY904 AC Servo Drive Amplifier Servopack AMAT 0190-08039 Used
usedeqsales Used - $1,908.14 0 Sep/02/14 Apr/30/15
Description: ACT 1300M Alcatel Turbopump Controller 112123 AMAT 3620-00527 Used Working
usedeqsales Used - $7,504.14 1 Sep/02/14 Nov/06/14
Description: CTI-Cryogenics 8135900G001 Cryo-Compressor 9600 AMAT 3620-01389 Used Working
usedeqsales Used - $612.11 0 Sep/02/14 May/04/15
Description: SWEP B25Hx16/1P-SC-S Heat Exchanger Element AMAT 3380-00069 Used Working
usedeqsales Used - $505.14 0 Sep/02/14 May/05/15
Description: Kollmorgen MAG04-25041-003 4-Channel Magnet Driver AMAT 0190-17272 Used
used1eqsales Used - $2,254.11 1 Sep/02/14 Dec/16/14
Description: AMAT 9010-02164 Flight Tube Assembly Rev C Issue E used working
visionsemi Used - $2,950.00 2 Sep/02/14 Nov/13/14
Description: AMAT Applied Materials Endura RF Match Vectra IMP Source 0010-34895 Used Working
used1eqsales Used - $2,509.14 1 Sep/02/14 May/26/17
Description: AMAT 0010-24210 Centura 4.0 FI Controller Rev 001 CP3308-S000535 used working
usedeqsales Used - $658.14 0 Sep/02/14 May/04/15
Description: Framo Morat 6-60-AG60-AMAT Geared Motor COMPACTA AG60 AMAT 0190-07643 Used
usedeqsales Used - $658.14 0 Sep/02/14 May/04/15
Description: Framo Morat 6-60-AG60-AMAT01 Geared Motor COMPACTA AG60 AMAT 0190-28987 Used
usedeqsales Used - $658.14 0 Sep/02/14 May/04/15
Description: Framo Morat 21491-160 Slip-On Geared Motor COMPACTA AG160 AMAT 0190-37535 Used
pneumatplus10 Used - $899.00 0 Sep/03/14 Jun/30/15
Description: Applied Materials Rotation Assembly w 0020-15935 Rev 002,300563.084,AMAT,Used
usedeqsales Used - $3,208.14 0 Sep/03/14 May/01/15
Description: ASTeX FI20195 2500W Microwave Power Generator AX2050 AMAT 0920-01104 Used
usedeqsales Used - $3,208.14 0 Sep/03/14 May/01/15
Description: ASTeX FI20134 2500W Microwave Power Generator AX2050 AMAT 0920-01086 Used
exper-tech Used - $325.00 1 Sep/03/14 Nov/13/14
Description: AMAT Applied Materials 0100-35067 Mainframe Pneumatic Interconnect Assy, Used
usedeqsales Used - $258.14 0 Sep/04/14 May/04/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 0190-19036 Used Working
usedeqsales Used - $407.14 0 Sep/04/14 Sep/16/14
Description: MKS Instruments 683B-26787 Throttle Control Valve AMAT 0190-23764 Used Working
usedeqsales Used - $258.14 0 Sep/04/14 May/04/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 0190-19042 Used Working
usedeqsales Used - $258.14 0 Sep/04/14 May/04/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 3030-15245 Used Working
usedeqsales Used - $259.14 0 Sep/04/14 May/04/15
Description: Brooks Instrument GF125CXXC Thermal Mass Flow Controller AMAT 0190-40290 Used
usedeqsales Used - $609.14 4 Sep/04/14 Dec/02/14
Description: SMC 0190-35197 20-Port Pneumatic PJ2 Manifold G AMAT Used Working
usedeqsales Used - $309.14 0 Sep/04/14 Jan/16/15
Description: SMC SS5Y3-ULB990057 14-Port Pneumatic Manifold Assembly AMAT 0010-01801 Used
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-01929 RF Match HE BESC Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 Nov/13/14
Description: AMAT Applied Materials 0010-19086 RF Match Vectra IMP Source Used Working
usedeqsales Used - $309.14 25 Sep/05/14 Sep/24/14
Description: Ircon MR-T399-99C Infrared Thermometer T Series K118 AMAT 0090-03991 Used
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-36162 Simple Cathode RF Match Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-09416 Etch RF Match Precision 5000 Rev. H Used
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-09416 Etch RF Match Precision 5000 Rev. N Used
usedeqsales Used - $3,509.14 0 Sep/05/14 May/01/15
Description: ADTEC Technology AMU-3000P11 Impedance Matching Unit AMAT 0190-23777 Used
usedeqsales Used - $1,009.14 0 Sep/05/14 Dec/04/14
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $1,009.14 0 Sep/05/14 Dec/04/14
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 002 Used Working
supertechshop Used - $199.00 0 Sep/05/14 Oct/05/14
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $709.14 0 Sep/05/14 May/04/15
Description: AMAT Applied Materials 0100-00985 HP Gas Interlock PCB Card Quantum X Used
us.v240 Used - $500.00 0 Sep/05/14 Sep/15/14
Description: AMAT 0090-76058 USED WAFER ORIENTER PCB
equipplus Used - $1,199.00 1 Sep/08/14 Dec/20/14
Description: AApplied Materials 0020-79594 Laser Module,0100-77064 CMP,4.5mw diode laser,Used
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02456 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02455 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $2,009.14 0 Sep/08/14 May/01/15
Description: Leybold 400036V0024 Turbomolecular Pump Cable AMAT 9150-05306 Used Working
usedeqsales Used - $2,009.14 0 Sep/08/14 May/01/15
Description: Leybold 400036V0010 Turbomolecular Pump Cable AMAT 9150-05305 Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02214 Autoclean Gas Panel AMAT Quantum X Used Working
used1eqsales Used - $1,129.11 1 Sep/08/14 Apr/08/15
Description: AMAT 0010-12411 Centura 4.0 FI Controller Rev 001 CP3308-S000361 used working
usedeqsales Used - $409.14 0 Sep/08/14 May/05/15
Description: AMAT Applied Materials 0150-76869 Centura Mainframe Cable Harness Used Working
usedeqsales Used - $409.14 0 Sep/08/14 May/05/15
Description: Celerity 9240-05462 Mass Flow Controller Gas Panel AMAT Quantum X Used Working
exper-tech Used - $145.00 0 Sep/08/14 Mar/01/19
Description: LAM Research 853-042500-001 SMC Valve Switch Filter Assembly, Used
usedeqsales Used - $1,009.14 0 Sep/08/14 May/04/15
Description: AMAT Applied Materials 0040-80142 300mm Gripper Claw 0040-84443 Quantum X Used
used1eqsales Used - $2,509.14 1 Sep/08/14 Dec/04/14
Description: AMAT 0010-27131 Centura 4.0 FI Controller Rev 001 CP3308-S000557 used working
usedeqsales Used - $1,009.14 0 Sep/08/14 May/04/15
Description: AMAT Applied Materials 0040-80144 300mm Gripper Claw 0040-84444 Quantum X Used
usedeqsales Used - $509.14 0 Sep/08/14 May/04/15
Description: AMAT Applied Materials 0190-10926 Pneumatic Manifold Assembly Quantum X Used
usedeqsales Used - $509.14 1 Sep/09/14 Mar/12/15
Description: Celerity UFC-1662L Mass Flow Controller UFC-1662 AMAT 3030-12595 Used Working
usedeqsales Used - $1,209.14 0 Sep/09/14 May/01/15
Description: Celerity 9010-01379 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $8,509.14 1 Sep/09/14 Mar/24/15
Description: SMC INR-498-001B Recirculating Thermo Chiller AMAT 0190-00404 Used Working
usedeqsales Used - $509.14 0 Sep/09/14 May/04/15
Description: MKS Instruments DLTNA4-29466 Flow Ratio Controller Delta IV AMAT 0190-34486 Used
usedeqsales Used - $809.14 0 Sep/09/14 May/04/15
Description: Quality Transformer and Electronics 9208 Distribution Panel AMAT 0190-38695 Used
usedeqsales Used - $309.14 0 Sep/09/14 May/05/15
Description: AMAT Applied Materials 0090-50741 Rear Interlock Control Box Centura-HDP Used
usedeqsales Used - $609.14 0 Sep/10/14 May/04/15
Description: AMAT Applied Materials 9090-01331 QX+ PFS & ARC PSU Chassis Centura-HDP Used
usedeqsales Used - $309.14 0 Sep/10/14 May/05/15
Description: AMAT Applied Materials PXP99B High Voltage Fuse 9090-01324 Centura-HDP Used
usedeqsales Used - $4,509.14 1 Sep/10/14 Sep/11/14
Description: RFG2000-2V AE Advanced Energy 3155053-007 RF Generator AMAT 0920-00102 Used
usedeqsales Used - $1,609.14 0 Sep/10/14 May/01/15
Description: AMAT Applied Materials 0190-38275 Lamp Driver Box Assembly Used Working
usedeqsales Used - $1,209.14 0 Sep/10/14 May/01/15
Description: Control Concepts 3096-1007 SCR Power Controller AMAT 0190-14927 Used Working
used1eqsales Used - $2,209.14 0 Sep/30/14 May/26/17
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $2,509.14 0 Sep/30/14 May/26/17
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
trees_for_a_better_tomorrow Used - $150.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $150.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $150.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $125.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $400.00 0 Oct/04/14 Oct/11/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
used1eqsales Used - $1,755.07 5 Oct/10/14 Jan/22/15
Description: Brooks 013089-098-20 Load Port Fixload V6 Novellus 04-255330-00 used working
grandbirdnet Used - $199.00 0 Oct/12/14 Mar/03/22
Description: AMAT 0150-09196 ASSY CABLE SYSTEM TCS , USED
keykorea Used - $79.00 1 Oct/12/14 Jun/24/16
Description: AMAT 0140-70085 HARN,CASSETTE HANDLER INTER. W/BRAKE , USED
grandbirdnet Used - $79.00 1 Oct/12/14 Dec/02/23
Description: AMAT 0140-09003 HARNESS, DC POWER SUPPLY , USED
grandbirdnet Used - $129.00 1 Oct/12/14 Aug/30/22
Description: AMAT 0140-76018 HARNESS,LOWER,TILY CASS , USED
keykorea Used - $110.00 1 Oct/12/14 Feb/28/16
Description: AMAT 0020-78593 MOUNT BLOCK, VAC SENSOR , USED
grandbirdnet Used - $249.00 0 Oct/13/14 Jun/01/23
Description: AMAT 0140-09532 HARNESS, A/B BUNDLE, TEOS INTLK , USED
grandbirdnet Used - $29.00 0 Oct/13/14 Jun/01/23
Description: AMAT 0140-09006 HARNESS, CHMBR INTCNNECT , USED
grandbirdnet Used - $49.00 0 Oct/13/14 Jun/01/23
Description: AMAT 0140-09038 HARNESS,CHAMBER C&D SLIT/OPEN/CLOSED , USED
grandbirdnet Used - $250.00 2 Oct/13/14 Mar/07/22
Description: AMAT 0020-10187 CLAMP RING Q WINDOW 200M , USED
grandbirdnet Used - $129.00 1 Oct/13/14 Apr/20/22
Description: AMAT 0140-09285 HARNESS ASSY TURBO INTERCONNECT , USED
usedeqsales Used - $1,512.14 0 Dec/04/14 May/01/15
Description: Square D 30299-083 Seriplex PCB Card SPX VME6U1V2 AMAT 0190-01405 Used Working
usedeqsales Used - $612.14 0 Dec/04/14 May/01/15
Description: Granville-Phillips 332134 IGC PCB Card AMAT 0660-01647 Used Working
usedeqsales Used - $1,512.14 0 Dec/04/14 May/01/15
Description: Square D 30299-083-50 Seriplex PCB Card SPX VME6U1V2 AMAT 0190-01405 Used
usedeqsales Used - $2,512.14 1 Dec/04/14 Dec/05/14
Description: RadiSys 061-01072-0031 Single Board Computer SBC P III AMAT 0190-11529 Used
usedeqsales Used - $2,512.14 0 Dec/04/14 Dec/15/14
Description: RadiSys PFS-003-02 Single Board Computer SBC 486 AMAT 0190-00318 Used Working
usedeqsales Used - $1,012.14 0 Dec/04/14 May/01/15
Description: GE Fanuc VMICPCI-7325-259 SBC Single Board Computer AMAT 0090-04405 Used Working
usedeqsales Used - $2,512.14 1 Dec/04/14 Dec/15/14
Description: AMAT Applied Materials 0100-00793 VGA Video Controller PCB Card Used Working
usedeqsales Used - $612.14 0 Dec/04/14 Mar/03/15
Description: AMAT Applied Materials 0100-35054 DCVD Chamber Interface PCB Card Used Working
used1eqsales Used - $337.57 3 Dec/04/14 Feb/05/15
Description: AMAT Gap Servo 0100-00991 PCB AMAT Quantum X Beamline Control Rack used working
usedeqsales Used - $512.14 0 Dec/04/14 Mar/06/15
Description: AMAT Applied Materials 0100-00979 Stepper Controller PCB Card Used Working
usedeqsales Used - $512.14 0 Dec/04/14 May/01/15
Description: AMAT Applied Materials 0100-01717 Gas Exhaust Safety Relay PCB Used Working
usedeqsales Used - $512.14 0 Dec/04/14 Apr/25/17
Description: AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working
usedeqsales Used - $212.14 0 Dec/05/14 May/01/15
Description: AMAT Applied Materials 0100-13025 Serial Video Distribution PCB Board Used
used1eqsales Used - $365.46 0 Dec/05/14 Dec/12/14
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Rev B Gas Box used works
usedeqsales Used - $212.14 0 Dec/05/14 May/01/15
Description: AMAT Applied Materials 0100-20191 486PC P2 Distribution PCB Board Used Working
used1eqsales Used - $365.46 0 Dec/05/14 Dec/12/14
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Rev A Gas Box used works
used1eqsales Used - $1,002.57 1 Dec/05/14 Oct/28/15
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev B Quantum X Gas Box used working
used1eqsales Used - $1,002.57 1 Dec/05/14 Sep/27/16
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev A Quantum X Gas Box used working
usedeqsales Used - $2,512.14 2 Dec/05/14 Mar/12/15
Description: AMAT Applied Materials 0010-22225 RF Match Reactive Preclean Used Working
used1eqsales Used - $455.46 0 Dec/05/14 Apr/09/15
Description: AMAT 9090-00976 Arc Control DSP PCB Revision B Quantum X Gas Box used working
usedeqsales Used - $251.14 0 Dec/05/14 May/01/15
Description: Celerity UFC-8161C Mass Flow Controller AMAT 3030-12413 Used
usedeqsales Used - $5,258.50 0 Dec/05/14 Dec/30/14
Description: CTI-Cryogenics 3620-01471 Cryo-Compressor With GFI 9600 AMAT Used Working
usedeqsales Used - $2,012.14 0 Dec/05/14 May/01/15
Description: Yaskawa XU-ACL4240 Linear Robot Track AMAT 0190-31509 Used Working
used1eqsales Used - $856.07 1 Dec/05/14 Jan/20/15
Description: Xantrex XHR 600-2 Programmable DC Power Supply 0-600V 0-2A AMAT 1140-00598 used
usedeqsales Used - $5,512.14 2 Dec/05/14 Mar/20/15
Description: MKS Instruments FI20620-1 Plasma Source ASTRON2L Rev. G AMAT 0920-00013 Used
usedeqsales Used - $1,112.14 0 Dec/05/14 Dec/10/14
Description: Malema MFC-8000-T2104-052-P-001 Flow Controller AMAT 0190-14383 Used Working
keykorea Used - $210.00 0 Dec/07/14 Dec/07/14
Description: AMAT 0140-77614 CABLE, BULKHEAD-PLAT, USED
keykorea Used - $4,800.00 1 Dec/07/14 Jul/05/15
Description: AMAT 0660-00602 CARD VME 6U 68040 CONFIG PC , USED
grandbirdnet Used - $80.00 1 Dec/07/14 Jun/01/23
Description: AMAT 0040-77192 PIN,ANTI-ROTATION , USED
keykorea Used - $700.00 4 Dec/07/14 Mar/08/17
Description: AMAT 0090-77110 ASSY, SLIPRINGS , USED
usedeqsales Used - $1,012.14 0 Dec/08/14 Dec/16/20
Description: Novellus 02-834310-00 Module Controller P100/16M Concept II Altus Used Working
usedeqsales Used - $1,512.14 0 Dec/08/14 Dec/16/20
Description: Digital Dynamics 27-810157-00 I/O Controller V3.02 Novellus Concept II Used
usedeqsales Used - $2,512.14 1 Dec/08/14 Jan/25/15
Description: Animatics CDP2407-1 Novellus 27-050014-01 Concept II Altus Used Working
usedeqsales Used - $4,512.14 1 Dec/09/14 Jan/20/15
Description: ENI OEM-28B-02 Power Generator OEM-28B Rev M AMAT 0190-01264 Used Tested Working
usedeqsales Used - $1,212.14 1 Dec/09/14 Jan/09/15
Description: AE Advanced Energy 3155094-007 RF Match FixedMatch AMAT 1110-00059 Used Working
usedeqsales Used - $1,012.14 0 Dec/09/14 Dec/10/14
Description: Deublin 20003-100 4-Port Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $2,012.14 0 Dec/09/14 May/11/15
Description: Kensington 15-4000-0001-00 Servo Controller M4000 AMAT 0190-23563 Used Working
trees_for_a_better_tomorrow Used - $500.00 0 Dec/09/14 Dec/12/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
usedeqsales Used - $3,512.14 2 Dec/10/14 Feb/10/15
Description: PRI Automation ESC-212B-S293 Controller AMAT 0520-00022 Used Working
usedeqsales Used - $8,259.10 1 Dec/10/14 Mar/26/15
Description: ENI MKS Instruments Spectrum B-10513-00 RF Generator AMAT 0190-33638 Used Tested
usedeqsales Used - $3,012.14 2 Dec/10/14 Dec/12/14
Description: ASTeX AX8403A Ozone Generator Rev. S AMAT 0190-36233 Used Tested Working
usedeqsales Used - $3,512.14 0 Dec/10/14 Apr/30/15
Description: Willits Electronic Assembly WI214060-3880 Heat Exchanger AMAT 0010-02632 Used
usedeqsales Used - $2,012.14 0 Dec/10/14 May/01/15
Description: Kensington 25-4021-0015-05 Servo Controller 4000D AMAT 0190-23562 Used Working
usedeqsales Used - $2,512.14 0 Dec/10/14 May/01/15
Description: AMAT Applied Materials 0010-39207 RF Match eMAX Module Used Working
usedeqsales Used - $2,512.14 1 Dec/10/14 Mar/13/15
Description: AMAT Applied Materials 0010-15877 RF Match PVD Biased Electrode Used Working
usedeqsales Used - $3,512.14 0 Dec/10/14 Apr/30/15
Description: Yaskawa XU-CN1112A Robot Controller AMAT 0190-23876 Used Working
keykorea Used - $2,500.00 0 Dec/11/14 Aug/28/15
Description: AMAT 0190-77374 MANIFOLD, 8-VALVE, CHEMICAL SUPPLY, MEGA , USED
usedeqsales Used - $1,012.14 0 Dec/11/14 Dec/15/14
Description: Deublin 2003-100 4-Port Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $1,012.14 1 Dec/11/14 May/01/15
Description: Deublin 971-600 Rotary Union AMAT 0190-01973 Used Working
usedeqsales Used - $2,512.14 0 Dec/11/14 Dec/18/14
Description: Daihen ATM-30A Microwave Generator AMAT 0190-02248 Used Working
supertechshop Used - $199.00 0 Dec/11/14 Jan/10/15
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $2,512.14 0 Dec/12/14 May/01/15
Description: AMAT Applied Materials 0010-33724 High Efficiency RF Match Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 Jan/11/15
Description: AMAT Applied Materials 0010-21748 RF Match MFG Module Rev. 004 Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match MFG Module Rev. 005 Used Working
usedeqsales Used - $412.14 0 Dec/12/14 May/01/15
Description: Banner SLSE14-76704 Safety Light Screen Set SLSR14-76705 AMAT 0190-24830 Used
trees_for_a_better_tomorrow Used - $500.00 0 Dec/12/14 Dec/19/14
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
semiparts2010 Used - $10,000.00 2 Oct/01/13 Dec/02/14
Description: Applied Materials Magnet Assy, 0010-21810, Used
exper-tech Used - $95.00 1 Sep/22/14 Nov/26/14
Description: AMAT Applied Materials 0190-20124 Pneumatic Manifold Chamber SMC NVV5J5, Used
usedeqsales Used - $3,507.14 2 Jul/08/14 Nov/26/14
Description: AMAT Applied Materials 0010-30012 MC Robot Drive Assembly P5000 0242-75991 Used
usedeqsales Used - $508.14 1 Aug/12/14 Nov/21/14
Description: Supermicro 0240-47845 IAPC System Computer AMAT Applied Materials Used Working
usedeqsales Used - $311.14 1 Nov/19/14 Nov/20/14
Description: AMAT Applied Materials 0010-06834 Chamber GFCI Driver RCM470LY-13 Used Working
usedeqsales Used - $611.14 1 Nov/19/14 Nov/19/14
Description: AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Used Working
trees_for_a_better_tomorrow Used - $850.00 0 Dec/13/14 Dec/20/14
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
usedeqsales Used - $1,010.14 5 Oct/31/14 Nov/05/14
Description: AMAT Applied Materials 0010-12375 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $510.14 4 Oct/30/14 Nov/01/14
Description: AMAT Applied Materials CESC HV Module Assembly 0010-04926 Used Working
trees_for_a_better_tomorrow Used - $400.00 0 Dec/13/14 Dec/20/14
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board A
trees_for_a_better_tomorrow Used - $200.00 0 Dec/14/14 Dec/21/14
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
conquer_2011 Used - $6,500.00 0 Dec/14/14 Jan/13/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
grandbirdnet Used - $210.00 0 Dec/14/14 Jun/16/17
Description: AMAT 0021-77866 CLAMP DRIVE SPINDLE , USED
usedeqsales Used - $4,511.14 1 Dec/15/14 Dec/17/14
Description: HFV 8000 AE Advanced Energy 3155083-002 RF Generator AMAT 0190-13203 Used Tested
usedeqsales Used - $3,501.10 0 Dec/15/14 Jan/14/15
Description: HFV 8000 AE Advanced Energy 3155083-180A RF Generator AMAT 0920-01122 Used
keykorea Used - $7,600.00 0 Dec/17/14 Dec/12/15
Description: AMAT 0010-77124 ASSEMBLY MAIN 6 OXIDE TITAN-2 HEAD , USED
usedeqsales Used - $612.14 0 Dec/17/14 May/01/15
Description: AMAT Applied Materials 0190-76273 PVD Degas Driver P1183-208/208 Used Working
usedeqsales Used - $3,512.14 0 Dec/17/14 Apr/30/15
Description: MDX Pinnacle AE Advanced Energy 3152412-364 DC Power Supply AMAT 0190-25696 Used
usedeqsales Used - $1,012.14 0 Dec/17/14 May/01/15
Description: SMC XLFC-160D-X890 High Vacuum Angle Valve AMAT 3870-04164 Used Working
usedeqsales Used - $11,012.10 0 Dec/18/14 Dec/31/14
Description: GHW-50 ENI GHW50A-13DF3H0-005 Genesis RF Generator AMAT 0190-15319 Used Working
usedeqsales Used - $1,012.14 0 Dec/18/14 May/01/15
Description: Supermicro CSE-111 SuperChassis Server AMAT 0090-06587 Lot of 5 Used Working
usedeqsales Used - $3,003.13 1 Dec/03/14 Dec/18/14
Description: ENI MWD-25LD-02 RF Matching Network TEL Tokyo Electron Unity II Used Working
usedeqsales Scrap, for parts - $1,512.14 1 Dec/18/14 Dec/18/14
Description: NOVA-25A ENI NOVA-25A-01 RF Generator AMAT 0920-00060 Used Tested As-Is
used1eqsales Used - $375.14 0 Dec/18/14 May/26/17
Description: Lam Research 839-021113-002 Silicon Electrode cleaned used working
usedeqsales Used - $3,012.14 1 Dec/19/14 Dec/21/14
Description: AE Advanced Energy 3153109-005 E-chuck 1.5KV Intervert PCB AMAT 0190-06308 Used
grandbirdnet Used - $2,700.00 10 Dec/19/14 Jan/13/20
Description: AMAT 0010-23716 ASSEMBLY PMAX PYROMETER RTP , USED
usedeqsales Used - $3,509.14 1 Sep/09/14 Dec/19/14
Description: NEC FC-9821Ka System Control Module AMAT Applied Materials Centura-HDP Used
keykorea Used - $4,900.00 2 Dec/21/14 Jan/09/15
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030 , USED
usedeqsales Used - $2,512.14 0 Dec/22/14 May/01/15
Description: AMAT Applied Materials 0010-01929 RF Match H.E. BESC Rev. 002 Used Working
usedeqsales Used - $2,512.14 1 Dec/22/14 Dec/24/14
Description: AMAT Applied Materials 0010-20524 RF Match PVD Modified Used Working
used1eqsales Used - $612.14 1 Dec/23/14 Apr/30/15
Description: AMAT 0010-25624 300mm Slit Valve Assy 0010-26749 AMAT Endura used working
usedeqsales Used - $1,512.14 4 Dec/23/14 Dec/25/14
Description: Deublin 20004-715 6-Port Rotary Union AMAT 0190-28291 Used Working
usedeqsales Used - $1,512.14 4 Dec/23/14 Dec/25/14
Description: Deublin 20003-100 4-Port Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $712.14 1 Dec/23/14 Dec/25/14
Description: InUSA 0190-36795 Sensor Control Interface SCI-Plus AMAT Applied Materials Used
usedeqsales Used - $5,512.14 0 Dec/23/14 Apr/30/15
Description: ASTRONex MKS Instruments FI80131 Remote Plasma Source Rev E AMAT 0920-00131 Used
used1eqsales Used - $185.46 1 Dec/23/14 Jun/14/15
Description: AMAT 0040-50505 Pneumatic Linear Actuator Rev 002 AMAT Endura used working
used1eqsales Used - $705.15 0 Dec/26/14 Jul/02/15
Description: AMAT 0190-00371 DeviceNet Digital I/O PCB Centura HDP-CVD 300mm 0100-20453 used
used1eqsales Used - $705.15 0 Dec/26/14 Jun/24/15
Description: AMAT 0100-20458 HDPCVD Interlock PCB 0130-20458 Centura HDP-CVD 300mm used works
usedeqsales Used - $512.14 0 Dec/26/14 May/01/15
Description: Thornton 742-A01 DOT Two Resistivity Controller AMAT 0020-22584 Used Working
used1eqsales Used - $3,812.14 0 Dec/26/14 Feb/16/15
Description: AMAT 0010-14560 Dome Temp Control Revision 005 AMAT Centura used working
usedeqsales Used - $802.12 0 Dec/29/14 Feb/02/15
Description: AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used Working
usedeqsales Used - $712.13 0 Dec/29/14 May/01/15
Description: Animatics SM3410-APPMAT1 AC Servo Motor SmartMotor AMAT 1080-00125 Used Working
usedeqsales Used - $612.14 0 Dec/30/14 May/01/15
Description: MKS Instruments AS01496-0-2 DeviceNet 32/16 Analog Card AMAT 0190-34796 Used
usedeqsales Used - $3,512.14 1 Dec/30/14 Dec/30/14
Description: MDX-L12 AE Advanced Energy 3152344-200 AMAT 0190-24702 Used Tested Working
usedeqsales Used - $762.14 3 Dec/30/14 Jan/05/15
Description: Edwards P035Y003B031-3 Turbo Pump Cable 20m P035P AMAT 0620-02695 Used Working
usedeqsales Used - $1,012.14 2 Dec/30/14 Dec/31/14
Description: Deublin 20004-715 6-Port Rotary Union AMAT 0190-28291 Used Working
usedeqsales Used - $412.14 0 Dec/31/14 May/01/15
Description: Nordson 1075689A Phase Control Board AMAT 0190-34285 Used Working
usedeqsales Used - $2,512.14 0 Dec/31/14 May/01/15
Description: AMAT Applied Materials 0010-09750 RF Match CVD Automatch Rev. K Used Working
usedeqsales Used - $6,812.14 0 Dec/31/14 Apr/30/15
Description: AMAT Applied Materials 0190-03166 Wash Station Chemical Cabinet MIPS 80 Used
usedeqsales Used - $3,512.14 1 Dec/31/14 Jan/01/15
Description: AMAT Applied Materials 0010-13623 RF Match Vectra IMP Source Used Working
usedeqsales Used - $3,512.14 0 Dec/31/14 Apr/30/15
Description: MKS FI20160-2 Microwave Power Supply SmartPower AMAT 0920-01110 Used Working
bornalliancecom Used - $440.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-00561 Emission Detector (USED) AMAT 8300 Etch
bornalliancecom Used - $3,850.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-10556 Monochromator Module, Assy. (USED) AMAT Centura
bornalliancecom Used - $1,500.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-35492 Lamp Module, Delta DCS AU (USED) AMAT Centura
bornalliancecom Used - $2,800.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-30687 RF Match, Assy. H.E. (USED) AMAT
bornalliancecom Used - $2,550.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-37167 HV Filter, Assy. Centura 5200 DPS (USED)
bornalliancecom Used - $750.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-10139 Hinge, Adjustable Uni-lid A&D (USED) AMAT MXP Etch
bornalliancecom Used - $350.00 0 Dec/31/14 Jul/29/15
Description: AMAT 0010-70321 Slit Valve Assy. Precision 500 Mark II (Used; Lot of 4)
saranghalee Used - $299.00 0 Jan/01/15 Jan/31/15
Description: 118-203// AMAT 3870-01306 (5EA) PENUMATIC VALVE USED
trees_for_a_better_tomorrow Used - $300.00 0 Jan/02/15 Jan/09/15
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $200.00 0 Jan/02/15 Jan/09/15
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $200.00 0 Jan/02/15 Jan/09/15
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $200.00 0 Jan/02/15 Jan/09/15
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $200.00 0 Jan/02/15 Jan/09/15
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
usedeqsales Used - $3,512.14 0 Jan/02/15 Apr/30/15
Description: Yaskawa XU-ACP4861 Wafer Prealigner AMAT 0190-19918 Used Working
trees_for_a_better_tomorrow Used - $395.00 0 Jan/03/15 Jan/10/15
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
trees_for_a_better_tomorrow Used - $1,500.00 0 Jan/04/15 Jan/11/15
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
usedeqsales Used - $1,001.14 0 Jan/05/15 May/01/15
Description: AMAT Applied Materials 0020-26089 Spin Chuck Used Working
usedeqsales Used - $1,001.15 0 Jan/05/15 May/01/15
Description: Deublin 20212-200 Rotary Union 300mm AMAT 0190-13851 Used Working
usedeqsales Used - $751.15 0 Jan/05/15 Jan/15/15
Description: Edwards P035Y003B031-3 Turbo Pump Cable 20m P035P AMAT 0620-02695 Used Working
speedsell2014 Used - $2,500.00 0 Jan/05/15 Jul/29/16
Description: AMAT 0190-15378 MKS, 3-PORT USED
speedsell2014 Used - $2,500.00 0 Jan/05/15 Mar/30/16
Description: AMAT 0190-15377 MKS, 3-PORT USED
grandbirdnet Used - $3,800.00 0 Jan/05/15 Mar/07/22
Description: AMAT 0010-76535 ASSY, PNEUMATIC LOGIC BOX TILT CASSETTE , USED
keykorea Used - $3,500.00 0 Jan/05/15 Mar/21/19
Description: AMAT 0100-09071 SBC I/O BreakOut Board, SWITCHING POWER SUPPLY , USED
grandbirdnet Used - $50.00 0 Jan/06/15 Mar/07/22
Description: AMAT 0140-77096 REV P3 KTC , USED
grandbirdnet Used - $70.00 0 Jan/06/15 Mar/02/23
Description: AMAT 0140-75368 HARNESS ASSY DRIVES INTERCONNECT, CMP CO , USED
grandbirdnet Used - $70.00 0 Jan/06/15 Mar/02/23
Description: AMAT 0140-77140 CABLE, BACKPLANE BOARD , USED
usedeqsales Used - $2,001.15 1 Jan/06/15 Jan/06/15
Description: ASTeX FI20032 SmartMatch Microwave Detector Module Assembly AMAT 3750-01101 Used
grandbirdnet NEW - $20.00 0 Jan/06/15 Mar/07/22
Description: AMAT 0150-20143 WIRE, JUMPER 4PIN CONN, GEN RACK EMO, USED
usedeqsales Used - $401.15 0 Jan/07/15 May/01/15
Description: Edwards A528444410 im Interface Module AMAT 3620-01425 Used Working
usedeqsales Used - $501.15 1 Jan/07/15 Mar/13/15
Description: Alcatel A214834 1m Turbo Pump Cable ATH1600M AMAT 0620-00127 Used Working
usedeqsales Used - $501.15 1 Jan/07/15 Mar/18/15
Description: Alcatel A214834A 1m Turbo Pump Cable ATH1600M AMAT 0620-00127 Used Working
usedeqsales Used - $1,901.15 0 Jan/07/15 Feb/11/15
Description: ACT 1300M/1600M Alcatel 105371 Turbopump Controller AMAT 3930-01149 Tested Used
usedeqsales Used - $1,901.15 0 Jan/07/15 Feb/11/15
Description: ACT 1300M/1600M Alcatel 9097 Turbopump Controller AMAT 3930-01123 Tested Used
usedeqsales Used - $2,501.15 1 Jan/07/15 Jan/08/15
Description: ACG-6B ENI ACG-6B-02 RF Generator AMAT 0190-36686 Used Tested Working
usedeqsales Used - $6,501.15 1 Jan/08/15 Apr/01/15
Description: CTI-Cryogenics 3620-00503 Compressor IS-1000 LV AMAT Used Tested Working
keykorea Used - $700.00 0 Jan/09/15 Feb/01/16
Description: AMAT 0190-77016 ENCODER SERVMOTOR PC1-3 , USED
keykorea Used - $700.00 2 Jan/09/15 Jul/26/16
Description: AMAT 0100-01646 PCB ASSY, UPA DISTRIBUTION BD., 200MM 5- , USED
semipart Used - $1,200.00 1 Sep/12/14 Jan/02/15
Description: USED, Cleaned no rust, AMAT 0020-35032, WING FROG LEG, Robot Arm HP
usedeqsales Used - $5,511.14 1 Nov/18/14 Jan/08/15
Description: MKS Instruments AX7670-02 Plasma Source ASTRONi AMAT 0920-00092 Used Working
used1eqsales Used - $5,612.14 1 Dec/26/14 Jan/08/15
Description: MKS AX7670-(19)64 Plasma Source Astroni Rev C AMAT Centura used working
bornalliancecom Used - $450.00 0 Jan/10/15 Jan/10/15
Description: Applied Materials 0010-00309 8300 Gate Valve (used) AMAT Precision 8300
bornalliancecom Used - $875.00 0 Jan/10/15 Jan/10/15
Description: Applied Materials 0010-00212 Robot, Assy. AMAT Precision 8300 (used)
bornalliancecom Used - $325.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials Etch 0200-09666 CLAMP RING ,SEMI,FLAT MAJOR&MINOR,AL (USED)
bornalliancecom Used - $3,795.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials Metal Etch 0010-70078 Tray, Assy. 200 MM 29 slot (USED) AMAT
bornalliancecom Used - $800.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials P-5000 Mark II 0010-70001 Cassette Handler (USED)
bornalliancecom Used - $2,395.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials PVD 0010-22041 VOR IMP Match, Assy. (USED)
bornalliancecom Used - $375.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0970-01001 Isolator, Water-Cooled Microwave RPS Chamber (USED)
bornalliancecom Used - $695.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0240-31632 Kit, Wafer Position Sensor 29 Slot P-5000 (used)
bornalliancecom Used - $450.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 3750-01112 Tube, Plasma Source Astex Model No. AX7610-5 (used)
bornalliancecom Used - $1,795.00 1 Jan/10/15 May/20/15
Description: Applied Materials 0190-35452 Lamp Driver, RTP (USED) AMAT Centura 5200
bornalliancecom Used - $2,900.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0190-70060 Magnet Driver (USED) AMAT Etch
bornalliancecom Used - $750.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0040-09149 P-5000 Mark II 28 Line Gas Panel Enclosure (Used)
bornalliancecom Used - $4,500.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0040-09001 Chamber Body, Standard 4" to 6" (USED) AMAT CVD
bornalliancecom Used - $2,700.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0040-33998 Liner, Wall MXP+ (USED) AMAT Etch
bornalliancecom Used - $1,750.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0020-34030 Pedestal, Simple Cathode MXP+ (USED) AMAT
bornalliancecom Used - $1,995.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-20036 PVD Lift, Assy. 5&6" Endura 5500 (USED) AMAT
bornalliancecom Used - $2,195.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-20034 PVD Lift, Assy. 8" Endura 5500 (USED) AMAT
bornalliancecom Used - $2,350.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0021-09835 Liner, Wall MXP+ Poly (Used; good condition) AMAT
bornalliancecom Used - $875.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-00212 Robot, Assy. AMAT Precision 8300 (used)
bornalliancecom Used - $450.00 0 Jan/10/15 Jul/20/15
Description: Applied Materials 0010-00309 8300 Gate Valve (used) AMAT Precision 8300
bigbore66 Used - $6,850.00 0 Jan/11/15 Feb/10/15
Description: Applied Materials AMAT Endura Magnet 0010-20224 (used)
ewastepals Used - $249.99 0 Jan/11/15 Oct/20/17
Description: Lam Reasearch 715-073867-001 Wafer Chamber Wafer Production Used
supertechshop Used - $199.00 0 Jan/12/15 Feb/11/15
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $2,501.15 0 Jan/12/15 Jan/15/15
Description: AMAT Applied Materials 0010-12112 PVD RF Match Used Working
bobsgoodies2 Used - $125.00 0 Jan/13/15 Mar/31/22
Description: Chem-Tec Equipment LPH-125-11A Flow Sensor Used in (AMAT) 0090-09044
usedeqsales Used - $1,009.14 0 Jan/13/15 Mar/24/15
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 002 Used Working
usedeqsales Used - $1,001.15 0 Jan/13/15 Feb/12/15
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
conquer_2011 Used - $6,500.00 0 Jan/13/15 Feb/12/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
usedeqsales Used - $2,501.15 0 Jan/14/15 Jan/15/15
Description: AMAT Applied Materials 0010-13602 RF Match PVD High EFF Rev. E4 Used Working
usedeqsales Used - $2,501.15 1 Jan/14/15 Apr/14/15
Description: AMAT Applied Materials 0010-13602 RF Match PVD High EFF Rev. P2 Used Working
usedeqsales Used - $251.15 0 Jan/14/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-14768 Ar Used Working
usedeqsales Used - $401.15 0 Jan/14/15 May/05/15
Description: MKS Instruments 253A-11203 Throttle Valve AMAT 3870-01463 Used Working
usedeqsales Used - $251.15 0 Jan/15/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-25568 Ar Used Working
usedeqsales Used - $251.15 0 Jan/15/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-14765 Ar Used Working
usedeqsales Used - $251.15 0 Jan/15/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24839 O2 Used Working
usedeqsales Used - $251.14 0 Jan/15/15 May/01/15
Description: Unit Instruments UFM-1660 Mass Flow Controller AMAT 3030-01966 He Used Working
keykorea Used - $2,755.00 4 Jan/18/15 Apr/25/21
Description: AMAT 0190-28291 6PORT ROTARY UNION, USED
mustangfamily12 Used - $175.00 0 Jan/17/15 Feb/16/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
usedeqsales Used - $4,011.11 1 Jan/19/15 Apr/13/18
Description: Lam Research 715-011204-001 Harmonic Arm 852-011201-001-D Rainbow 4420 Used
usedeqsales Used - $1,210.14 1 Oct/21/14 Jan/18/15
Description: Nordson 1106049 UV Curing Dual Lamphead Assembly CW2 AMAT 0190-38400 Used
usedeqsales Used - $1,012.14 1 Dec/01/14 Jan/17/15
Description: AMAT Applied Materials 0100-20173 Stepper Controller PCB Card Used Working
usedeqsales Used - $1,251.15 1 Jan/20/15 Mar/04/15
Description: Novellus 02-252369-00 HDSIOC 1 Vector Controller Used Working
usedeqsales Used - $1,001.15 1 Jan/20/15 Jan/26/15
Description: Novellus 15-034104-00 Temperature Plate Used Working
usedeqsales Used - $1,501.15 1 Jan/20/15 Mar/27/15
Description: Trust Automation C-2027-D01 2 Axis Vector Controller Novellus 63-370393-00 Used
keykorea Used - $2,400.00 0 Jan/20/15 Mar/21/18
Description: AMAT 0010-26953 DDF3 PNEUMATIC CONTROL UNIT , USED
usedeqsales Used - $5,001.15 0 Jan/21/15 May/21/15
Description: AMAT Applied Materials 0010-29882 Endura 2 SST Chamber Assembly 300mm Used
grandbirdnet Used - $3,500.00 1 Jan/22/15 Jan/25/17
Description: AMAT 0190-14344 MEGATORQUE MOTOR # RS0810FN544 , USED
usedeqsales Used - $6,501.15 1 Jan/22/15 Apr/01/15
Description: CTI-Cryogenics 3620-00317 Compressor IS-1000 LV AMAT Used Tested Working
keykorea Used - $5,500.00 0 Jan/23/15 Jan/26/15
Description: AMAT 1040-01152 REFERENCE PRESSURE MONITER DHI RPM3 A0100 , USED
usedeqsales Used - $2,001.15 1 Jan/23/15 Jan/23/15
Description: Asyst 9700-6197-01 200mm SMIF Indexer 220 EP AMAT 0500-00245 Used Working
usedeqsales Used - $601.15 0 Jan/23/15 May/04/15
Description: Fuji Electric SGa404A Earth Leakage Circuit Breaker AMAT 0680-01402 Used Working
cupnw Refurbished - $300.00 0 Jan/23/15 May/23/15
Description: Used Moxa NPort 6650-8-AMAT Switch DC power p/n 0190-38062
trees_for_a_better_tomorrow Used - $300.00 0 Jan/25/15 Feb/04/15
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $1,500.00 0 Jan/25/15 Feb/04/15
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
trees_for_a_better_tomorrow Used - $395.00 0 Jan/25/15 Feb/04/15
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
trees_for_a_better_tomorrow Used - $200.00 1 Jan/25/15 Feb/04/15
Description: Used AMAT Applied Materials 0100-01749 300mm LFD Radaince Rev 002 Board Warranty
trees_for_a_better_tomorrow Used - $200.00 0 Jan/25/15 Feb/04/15
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $200.00 0 Jan/25/15 Feb/04/15
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $200.00 0 Jan/25/15 Feb/04/15
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
usedeqsales Used - $2,501.15 0 Jan/26/15 Jan/29/15
Description: ACG-6B ENI ACG-6B-02 RF Generator Rev. C AMAT 0920-01117 Used Tested Working
usedeqsales Used - $2,501.15 0 Jan/26/15 Jan/29/15
Description: ACG-6B ENI ACG-6B-02 RF Generator AMAT 0190-36686 Used Tested Working
usedeqsales Used - $2,501.15 0 Jan/26/15 Jan/29/15
Description: ACG-6B ENI ACG-6B-02 RF Generator AMAT 0920-01117 Used Tested Working
usedeqsales Used - $401.15 1 Jan/27/15 Mar/25/15
Description: MKS Instruments 253B-26278 Exhaust Throttle Valve AMAT 3870-04517 Used Working
usedeqsales Used - $401.15 1 Jan/27/15 Jan/27/15
Description: Control Instruments SNT476 Sensor Assembly AMAT 0090-35042 Lot of 4 Used Working
usedeqsales Used - $601.15 1 Jan/28/15 Jan/30/15
Description: Digi EL 160 16-Port EtherLite Terminal Server AMAT 0190-42525 Lot of 5 Used
keykorea Used - $1,900.00 0 Jan/28/15 Jun/10/15
Description: AMAT 0010-77345 ASSY PED VAC AND PURGE REGULATORS , USED
bobsgoodies NEW - $125.00 1 Jan/30/15 Feb/03/15
Description: AMAT Shut Actuator SMC NCRB80-180 Rotary Actuator Used in 0040-21164 Shut Act.
usedeqsales Used - $502.15 0 Feb/02/15 May/05/15
Description: MKS Instruments AS01391-21 Control PCB Card AMAT 0190-24115 Used Working
usedeqsales Used - $12,001.20 1 Feb/02/15 Feb/09/15
Description: Advanced Energy AE-3155086-002B RF Match Dome DTCU Assembly AMAT 1110-01046 Used
usedeqsales Used - $12,001.20 1 Feb/02/15 Feb/09/15
Description: Advanced Energy AE-3155086-002C RF Match Dome DTCU Assembly AMAT 1110-01046 Used
usedeqsales Used - $602.15 0 Feb/02/15 May/04/15
Description: Shinko SBX93-100097-14 Single Board Computer SBC AMAT 0190-32697 Used Working
usedeqsales Used - $2,502.15 1 Feb/02/15 Mar/12/15
Description: AMAT Applied Materials 0010-02747 DPS Enhanced RF Match Used Working
usedeqsales Used - $501.14 1 Feb/02/15 Feb/02/15
Description: Sekidenko 950-0001-03 Optical Fiber Thermometer 2000 AMAT 0190-36523 Used
usedeqsales Used - $3,501.15 0 Feb/02/15 Feb/05/15
Description: Brooks 6-0001-0903-SP Transfer Robot ABM-405-1-S-CE-S293 AMAT 0520-00021 Used
keykorea Used - $1,850.00 0 Feb/02/15 Sep/16/19
Description: AMAT 0010-77264 ASSY, INT, ISRM MODULE , USED
neilan1987 Used - $399.99 0 Feb/03/15 Feb/27/15
Description: DIP INC CDN396 15039603 Analog I/O Card AMAT 0190-01270 USED
bobsgoodies Used - $125.00 0 Feb/03/15 Feb/03/15
Description: AMAT Shut Actuator SMC NCRB80-180 Rotary Actuator Used in 0040-21164 Shut Act.
usedeqsales Used - $1,502.15 0 Feb/03/15 Feb/04/15
Description: AE Advanced Energy 3155094-003 RF Match FixedMatch AMAT 0090-01419 Used Working
grandbirdnet Used - $600.00 0 Feb/03/15 Jul/20/17
Description: AMAT 0010-77774 CDA REGULATOR ASSY, UC VAC CONTROL, USED
keykorea Used - $4,200.00 1 Feb/04/15 Feb/13/15
Description: AMAT 0010-03433 ASSY, WATER TRAP ROBOT/ PED PNEU CONTROL , USED
usedeqsales Used - $802.15 1 Feb/04/15 Feb/23/15
Description: Xantrex XHR 600-2 DC Power Supply AMATS AMAT 1140-00152 Used Working
bobsgoodies2 Used - $120.00 2 Feb/04/15 May/01/17
Description: AMAT 0520-01002 Shut Actuator SMC NCRB80-180 Used in 0040-21164 Shut Act.
usedeqsales Used - $302.15 0 Feb/04/15 May/04/15
Description: A-B Allen-Bradley 22B-B012N104 AC Drive 22B PowerFlex 40 AMAT 1080-00318 Used
keykorea Used - $650.00 0 Feb/04/15 Apr/05/15
Description: AMAT 0020-78149 BLADE MOUNT , USED
usedeqsales Used - $3,306.68 1 Feb/05/15 Feb/26/15
Description: MKS Instruments AX7670-02 Plasma Source ASTRONi AMAT 0920-00092 Used Working
usedeqsales Used - $3,001.15 1 Feb/05/15 Feb/09/15
Description: CDX-2000 Comdel FP5315RF Dual RF Generator AMAT 0190-76036 Used Tested Working
usedeqsales Used - $5,002.14 0 Feb/05/15 Apr/30/15
Description: CDX-2000 Comdel FP5315RF Dual RF Generator AMAT 0190-76030 Used Tested Working
usedeqsales Used - $1,002.15 0 Feb/06/15 May/01/15
Description: Comdel FP2241R2 LF Fixed Match AMAT 0190-01369 Used Working
usedeqsales Used - $3,202.15 0 Feb/06/15 May/01/15
Description: CLX-2500 Comdel FP1336R6 LF Generator AMAT 0190-23570 Used Tested Working
usedeqsales Used - $5,001.15 0 Feb/06/15 Feb/25/15
Description: AMAT 9010-00272 0020-99685 Quantum Leap III Wheel Motor Assembly Used
usedeqsales Used - $1,002.15 1 Feb/06/15 Mar/11/15
Description: NSK RS0810FN544 Megatorque Motor AMAT 1080-00036 Used Working
usedeqsales Used - $3,002.15 0 Feb/06/15 May/01/15
Description: Nor-Cal 0190-19133 Throttling Pendulum Gate Valve AMAT Used Working
usedeqsales Used - $1,004.12 0 Feb/06/15 May/01/15
Description: VAT 10846-XE28-ANL1 Gate Valve AMAT 3870-03969 Copper Exposed Used Working
trees_for_a_better_tomorrow Used - $500.00 0 Feb/08/15 Feb/15/15
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow Used - $200.00 0 Feb/08/15 Feb/15/15
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $200.00 0 Feb/08/15 Feb/15/15
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $200.00 0 Feb/08/15 Feb/15/15
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $200.00 0 Feb/08/15 Feb/15/15
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
keykorea Used - $600.00 0 Feb/08/15 Dec/05/15
Description: AMAT 0140-78310 HARNESS,PLATEN ENCODER MTR-MNFRM BLKHD,M, USED
keykorea Used - $300.00 0 Feb/08/15 Jun/16/20
Description: AMAT 0140-77044 CABLE, MNFRM BKHD ROBOT X, USED
trees_for_a_better_tomorrow Used - $395.00 0 Feb/09/15 Feb/16/15
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
trees_for_a_better_tomorrow Used - $1,500.00 0 Feb/09/15 Feb/16/15
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
neilan1987 Used - $899.99 0 Feb/09/15 Feb/27/15
Description: Applied Materials 0200-06355 300mm Ceramic Blade AMAT Used
usedeqsales Used - $302.15 0 Feb/09/15 May/04/15
Description: Edwards D02187000 Active Pirani Gauge AMAT 3310-01198 Used Working
usedeqsales Used - $2,011.10 0 Feb/09/15 May/01/15
Description: Kaiser Systems 1100891 Power Supply S1-1-1000 AMAT 1140-01156 Used Working
usedeqsales Used - $150.15 0 Feb/09/15 Apr/30/15
Description: Yaskawa SGDH-08AE-SY905 Servo Drive Servopack AMAT 0190-08005 Used Working
usedeqsales Used - $2,502.15 1 Feb/09/15 Mar/12/15
Description: AMAT Applied Materials 0010-02747 DPS Enhanced RF Match Rev. 03 Used Working
usedeqsales Used - $3,502.15 0 Feb/09/15 May/01/15
Description: MDX Pinnacle AE Advanced Energy 3152412-149 AMAT 0190-08124 Used Tested Working
usedeqsales Used - $302.15 1 Feb/09/15 Feb/10/15
Description: MKS Instruments L2-40-SP1-316 Pneumatic Isolation Valve AMAT 0190-13041 Used
used1eqsales Used - $1,102.15 0 Feb/09/15 May/26/17
Description: AMAT 9090-00442 Decel PSU Resistor Assembly 9010-01409 AMAT Quantum X used
usedeqsales Used - $650.11 0 Feb/09/15 May/04/15
Description: Delta Tau 603603-103 Main CPCI 96 I/O AMAT 0190-15384 Used Working
usedeqsales Used - $300.15 0 Feb/10/15 May/04/15
Description: Yaskawa SGDH-01AE-N3Y920 AC Servo Drive Amplifier Servopack AMAT 0190-41388 Used
usedeqsales Used - $2,502.15 0 Feb/10/15 May/01/15
Description: AMAT Applied Materials 0010-19589 300mm SIP Encore II CU RF Match Used Working
usedeqsales Used - $2,502.15 0 Feb/10/15 May/01/15
Description: AMAT Applied Materials 0010-02977 Sub Zero BESC PVD RF Match Rev. 07 Used
keykorea Used - $2,900.00 0 Feb/10/15 May/19/15
Description: AMAT 0190-35652 SERIPLEX MUX I/O PCB(4), (112H-143H) , USED
keykorea Used - $8,500.00 0 Feb/10/15 Nov/17/17
Description: AMAT 0010-36740 HEATER ASSY, HA-12 TIGHT RF MESH WITH CLAMP T , USED
grandbirdnet Used - $1,000.00 0 Feb/10/15 Mar/02/23
Description: AMAT 0140-77633 C/A HD ROT1 MTR DRIVER, USED
grandbirdnet Used - $900.00 0 Feb/10/15 Mar/07/22
Description: AMAT 0140-77636 C/A HD ROT4 MTR DRIVER, USED
keykorea Used - $400.00 1 Feb/10/15 Sep/04/15
Description: AMAT 0140-77632 C/A HD SWP1-4 MTR DRIVER, USED
keykorea Used - $1,000.00 0 Feb/10/15 Aug/12/15
Description: AMAT 0140-77635 C/A HD ROT3 MTR DRIVER, USED
usedeqsales Used - $3,502.15 0 Feb/11/15 Mar/05/15
Description: Edwards SCU-A2203PV Turbomolecular Pump Control AMAT 3620-00093 Used Working
usedeqsales Used - $4,202.15 1 Feb/11/15 Mar/29/15
Description: AE Advanced Energy 3155086-001D RF Match Dome AMAT 1110-01043 Used Working
usedeqsales Used - $2,502.15 0 Feb/11/15 May/01/15
Description: AMAT Applied Materials 0010-30012 Robot Drive Assembly P5000 Used Working
supertechshop Used - $199.00 0 Feb/11/15 Mar/13/15
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
conquer_2011 Used - $6,500.00 0 Feb/12/15 Mar/14/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
keykorea Used - $3,400.00 0 Feb/12/15 Aug/05/15
Description: AMAT 0010-75523 ASSY, HDP EXTENDED ROBOT WRIST 6"/8" , USED
pneumatplus10 Used - $35.90 0 Feb/12/15 Sep/12/22
Description: Applied Materials 0010-25420 REV 001, 9237-28 Rev A,300582-2,AMAT,Used,USA$92728
grandbirdnet Used - $750.00 1 Feb/12/15 Aug/20/22
Description: AMAT 0190-24115 ASSY. PCB, CDN391R, D-I/O, 300MM ENDURA , USED
usedeqsales Used - $802.15 1 Feb/13/15 Feb/23/15
Description: Sorenson XHR600-2AMATSP DC Power Supply AMAT 1140-00598 Used Tested Working
usedeqsales Used - $702.15 0 Feb/13/15 May/04/15
Description: AMAT Applied Materials 0090-90445 daq MkII type H Power Supply XR80 Used Working
usedeqsales Used - $3,502.15 0 Feb/13/15 May/01/15
Description: Kawasaki 3NS001S-L003 Robot Prealigner 300mm NF AMAT 0190-14786 Used Working
grandbirdnet Used - $950.00 0 Feb/15/15 Mar/02/23
Description: AMAT 0021-26673 FLANGE, 300MM TITAN PROFILER II , USED
grandbirdnet Used - $400.00 0 Feb/15/15 Mar/02/23
Description: AMAT 0040-51660 CAP, 300MM PROFILER , USED
grandbirdnet Used - $1,550.00 0 Feb/15/15 Mar/07/22
Description: AMAT 0040-63716 COVER, 300MM PROFILER HEAD , USED
usedeqsales Used - $502.15 0 Feb/17/15 May/05/15
Description: MKS Instruments 640A12TW1V12--S Pressure Controller AMAT 3920-01278 Used Working
mustangfamily12 Used - $125.00 0 Feb/17/15 Mar/19/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
usedeqsales Used - $252.15 0 Feb/17/15 May/04/15
Description: Horiba STEC SEC-2512MG Mass Flow Controller AMAT 3030-14638 N2 Used
usedeqsales Used - $1,202.15 2 Feb/17/15 Jun/22/15
Description: Digital Dynamics Vector HDSIOC 0 Controller Novellus 02-252395-00 used working
grandbirdnet Used - $950.00 0 Feb/18/15 Nov/25/22
Description: AMAT 1110-01045 NTWRK PORT SERVER 16-CHAN , USED
usedeqsales Used - $1,202.15 2 Feb/18/15 Jan/04/16
Description: Digital Dynamics Vector HDSIOC 0 Controller Novellus 02-252397-00 Used Working
usedeqsales Used - $1,502.15 1 Feb/18/15 Mar/09/15
Description: DELTA TAU PMACE VME FLEX ULTRALITE PCB CARD 603616-102 AMAT 0015-02113 USED
usedeqsales Used - $1,002.15 0 Feb/18/15 May/01/15
Description: ETO ABX-X299 12V Power Supply PCB Board AMAT 0190-01954 Used Working
usedeqsales Used - $4,502.15 1 Feb/19/15 Feb/19/15
Description: MDX-L12M AE Advanced Energy 3152272-001C AMAT 0190-70087 Used Tested Working
usedeqsales Used - $4,502.15 0 Feb/19/15 Apr/30/15
Description: TDK S2091-86-001 Load Port TAS3000 AMAT 5075-98008 Used Working
usedeqsales Used - $4,502.15 0 Feb/19/15 Apr/30/15
Description: TDK S2091-86-001 Load Port TAS3000 AMAT 0190-16691 Used Working
usedeqsales Used - $3,502.15 0 Feb/20/15 May/01/15
Description: MKS FI20160-2 Microwave Power Supply AMAT 0920-01110 Rev. G Used Working
usedeqsales Used - $1,802.15 0 Feb/20/15 Apr/30/15
Description: NSK EP08010AF3-05 Driver AMAT 0870-01052 Megatorque Used
usedeqsales Used - $2,002.15 0 Feb/20/15 May/01/15
Description: NSK EP0810AF8-05 Driver AMAT 0190-14344 Megatorque Used
usedeqsales Used - $3,502.15 0 Feb/20/15 May/01/15
Description: PRI 6-0002-0705-SP Wet Robot AMAT 0195-02882 Used Working
usedeqsales Used - $3,502.15 0 Feb/20/15 Feb/24/15
Description: Brooks Automation 6-0002-0675-SPR Robot AMAT 0520-00021 Used Working
usedeqsales Used - $3,502.15 0 Feb/23/15 May/01/15
Description: MDX Pinnacle AE Advanced Energy 3152412-314 AMAT 0190-25719 Used Tested Working
usedeqsales Used - $2,502.15 0 Feb/24/15 May/01/15
Description: Comet 20062678 High Impedance HF RF Filter Assembly AMAT 0190-41422 Used Working
usedeqsales Used - $2,502.15 0 Feb/24/15 May/01/15
Description: Genesis GHW-12Z ENI GHW12Z-13DF2N0-002 AMAT 0190-25528 Used Tested Working
waste-not-recycling Used - $1,699.99 0 Feb/24/15 Feb/27/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
usedeqsales Used - $502.15 0 Feb/24/15 May/05/15
Description: Nor-Cal 021010-1 Gate Valve AMAT 3870-03322 Used Working
usedeqsales Used - $3,502.15 0 Feb/24/15 May/01/15
Description: DCG-200Z Optima MKS OPT-200Z-00 Master AMAT 0190-27891 Used Tested Working
usedeqsales Used - $7,502.15 0 Feb/24/15 Feb/26/15
Description: Kawasaki 3NS411B-G003 Factory Interface Robot AMAT 0190-27572 Used Working
usedeqsales Used - $2,502.15 0 Feb/25/15 May/01/15
Description: GMS General Micro System V06-05-E SBC PCB AMAT 0100-09002 Used Working
usedeqsales Used - $20,002.20 0 Feb/25/15 Apr/30/15
Description: DBM-2406-V2 Brooks 6-0002-1072-SP Dual Arm Robot AMAT 0520-00046 Used Working
usedeqsales Used - $5,002.15 0 Feb/25/15 Apr/30/15
Description: CDX-2000 Comdel FP5315RG Dual RF Generator AMAT 0190-33755 Used Tested Working
usedeqsales Used - $11,002.20 0 Feb/25/15 Apr/30/15
Description: Spectrum 11002 MKS Instruments 11002-00 RF Generator AMAT 0190-33637 Used Tested
usedeqsales Used - $5,502.15 1 Feb/25/15 Mar/26/15
Description: CTI-Cryogenics 0190-25015 Cryopump On-Board IS-8F AMAT Used Tested Working
usedeqsales Used - $502.15 0 Feb/26/15 May/05/15
Description: MEI Motion Engineering T008-2001 eXPM Controller Rev. 07A AMAT 0190-13990 Used
usedeqsales Used - $142.15 0 Feb/26/15 Apr/30/15
Description: Celerity AASGDD0W1 Mass Flow Controller AMAT 0190-33091 Used Working
usedeqsales Used - $352.15 0 Feb/26/15 May/05/15
Description: Copley Contols 800-1773 Servo Amplifier Accelnet AMAT 0190-23542 Used
usedeqsales Used - $142.15 0 Feb/27/15 Apr/30/15
Description: Celerity AATGDD0W1 Mass Flow Controller AMAT 0190-33087 Used Working
usedeqsales Used - $142.15 0 Feb/27/15 Apr/30/15
Description: Celerity AAVGDD0W1 Mass Flow Controller AMAT 0190-33088 Used Working
usedeqsales Used - $142.15 0 Feb/27/15 Apr/30/15
Description: Celerity AAPGDD0W1 Mass Flow Controller AMAT 0190-33089 Used Working
waste-not-recycling Used - $1,299.99 0 Feb/27/15 Mar/04/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
usedeqsales Used - $1,002.15 0 Feb/27/15 Mar/04/15
Description: NSK ELA-B014CFH-03 Servo Drive ELA AMAT 0190-11706 Used Working
usedeqsales Used - $252.15 0 Feb/27/15 May/04/15
Description: STEC LF-310A-EVD Liquid Mass Flow Meter AMAT 3030-07719 Used Working
trees_for_a_better_tomorrow Used - $100.00 0 Mar/01/15 Mar/08/15
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $100.00 0 Mar/01/15 Mar/08/15
Description: Used Card Control 8MB CPU 0190-40045 GMSV36-05-D AMAT APPLIED MATERIALS
trees_for_a_better_tomorrow Used - $100.00 0 Mar/01/15 Mar/08/15
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $100.00 0 Mar/01/15 Mar/08/15
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $500.00 0 Mar/01/15 Mar/08/15
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
usedeqsales Used - $143.15 0 Mar/02/15 Apr/30/15
Description: MKS Instruments 1159B-15144---S Mass Flow Controller AMAT 0010-76952 Used
usedeqsales Used - $253.15 1 Mar/02/15 Mar/03/15
Description: Horiba STEC SEC-Z512 MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $133.15 0 Mar/02/15 Mar/25/15
Description: Horiba STEC SEC-Z512 MGX Mass Flow Controller AMAT 3030-16281 Used Working
usedeqsales Used - $3,377.36 0 Mar/02/15 Mar/12/15
Description: PDX 900-2V AE Advanced Energy 3156024-030 RF Generator AMAT 0920-01030 Used
usedeqsales Used - $5,503.15 0 Mar/02/15 Mar/03/15
Description: ASTRONAL MKS Instruments FI2060-1-C Rev. D AMAT 0920-00013 Used tested Working
usedeqsales Used - $5,503.15 1 Mar/02/15 Apr/30/15
Description: ASTRONAL MKS Instruments FI2060-1 Rev. D AMAT 0920-00013 Used tested Working
usedeqsales Used - $133.15 0 Mar/02/15 Apr/30/15
Description: Brooks Instrument 6256SCZVCLDC Mass Flow Controller AMAT 3030-11270 Used Working
sfl88 Used - $125.00 0 Feb/23/15 Mar/02/15
Description: USED Edwards D37280700 Dry Pump Handheld Remote Display
usedeqsales Used - $5,503.15 2 Mar/02/15 Mar/03/15
Description: ASTRONi MKS Instruments AX7670-15 AMAT 0190-72486 Used Tested Working
usedeqsales Used - $1,503.15 0 Mar/02/15 May/01/15
Description: Semiquip CAT200P-98001 200mm Cassette Alignment AMAT 3920-00170 Used
trees_for_a_better_tomorrow Used - $395.00 0 Mar/02/15 Mar/09/15
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Bd
trees_for_a_better_tomorrow Used - $1,500.00 0 Mar/02/15 Mar/09/15
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
usedeqsales Used - $512.11 1 Dec/30/11 Feb/23/15
Description: AMAT Applied Materials 0100-90771 Spin Scan Error Board Used Working
usedeqsales Used - $3,503.15 0 Mar/03/15 May/01/15
Description: ADTEK AX-3000III RF Plasma Generator AMAT 0920-00093 Used Tested Working
usedeqsales Used - $2,503.15 0 Mar/03/15 May/01/15
Description: Sinfonia Technology SELOP12F25-S70007 Load Port AMAT 0190-33715 Used Working
usedeqsales Used - $2,503.15 0 Mar/03/15 May/01/15
Description: Sinfonia Technology SELOP12F25-57A0401 Load Port AMAT 0190-44437 Used Working
usedeqsales Used - $3,503.15 0 Mar/03/15 May/01/15
Description: HFV 8000 AE Advanced Energy 3155083-505 AMAT 0190-24488 Used Tested
usedeqsales Used - $1,003.15 0 Mar/03/15 May/01/15
Description: Turbotronik NT 341 MA Leybold 857 34 Controller AMAT 3620-10368 Used Tested
usedeqsales Used - $3,503.15 1 Mar/03/15 Apr/13/17
Description: ASTRON e/ex MKS Instruments AX7685-20 Plasma Source AMAT 0190-34542 Used Tested
usedeqsales Used - $5,503.15 1 Mar/03/15 Apr/30/15
Description: ASTRON MKS Instruments FI2062-1-C ASTRON2L Rev. D AMAT 0920-00013 Tested Used
keykorea Used - $950.00 0 Mar/02/15 Mar/13/18
Description: AMAT 0190-42525 NTWRK PORT SERVER EL162 16-CH W/PWRSP, USED
usedeqsales Used - $503.15 0 Mar/04/15 May/05/15
Description: AMAT Applied Materials 0041-40414 Gate Valve Adapter Endura 2 Used Working
usedeqsales Used - $1,003.15 0 Mar/04/15 May/01/15
Description: VAT 10846-UE28-AVN2 Gate Valve AMAT 0010-42058 Endura 2 Used
usedeqsales Used - $703.15 0 Mar/04/15 May/04/15
Description: AMAT Applied Materials 3930-00168 OCD/DUV 9010B Controller PCB Used Working
waste-not-recycling Used - $999.99 0 Mar/04/15 Mar/11/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
usedeqsales Used - $3,504.14 0 Mar/04/15 Mar/27/15
Description: Brooks Automation 6-0002-0573-SP Controller ESC-218BT-FWS AMAT 0190-08247 Used
usedeqsales Used - $3,503.15 0 Mar/05/15 May/01/15
Description: AX8200 MKS Intruments AX8200A-CER Ozone Generator AMAT 0190-35872 Used Tested
usedeqsales Used - $1,003.15 0 Mar/05/15 May/01/15
Description: AMAT Applied Materials 0010-02146 HDP-CVD RPSC Apllicator 5200 CVD Used
used1eqsales Used - $200.00 0 Mar/05/15 May/26/17
Description: AMAT 0020-28668 Poppet Valve 301178-2710 Rev 1 Lot of 4 used working
usedeqsales Used - $1,003.15 0 Mar/05/15 May/01/15
Description: AMAT Applied Materials 0010-18132 HDP-CVP RPSC Applicator 5200 CVP Used
usedeqsales Used - $2,503.15 0 Mar/05/15 May/01/15
Description: AMAT Applied Materials 0010-09417 CVD RF Match Used Working
usedeqsales Used - $3,503.15 0 Mar/05/15 May/01/15
Description: AE Advanced Energy 3155031-014 RF Match Rev. D AMAT 0190-18116 Used Working
usedeqsales Used - $4,503.15 1 Mar/06/15 Mar/06/15
Description: CTI-Cryogenics 8116142G001 Cryopump On-Board 8F AMAT 0190-13372 Used Tested
usedeqsales Used - $5,503.15 1 Mar/03/15 Mar/03/15
Description: ASTRONi MKS Instruments AX7670-18 AMAT 0190-74005 Used Tested Working
equipplus Used - $79.00 2 Mar/09/15 Mar/06/17
Description: Applied Material 1350-00255 Capacitance Manomater,+-15Vdc-35mA,AMAT,Used
equipplus Used - $239.00 1 Mar/09/15 Aug/23/16
Description: Applied Material 0190-26769 Universal Gauge+Sensor 0190-26769,354-493,AMAT,Used
usedeqsales Used - $703.15 1 Mar/09/15 Mar/09/15
Description: VAT 10846-XE24-1009 Gate Valve AMAT 3870-01708 Used Working
usedeqsales Used - $12,003.20 0 Mar/09/15 Mar/26/15
Description: AE Advanced Energy 3155086-102 RF Match Dome DTCU ASSY AMAT 0010-38205 Used
usedeqsales Used - $8,003.15 1 Mar/10/15 Mar/14/15
Description: AMAT Applied Materials 0020-12698 Quantum Leap III Wheel Motor Assembly Used
usedeqsales Used - $303.15 0 Mar/10/15 May/04/15
Description: AMAT Applied Materials 0190-03392 RF Cable 2.5m Used Working
usedeqsales Used - $503.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-03393 RF Cable 5.5m Used Working
usedeqsales Used - $8,003.15 2 Mar/10/15 Mar/14/15
Description: AMAT Applied Materials 9240-00879 Direct Drive Motor 9500 XR Series Used Working
usedeqsales Used - $503.14 0 Mar/10/15 May/05/15
Description: Horiba STEC IV-2410AV-02H-N Injection Valve AMAT 0190-33934 Used
used1eqsales Used - $271.42 1 Mar/10/15 Mar/27/15
Description: AMAT 0100-01735 EVR Gap Servo PCB Assy AMAT Quantum X used working
usedeqsales Used - $2,003.15 0 Mar/10/15 May/01/15
Description: VAT 92548-PE21-1001 Pendulum Gate Valve AMAt 3870-02632 Used Working
usedeqsales Used - $403.15 1 Mar/10/15 Apr/06/15
Description: AMAT Applied Materials 0190-01769 RF Cable 75 Foot 300mm Preclean Used Working
usedeqsales Used - $403.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-02032 RF Cable 75 Foot 300mm Preclean Used Working
usedeqsales Used - $403.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-05576 RF Cable 75 Foot Cable Used Working
usedeqsales Used - $12,003.20 0 Mar/11/15 Mar/26/15
Description: AE Advanced Energy 3155086-102 RF Match Dome F/R B AMAT 1110-01063 Used Working
usedeqsales Used - $12,003.20 0 Mar/11/15 Mar/26/15
Description: AE Advanced Energy 3155086-102 RF Match Dome HR DTCU AMAT 0010-38754 Used
usedeqsales Used - $403.15 1 Mar/11/15 Apr/06/15
Description: AMAT Applied Materials 0190-02032 RF Cable 75 Foot 300mm Proclean Rev. 006 Used
usedeqsales Used - $1,503.15 1 Mar/11/15 Mar/27/15
Description: Trust Automation C-2027-DO1 2 Axis Vector Controller Novellus 27-327289-00 Used
waste-not-recycling Used - $699.99 0 Mar/12/15 Mar/19/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
usedeqsales Used - $253.15 1 Mar/12/15 Apr/27/15
Description: AMAT Applied Materials 0010-29780 Turbo Throttle Valve Drive Assembly Used Worki
usedeqsales Used - $1,353.15 0 Mar/12/15 Mar/13/15
Description: AMAT Applied Materials 0010-77681 Pnematic Manifold Box Rev. P04 Used Working
used1eqsales Used - $1,203.15 0 Mar/12/15 May/26/17
Description: AMAT 0200-76058 Quartz Pedestal Large Pin Diameter 300mm cleaned used working
used1eqsales Used - $353.15 0 Mar/12/15 May/26/17
Description: AMAT 0041-38981 RF Ground Shield Source 300mm RF PVD used working
used1eqsales Used - $401.57 0 Mar/12/15 Dec/07/15
Description: AMAT 0021-11486 004 Shutter Pentagon cleaned used working
keykorea Used - $5,500.00 0 Mar/12/15 Mar/18/15
Description: AMAT 1040-01152 REFERENCE PRESSURE MONITER DHI RPM3 A0100 , USED
used1eqsales Used - $1,503.15 0 Mar/12/15 May/26/17
Description: Novellus 02-418668-00 Ped Assy Hardware PNL Small Pkt C3ALT used sold as is
sparepartssolution Used - $499.99 1 Dec/14/12 Sep/02/15
Description: (AA01) AMAT APPLIED MATERIALS 1080-01204 ASP+ LIFT MOTOR P22NRXA-LDN-HD-00 USED
bobsgoodies NEW - $145.00 0 Mar/13/15 Mar/03/16
Description: Oriental Motor PK569-NAA 5-Phase Stepping Motor Used in AMAT 0190-09552 WXZ
used1eqsales Used - $1,503.15 0 Mar/13/15 May/26/17
Description: AMAT 0040-97417 PLATEN UPPER WELDMENT UDS LK used sold as is
used1eqsales Used - $803.15 3 Mar/13/15 Mar/14/15
Description: AMAT 0010-19899 Assy Waist Fixed Wing Upper used working
used1eqsales Used - $803.15 1 Mar/13/15 Mar/14/15
Description: AMAT 0010-19900 Assy Waist Fixed Wing Lower used working
usedeqsales Used - $802.12 0 Mar/13/15 Apr/07/20
Description: AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used Working
usedeqsales Used - $301.12 3 Apr/26/12 Mar/11/15
Description: VAT 16546-PA21-AAE1 Pendulum Valve Actuator Used Working
conquer_2011 Used - $6,500.00 0 Mar/14/15 Apr/11/15
Description: AMAT 0010-03341 WxZ Heater Wafer Pedestal 8"/200mm NEW, Priced as Used
supertechshop Used - $199.00 0 Mar/16/15 Apr/15/15
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $503.15 0 Mar/16/15 May/05/15
Description: MKS Instruments DPCA12T51CB00 Dual Zone Pressure Controller AMAT 3030-10854 Used
usedeqsales Used - $1,003.15 1 Mar/16/15 Mar/24/15
Description: Deublin 995-100 Rotary Union AMAT 0760-01034 Used Working
usedeqsales Used - $253.15 0 Mar/16/15 May/04/15
Description: MKS Instruments LPV1-40-AK-CNVS Isolation Angle Valve AMAT 3870-04733 Used
usedeqsales Used - $253.15 0 Mar/16/15 May/04/15
Description: MDC 997330 Pneumatic Isolation Valve AMAT 0190-40017 Used Working
keykorea Used - $2,800.00 1 Mar/17/15 Mar/23/15
Description: AMAT 0190-11706 NSK ROBOT DRIVER FOR 300MM XP ROBOT ELA-B014CFH-03 , USED
usedeqsales Used - $303.15 0 Mar/17/15 May/04/15
Description: SMC ITV2011-31N3N4-X95 E/P Regulator Sensor Assembly AMAT 0010-14718 Used
usedeqsales Used - $253.15 0 Mar/17/15 May/04/15
Description: AMAT Applied Materials 0150-51306 Heather Block Controller Cable Used Working
usedeqsales Used - $353.15 1 Mar/17/15 Mar/24/15
Description: MKS Instruments L2-40-SP1 Vacuum Isolation Valve AMAT 0190-76185 Used Working
usedeqsales Used - $7,003.15 0 Mar/17/15 Apr/30/15
Description: ATH 1600 M Leybold P25621B1 Turbo Pump AMAT 3620-00182 Used Tested Working
gigabitpartsolutions Used - $1,300.00 0 Mar/18/15 Apr/22/20
Description: OEM Part LAM RESEARCH (LAM) 715-801916-004 PLD GAS DIST 300mm W/G RV HTR (used)
usedeqsales Used - $1,003.15 0 Mar/18/15 May/01/15
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $303.15 1 Mar/18/15 Mar/19/15
Description: AMAT Applied Materials 0190-05111 Control Video Switch Used Working
usedeqsales Used - $603.15 0 Mar/18/15 May/04/15
Description: Spellman X4194 High Voltage Power Supply ESC5PN25X4194 AMAT 0190-34345 Used
usedeqsales Used - $1,003.15 6 Mar/18/15 Mar/24/15
Description: Deublin 20004-715 Rotary Union AMAT 0190-28291 6-Port Used Working
keykorea Used - $6,900.00 1 Mar/18/15 Oct/10/16
Description: AMAT 0040-18053 PEDESTAL, HOLES 200mm BLUE SNNF , USED
usedeqsales Used - $1,803.15 0 Mar/19/15 Mar/25/15
Description: AMAT Applied Materials 0010-00957 Orienter Laser Optics Used Working
usedeqsales Used - $303.15 0 Mar/19/15 May/04/15
Description: MOXA 6650-8-AMAT 8-Port Secure Device Server NPort AMAT 0190-38062 Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 Mar/25/15
Description: AMAT Applied Materials 0010-30687 RF Match H.E. MXP Etch Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-52036 RF Match Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match RPC PVD 300mm Rev. 007 Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match RPC Rev. 08 Copper Used Working
waste-not-recycling Used - $699.99 0 Mar/19/15 Mar/26/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
usedeqsales Used - $303.15 0 Mar/19/15 May/04/15
Description: Granville-Phillips 354001-YG-T Micro-Ion Module AMAT 3310-01255 Used Working
usedeqsales Used - $203.15 2 Mar/19/15 Apr/08/15
Description: Answer Engineering 112-B2873 Rotary Actuator AMAT 0520-00068 Used Working
usedeqsales Used - $2,003.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0040-47487 System Facility Interface Used Working
usedeqsales Used - $3,003.15 1 Mar/19/15 Mar/23/15
Description: NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 Used Working
usedeqsales Used - $603.15 0 Mar/19/15 Apr/03/15
Description: MKS Instruments L2-40-SP1-316 Pneumatic Isolation Valve AMAT 0190-13041 Used Wor
usedeqsales Used - $6,003.15 0 Mar/19/15 Apr/30/15
Description: Kawasaki 30C61E-B019 Master Robot Controller AMAT 0190-25867 Used Working
used1eqsales Used - $250.00 0 Mar/19/15 May/26/17
Description: A&N Corporation 1539-S-N Vacuum Pump Actuator Valve AMAT 0190-08958 used working
usedeqsales Used - $3,503.15 0 Mar/19/15 May/01/15
Description: AE Advanced Energy 3155031-036 Bias RF Match AMAT 0190-11225 Used Working
usedeqsales Used - $4,503.15 0 Mar/20/15 Apr/30/15
Description: AE Advanced Energy 3155086-002 Dome RF Network AMAT 1110-01046 Used Working
usedeqsales Used - $6,003.15 0 Mar/20/15 Apr/30/15
Description: Kawasaki 30C61D-B027 Master Robot Controller WET AMAT 0190-34966 Used Working
usedeqsales Used - $1,503.15 0 Mar/20/15 May/01/15
Description: AMAT Applied Materials 0010-02850 Side Local Match Assembly Used Working
usedeqsales Used - $2,452.21 0 Mar/20/15 Apr/20/15
Description: DCG-200Z Optima MKS OPT-200ZSR-00 Master AMAT 0190-38685 Used Tested Working
usedeqsales Used - $3,503.15 1 Mar/20/15 Mar/31/15
Description: AE Advanced Energy 3155126-009 RF Match Z'Scan AMAT 0190-23623 Used Working
usedeqsales Used - $353.15 0 Mar/20/15 May/05/15
Description: Thornton 6220-1 Conductivity Resistivity Meter 200CR AMAT 0040-01651 Used
usedeqsales Used - $253.15 1 Mar/20/15 Mar/22/15
Description: MDC 990428 Roughing Angle Valve AMAT 3870-01334 Used Working
instechlab Used - $500.00 0 Mar/20/15 Apr/19/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
electronicpals Used - $145.00 0 Mar/21/15 Aug/05/15
Description: Lam Research 839-015480-012 Semiconductor Part Ring Used
electronicpals Used - $69.99 0 Mar/21/15 Aug/17/15
Description: Lam Research 716-087942-220 Semiconductor Part Ring Qtz Used
electronicpals Used - $69.99 0 Mar/21/15 Aug/17/15
Description: Lam Research 716-044668-001 Used Semiconducting Ring
electronicpals Used - $69.99 0 Mar/21/15 Aug/17/15
Description: Lam Research 716-013344-006 Semiconducting Ring Used
electronicpals Used - $69.99 0 Mar/20/15 Aug/17/15
Description: LAM Research 716-044668-407 Used Semiconducting Ring
electronicpals Used - $299.99 0 Mar/21/15 Aug/18/15
Description: Lam Research 715-013639-009 Semiconductor Part Ring Used
keykorea Used - $1,100.00 0 Mar/21/15 Aug/24/17
Description: AMAT 0190-05111 VIDEO AND CONTROL SWITCH SPECIFICATION , USED
desert_dweller77 Used - $1,250.00 0 Mar/22/15 Apr/01/15
Description: RF Match PVD 0010-12112 AMAT Used
keykorea Used - $4,500.00 0 Mar/22/15 Feb/18/18
Description: AMAT 0190-03007 WAFER TEMPERATURE MONITOR, 4 CHANNEL, 950-4007-00 , USED
desert_dweller77 Used - $1,250.00 1 Mar/22/15 Mar/23/15
Description: RF Match PVD High EFF 0010-13602 Rev. 4 AMAT Used
usedeqsales Used - $403.15 1 Mar/23/15 Apr/06/15
Description: AMAT Applied Materials 0190-01458 RF Cable 55 Foot Used Working
usedeqsales Used - $253.15 1 Mar/23/15 Mar/24/15
Description: MDC AV-100M-P-1039 Roughing Angle Valve AMAT 3870-01335 Used Working
usedeqsales Used - $7,503.15 0 Mar/23/15 Apr/30/15
Description: Kawasaki 3NS411B-F006 Factory Interface Robot AMAT 0190-34967 Used Working
usedeqsales Used - $2,503.15 0 Mar/24/15 May/01/15
Description: AMAT Applied Materials 0010-52034 RF Match Used Working
usedeqsales Used - $7,503.15 0 Mar/24/15 Apr/30/15
Description: Kawasaki 3NS411B-F003 Factory Interface Robot AMAT 0190-16621 Used Working
usedeqsales Used - $3,503.15 0 Mar/24/15 May/01/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 Used Working
usedeqsales Used - $3,503.15 0 Mar/24/15 May/01/15
Description: Kawasaki 3NS001S-L003 Robot Prealigner AMAT 0190-14786 Copper Used Working
usedeqsales Used - $2,503.15 0 Mar/24/15 May/01/15
Description: AMAT Applied Materials 0010-42741 RF Match Used Working
usedeqsales Used - $3,003.15 0 Mar/24/15 May/01/15
Description: NSK ELC-JG014VF3-01 2-Axis Driver 300mm XP Robot AMAT 0190-19536 Used Working
usedeqsales Used - $1,003.15 2 Mar/24/15 Mar/24/15
Description: Deublin 20003-100 Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $6,003.15 0 Mar/24/15 Apr/30/15
Description: MAG 2000CT Leybold 89431 Turbo Pump AMAT 3620-01460 Used Tested Working
usedeqsales Used - $3,003.15 0 Mar/24/15 Apr/09/18
Description: Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Copper Used Working
usedeqsales Used - $6,503.15 1 Mar/24/15 Mar/24/15
Description: AMAT Applied Materials 0010-42053 Robot SBR 350mm NEON Used Working
usedeqsales Used - $503.15 0 Mar/25/15 May/05/15
Description: Parker 0190-24755 Pneumatic Cylinder Series P1D AMAT Used Working
usedeqsales Used - $1,003.15 0 Mar/25/15 May/01/15
Description: Deublin 20003-100 Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $6,003.15 0 Mar/25/15 Apr/30/15
Description: MAG 2000 CT Leybold 89431 Turbovac AMAT 3620-01460 59270 Hrs Used Tested Working
usedeqsales Used - $6,003.15 0 Mar/25/15 Apr/30/15
Description: MAG 2000 CT Leybold 89431 Turbovac AMAT 3620-01460 9309 Hrs Used Tested Working
usedeqsales Used - $6,003.15 0 Mar/25/15 Apr/30/15
Description: MAG 2000 CT Leybold Turbovac AMAT 3620-01460 82405 Hrs Used Tested Working
usedeqsales Used - $503.15 0 Mar/25/15 Mar/26/15
Description: AMAT Applied Materials 0190-09459 Fiber Optic Cable 20 Foot Used Working
usedeqsales Used - $453.15 0 Mar/25/15 May/05/15
Description: SMC IN587-07-C 32-Port Pneumatic Manifold AMAT 4060-00895 Used Working
usedeqsales Used - $10,003.20 2 Mar/25/15 Mar/26/15
Description: AMAT Applied Materials 0010-17530 Dual Blade Robot 300mm Endura Used Working
mustangfamily12 Used - $125.00 0 Mar/25/15 Apr/24/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
usedeqsales Used - $6,003.15 0 Mar/26/15 Apr/30/15
Description: MAG 2000 CT Leybold 89431 Turbovac AMAT 3620-01460 86524 Hrs Used Tested Working
sunny_technology Used - $2,000.00 0 Mar/25/15 Apr/24/15
Description: AMAT 0010-09416 RF MATCH PHASE IV P5000 , USED
usedeqsales Used - $6,003.15 0 Mar/26/15 Apr/30/15
Description: MAG 2000 CT Leybold 89431 Turbovac AMAT 3620-01460 85410 Hrs Used Tested Working
waste-not-recycling Used - $699.99 0 Mar/26/15 Apr/02/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
usedeqsales Used - $2,803.15 1 Mar/26/15 Apr/20/15
Description: NSK ELA-B014CG2-04 2-AXIS Servo Driver 300mm Robot AMAT 0190-25916 Used Working
usedeqsales Used - $1,203.15 0 Mar/26/15 May/04/15
Description: Control Concepts 3096-1021 SCR Power Controller AMAT 0190-14925 Used Working
usedeqsales Used - $2,803.15 0 Mar/26/15 May/01/15
Description: NSK ELA-B014CG3-04 2-AXIS Servo Driver VHP + AMAT 0190-25031 Used Working
usedeqsales Used - $5,003.15 1 Mar/27/15 Mar/29/15
Description: NSK SSB014FN517 STD Reach Direct Robot Drive AMAT 0040-46995 0140-76976 Used
electronicpals Used - $195.00 0 Mar/29/15 Aug/18/15
Description: Lam Research 715-033685-001 A And 716-036601-001 Semiconductor Part Ring Used
keykorea Used - $12,000.00 0 Apr/05/15 Apr/25/17
Description: AMAT 0190-55473 VME I CONTROLLER FOR MIRRA CMP , USED
keykorea Used - $950.00 0 Apr/05/15 Jun/16/15
Description: AMAT 0040-77741 CLEANER INTER-PLATEN W/SHIELDS , USED
keykorea Used - $12,000.00 0 Apr/05/15 Aug/23/16
Description: AMAT 0010-77153 LONG ROBOT ASSY FOR MIRRA CMP 2000MM , USED
usedeqsales Used - $12,504.20 1 Apr/06/15 Apr/06/15
Description: AMAT Applied Materials 0010-19040 Robot 300mm Single Blade VHP Used Working
usedeqsales Used - $3,204.15 0 Apr/06/15 Apr/10/15
Description: NSK ELA-B014CF3-02 2-Axis Servo Driver AMAT 0190-02472 Used Working
usedeqsales Used - $2,504.15 0 Apr/06/15 May/01/15
Description: Sinfornia Technology SELOP12F25-S7A0110 Load Port AMAT 0190-37428 Used Working
usedeqsales Used - $3,204.15 0 Apr/06/15 Apr/10/15
Description: NSK ELA-B014CFD-03 2-Axis Servo Driver AMAT 0190-02472 Used Working
usedeqsales Used - $2,504.15 1 Apr/06/15 Apr/08/15
Description: AMAT Applied Materials 0010-20524 RF Match PVD Phase IV 200mm Used Working
usedeqsales Used - $6,504.15 0 Apr/06/15 Apr/09/15
Description: GMW-25Z ENI GMW25Z-2D0F2N0-001 RF Generator AMAT 0190-25533 Used Tested Working
usedeqsales Used - $5,004.15 0 Apr/07/15 Apr/30/15
Description: AMAT Applied Materials 0010-19899 Robot UPPER ASSEMBLY WAIST FIXED WING USED
usedeqsales Used - $3,004.15 1 Apr/07/15 May/01/15
Description: RadiSys 61-0880-40 Single Board Computer PCB PFS-003-02 AMAT 0190-00318 Used
usedeqsales Used - $2,504.15 1 Apr/07/15 Apr/08/15
Description: OMS Oregon Micro Systems SPC0005 Intelligent Motor Control AMAT 0190-76005 Used
usedeqsales Used - $5,004.15 0 Apr/07/15 Apr/30/15
Description: AMAT Applied Materials 0010-19900 Robot UPPER ASSEMBLY WAIST FIXED WING USED
usedeqsales Used - $6,004.15 0 Apr/07/15 Apr/30/15
Description: AMAT Applied Materials 0010-19899 Robot UPPER WAIST FIXED WING With Shaft USED
usedeqsales Used - $4,504.15 0 Apr/07/15 Apr/30/15
Description: AE Advanced Energy 3155086-102 Dome RF Network AMAT 1110-01063 Used Working
usedeqsales Used - $5,004.15 0 Apr/07/15 Apr/30/15
Description: AMAT Applied Materials 0010-19900 Copper LOWER ASSEMBLY WING FIXED WAIST Used
usedeqsales Used - $1,204.15 0 Apr/07/15 May/04/15
Description: Deublin 20003-100 Rotory Union AMAT 0760-01037 Used Working
usedeqsales Used - $3,852.91 0 Apr/07/15 Apr/20/15
Description: ASTRONi MKS Instruments AX7670-(16)19 Plasma Source AMAT 0190-26744 Used Tested
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Aera FC-7820C Mass Flow Controller AMAT 3030-12500 40 SLM NH3 Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-12502 15 SLM Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-01594 10 SLM Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Stober P721SPZ0100MT Precision Gearhead ServoFit AMAT 3970-00030 Used Working
usedeqsales Used - $2,204.15 0 Apr/08/15 May/01/15
Description: Kollmorgen D101B-93-1210-014 Direct Drive Rotary Motor AMAT 0190-11332 Used
keykorea Used - $3,000.00 3 Apr/09/15 Dec/09/15
Description: AMAT 0100-77017 ASSY, LOWER PNEUM CNTRLR , USED
usedeqsales Used - $1,204.15 0 Apr/09/15 May/04/15
Description: NSK M-RS0810FN544 Megatorque Motor AMAT 0190-14344 Used Working
usedeqsales Used - $804.15 0 Apr/09/15 May/04/15
Description: Spellman X2784 Power Supply Rev. 04 AMAT 0190-07247 Used Working
usedeqsales Used - $16,004.20 0 Apr/09/15 Apr/30/15
Description: ETO 80-509-UW RF Generator Rack A AMAT 0190-18181 Used Working
used1eqsales Used - $804.15 0 Apr/09/15 May/26/17
Description: AMAT 0010-25341 CPI-VMO Chamber 1 used for parts sold as is
usedeqsales Used - $454.15 0 Apr/09/15 May/05/15
Description: Anchor Fluid Power LV2BS#24-2T-MH Ball Valve AMAT 3870-06965 Lot of 4 Used
usedeqsales Used - $254.15 0 Apr/10/15 May/04/15
Description: Celerity AARGDD0W1 Mass Flow Controller AMAT 0190-19978 1000 SCCM Ar Used
usedeqsales Used - $254.15 0 Apr/10/15 May/04/15
Description: Celerity AAVGDD0W1 Mass Flow Controller AMAT 0190-33088 5000 SCCM NH3 Used
usedeqsales Used - $254.15 0 Apr/10/15 May/04/15
Description: Celerity AATGDD0W1 Mass Flow Controller AMAT 0190-33087 2000 SCCM NH3 Used
usedeqsales Used - $254.15 0 Apr/10/15 May/04/15
Description: Celerity AALGD40W1 Mass Flow Controller AMAT 0190-19974 34 SCCM N2 Used Working
usedeqsales Used - $254.15 0 Apr/10/15 May/04/15
Description: Celerity AASGDD0W1 Mass Flow Controller AMAT 0190-33091 3000 SCCM HE Used
desert_dweller77 Used - $500.00 0 Apr/11/15 Apr/21/15
Description: RF Match PVD 0010-12112 AMAT Used
usedeqsales Used - $254.15 0 Apr/13/15 May/04/15
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-08691 300SCCM S1H4 Used
usedeqsales Used - $254.15 0 Apr/13/15 May/04/15
Description: Aera FC-980C Mass Flow Controller AMAT 3030-06282 5 SCCM N2 Used
usedeqsales Used - $254.15 0 Apr/13/15 May/04/15
Description: Aera FC-980C Mass Flow Controller AMAT 3030-06282 5 SCCM N2 Used
usedeqsales Used - $254.15 0 Apr/13/15 May/04/15
Description: CELERITY AARGDD0W1 Mass Flow Controller AMAT 0190-33083 1000SCCM Ar Used
usedeqsales Used - $252.14 0 Apr/13/15 May/04/15
Description: Tylan FC-2961MEP5 Mass Flow Controller AMAT 0225-16006 Used Working
usedeqsales Used - $1,809.13 0 Apr/13/15 Apr/30/15
Description: Tylan FC-2961MEP5 Mass Flow Controller AMAT 0225-16006 Lot of 4 Used Working
usedeqsales Used - $509.13 0 Apr/13/15 May/04/15
Description: Tylan FC-2951MEP5 Mass Flow Controller AMAT 3030-07210 Lot of 2 Used Working
usedeqsales Used - $5,502.15 0 Apr/13/15 Apr/30/15
Description: CTI-Cryogenics 0190-25015 Cryopump On-Board IS-8F AMAT Used Tested Working
usedeqsales Used - $854.15 1 Apr/14/15 Oct/28/15
Description: Novellus 02-169180-02 Linear Track T730-042E59 Copper Exposed Used Working
usedeqsales Used - $2,504.15 1 Apr/14/15 Sep/07/15
Description: Novellus 61-306478-00 XPCR Spindle Assembly YSC-02D04B02 Used Working
usedeqsales Used - $4,503.15 0 Apr/15/15 Apr/30/15
Description: PDX 900-2V AE Advanced Energy 3156024-030 RF Generator AMAT 0920-01030 Used
usedeqsales Used - $3,504.15 0 Apr/16/15 May/01/15
Description: TDK-Lambda 00452240 EMAG Power Supply 452-240 AMAT 0190-38846 Used Working
usedeqsales Used - $2,004.15 0 Apr/17/15 Apr/30/15
Description: RF Power 7510313018 Power Generator AMAT 0920-01047 Used Tested Working
usedeqsales Used - $3,505.14 0 Apr/17/15 Apr/30/15
Description: AE Advanced Energy 3155169-009 RF Match Navigator Z'Scan AMAT 0190-23122 Used
instechlab Used - $500.00 0 Apr/19/15 May/19/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $3,204.15 0 Apr/20/15 Apr/30/15
Description: NSK ELA-B014CFC-03 2-Axis Servo Driver AMAT 0190-03543 Used Working
usedeqsales Used - $454.15 0 Apr/22/15 Feb/15/21
Description: AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X Used Working
sunny_technology Used - $2,000.00 0 Apr/22/15 May/22/15
Description: AMAT 0015-09091 LAMP Driver Assy, USED
sunny_technology Used - $2,000.00 0 Apr/22/15 May/22/15
Description: AMAT 0190-70060 Magnet Drive Assy, USED
usedeqsales Used - $2,004.15 0 Apr/17/15 Apr/30/15
Description: RFPP RF Generator 3150004-027 RF5-S Used Tested Working
yericomfg Used - $1,000.00 0 Apr/23/15 Jun/15/16
Description: Lam Research RF Match Network Poly Etch Autotuner 832-038915-001, Used As-Is
usedeqsales Used - $504.15 1 Apr/23/15 Apr/24/15
Description: AMAT Applied Materials 0010-77680 SRD Lower Electronic Box SMC Used Working
usedeqsales Used - $902.12 4 Feb/29/12 Feb/16/18
Description: LAM Research 853-012200-002-G-230S Inner Gate Valve Drive 4420 Used Working
farmoninc Used - $2,500.00 0 Jun/28/11 Feb/10/22
Description: LAM 853-015130-002-P RF match, used
keykorea Used - $150.00 1 Jan/04/15 Apr/23/15
Description: Channel Well Technology PSG350P-80 AC I/P 100-240Vac 6.3 A 50/60HZ , USED
keykorea Used - $1,000.00 0 Apr/24/15 Nov/20/15
Description: AMAT 1350-00045 XDCR PRESS 0-145PSIG 3/4"FLARE 1-5VDC PF , USED
desert_dweller77 Used - $500.00 0 Apr/25/15 May/05/15
Description: RF Match PVD 0010-12112 AMAT Used
sunny_technology Used - $2,000.00 0 Apr/25/15 May/25/15
Description: AMAT 0010-09416 RF MATCH PHASE IV P5000 , USED
mustangfamily12 Used - $125.00 0 Apr/26/15 May/26/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
rfsurplus123 Used - $700.00 0 Apr/27/15 Nov/23/15
Description: AMAT 0010-09001 SYSTEMS ELECTRONICS ASSY , USED
usedeqsales Used - $8,504.15 0 Apr/27/15 Apr/27/15
Description: MDX-L12M AE Advanced Energy 3152313-001A AMAT 0190-76008 Used Tested Working
usedeqsales Used - $304.15 2 Apr/28/15 Apr/30/15
Description: AMAT Applied Materials 0110-18062 Connector Board PCB Assembly Used Working
used1eqsales Used - $317.32 1 Apr/29/15 Jul/15/15
Description: AMAT Gap Servo 0100-00991 PCB AMAT Quantum X Beamline Control Rack used working
used1eqsales Used - $675.14 0 Apr/29/15 Apr/30/15
Description: AMAT Gap Servo 0100-00991 PCB AMAT Quantum X Beamline Control Rack used working
used1eqsales Used - $1,252.14 6 Apr/30/15 Mar/04/17
Description: AMAT 9010-01378 Celerity Gas Card BF3 Quantum X Gas Box used working
used1eqsales Used - $1,128.21 1 Apr/30/15 May/29/15
Description: HiTek 1140-00166 Power Supply A1028760 AMAT Quantum X used working
trees_for_a_better_tomorrow Used - $500.00 0 May/01/15 May/08/15
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
usedeqsales Used - $3,509.13 2 May/01/15 Jun/13/16
Description: IPUP A100L Alcatel A100 Dry Vacuum Pump AMAT 0190-01042 Used Working
abelet Used - $899.00 2 May/01/15 May/18/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum X used working
usedeqsales Used - $2,705.15 1 May/01/15 May/18/15
Description: CX-1250S Comdel FP3212RB RF Generator AMAT 0190-09843 Used Tested Working
keykorea Used - $600.00 1 May/01/15 Jun/23/16
Description: AMAT 0190-77395 MANIFOLD, BLEED VALVE, MEG LDM , USED
usedeqsales Used - $255.15 0 May/01/15 Sep/18/15
Description: UNIT Instruments UFC-1660 Mass Flow Controller 3.5 SLM He AMAT 3030-02615 Used
usedeqsales Used - $255.15 0 May/01/15 Sep/18/15
Description: UNIT Instruments UFC-1660 Mass Flow Controller 500 SCCM N2 AMAT 3030-01878 Used
supertechshop Used - $199.00 0 May/02/15 Jun/01/15
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $905.15 1 May/04/15 Nov/21/16
Description: Sorensen XHR 7.5-80 SP Power Supply 7.5V 80A AMAT 1140-00600 Used Tested Working
usedeqsales Used - $905.15 0 May/04/15 Jan/22/16
Description: Xantrex XHR 7.5-80 DC Power Supply 7.5V 80A AMAT 1140-00154 Used Tested Working
usedeqsales Scrap, for parts - $2,305.15 1 May/05/15 May/31/18
Description: ADTEC AX-2000III RF Plasma Generator Novellus 27-307431-00 Used Tested As-Is
usedeqsales Used - $216.26 2 May/05/15 Mar/16/18
Description: Lam Research 713-013220-001 Outer Gate Entrance Safety Cover Rainbow 4420 Used
usedeqsales Used - $20,005.20 1 May/05/15 Dec/09/15
Description: Endura 300MM PC Chamber Assembly AMAT 0010-04832 Used As-Is
usedeqsales Used - $2,505.15 1 May/05/15 May/03/17
Description: GHW-12Z ENI GHW12Z-13DF2N0-001 RF Generator Rev. M AMAT 0190-09832 Used Tested
usedeqsales Used - $1,216.26 0 May/05/15 Dec/01/15
Description: Lam Research 713-011205-001 180 Degree Loadlock Cover Rev. F Rainbow 4420 Used
desert_dweller77 Used - $500.00 0 May/07/15 May/17/15
Description: RF Match PVD 0010-12112 AMAT Used
usedeqsales Used - $3,805.15 1 May/07/15 Sep/01/17
Description: ADTEC AX-2000III RF Plasma Generator Novellus 27-307431-00 Used Tested Working
keykorea Used - $600.00 0 May/10/15 Apr/12/18
Description: AMAT 0090-77291 ASSY, CHEM 1 PRESSURE SWITCH, MEG LDM, USED
used1eqsales Used - $3,005.15 0 May/11/15 Dec/10/16
Description: AMAT 0180-76109 300mm Mainframe AC Centura Panel Missing used working
used1eqsales Used - $3,005.15 0 May/11/15 Dec/10/16
Description: AMAT 0180-76109 300mm Mainframe AC AMAT Centura used working
used1eqsales Used - $4,005.15 1 May/12/15 May/13/15
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura AMAT used sold as is
usedeqsales Used - $255.15 2 May/12/15 Jul/22/21
Description: AMAT Applied Materials 0010-09340 CVD Susceptor Lift Used As-Is
usedeqsales Used - $408.12 1 May/13/15 Apr/23/18
Description: Watlow D880-0000-1000 Temperature Controller D8 AMAT 0190-32384 Used Working
usedeqsales Used - $1,505.15 0 May/13/15 Dec/09/15
Description: AMAT Applied Materials 0100-00980 Gas and Oven Motherboard 0100-00981 XR80 Used
usedeqsales Used - $505.15 0 May/13/15 Nov/15/16
Description: AMAT Applied Materials 9090-00314 daq MkII type H Used Working
used1eqsales Used - $4,005.15 1 May/14/15 May/14/15
Description: Applied Materials 0021-13154 Chamber 3 Gas Box Centura P500 AMAT used sold as i
usedeqsales Used - $605.15 1 May/14/15 Mar/22/22
Description: Lam Research 810-017004-001 Solenoid Interlock Board Rev. G Used Working
usedeqsales Used - $305.15 1 May/15/15 Oct/19/16
Description: Nor-Cal 3870-01455 Pneumatic Angle Isolation Valve AMAT Used Working
usedeqsales Used - $305.15 0 May/15/15 Nov/17/15
Description: Nor-Cal 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
usedeqsales Used - $205.15 1 May/15/15 Nov/08/22
Description: AMAT Applied Materials 0100-09058 Operator Control Panel Board PCB Rev. G Used
usedeqsales Used - $505.15 1 May/15/15 Sep/24/20
Description: AMAT Applied Materials 0100-76088 Centerfinder Sensor Board 5 6 8 Inch PCB Used
usedeqsales Used - $1,805.15 1 May/15/15 Apr/19/18
Description: Lam 4400, Lower Elc Assy 715-011630-001 Rev. E Used Working
used1eqsales Used - $2,900.00 0 May/15/15 Nov/21/17
Description: Brooks 013096-336-20 Load Port Fixload 6 Novellus 63-292227-52 no I/F PCB used
usedeqsales Used - $405.15 4 May/18/15 Aug/09/16
Description: AMAT Applied Materials 0100-09162 Manometer Select Assembly Rev. A Used Working
desert_dweller77 Used - $500.00 1 May/18/15 May/19/15
Description: RF Match PVD 0010-12112 AMAT Used
usedeqsales Used - $305.15 6 May/18/15 Mar/25/18
Description: AMAT Applied Materials 0020-09911 Sputter Carrier Used Working
usedeqsales Used - $705.15 1 May/18/15 Dec/22/16
Description: AMAT Applied Materials 0100-91055 Gap Servo PCB Card Used Working
usedeqsales Used - $605.15 0 May/18/15 Jul/28/21
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. F Used Working
usedeqsales Used - $205.15 0 May/18/15 Nov/14/15
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. E Used Working
usedeqsales Used - $605.15 0 May/18/15 Sep/16/21
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. G Used Working
abelet Used - $899.00 5 May/18/15 May/19/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum X used working
keykorea Used - $79.00 0 May/18/15 Feb/08/18
Description: AMAT 3870-03073 VALVE ASSY SOL 3/2WAY 2MMORF 6106 W/2506 , USED
usedeqsales Used - $1,005.15 5 May/19/15 Sep/20/19
Description: AMAT Applied Materials 0100-09054 Analog Input Board PCB Card Rev. H Used
usedeqsales Used - $1,805.15 1 May/19/15 Apr/14/20
Description: AMAT Applied Materials 0010-09181 DC Power Supply Precision 5000 Used Working
usedeqsales Used - $105.15 1 May/19/15 Jan/17/16
Description: BEI 924-01008-1350 Encoder Motor Lam 765-008372-001 Used Working
abelet Used - $899.00 5 May/19/15 Jul/01/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum X used working
instechlab Used - $300.00 0 May/19/15 Jun/18/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
keykorea Used - $2,000.00 0 May/20/15 Aug/07/15
Description: AMAT 0200-00969 CERAMIQUE PUMPING LINER TEOS/FSG, USED
keykorea Used - $1,500.00 0 May/20/15 Jul/02/15
Description: AMAT 0200-00968 CERAMIQUE ISOLATOR TEOS/FSG, USED
used1eqsales Used - $805.15 1 May/21/15 May/26/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
usedeqsales Used - $155.15 2 May/22/15 May/06/17
Description: COMPACT Q86-951-F1 Cylinder Assembly AMAT 0190-09306 Used Working
sunny_technology Used - $2,000.00 0 May/22/15 Jun/21/15
Description: AMAT 0015-09091 LAMP Driver Assy, USED
keykorea Used - $8,500.00 0 May/24/15 Jul/07/15
Description: AMAT 0010-22641 Titan II profiler head for 200MM MIRRA CMP , USED
sunny_technology Used - $2,000.00 0 May/25/15 Jun/24/15
Description: AMAT 0010-09416 RF MATCH PHASE IV P5000 , USED
used1eqsales Used - $1,505.15 0 May/26/15 May/26/17
Description: Morat 6-60-AG60-AMAT Motorized Tilt Gearbox Lift Assy AMAT 0190-07643 used works
used1eqsales Used - $752.57 0 May/27/15 Sep/30/16
Description: AMAT Endura 300mm 0170-76126 Main AC Unit & 0190-06937 Transformer used working
keykorea Used - $1,119.00 0 May/27/15 Oct/10/18
Description: AMAT 0010-00006 RF RACK Control panel, back mount RF generator rack , USED
used1eqsales Used - $1,005.15 1 May/27/15 Nov/01/15
Description: Lam Research 853-031436-206 Assy Coil Kiyo Ceramic used working
keykorea Used - $2,500.00 0 May/28/15 Aug/24/15
Description: AMAT 0040-78184 COOLING BLOCK QUARTZ LAMP HEATER DRYER , USED
keykorea Used - $2,300.00 0 May/28/15 Aug/24/15
Description: AMAT 0090-77310 ASSY, INFRARED HEATER, USED
keykorea Used - $550.00 1 May/28/15 Jan/16/17
Description: AMAT 0040-77400 DDF3 FRONT HSG , USED
usedeqsales Used - $405.15 0 May/29/15 Apr/21/23
Description: AMAT Applied Materials 0270-03559 Calbration Tool Cooldown/DEGAS 300mm Used
mustangfamily12 Used - $125.00 0 May/29/15 Jun/28/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
used1eqsales NEW - $1,806.15 0 Jun/03/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm cleaned used works
used1eqsales Used - $753.07 0 Jun/03/15 Nov/30/15
Description: Novellus 04-341742-00 Pedestal Kit Welded Flat Preheat 2130 used working
usedeqsales Used - $6,006.15 0 Jun/04/15 Jun/25/15
Description: Kawaski 30C61E-B014 Robot Controller CMP AT Front AMAT 0190-17941 Used Working
used1eqsales Used - $1,606.15 0 Jun/04/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
usedeqsales Used - $7,506.15 0 Jun/04/15 Jun/11/15
Description: Kawasaki 3NS411B-F003 Factory Interface Robot AMAT 0190-16621 Used Working
usedeqsales Used - $7,506.15 0 Jun/04/15 Jun/11/15
Description: Kawasaki 3NS411B-F006 Factory Interface Robot AMAT 0190-34967 Used Working
usedeqsales Used - $7,506.15 0 Jun/05/15 Jun/11/15
Description: Kawasaki 3NS411B-F004 Factory Interface Robot AMAT 0190-29005 Used Working
used1eqsales Used - $1,706.15 0 Jun/05/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Bell Jar 300mm cleaned once used working
used1eqsales Used - $806.15 0 Jun/05/15 Jun/10/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
usedeqsales Used - $7,506.15 0 Jun/05/15 Jun/11/15
Description: Kawasaki 3NS411B-F003 Factory Interface Robot AMAT 0190-16621 Used Working
used1eqsales Used - $1,506.15 0 Jun/05/15 May/26/17
Description: Acrom 7041-64423-004-102 Mobile Desktop missingpanel AMAT 9090-00810 used tested
used1eqsales Used - $1,506.15 0 Jun/05/15 May/26/17
Description: Acrom 7041-64423-004-102 Mobile Desktop Computer AMAT 9090-00810 used tested
used1eqsales Used - $455.54 0 Jun/05/15 May/26/17
Description: Novellus 61-381415-00 Computer Server AFA2 1U used tested works
usedeqsales Used - $3,854.31 1 Jun/05/15 Oct/12/15
Description: CTI-Cryogenics 0190-19389 Cryopump On-Board IS-8F Amat Used Tested Working
used1eqsales Used - $506.15 0 Jun/09/15 May/26/17
Description: AMAT 0020-52929 Graphite G3 Holder used working
used1eqsales Used - $1,206.15 1 Jun/10/15 Jun/23/15
Description: Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-V1 AMAT 0190-08245 used working
keykorea Used - $1,900.00 0 Jun/10/15 Jul/16/15
Description: AMAT 0010-77345 ASSY PED VAC AND PURGE REGULATORS , USED
keykorea Used - $550.00 3 Jun/10/15 Sep/22/15
Description: AMAT 0100-77040 ASSY, PCB, TITAN HEAD PNUEUMATICS , USED
keykorea Used - $500.00 0 Jun/10/15 Dec/07/15
Description: AMAT 0100-00003 VME STEPPER CONTROLLER , USED
used1eqsales Used - $3,506.15 1 Jun/11/15 Sep/25/15
Description: Brooks ESC-218BT-FWS Wet Robot Controller AMAT 0190-08247 Rev 001 used working
used1eqsales Used - $1,577.77 1 Jun/11/15 Aug/06/15
Description: Brooks ESC-218BT-FWS Wet Robot Controller w/ Cables AMAT 0190-08247 Rev 001 used
usedeqsales Used - $504.56 1 Jun/15/15 Dec/12/18
Description: Lam Research 853-011661-001-H Pedestal Cylinder Lift 4420 853-011632-001 Used
usedeqsales Used - $1,006.15 1 Jun/15/15 Jan/29/18
Description: AMAT Applied Materials 0040-99913 HD PFS Assembly Plasma Flood System Used
usedeqsales Used - $856.15 4 Jun/15/15 Mar/01/16
Description: HVA 21251-1003Z-001 Pneumatic 10 inch Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $1,006.15 0 Jun/16/15 Apr/21/23
Description: AMAT Applied Materials 0040-80228 QX Source Chamber 0040-80232 002-86470 Used
grandbirdnet Used - $3,000.00 1 Jun/16/15 Nov/25/22
Description: AMAT 0010-07620 ASSEMBLY, ISCAN MODULE , USED
keykorea Used - $3,500.00 0 Jun/16/15 Jul/19/16
Description: AMAT 0040-77083 UPPER PLATEN,TEMP CNTROLD , USED
usedeqsales Used - $2,006.15 1 Jun/17/15 Oct/29/15
Description: STL Transformer 1360-90103 AMAT Applied Materials XR80 Transformer Used Working
usedeqsales Used - $303.07 4 Jun/16/15 Sep/15/16
Description: MKS Instruments 627B12TBC1B Baratron AMAT 1350-00128 Used Tested Working
used1eqsales Used - $1,503.15 1 Apr/02/15 Jun/18/15
Description: AMAT 0010-17868 Hercules Lift 250 lbs Capacity used working
usedeqsales Used - $3,502.15 1 Feb/18/15 Jun/17/15
Description: AE Advanced Energy 3150273-004 Mercury 10013 RF Match 27-256558-00 Used Working
instechlab Used - $300.00 0 Jun/18/15 Jul/18/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $6,006.15 0 Jun/19/15 Jun/22/15
Description: Lam Research 853-025735-001 Autotune Match Stripper 9600 DSQ Match RF Used
surplusseller13 Used - $199.99 1 Jun/20/15 Nov/20/16
Description: AMAT 0190-26328 Hot Ion/Pirani Gauge Used
sunny_technology Used - $2,000.00 0 Jun/21/15 Jul/21/15
Description: AMAT 0015-09091 LAMP Driver Assy, USED
usedeqsales Used - $3,506.15 0 Jun/23/15 Nov/28/16
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 300mm Endura Used Working
solutions-on-silicon Used - $5,950.00 0 Jun/24/15 Dec/22/15
Description: LAM Rainbow 799-110332-001 Assyst smif indexer (send/recieve) 6" 150mm (used)
solutions-on-silicon Used - $5,950.00 0 Jun/24/15 Dec/18/15
Description: LAM Rainbow 799-110333-004 Assyst smif indexer (recieve) 8" 200mm (used)
solutions-on-silicon Scrap, for parts - $1,850.00 1 Jun/24/15 Aug/07/15
Description: AMAT 0010-09335 Lamp Module Assembly, 6 in. TEOS CVD P5000 (USED)
used1eqsales Used - $406.15 0 Jun/25/15 Nov/22/15
Description: Festo 13015611 Megasonic Box 300mm AMAT 0010-05311 Used Working
mustangfamily12 Used - $125.00 0 Jun/29/15 Jul/29/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
rat762 Used - $4,000.00 1 Jun/30/15 Jul/01/15
Description: RADISYS/AMAT 0660-00032 PENTIUM 400MHZ 128MB RAM, CMP VME SBC, USED
usedeqsales Used - $306.15 1 Jun/30/15 Jul/03/15
Description: NSK FHT11 Handy Terminal AMAT 0650-01068 CMPTR Used Working
usedeqsales Used - $207.15 0 Jul/01/15 Oct/13/23
Description: AMAT Applied Materials 0270-05028 Wafer Calibration Tool LCF Used Working
usedeqsales Used - $6,507.15 1 Jul/01/15 May/07/16
Description: CTI-Cryogenics IS-1000 Compressor LV AMAT 3620-00317 Used Tested Working
usedeqsales Used - $7,007.15 2 Jul/02/15 Apr/09/18
Description: CTI-Cryogenics 0190-19395 IS-1000 Compressor HV AMAT Used Tested Working
rat762 Used - $4,000.00 1 Jul/02/15 Jul/07/15
Description: RADISYS/APPLIED MATERIALS 0660-00032 PENTIUM 400MHZ 128MB RAM, CMP VME SBC, USED
grandbirdnet Used - $1,900.00 1 Jul/05/15 May/06/17
Description: AMAT 0090-03902 ASSY, CL7 PCB, 850MHZ 512MB SDRAM W/HDD , USED
usedeqsales Used - $705.01 2 Jul/06/15 Oct/28/15
Description: MKS Instruments 20704A Process Sense AMAT 0190-14960 Used Working
usedeqsales Used - $507.15 1 Jul/06/15 Dec/22/16
Description: AMAT Applied Materials 0100-35273 TEOS Temperature Control Box PCB Used
usedeqsales Used - $107.15 0 Jul/07/15 Nov/04/15
Description: AMAT Applied Materials 0020-28668 SWILL Poppet Valve 0020-28669 Used Working
usedeqsales Used - $307.15 0 Jul/08/15 Nov/05/15
Description: AMAT Applied Materials 0021-70063 300MM Slit Valve Pivot 0040-41893 Endura Used
usedeqsales Used - $4,007.15 0 Jul/08/15 Jul/22/15
Description: MDX-L6 AE Advanced Energy 2280 000-D Power Supply AMAT 0190-70085 Used Working
usedeqsales Scrap, for parts - $5,007.15 1 Jul/08/15 Jul/08/15
Description: GMW-25Z ENI GMW25Z-2D0F2N0-001 RF Generator AMAT 0190-25533 Used Tested As-Is
usedeqsales Used - $207.15 1 Jul/08/15 Nov/20/17
Description: AMAT Applied Materials 0040-34330 Insert External Standard 300MM Used Working
usedeqsales Used - $257.15 2 Jul/08/15 Jul/09/15
Description: AMAT Applied Materials 0015-09077 Throttle Valve Assembly Used Working
usedeqsales Used - $257.15 0 Jul/08/15 Apr/27/21
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24835 600cc He Used Working
usedeqsales Used - $257.15 1 Jul/09/15 Nov/30/22
Description: UNIT Instruments UFC-8165 Mass Flow Controller AMAT 3030-10999 50cc Ar Used
usedeqsales Used - $807.15 1 Jul/09/15 Apr/10/18
Description: AMAT Applied Materials 0100-35053 Mainframe Interlocks PCB Card Used Working
usedeqsales Used - $257.15 1 Jul/09/15 Jun/17/17
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24834 1L H2 Used Working
usedeqsales Used - $257.15 1 Jul/09/15 Apr/06/21
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24838 50cc Ar Used Working
usedeqsales Used - $257.15 1 Jul/09/15 Nov/30/22
Description: UNIT Instruments UFC-8165 Mass Flow Controller AMAT 3030-10989 100cc O2 Used
usedeqsales Used - $1,507.15 0 Jul/10/15 Nov/28/16
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 Used Working
usedeqsales Used - $3,407.15 0 Jul/10/15 Dec/07/15
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 No Cover Used Working
keykorea Used - $4,900.00 0 Jul/11/15 Sep/04/15
Description: AMAT 0190-35430 CONTROL,THERMOMETER FBR-OPT,120V,SPEC , USED
usedeqsales Used - $307.15 0 Jul/13/15 Jul/13/15
Description: AMAT Applied Materials 0015-09077 Throttle Valve Driver PK245-02AA Used Working
used1eqsales Used - $6,007.15 0 Jul/14/15 May/26/17
Description: AMAT 0010-10976 Degas Chamber E AMAT 5500 Endura CU Barrier/Seed 300mm used
usedeqsales Used - $207.15 5 Jul/14/15 Apr/05/16
Description: Parker Veriflo 3870-01307 Manual Valve 944MSFSFF AMAT Lot of 2 Used
usedeqsales Used - $157.15 0 Jul/15/15 Apr/02/23
Description: MDC 311032 Pneumatic Angle Valve AV-153-P AMAT 0040-13040 Used Working
keykorea Used - $650.00 0 Jul/15/15 Dec/23/15
Description: AMAT 0190-01759 HEAD SWEEP BALL SCREW & NUT , USED
keykorea Used - $900.00 0 Jul/15/15 Nov/12/15
Description: AMAT 0650-01068 CMPTR PROGRAMMING CONSOLE , USED
instechlab Used - $300.00 0 Jul/18/15 Aug/17/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $907.15 0 Jul/20/15 Nov/17/15
Description: Xantrex XHR 7.5-80 Power Supply 0-7.5V 0-80A AMAT 1140-00057 Used Tested Working
usedeqsales Used - $307.15 1 Jul/20/15 Mar/15/17
Description: AMAT Applied Materials 0050-90658 ISO160 F to ISO160 K Flange Used Working
usedeqsales Used - $2,105.01 0 Jul/20/15 Oct/26/15
Description: ACT 1300 M Alcatel 105371 Turbopump Controller AMAT 3930-01149 Used Tested
usedeqsales Used - $107.15 0 Jul/27/15 Nov/24/15
Description: Fujikin 038838 Pneumatic Diaphragm Valve AMAT 3870-07767 Lot of 2 Used
usedeqsales Used - $157.15 1 Jul/27/15 Aug/27/16
Description: MKS Instruments 852B61PCH2GD Baratron Transducer AMAT 1350-01176 Lot of 2 Used
usedeqsales Used - $157.15 2 Jul/27/15 Nov/05/15
Description: MKS Instruments 852B-13384 Baratron Transducer AMAT 1350-01200 Lot of 2 Used
usedeqsales Used - $357.15 3 Jul/27/15 Oct/12/15
Description: MKS Instruments 852B-13384 Baratron AMAT 1350-01200 Reseller Lot of 5 Used
usedeqsales Used - $1,007.15 0 Jul/29/15 Nov/26/15
Description: AMAT Applied Materials 0010-18132 HDP-CVD RPSC Applicator 5200 0040-18094 Used
usedeqsales Used - $1,007.15 0 Jul/29/15 Dec/08/20
Description: AMAT Applied Materials 0010-02146 HDP-CVD RPSC Applicator 5200 CVD Used Working
keykorea Used - $8,500.00 0 Jul/29/15 Dec/04/16
Description: AMAT 0010-24500 HEAD ASSY, 200MM TITAN PROFILER MIRRA CMP , USED
trees_for_a_better_tomorrow Used - $50.00 0 Jul/30/15 Aug/06/15
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
trees_for_a_better_tomorrow Used - $100.00 0 Jul/30/15 Aug/06/15
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
trees_for_a_better_tomorrow Used - $50.00 0 Jul/30/15 Aug/06/15
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
trees_for_a_better_tomorrow Used - $50.00 0 Jul/30/15 Aug/06/15
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
mustangfamily12 Used - $125.00 0 Jul/31/15 Aug/30/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
eastsemi Used - $2,600.00 0 Aug/01/15 Oct/30/15
Description: AMAT 0620-02770 cable ,OEM Used
usedeqsales Used - $107.15 0 Aug/03/15 Nov/01/15
Description: AMAT Applied Materials 0190-07679 Control Station Interface Specification Used
usedeqsales Used - $128.57 0 Aug/03/15 Dec/01/15
Description: MKS Instruments 640A13TW2M52T Pressure Controller AMAT 0190-19522 Used Working
usedeqsales Used - $257.15 1 Aug/04/15 Sep/18/17
Description: Entegris 6500-T4-F02-XXX-M-P2-U1-M37 NT Flow Controller AMAT 0090-04810 Used
usedeqsales Used - $257.15 1 Aug/04/15 Jul/19/18
Description: Vicor MP6-77533 Power Supply PFC MegaPAC AMAT 1140-00344 Used Tested Working
usedeqsales Used - $807.15 1 Aug/04/15 Oct/04/18
Description: AMAT Applied Materials 0041-12192 Reflector Plate Used Working
usedeqsales Used - $807.15 1 Aug/04/15 Sep/13/15
Description: Novellus 15-290999-00 300mm Shuttle 15-297103-00 Used Working
electronicpals Used - $39.99 0 Aug/07/15 Sep/06/15
Description: 833-800999-067 Rev. A 2J2A Lam Research Patch Cable Lot Of 3 Used
solutions-on-silicon Refurbished - $13,500.00 0 Aug/10/15 Aug/29/16
Description: LAM Rainbow 853-096430-002 ep1000 computer cmptr nnep/epp 110/240v (used)
keykorea Used - $700.00 0 Aug/10/15 Nov/08/15
Description: AMAT 0620-01000 CABLE ASSY PWR, HIGH CAPACITY HEAT EXCHANGER, CLEANER , USED
keykorea Used - $600.00 0 Aug/10/15 Apr/11/18
Description: AMAT 0620-01630 CABLE ASSY SNSR, HEAT EXCHANGER, CLEANER, 6METER , USED
solutions-on-silicon Used - $2,250.00 1 Aug/11/15 May/26/16
Description: 0040-21289 ADAPTER 16" CHAM DURASOURCE TTN (used)
usedeqsales Used - $1,507.15 1 Aug/11/15 Dec/03/15
Description: HiTek A1028760 Power Supply AMAT 1140-00161 Used Working
usedeqsales Used - $407.15 0 Aug/11/15 Dec/09/15
Description: Millipore MDVX-018S01 Throttle Valve Lam 853-013541-002 Rainbow 4420 Copper Used
usedeqsales Used - $307.15 1 Aug/12/15 Jun/07/17
Description: Norgren VM10AMATACP161 16-Port Pneumatic Manifold AMAT 0190-24983 Used Working
usedeqsales Used - $157.15 1 Aug/12/15 Aug/30/17
Description: MKS Instruments CDN127-7 Peripheral Device Adapter AMAT 0190-19018 Used Working
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01413 (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01412 (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01411 (used)
arizindo Used - $45.00 0 Aug/17/15 Nov/15/15
Description: APPLIED MATERIALS Daughter Board 0660-01410 (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01397 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01396 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01392 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01195 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01194 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01193 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01192 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01190 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01189 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01188 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01187 CARD (used)
arizindo Used - $45.00 0 Aug/17/15 Feb/25/24
Description: APPLIED MATERIALS Daughter Board 0660-01186 CARD (used)
usedeqsales Used - $157.15 1 Aug/17/15 Oct/12/15
Description: MKS Instruments AS00107-04 MicroNode DeviceNet AMAT 0190-19919 Used Working
instechlab Used - $200.00 0 Aug/17/15 Mar/29/16
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
used1eqsales Used - $5,008.15 0 Aug/18/15 Nov/16/15
Description: AMAT 9090-01158 PSU Chassis Revision A Quantum X 300mm used working
used1eqsales Used - $1,508.15 5 Aug/18/15 Jun/20/16
Description: HiTek 1140-00166 Power Supply A1028760 AMAT Quantum X used working
used1eqsales Used - $858.15 4 Aug/18/15 Aug/23/15
Description: Xantrex XHR 600-2 Programmable DC Power Supply AMAT 1140-00152 used as is
used1eqsales Used - $1,009.15 5 Aug/18/15 Sep/08/16
Description: Xantrex XHR 7.5-80 Programmable DC Power Supply AMAT 1140-00154 used working
used1eqsales Used - $754.07 2 Aug/19/15 Dec/02/15
Description: AMAT 9090-01408 Arc Control DSP PCB Revision A Quantum X 300 mm used working
used1eqsales Used - $908.15 1 Aug/19/15 Dec/15/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
usedeqsales Used - $508.15 0 Aug/20/15 Nov/18/15
Description: MEI Motion Engineering T008-2001 eXMP Controller Rev. 4 AMAT 0190-13990 Used
usedeqsales Used - $1,508.15 0 Aug/21/15 Aug/31/23
Description: AMAT Applied Materials 0150-09200 RF Cable 55 Foot 16.7m Used Working
usedeqsales Used - $457.13 0 Aug/24/15 Nov/22/15
Description: AMAT Applied Materials 1350-00255 Pressure Transducer Capacitance Manometer Used
usedeqsales Used - $308.15 0 Aug/25/15 Jan/18/18
Description: DIP 15039103 CDN391 PCB Card DIP-011-148 AMAT 0660-01865 Endura 300mm Used
usedeqsales Used - $308.15 1 Aug/25/15 Feb/08/17
Description: DIP 15039603 CDN396 PCB Card DIP-101-462 AMAT 0190-01270 Endura 300mm Used
usedeqsales Used - $808.15 1 Aug/25/15 Mar/08/18
Description: AMAT Applied Materials 0100-00573 Preclean Chamber Interlock Endura 300mm Used
usedeqsales Used - $604.89 0 Aug/25/15 Dec/05/18
Description: Novellus 02-169194-00 Robot Linear Track UDK5214NW RM26A3S Used Working
usedeqsales Used - $403.12 0 Aug/26/15 Nov/24/15
Description: Applied Materials AMAT XR80 0100-94006 Rev. A Spin Controller Board Used Working
usedeqsales Used - $602.11 0 Aug/26/15 Jan/10/19
Description: Applied Materials AMAT 0100-90890 Spin/Scan INTLK Board Used Working XR80
usedeqsales Used - $1,754.07 0 Aug/26/15 Nov/24/15
Description: AMAT Applied Materials 0240-61428 Quantum Leap Heat Exchanger Used Working
mustangfamily12 Used - $125.00 0 Aug/30/15 Sep/29/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
usedeqsales Used - $2,504.07 0 Sep/02/15 Nov/01/15
Description: Lam Research 852-014681-583 RF Generator Cart OEM-650A Used Working
used1eqsales Used - $2,009.15 0 Sep/02/15 Nov/01/15
Description: Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X used working
liquidelec Used - $3,000.00 0 Sep/02/15 Sep/09/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
usedeqsales Used - $508.15 0 Sep/08/15 Dec/07/15
Description: Lam Research 685-017705-005 AC Control Panel 210-017705-005 Used Working
usedeqsales Used - $258.15 2 Sep/08/15 Oct/20/21
Description: Lam Research 853-017805-045 RF Cable 45 Foot 13 Meter Used Working
grandbirdnet Used - $2,900.00 1 Sep/08/15 Dec/12/16
Description: AMAT 0190-76050 PCB VIDEO CONTROLLER VGA , USED
usedeqsales Used - $1,754.57 0 Sep/09/15 Dec/08/15
Description: Brooks Automation 6-002-0705-SP Wet Robot AMAT 0190-08245 Used Working
liquidelec Used - $2,300.00 0 Sep/09/15 Sep/12/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
usedeqsales Used - $410.15 1 Sep/10/15 Nov/04/15
Description: MKS Instruments 852B12PCJ2GC Baratron Transducer AMAT 1350-01133 Lot of 8 Used
liquidelec Used - $1,500.00 0 Sep/12/15 Sep/15/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
liquidelec Used - $1,200.00 0 Sep/15/15 Sep/16/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
liquidelec Used - $1,000.00 0 Sep/16/15 Sep/19/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
toby_j_c Used - $265.00 0 Sep/18/15 Oct/18/15
Description: AMAT 0660-00669 DISK DRIVE FLOPPY 3-1/2" 720K/1.44MB BE , USED
trees_for_a_better_tomorrow Used - $305.00 0 Sep/19/15 Sep/26/15
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
used1eqsales Used - $504.57 0 Sep/21/15 Nov/20/15
Description: AMAT 9090-00979 Electrode Assembly 3X2H Rev. D AMAT Quantum X used working
used1eqsales Used - $3,509.15 1 Sep/21/15 Apr/14/16
Description: AMAT 0010-14528 Magnetic Source 1 PVD CPI-VMO Endura 404663 300mm used working
liquidelec Used - $900.00 0 Sep/21/15 Sep/24/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
eisale1535 Used - $3,850.00 0 Sep/21/15 Oct/09/16
Description: Yaskawa XU-CN1112A Robot Controller AMAT 0190-15107 Rev 1 Used Working
used1eqsales Used - $609.15 0 Sep/23/15 May/26/17
Description: AMAT 9090-01246 Beam Current Measurement Module RX/TX AMAT Quantum X used works
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
liquidelec Used - $750.00 0 Sep/25/15 Sep/28/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
trees_for_a_better_tomorrow Used - $305.00 0 Sep/26/15 Oct/03/15
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
svcstore Used - $849.99 0 Sep/26/15 Oct/01/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
mustangfamily12 Used - $125.00 0 Sep/29/15 Oct/29/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
liquidelec Used - $1,700.00 0 Oct/01/15 Oct/12/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
svcstore Used - $849.99 0 Oct/01/15 Oct/06/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $1,005.07 0 Oct/02/15 Aug/24/16
Description: AMAT Applied Materials 0090-91639 ITL XR80 Cryopump Interface Module Used
usedeqsales Used - $1,810.15 1 Oct/02/15 Apr/03/16
Description: AMAT Applied Materials 9090-00186 ITL XR80 Implanter Interface Module Used
usedeqsales Used - $610.15 2 Oct/02/15 May/02/18
Description: MKS Instruments 624B-25050 Baratron AMAT 1350-00236 Used Tested Working
used1eqsales Used - $310.15 0 Oct/05/15 Nov/04/15
Description: AMAT 0020-70497 2-D PSD Sensors and Bracket 0020-70383 used working
usedeqsales Used - $8,010.15 0 Oct/06/15 Oct/15/15
Description: GMW-25Z ENI GMW25Z-2D0F2NT-001 RF Generator AMAT 0190-28806 Used Tested Working
usedeqsales Used - $1,510.15 1 Oct/06/15 Nov/30/15
Description: RadiSys SYS-ZDT-100 System Controller PC Novellus 02-028034-00 Used Working
usedeqsales Used - $2,010.15 0 Oct/06/15 Dec/05/15
Description: AMAT Applied Materials 0090-91927 ITL XR80 Wafer Loader Interface Module Used
usedeqsales Used - $2,010.15 1 Oct/06/15 Jun/08/16
Description: AMAT Applied Materials 9090-00196 ITL XR80 Plasma Interface Module Used Working
used1eqsales Used - $1,710.15 0 Oct/06/15 May/26/17
Description: Morat 6-60-AG60-AMAT Motorized Tilt Gearbox Lift Assy w/ Bracket 0190-07643 used
svcstore Used - $849.99 0 Oct/06/15 Oct/11/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Scrap, for parts - $810.15 0 Oct/07/15 Oct/09/15
Description: AMAT Applied Materials 0195-00223 300mm Radiance AC Distribution Unit Used As-Is
usedeqsales Used - $510.15 0 Oct/07/15 Dec/06/15
Description: Horiba Stec IV-2410AV-03 Injection Valve AMAT 3030-10059 Used Working
usedeqsales Used - $260.15 0 Oct/07/15 Nov/11/20
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $260.15 1 Oct/07/15 Apr/02/21
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-10059 Used Working
used1eqsales Used - $310.15 0 Oct/08/15 Nov/07/15
Description: AMAT 0020-70497 2-D PSD Sensors 0020-53481 Lot of 3 AMAT Quantum X used working
svcstore Used - $849.99 0 Oct/11/15 Oct/16/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
liquidelec Used - $900.00 0 Oct/12/15 Oct/17/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
usedeqsales Used - $1,010.15 0 Oct/12/15 Dec/11/15
Description: AMAT Applied Materials 0010-77681 Pneumatic Manifold Assembly NP420-DN1 Used
usedeqsales Used - $610.15 2 Oct/14/15 Mar/06/17
Description: AMAT Applied Materials 1350-00681 Capacitance Manometer Used Tested Working
used1eqsales Used - $1,510.15 0 Oct/15/15 May/26/17
Description: AMAT 0620-00516 RF Generator to RF Match Cable 149 ft. used working
used1eqsales Used - $810.15 0 Oct/15/15 May/26/17
Description: AMAT 0010-24405 Magnetic Source Rev. 004 0040-84886 used working
usedeqsales Used - $120.15 0 Oct/16/15 Nov/15/15
Description: Edwards C41317000 Right Angle Valve PV25SPKA AMAT 0151-90830 Used Working
svcstore Used - $849.99 0 Oct/16/15 Oct/21/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
liquidelec Used - $575.00 1 Oct/17/15 Oct/17/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 W Navigator Used
electronicpals Used - $39.99 0 Oct/18/15 Nov/16/15
Description: 833-800999-067 Rev. A 2J2A Lam Research Patch Cable Lot Of 3 Used
aspentexas NEW - $399.00 0 Oct/18/15 Nov/18/15
Description: AMAT P5000 CENTURA DXZ HEATER CONNECTOR used on 0150-38915 and others
usedeqsales Used - $4,010.15 1 Oct/20/15 Apr/13/18
Description: Lam Research 11200-3-E-00182139 Harmonic Arm Load Lock 715-012139-001 Used
usedeqsales Used - $4,010.15 1 Oct/20/15 Apr/13/18
Description: Lam Research 11201-1-D-00332136 Harmonic Arm Load Lock 715-011204-001 Used
used1eqsales Used - $1,010.15 3 Oct/21/15 Oct/21/15
Description: NSK SSB014FN532 Motor AMAT 0150-98944 Quantum X used working
used1eqsales Used - $1,604.06 1 Oct/21/15 Jan/20/16
Description: HiTek 9090-00801 Power Supply A1031250 A1030770 AMAT Quantum X used working
used1eqsales Used - $1,010.15 3 Oct/21/15 Oct/21/15
Description: NSK SSB014FN531 Motor AMAT 0150-98943 Quantum X used working
svcstore Used - $849.99 0 Oct/21/15 Oct/26/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $510.15 1 Oct/22/15 Jul/04/17
Description: Lam Research 810-017013-001 Gap Drive Amp Interlock Board PCB Rev. F Used
usedeqsales Used - $810.15 2 Oct/22/15 Aug/10/18
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. C Used Working
usedeqsales Used - $810.15 1 Oct/22/15 Jun/21/18
Description: Lam Research 810-017018-002 Gap Motor Controller Board PCB Rev. J Used Working
usedeqsales Used - $1,010.15 1 Oct/22/15 Feb/24/22
Description: GD California SYS68K/SIO-2 VME PCB Card Lam 810-017038-002 Rev. C Used Working
usedeqsales Used - $810.15 1 Oct/22/15 Dec/01/15
Description: Xycom 71110B-001 PCB Card PMXVME-110 Lam 810-017033-003 Rainbow 4420 Used
caps86 Used - $800.00 1 Oct/22/15 Nov/05/15
Description: Used AMAT 0190-76050 PCB VIDEO CONTROLLER VGA.
neilan1987 Used - $29.99 0 Oct/25/15 Apr/26/17
Description: Applied Materials AMAT 0100-00574 PVD IMP Chamber Interlock Card USED
used1eqsales Used - $710.15 0 Oct/26/15 May/26/17
Description: AMAT 0100-01697 X Sensor PCB REV B Quantum X PRA Rack used working
usedeqsales Used - $810.15 1 Oct/26/15 Jul/08/21
Description: Lam Research 810-017031-003 ADIO A0 PCB Card Rev. 2 Used Working
keykorea Used - $8,900.00 3 Oct/26/15 Dec/05/17
Description: AMAT 0010-33556 HEAD ASSEMBLY, 200MM CONTOUR , USED
svcstore Used - $849.99 0 Oct/26/15 Oct/31/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $1,510.15 1 Oct/27/15 Dec/02/15
Description: Motech 0090-91557 Power Supply PL21/L AMAT Applied Materials XR80 Interface Used
used1eqsales Used - $510.15 5 Oct/27/15 Mar/29/18
Description: AMAT Applied Materials 0100-01109 Local Ground Board PCB Rev. B Quantum X Used
used1eqsales Used - $5,010.15 0 Oct/27/15 Oct/28/15
Description: AMAT 9090-01406 PSU Chassis Rev B Quantum X 300mm used working
usedeqsales Used - $810.15 0 Oct/27/15 Jan/10/19
Description: AMAT Applied Materials 0090-91193 Data Aquisition Inverter XR80 Interface Used
usedeqsales Used - $2,010.15 0 Oct/27/15 Jan/17/17
Description: AMAT Applied Materials 0090-93099 ITL Spin Scan Control XR80 Interface Used
usedeqsales Used - $130.15 0 Oct/27/15 Nov/26/15
Description: Turck VB 804Z-* Junction Box AMAT 0090-03978 Lot of 3 Used Working
used1eqsales Used - $710.15 0 Oct/27/15 Jun/23/16
Description: AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working
usedeqsales Used - $1,510.15 1 Oct/27/15 Jan/09/17
Description: AMAT Applied Materials 9090-00067 ITL Contacter Drive XR80 Interface Used
usedeqsales Used - $1,510.15 1 Oct/27/15 Nov/24/15
Description: Motech Control 0090-91558 Motor Drive Unit MH21/B AMAT XR80 Interface Used
used1eqsales Used - $710.15 0 Oct/27/15 May/26/17
Description: AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working
ab-international Used - $305.00 0 Oct/28/15 Nov/04/15
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
svcstore Used - $849.99 0 Oct/31/15 Nov/05/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
keykorea Used - $7,500.00 1 Oct/30/15 Apr/13/17
Description: AMAT 0190-07390 YASKAWA ROBOT CONTROLLER XU-BCD2011C , USED
keykorea Used - $15,000.00 0 Oct/30/15 Jan/21/16
Description: AMAT 0190-10315 KAWASAKI TRANSFER ROBOT 3NS511C-F001 , USED
keykorea Used - $15,000.00 1 Oct/30/15 Apr/12/17
Description: AMAT 0190-11196 YASKAWA TRANSFER ROBOT XU-RCM6801 with 0190-06804 , USED
svcstore Used - $849.99 0 Nov/05/15 Nov/10/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $1,511.15 0 Nov/06/15 Jul/26/18
Description: Synergy Microsystems 0190-09667 SV21 PCB Card AMAT Precision 5000 Used Working
usedeqsales Used - $211.15 2 Nov/05/15 Nov/14/15
Description: AMAT Applied Materials 0620-02885 RF Cable 10762200 50 Foot Used Working
usedeqsales Used - $161.15 0 Nov/05/15 Dec/05/15
Description: AMAT Applied Materials 0150-13116 Centura Gas Panel PC Power Cable Used Working
usedeqsales Used - $161.15 1 Nov/05/15 Sep/27/17
Description: AMAT Applied Materials 0150-35880 Robot Control Cable Assembly Used Working
usedeqsales Used - $511.15 6 Nov/06/15 Feb/24/23
Description: AMAT Applied Materials 0100-09268 Pneumatic UHP Manifold Assembly P5000 Used
usedeqsales Used - $261.15 2 Nov/06/15 Dec/03/16
Description: AMAT Applied Materials 0100-00014 OPTO Detect PCB Card Precision 5000 Used
usedeqsales Used - $1,811.15 1 Nov/06/15 Nov/12/15
Description: AMAT Applied Materials 0190-76050 VGA Video Controller PCB Precision 5000 Used
usedeqsales Used - $561.15 0 Nov/06/15 Dec/06/15
Description: AMAT Applied Materials 0100-09238 Interlock Matrix Board PCB Precision 5000 Used
usedeqsales Used - $244.46 1 Nov/06/15 Mar/08/23
Description: AMAT Applied Materials 0100-09009 Buffer I/O PCB Card Rev. G Precision 5000 Used
usedeqsales Used - $311.15 2 Nov/06/15 Feb/28/19
Description: AMAT Applied Materials 0100-09056 Intelligent Interface PCB Precision 5000 Used
usedeqsales Used - $311.15 0 Nov/06/15 Jul/28/21
Description: AMAT Applied Materials 0100-00008 TC Gauge P.W.B. PCB Card Precision 5000 Used
usedeqsales Used - $1,211.15 0 Nov/06/15 Nov/09/15
Description: AMAT Applied Materials 0100-20001 System Electronics Board Precision 5000 Used
usedeqsales Used - $761.15 0 Nov/06/15 Dec/06/15
Description: AMAT Applied Materials 0100-20003 Digital I/O PCB Card DI/O Precision 5000 Used
usedeqsales Used - $111.15 0 Nov/06/15 Dec/06/15
Description: AMAT Applied Materials 0150-35488 Monitor Cable Lot of 2 Used Working
usedeqsales Used - $911.15 0 Nov/06/15 Dec/06/15
Description: AMAT Applied Materials 0100-11001 Analog Output PCB Card Precision 5000 Used
usedeqsales Used - $161.15 0 Nov/06/15 Dec/17/19
Description: AMAT Applied Materials 0190-09267 Magnetron Control Cable 55' ASP Used Working
mustangfamily12 Used - $125.00 0 Nov/06/15 Dec/06/15
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
usedeqsales Used - $3,511.15 1 Nov/09/15 Mar/26/18
Description: AMAT Applied Materials 0010-70066 Heat Exchanger AMAT 0 Used Working
usedeqsales Used - $1,511.15 1 Nov/09/15 Jun/22/18
Description: AMAT Applied Materials 0010-09750 Phase II RF Match Precision 5000 Used Working
usedeqsales Used - $3,511.15 1 Nov/09/15 Mar/16/21
Description: AMAT Applied Materials 0010-76015 Precision 5000 Cleanroom 8" Robot Used As-Is
usedeqsales Used - $1,511.15 1 Nov/09/15 Jun/19/18
Description: AMAT Applied Materials 0010-09750 CVD RF Match Precision 5000 Used Working
usedeqsales Used - $461.15 2 Nov/09/15 Oct/11/22
Description: AMAT Applied Materials 0100-09126 Remote Wiring Distribution Panel PCB Used
usedeqsales Used - $461.15 0 Nov/09/15 Jul/13/17
Description: AMAT Applied Materials 0100-09071 SBC I/O Breakout Board PCB Rev. L P5000 Used
usedeqsales Used - $3,211.15 1 Nov/10/15 Dec/27/15
Description: OEM-12B3 ENI OEM-12B3-02 RF Generator AMAT 0190-76028 Used Tested Working
usedeqsales Used - $511.15 1 Nov/10/15 Feb/09/19
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB P5000 Used Working
usedeqsales Used - $2,501.15 1 Nov/10/15 Jul/21/21
Description: Phasetronics P1038A Phase Angle Lamp Drive AMAT 0015-09091 P5000 Used Working
usedeqsales Used - $3,211.15 1 Nov/10/15 Feb/24/16
Description: OEM-12B ENI OEM-12B-02 RF Generator AMAT 0190-70080 Used Tested Working
usedeqsales Used - $511.15 3 Nov/10/15 Aug/06/21
Description: AMAT Applied Materials 0100-09146 Centerfinder Sensor Board PCB P5000 Used
usedeqsales Used - $1,011.15 0 Nov/10/15 Feb/16/16
Description: AMAT Applied Materials 0100-76181 5000 Wiring Distribution Board PCB P5000 Used
usedeqsales Used - $261.15 0 Nov/10/15 Nov/13/15
Description: UNIT Instruments UFC-1660 Mass Flow Controller AMAT 3030-01881 3 SLM N2 Used
usedeqsales Used - $311.15 1 Nov/10/15 Feb/09/19
Description: AMAT Applied Materials 0100-09177 EXT VME AI/O DI/O G.P. PCB Precision 5000 Used
usedeqsales Used - $361.15 0 Nov/10/15 Dec/10/15
Description: AMAT Applied Materials 0100-76000 N2 DryVac Distribution Board PCB Panel Used
usedeqsales Used - $311.15 1 Nov/10/15 Dec/09/22
Description: AMAT Applied Materials 0100-09010 System Electronics Backplane PCB P5000 Used
usedeqsales Used - $211.15 2 Nov/12/15 Mar/31/20
Description: AMAT Applied Materials 0100-09138 Robot Interconnect Board PCB Used Working
svcstore Used - $849.99 0 Nov/12/15 Nov/17/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $261.15 0 Nov/11/15 Dec/11/15
Description: AMAT Applied Materials 0100-09008 Pneumatic Control Board Precision 5000 Used
usedeqsales Used - $261.15 2 Nov/11/15 Sep/22/17
Description: AMAT Applied Materials 0100-09145 RS232 Video Interconnect Board PCB P5000 Used
usedeqsales Used - $211.15 1 Nov/12/15 Aug/18/20
Description: AMAT Applied Materials 0100-09117 DIO Fuse Board PCB Precision 5000 Used Working
usedeqsales Used - $511.15 1 Nov/13/15 May/10/16
Description: AMAT Applied Materials 0020-10758 TC Amplifier Filter 0100-09032 0100-09033 Used
usedeqsales Used - $1,407.80 1 Nov/13/15 Mar/07/23
Description: AMAT Applied Materials 0010-09297 Precision 5000 CVD 15 Volt Power Supply Used
usedeqsales Used - $2,011.15 0 Nov/13/15 Mar/27/19
Description: AMAT Applied Materials 0010-09181 Precision 5000 Platform DC Power Supply Used
usedeqsales Used - $3,011.15 0 Nov/13/15 Sep/16/22
Description: AMAT Applied Materials 0010-76001 Precision 5000 Cleanroom Storage Elevator Used
usedeqsales Used - $3,511.15 0 Nov/13/15 Apr/07/17
Description: AMAT Applied Materials 0223-09246 5000 Cleanroom Cassette Handler Top 8" Used
usedeqsales Used - $211.15 0 Nov/13/15 Sep/20/17
Description: AMAT Applied Materials 0100-70019 Controller Distribution WPS Board Rev. P2 Used
usedeqsales Used - $511.15 1 Nov/16/15 May/10/16
Description: AMAT Applied Materials 0010-09292 TC Amplifier Filter 0100-09032 0100-09033 Used
usedeqsales Used - $1,361.15 0 Nov/16/15 Aug/08/17
Description: AMAT Applied Materials 0020-70254 Interface Loadlock Chamber Precision 5000 Used
usedeqsales Used - $151.15 0 Nov/16/15 Jan/27/22
Description: AMAT Applied Materials 0100-09127 Loader Interconnect Board PCB Used Working
usedeqsales Used - $411.15 1 Nov/16/15 Feb/10/16
Description: GTS Gilbert Technical Services GTS725B Power Supply Novellus 27-307501-00 Used
usedeqsales Used - $161.15 0 Nov/16/15 Jul/01/21
Description: AMAT Applied Materials 0100-09029 Turbo Interconnect PCB Precision 5000 Used
usedeqsales Used - $161.15 1 Nov/16/15 Dec/06/16
Description: SMC CRB80-180 Rotary Actuator AMAT 0020-09292 Precision 5000 Used Working
usedeqsales Used - $5,011.15 1 Nov/17/15 Nov/25/15
Description: AMAT Applied Materials 0010-16986 Electro Static Chuck Pedestal SLT ESC Used
xltechtexas NEW - $399.00 0 Nov/17/15 Dec/17/15
Description: AMAT P5000 CENTURA DXZ HEATER CONNECTOR used on 0150-38915 and others
svcstore Used - $849.99 0 Nov/17/15 Nov/22/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $401.15 1 Nov/18/15 Jun/26/23
Description: AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Rev. B P5000 Used
keykorea Used - $1,800.00 0 Nov/18/15 Dec/01/15
Description: AMAT 3200-01071 RACK ASSY, HALF RACK 1/8 , USED
usedeqsales Used - $131.15 1 Nov/19/15 Dec/23/16
Description: SMC CRB80-180 Rotary Actuator AMAT 0020-09634 Precision 5000 Used Working
usedeqsales Used - $161.15 1 Nov/19/15 Dec/19/17
Description: AMAT Applied Materials 0100-09076 Brake Interconnect PCB Precision 5000 Used
usedeqsales Used - $211.15 0 Nov/19/15 Sep/14/21
Description: AMAT Applied Materials 0100-09012 Power Supply Backplane PCB Precision 5000 Used
surplusseller13 Used - $2,895.45 1 Nov/19/15 Dec/03/15
Description: NSK ELA-B014CFD-03 Robot AC Servo Drive AMAT 0190-02472 Used Working
svcstore Used - $849.99 0 Nov/22/15 Nov/27/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $311.15 0 Nov/23/15 Mar/13/18
Description: Nor-Cal 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
supertechshop Used - $199.95 0 Nov/24/15 Dec/24/15
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $1,811.15 0 Nov/25/15 Nov/30/15
Description: AMAT Applied Materials 0010-76061 HP ENP Transfer Robot Centura RTP Used Working
tdindustrial Used - $129.50 1 Nov/27/15 Nov/27/15
Description: (H5) SMC Shut Actuator NCRB80-180, AMAT 0520-01002, Used with Arm 0020-74771
svcstore Used - $849.99 0 Nov/27/15 Dec/02/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
ab-international Used - $100.00 0 Nov/28/15 Dec/05/15
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
ab-international Used - $100.00 0 Nov/28/15 Dec/05/15
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
ab-international Used - $100.00 0 Nov/28/15 Dec/05/15
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
usedeqsales Used - $261.15 1 Nov/30/15 Dec/13/16
Description: Horiba STEC SEC-4400M Mass Flow Controller AMAT 3030-12516 Used Working
usedeqsales Used - $2,512.15 1 Dec/01/15 Nov/09/20
Description: Lam Research 660-095275-002 E2 4MHz RF Match Biased Used Working
sebasjeon Used - $1,200.00 0 Dec/01/15 Dec/31/15
Description: Ion Gauge Power Supply AMAT 1140-01104 Granville Phillips Used AS-IS
usedeqsales Used - $3,512.15 0 Dec/01/15 Jul/19/17
Description: Lam Research 660-095275-003 4MHz RF Match Biased Used Working
keykorea Used - $2,200.00 2 Dec/02/15 Aug/01/16
Description: AMAT 0190-77393 VESSEL, PRESSURE, 2.4L, TEFLON , USED
usedeqsales Used - $242.15 0 Dec/02/15 Aug/31/21
Description: Watlow ME6A1JP2-TB Cartridge Heater Lam Research 678-061017-001 Used Working
svcstore Used - $849.99 0 Dec/02/15 Dec/07/15
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
ab-international Used - $100.00 0 Dec/06/15 Dec/16/15
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
ab-international Used - $100.00 0 Dec/06/15 Dec/16/15
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
ab-international Used - $100.00 0 Dec/06/15 Dec/16/15
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
usedeqsales Used - $912.15 2 Dec/07/15 Jun/07/16
Description: Advnace Hivolt 1140-90129 Power Supply AMAT 0090-91415ITL HiTek Used Working
used1eqsales Used - $912.15 2 Dec/29/15 Mar/29/18
Description: AMAT 0100-01439 FOLC Loop Controller PCB Quantum X used working
usedeqsales Used - $262.15 0 Dec/28/15 Apr/14/21
Description: AMAT Applied Materials 0010-70321 Slit Valve Actuator Assembly Used
supertechshop Used - $199.95 0 Dec/25/15 Jan/24/16
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
used1eqsales Used - $2,512.15 1 Dec/29/15 Dec/30/15
Description: Pep VM162/172 Single Board Computer w/ IP Module AMAT 0660-00602 used working
usedeqsales Used - $912.15 0 Dec/28/15 Aug/31/21
Description: Lam Research 715-011560-001 Quartz Filler Ring Used Working
usedeqsales Used - $912.15 0 Dec/28/15 Aug/31/21
Description: Lam Research 713-028647-149 Ring 713-28647-149 Used Working
usedeqsales Used - $612.15 0 Dec/28/15 Aug/31/21
Description: Lam Research 713-028318-001 Ceramic Ring 713-28318-1 Used Working
usedeqsales Used - $612.15 0 Dec/17/15 Apr/02/21
Description: Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001 Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-250080-001 150mm Upper Insulator Ring Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-011563-152 Wafer Clamp 716-11563-152 Used Working
usedeqsales Used - $1,512.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 715-011531-008 Anodized Aluminum Electrode Used Working
usedeqsales Used - $612.15 1 Dec/15/15 Mar/07/17
Description: Lam Research 716-011624-001 Lower Electrode Insulator Ring Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-018527-181 Ceramic Ring 716-18527-181 Used Working
usedeqsales Used - $912.00 0 Dec/15/15 Aug/31/21
Description: Lam Research 715-028771-001 Top SLD PL Ring Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-030140-003 Ring Assembly Rev. E2 Used Working
usedeqsales Used - $406.08 1 Dec/28/15 Apr/24/24
Description: Novellus Systems 02-149841-02 C3 Vector 300mm Assembly 15-156474-02 Used
youngauctioneer Used - $149.95 1 Oct/31/14 Dec/23/15
Description: LOT OF 3 HITACHI MBM400HR6G POWER MODULE USED (A04)
aus_ja01 Used - $89.00 1 Dec/24/15 Dec/29/15
Description: USED EATON/CHAR-LYNN 103-1048-010 HYDRAULIC MOTOR, 153 RPM, 370 Cm3/R DC
usedeqsales Used - $406.08 1 Dec/29/15 Apr/25/24
Description: Novellus Systems 02-149841-01N C3 Vector 300mm Assembly 15-156474-01 Used
used1eqsales Used - $484.86 3 Dec/30/15 Jan/12/16
Description: SBS 900-32-029 Single Board Computer AMAT 0190-15591 used working
used1eqsales Used - $457.16 2 Dec/30/15 Mar/29/18
Description: SBS 0390-1239B IP Carrier & Modules cPCI-100-BP AMAT 0190-07848 Used Working
used1eqsales Used - $512.15 0 Dec/30/15 Mar/29/18
Description: DIP 15049105 DeviceNet PCB CDN481 AMAT 0190-08860 Reflexion EFEM used working
used1eqsales Used - $512.15 0 Dec/30/15 May/28/16
Description: SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB AMAT 0190-10156 used working
keykorea Used - $800.00 0 Dec/30/15 May/01/18
Description: AMAT 0020-78959 SHAFT DRIVE WRIST ROBOT , USED
keykorea Used - $1,330.00 1 Dec/30/15 Apr/19/21
Description: AMAT 0010-77071 ASSY,INTERPLATEN CLEANER , USED
sebasjeon Used - $1,000.00 0 Dec/01/15 Dec/31/15
Description: AE Advanced Energy PDX 500 RF Generator AMAT Used AS-IS
keykorea Used - $50.00 1 Dec/18/15 Dec/28/15
Description: Hoffman TFP61UL12 Cooling Fan 115V .36A , USED
eleckp903 Used - $11,500.00 0 Jan/03/16 Feb/02/16
Description: Fanuc A02B-0261-B502 150i-MA Control Serial. E00604001 used
usedeqsales Used - $3,001.16 0 Jan/04/16 Nov/03/16
Description: Hirata HQPLP-2DHP Quarter Panel Load Port Transfer System HQPLP2001 Used Working
used1eqsales Used - $501.16 0 Jan/04/16 Dec/08/17
Description: Hitachi RYX-2 PCB Hitachi M-511E used working
used1eqsales Used - $3,001.16 0 Jan/04/16 Mar/16/16
Description: Nikon TYPE ALL TYPE 4 X Y Z Laser Diode NSR-S204B Main Body Wafer Stage used
jewett4l1x Used - $400.00 0 Jan/04/16 Feb/02/16
Description: MDC LGV-4000V 306005 - GateValve, NW100, HV, Manual, Metric, USED
used1eqsales Used - $851.16 0 Jan/04/16 Jun/02/16
Description: Nikon 4S003-056-1 MCR-DRV PCB 2S003-056-1 KAB11000/3801-0 used working
used1eqsales Used - $1,201.16 1 Jan/04/16 Jan/05/16
Description: Varian E11133001 Gas Card BF3 used working
usedeqsales Used - $6,501.16 1 Jan/04/16 May/20/19
Description: MDX AE 3152194-004 Magnetron Drive 30kW Delta Master Slave Set MRC Eclipse Used
used1eqsales Used - $1,201.16 1 Jan/04/16 Jan/05/16
Description: Varian E11116731 Gas Card Argon used working
used1eqsales Used - $501.16 0 Jan/04/16 May/26/17
Description: Nikon 4S007-787-2A Interface PCB AVIS-I/F2 Nikon NSR-S204B used working
used1eqsales Used - $701.16 0 Jan/04/16 Mar/15/18
Description: Nikon 2S017-450 SW-I/F1 Control Panel PCB 2S701-457 KAB11050/3201A-0 used
usedeqsales Used - $2,100.81 0 Jan/04/16 Apr/02/23
Description: AMAT Applied Materials 9090-00442 Decel PSU Resistor 9010-01409ITL Rev. C Used
bobsgoodies Used - $75.00 0 Jan/06/16 Jan/07/16
Description: AMAT 1080-01142 Motor Chopper Assy Series H 82334.5 CW Crouzet Used in HAMAT4
svcstore Used - $849.99 0 Jan/06/16 Feb/05/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
ab-international Used - $100.00 0 Jan/09/16 Jan/19/16
Description: Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber Board
ab-international Used - $100.00 0 Jan/10/16 Jan/20/16
Description: Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB Rev A
ab-international Used - $100.00 0 Jan/10/16 Jan/20/16
Description: Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB Rev A
helixtek Used - $1,000.00 0 Jan/10/16 Aug/28/16
Description: Applied Materials Mirra CMP 200mm used driven pulley 0040-77170
usedeqsales Used - $501.16 0 Jan/11/16 Dec/08/20
Description: DIP CDN391-20 Digital In/Out PCB Card AMAT Applied Materials 0190-07750 Used
bobsgoodies Used - $75.00 15 Aug/27/14 Jan/06/16
Description: AMAT 1080-01142 Motor Chopper Assy Series H 82334.5 CW Crouzet Used in HAMAT4
used1eqsales Used - $812.15 1 Dec/22/15 Jan/04/16
Description: AMAT 9010-00701 X24C Transformer AMAT Quantum X used working
usedeqsales Used - $708.09 0 Jan/11/16 Jul/20/16
Description: AMAT Applied Materials 0100-00985 HP Gas Interlock PCB Card XR80 Used Working
usedeqsales Used - $2,511.15 0 Jan/11/16 Jun/30/16
Description: OEM-12B3 ENI OEM-12B3-02 RF Generator AMAT 0190-76028 Used Tested Working
usedeqsales Used - $501.16 2 Jan/11/16 Sep/28/16
Description: Iwaki CMD-101 Magnet Pump Baldor Motor 350255R052G1 Novellus 19-116970-00 Used
keykorea Used - $5,500.00 4 Jan/11/16 Jul/09/20
Description: AMAT 0920-00127 ADVANCED ENERGY 3156111-207 A APEX1513 , USED
keykorea Used - $3,500.00 0 Jan/11/16 Jan/09/17
Description: AMAT 0920-00107 ADVANCED ENERGY 3156114-003 A RF GENERATOR , USED
keykorea Used - $5,500.00 0 Jan/12/16 Feb/18/16
Description: AMAT 0920-00139 ADVANCED ENERGY 3156114-006 A APEX3013 , USED
used1eqsales Used - $1,501.16 0 Jan/12/16 Mar/29/18
Description: AMAT 0021-16783 Cover Ring Pentagon Kit Cleaned Cu Exposed Endura used working
ntc_tech Used - $1,000.00 1 Jan/12/16 Jul/27/16
Description: Trust Automation C-2027-D01 2 Axis Vector Controller Novellus 63-370393-00 Used
usedeqsales Used - $151.16 0 Jan/12/16 Jun/10/18
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Board Used Working
usedeqsales Used - $121.16 0 Jan/12/16 Oct/11/18
Description: Sanyo Denki PV2A015SMT1P50-01 Servo Drive AMAT 0190-12138 Used Working
keykorea Used - $5,500.00 1 Jan/12/16 Mar/23/16
Description: LAM RESEARCH 27-373073-00 ADVANCED ENERGY APEX 5513 3156115-251 A , USED
keykorea Used - $5,500.00 1 Jan/12/16 Jul/29/19
Description: LAM RESEARCH 27-346753-00 ADVANCED ENERGY APEX 5513 3156115-207 A , USED
surplusseller13 Used - $2,239.50 1 Jan/12/16 Oct/23/17
Description: AMAT Applied Materials 0010-70264 Endura HP PVD Magnetic Robot Driver Used
used1eqsales Used - $301.16 0 Jan/13/16 Mar/29/18
Description: Novellus 15-032939-00 Ceramic Rings Concept II Altus Lot of 5 used untested
xltechtexas NEW - $359.00 4 Jan/17/16 Jan/25/16
Description: AMAT P5000 CENTURA DXZ HEATER CONNECTOR used on 0150-38915 and others
used1eqsales Used - $151.16 1 Jan/19/16 Apr/18/17
Description: Vicor MP6-76595 Power Supply Megapac AMAT 1140-00342 used untested as-is
keykorea Used - $3,000.00 0 Jan/20/16 May/16/16
Description: AMAT 0100-77017 ASSY, LOWER PNEUM CNTRLR , USED
mustangfamily12 Used - $125.00 0 Jan/20/16 Feb/19/16
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
johpet.e2sud Used - $669.99 1 Jan/21/16 Jan/25/16
Description: APPLIED MATERIALS 0224-43825 SWAGELOK 6LV-D1V222P-BA VALVE BLOCK 3WAY USED
usedeqsales Used - $1,501.16 1 Jan/21/16 May/29/16
Description: Xycom 70110-003 SRAM 512K PCB Card Lam Research 810-017033-003 Used Working
desert_dweller77 Used - $250.00 0 Jan/23/16 Feb/22/16
Description: Horiba STEC Injection Valve IV-2410AV-03 AMAT 3030-10059 Used Great
supertechshop Used - $199.95 0 Jan/25/16 Feb/24/16
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
usedeqsales Used - $1,501.16 1 Jan/25/16 Mar/17/16
Description: AMAT Applied Materials 0100-00372 Seriplex I/O Expansion Card PCB Used Working
bobsgoodies Used - $85.00 5 Jan/25/16 Jan/09/19
Description: AMAT 1080-01142 Motor Chopper Assy Series H 82334.5 CW Crouzet Used in HAMAT4
usedeqsales Used - $1,501.16 1 Jan/25/16 Jan/25/16
Description: RadiSys 067-02113-0004 PIII Motherboard AMAT 0190-11525 PCB Card Used Working
usedeqsales Used - $8,001.16 1 Jan/25/16 Jan/26/16
Description: Synergy V451 SBC PCB VME Card AMAT 0090-76133 Used Working
usedeqsales Used - $400.58 1 Jan/25/16 Apr/18/24
Description: AMAT Applied Materials 0910-00285 Anneal Interlock PCB Card Used Working
usedeqsales Used - $501.16 3 Jan/25/16 Apr/08/18
Description: SBS Technologies CPM1 PMC-Video PCB Card AMAT 0190-07657 Used Working
usedeqsales Used - $601.16 0 Jan/25/16 Feb/13/20
Description: SBS cPCI-100A-BP-S00002B IP-OCTAPLUS-232 PCB Card AMAT 0190-07848 Used Working
usedeqsales Used - $151.16 2 Jan/25/16 Jan/10/19
Description: AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Used Working
usedeqsales Used - $451.16 1 Jan/25/16 Feb/01/17
Description: SBS Technologies CPR03/128-30000 SCB PCB Card AMAT 0190-12218 Used Working
usedeqsales Used - $401.16 0 Jan/25/16 Jun/13/17
Description: DIP DIP-254-025 DeviceNet CDN491 PCB Card AMAT 0190-08860 Used Working
keykorea Used - $3,900.00 1 Jan/26/16 Aug/23/17
Description: AMAT 0190-09939 SPEC CONTROL DRAWING INTELLIGENT MOTOR C , USED
usedeqsales Used - $2,201.16 1 Jan/26/16 Mar/29/18
Description: Kensington 25-4021-0015-04 Servo Positioning Controller AMAT 0190-23563 Used
usedeqsales Used - $301.16 0 Jan/26/16 Sep/08/17
Description: Crystalfontz SKD162-63255 Display PCB Assembly AMAT 0190-14415 Used Working
usedeqsales Used - $601.16 0 Jan/26/16 Nov/14/18
Description: AMAT Applied Materials 0190-14415 Mini Environment Pressure Gauge Display Used
usedeqsales Used - $301.16 1 Jan/26/16 Feb/09/17
Description: Lambda Electronics PDC60-300 Powrer Supply PCB Card AMAT 0190-07661 Used Working
harryha64 Used - $1,200.00 0 Jan/26/16 Feb/25/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
used1eqsales Used - $1,001.16 0 Jan/29/16 May/26/17
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
usedeqsales Scrap, for parts - $25,001.20 1 Jan/29/16 May/11/16
Description: AMAT Applied Materials 0010-29880 Endura 2 Preclean/Anneal Chamber C Used As-Is
usedeqsales Scrap, for parts - $25,001.20 1 Jan/29/16 May/11/16
Description: AMAT Applied Materials 0010-29880 Endura 2 Preclean/Anneal Chamber D Used As-Is
used1eqsales Used - $400.58 0 Jan/29/16 Jun/20/16
Description: AMAT Gap Servo 0100-00991 Circuit Board AMAT Quantum X Beamline Control Box used
used1eqsales Used - $1,501.16 0 Jan/29/16 Feb/04/16
Description: Artesyn 200011-563 6 Power Supply N1204-1XXX 1140-90090 AMAT Quantum X used
beltfed34 Used - $149.00 1 Jan/29/16 Apr/02/16
Description: Used SMC US2825, AMAT 0190-03519 Air Manifold w/Solenoids??? Make Offer!!!!!!
lurchangel Used - $99.95 0 Jan/30/16 Mar/24/17
Description: Lam Research 715-028615-002 8" Upper Baffle Plate Used
used1eqsales Used - $702.16 1 Feb/01/16 Mar/23/16
Description: AMAT 0090-90966 daq Mkll Type B Power Supply PCB used working
used1eqsales Used - $15,002.20 0 Feb/02/16 Feb/04/16
Description: AMAT 0010-24076 Electrostatic Chuck ESC 300mm used working
usedeqsales Used - $652.16 1 Feb/05/16 Feb/14/17
Description: Lam Research 810-017003-004 DIP High Frequency PCB Board 4428b Used Working
usedeqsales Used - $202.16 4 Feb/09/16 Feb/11/16
Description: AMAT Applied Materials 0015-01864 Polishing Head Clamp Lot of 2 Used Working
brookric_sgvsij Used - $699.50 1 Feb/09/16 Feb/10/16
Description: Applied Materials AMAT 0100-11001 0130-110345601 Analog Output Board USED
svcstore Used - $849.99 0 Feb/09/16 Mar/10/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $452.16 1 Feb/11/16 Apr/10/20
Description: MKS Instruments 122B-00100EB-S Baratron AMAT 1350-01027 Used Tested Working
usedeqsales Used - $2,002.16 1 Feb/19/16 May/10/16
Description: STL Transformer 0190-90864 30 kVA Transformer AMAT 0240-91347 ITL Used Working
used1eqsales Used - $252.16 0 Feb/18/16 Mar/28/18
Description: AMAT 0110-01717 Issue B Circuit Board used working
used1eqsales Used - $302.16 0 Feb/22/16 Dec/13/17
Description: AMAT 0100-00925 Process Sensor Issue A VME used working
used1eqsales Used - $480.86 0 Feb/22/16 Mar/15/16
Description: AMAT 0100-01439 Loop Control 0 LCVME-10X used working
desert_dweller77 Used - $250.00 0 Feb/22/16 Mar/23/16
Description: Horiba STEC Injection Valve IV-2410AV-03 AMAT 3030-10059 Used Great
keykorea Used - $4,940.00 0 Feb/23/16 Apr/05/21
Description: AMAT 0190-36523 TEMPERATURE CONTROL THERMOMETER, USED
keykorea Used - $4,500.00 0 Feb/23/16 Mar/09/16
Description: AMAT 0190-36524 TEMPERATURE CONTROL THERMOMETER, 4-CHANN , USED
used1eqsales Used - $1,202.16 0 Feb/23/16 Mar/29/18
Description: AMAT 9090-00785 Electrode Assembly Rev B AMAT Qauntum X used working
visionsemi Used - $60.00 0 Feb/23/16 Jan/18/17
Description: Sanyo Denki PV2A015SMT1P50-01 Super Servo BL Drive AMAT 0190-12138 Used Working
mustangfamily12 Used - $100.00 0 Feb/24/16 Mar/25/16
Description: Fuji Electric EL90PO Earth Leakage Protection Sensor AMAT 1200-01213 Used
usedeqsales Used - $402.16 0 Feb/24/16 Jan/22/18
Description: DIP DIP-131-483 DeviceNet CDN491 PCB Card AMAT 0660-01879 Used Working
usedeqsales Used - $402.16 1 Feb/24/16 Dec/12/16
Description: SBS Greenspring cPCI-100-BP OCTAPLUS 232 PCB Card AMAT 0190-05410 Used Working
supertechshop Used - $199.95 0 Feb/25/16 Mar/26/16
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
srctech1 Used - $1,200.00 0 Feb/26/16 Mar/27/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
usedeqsales Used - $252.16 1 Feb/26/16 Aug/22/16
Description: AMAT Applied Materials 0010-04235 Servo Motor Vexta PK243A2A-SG36 Lot of 3 Used
situmeijian Used - $5,800.00 0 Feb/27/16 Dec/18/16
Description: ENI OEM-12B3-08 RF Generator AMAT 0190-76028 Used Tested Working
beltfed34 Used - $50.00 1 Feb/28/16 Dec/09/16
Description: Used AMAT Damaged Pressure Transducer 1350-00681, Make Offer!!!!
bobsgoodies NEW - $215.00 0 Mar/01/16 Nov/20/20
Description: Proteus 150B24 Water Flow Switch used in Applied Materials 0090-20188 (Lot of 2)
usedeqsales Used - $803.16 0 Mar/07/16 Nov/07/19
Description: AMAT Applied Materials 0020-84586 300 Txz Slit Valve Lid Used Working
usedeqsales Used - $803.16 0 Mar/07/16 Apr/06/17
Description: HiTek Power 0090-91382 High Voltage Resistor AMAT Applied Materials Used Working
usedeqsales Used - $2,506.07 0 Mar/10/16 Jul/25/17
Description: Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
usedeqsales Used - $403.16 1 Mar/10/16 Jun/06/18
Description: K-Tec Technology WKE-200 Current Transformer AMAT 1360-01227 Used Working
speedsell2015 Used - $2,500.00 0 Mar/11/16 Jul/29/16
Description: AMAT 0190-15377 MKS, 3-PORT USED
rfsurplus123 Used - $200.00 1 Mar/11/16 Jun/11/16
Description: Nor-Cal Products 3870-01455 Pneumatic Angle Isolation Valve AMAT Used Working
grandbirdnet Used - $500.00 0 Mar/12/16 Nov/25/22
Description: AMAT 0010-23601 CLAMP ASSY POLISHING HEAD , USED
keykorea Used - $1,700.00 0 Mar/14/16 Mar/24/16
Description: AMAT 0190-77015 PC. 1/ROT INC ENCODER SERVMOTOR , USED
keykorea Used - $400.00 0 Mar/15/16 Mar/21/16
Description: AMAT 0090-77135 SLURRY PUMP MOTOR , USED
keykorea Used - $8,500.00 2 Mar/16/16 Dec/04/16
Description: AMAT 0010-22641 HEAD ASSY 8" TITAN HEAD II PROFILER FOR MIRRA CMP , USED
keykorea Used - $450.00 0 Mar/16/16 Apr/11/17
Description: AMAT 0020-79085 OUTER CLAMP , USED
usedeqsales Used - $153.16 1 Mar/16/16 Mar/22/17
Description: CTI-Cryogenics 8081271 Cryopump Hose Supply and Return Set AMAT 3620-01025 Used
usedeqsales Used - $3,501.10 0 Mar/17/16 Nov/10/16
Description: HFV 8000 AE Advanced Energy 3155083-109 RF Power Supply AMAT 0190-01873 Used
usedeqsales Used - $3,203.16 1 Mar/21/16 Jun/20/17
Description: OEM-12B ENI OEM-12B-02 RF Generator Rev. L AMAT 0190-70080 Used Tested Working
rfsurplus123 Used - $110.00 0 Mar/21/16 Jun/11/16
Description: AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Precision 5000 Used
rfsurplus123 Used - $210.00 0 Mar/21/16 Jun/11/16
Description: AMAT Applied Materials 0100-09009 Buffer I/O PCB Card Precision 5000 Used
rfsurplus123 Used - $200.00 0 Mar/21/16 Jun/11/16
Description: AMAT Applied Materials 0100-00014 OPTO Detect PCB Card Precision 5000 Used
rfsurplus123 Used - $220.00 0 Mar/21/16 Jun/11/16
Description: AMAT Applied Materials 0100-00008 TC Gauge P.W.B. PCB Card Precision 5000 Used
rfsurplus123 Used - $250.00 0 Mar/21/16 Jun/11/16
Description: AMAT Applied Materials 0100-09010 System Electronics Backplane PCB P5000 Used
svcstore Used - $679.99 0 Mar/21/16 Apr/20/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
keykorea Used - $2,900.00 3 Mar/23/16 Dec/07/17
Description: AMAT 0100-76061 ASSY, PCB SYSTEM ELECTRONICS BACKPLANE , USED
keykorea Used - $1,800.00 0 Mar/23/16 Dec/19/16
Description: AMAT 0190-77114 SEVOMOTOR, CAROUSEL , USED
desert_dweller77 Used - $250.00 0 Mar/23/16 Apr/22/16
Description: Horiba STEC Injection Valve IV-2410AV-03 AMAT 3030-10059 Used Great
grandbirdnet Used - $940.00 0 Mar/24/16 Jun/22/23
Description: AMAT 0010-14930 FINGER ASSY, PEEK, SHORT W/CLAMP 200MM , USED
supertechshop Used - $199.95 0 Mar/26/16 Apr/25/16
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
instechlab Used - $100.00 0 Mar/28/16 Apr/04/16
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
srctech1 Used - $1,200.00 0 Mar/27/16 Apr/05/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
srctech Used - $1,300.00 0 Apr/05/16 Nov/02/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
usedeqsales Used - $204.16 0 Apr/11/16 Jan/18/18
Description: AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working
bobsgoodies NEW - $99.00 4 Apr/12/16 Mar/25/17
Description: BANNER P/N: SM312LV Used in AMAT 0090-20108 ASSY, SENSOR CASSETTE
bobsgoodies NEW - $170.00 1 Apr/12/16 Sep/12/16
Description: AMAT 0520-01002 Shut Actuator SMC NCRB80-180 Used in 0040-21164 Shut Act.
surplusfreight555 Used - $125.00 0 Apr/14/16 May/14/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
keykorea Used - $3,200.00 1 Apr/14/16 Oct/31/18
Description: LAM RESEARCH 27-373073-00 ADVANCED ENERGY APEX 5513 3156115-251 A , USED
keykorea Used - $8,500.00 0 Apr/15/16 Dec/13/16
Description: AMAT 0190-11525 CARD PIII 400MHZ 128MB RAM VME BUS SINGL , USED
usedeqsales Used - $3,504.16 0 Apr/15/16 Feb/12/20
Description: Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
keykorea Used - $1,300.00 0 Apr/18/16 Jan/24/18
Description: AMAT 0190-77526 SERVOMOTOR, PC SWEEP/WAFER EXCHANGE , USED
spsglobal Used - $1,000.00 0 Apr/20/16 Apr/19/17
Description: AMAT APPLIED MATERIALS 0010-76036 ASSY MINI CONTROLLER W/TEOS OPTION VERSI USED
spsglobal Used - $3,000.00 0 Apr/20/16 May/21/20
Description: AMAT APPLIED MATERIALS 0010-76036 ASSY MINI CONTROLLER W/TEOS OPTION VERSI USED
spsglobal Used - $2,500.00 0 Apr/20/16 May/10/17
Description: AMAT APPLIED MATERIALS 0010-09886 ASSY LI REMOTE CONTROLLER USED
svcstore Used - $849.99 0 Apr/20/16 May/20/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
keykorea Used - $800.00 0 Apr/21/16 May/07/19
Description: AMAT 0090-77135 SLURRY PUMP MOTOR FOR MIRRA CMP , USED
keykorea Used - $800.00 0 Apr/21/16 Apr/29/19
Description: AMAT 0090-77057 SLURRY PUMP MOTOR FOR MIRRA CMP , USED
grandbirdnet Used - $499.00 1 Apr/22/16 Nov/08/22
Description: AMAT 0100-09126 wPCB ASY, REMOTE WIRING , USED
jabedow Used - $800.00 1 Apr/22/16 Apr/22/16
Description: AMAT 0190-77526 & 0190-77527 PAD CONDITIONER PC SWEEP AND PC ROATION SET , USED
spsglobal Used - $3,000.00 0 Apr/25/16 Jun/02/20
Description: AMAT APPLIED MATERIALS 0021-21143 FRAME, SIDE INLET COOLING, LARGER ID USED
spsglobal Used - $3,500.00 0 Apr/25/16 Nov/22/17
Description: AMAT APPLIED MATERIALS 0010-70264 ASSY HP ROBOT DRIVER UPPER/LOWER USED
spsglobal Used - $10,000.00 0 Apr/25/16 Nov/22/17
Description: AMAT APPLIED MATERIALS 0010-40310 HP+ ROBOT ASSY, EX REACH WITHOUT WRIST A USED
spsglobal Used - $12,000.00 0 Apr/25/16 Apr/26/19
Description: AMAT APPLIED MATERIALS 0010-38755 ASSY, R1-PRIME DTCU, POLY DPS USED
spsglobal Used - $2,500.00 0 Apr/25/16 Jan/17/18
Description: AMAT APPLIED MATERIALS 0010-30138 ASSEMBLY, MAIN LIFT, DPS CHAMBER USED
spsglobal Used - $8,000.00 0 Apr/25/16 Jan/10/22
Description: 354-0401// AMAT APPLIED 0010-26441 MAGNET ASSY DURA SOURCE 13 AL USED
spsglobal Used - $4,000.00 0 Apr/25/16 Oct/25/16
Description: AMAT APPLIED MATERIALS 0010-20480 ASSY 200MM CASSETTE HANDLER LEFT (LLA) USED
spsglobal Used - $2,500.00 1 Apr/25/16 Oct/20/16
Description: AMAT APPLIED MATERIALS 0010-20286 ASSY, INDEXER RIGHT AUTOMATED LOAD LOCK USED
spsglobal Used - $2,500.00 0 Apr/25/16 Oct/21/16
Description: AMAT APPLIED MATERIALS 0010-20285 ASSY, INDEXER LEFT AUTOMATED LOAD LOCK USED
spsglobal Used - $1,500.00 0 Apr/25/16 Apr/19/17
Description: AMAT APPLIED MATERIALS 0010-20171 COVER, INSULATOR 13 USED
spsglobal Used - $5,000.00 0 Apr/25/16 Jul/28/17
Description: AMAT APPLIED MATERIALS 0010-13272 ASSY,HP UPGRADE ROBOT DRIVER UPPER/LOWER USED
spsglobal Used - $10,000.00 0 Apr/25/16 Jul/28/17
Description: AMAT APPLIED MATERIALS 0010-13263 ASSY, HP, UPGRADE ROBOT USED
spsglobal Used - $9,000.00 0 Apr/25/16 May/25/20
Description: AMAT APPLIED MATERIALS 0010-04065 ASSY, MAGNET, SIP REV 2 USED
keykorea Used - $1,800.00 1 Apr/25/16 May/08/16
Description: AMAT 3200-01071 RACK ASSY, HALF RACK 1/8 , TOP RACK WITH BACKPLANE PCB , USED
supertechshop Used - $199.95 0 Apr/25/16 May/19/16
Description: AMAT 0200-00412 Quartz Ring CVD Susceptor Shaft Applied Materials Used
grandbirdnet Used - $690.00 0 Apr/26/16 Jun/22/23
Description: AMAT 0090-70002 MOTOR ENCODER ASY ROBOT ROTATION , USED
grandbirdnet Used - $800.00 0 Apr/26/16 Jun/22/23
Description: AMAT 0190-35788 MOTOR,5PHASE STEPPER W/ CABLE 300MM UNIV , USED
keykorea Used - $400.00 0 Apr/26/16 Apr/12/18
Description: AMAT 0090-77163 HARNESS, SRD MODULE, HEATER TEMP. SENSOR , USED
spsglobal Used - $8,000.00 0 Apr/26/16 Mar/06/18
Description: AMAT APPLIED MATERIALS 0242-75991 0010-30012 MAGNETIC DRIVE ASSY, MC ROBOT USED
waste-not-recycling Used - $99.99 0 Apr/26/16 Apr/29/16
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A, Used
instechlab Used - $200.00 1 Apr/26/16 Jul/18/16
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
desert_dweller77 Used - $250.00 0 Apr/27/16 May/27/16
Description: Horiba STEC Injection Valve IV-2410AV-03 AMAT 3030-10059 Used Great
capitolareatech Used - $297.50 0 Apr/27/16 Jun/26/16
Description: LAM RESEARCH 716-011427-003 USED DISK,CER,9600 DSQ
usedeqsales Used - $1,504.16 1 Apr/27/16 Jun/03/16
Description: Trust Automation C-2027-D01 2 Axis Vector Controller Novellus 63-370393-00 Used
usedeqsales Used - $1,504.16 1 Apr/27/16 Aug/02/16
Description: Trust Automation C-2027-D01 2 Axis Vector Controller Novellus 27-327289-00 Used
usedeqsales Used - $154.16 1 Apr/27/16 Jun/22/21
Description: Novellus Systems 03-320080-00 Backplane PCB Board Used Working
spsglobal Used - $800.00 0 Apr/28/16 Nov/02/22
Description: 316-0403// AMAT APPLIED 0021-22485 BLADE, 8 BUFFER HP+, HTHU, RCF COMPATIB USED
spsglobal Used - $600.00 0 Apr/28/16 Aug/14/19
Description: AMAT APPLIED MATERIALS 0020-70237 BLADE 6" JMF TRANSFER USED
spsglobal Used - $250.00 0 Apr/28/16 Sep/19/19
Description: AMAT APPLIED MATERIALS 0020-21105 CLAMP 8" BUFFER FROG LEG USED
spsglobal Used - $250.00 0 Apr/28/16 Sep/19/19
Description: AMAT APPLIED MATERIALS 0020-21104 CAP 8 INCH BUFFER FROG LEG USED
spsglobal Used - $3,000.00 0 Apr/28/16 Aug/23/18
Description: AMAT APPLIED MATERIALS 0020-20390 HP ROBOT ARM 0020-70336 HP ROBOT WING USED
spsglobal Used - $180.00 0 Apr/28/16 Sep/11/17
Description: AMAT APPLIED MATERIALS 0015-35078 GEAR, MOTOR, MODIFIED USED
spsglobal Used - $2,000.00 0 Apr/28/16 Feb/10/17
Description: AMAT APPLIED MATERIALS 0010-76005 ASSY BLADE ROBOT 8 USED
spsglobal Used - $3,500.00 0 Apr/28/16 Jul/03/18
Description: AMAT APPLIED MATERIALS 0010-37250 WRIST ASSY, EHP-CR ROBOT USED
spsglobal Used - $2,800.00 0 Apr/28/16 Sep/11/18
Description: AMAT APPLIED MATERIALS 0010-35004 HTF WRIST ASSEMBLY USED
spsglobal Used - $3,000.00 0 Apr/28/16 Nov/02/16
Description: AMAT APPLIED MATERIALS 0010-20130 BLADE ASSY 8 INCH BUFFER USED
usedeqsales Used - $2,504.16 0 Apr/28/16 Mar/14/17
Description: AMAT Applied Materials 0010-21748 PVG RF Match 300mm Preclean/RPC Used Working
grandbirdnet Used - $150.00 0 Apr/28/16 Jun/22/23
Description: AMAT 3060-01623 BEARING, USED
grandbirdnet Used - $250.00 1 Apr/28/16 Jul/14/21
Description: AMAT 0020-78962 SHOULDER MOTOR MOUNT, USED
keykorea Used - $80.00 1 Apr/28/16 Sep/19/18
Description: AMAT 0190-77113 COUPLING FLEXIBLE ROBOT , USED
keykorea Used - $500.00 1 Apr/28/16 Mar/07/19
Description: AMAT 0020-78958 SHAFT DRIVE SHOULDER ROBOT , USED
keykorea Used - $800.00 1 Apr/28/16 Sep/29/16
Description: AMAT 0090-01474 SHOULDER MOTOR ASSEMBLY, ROBOT, USED
beltfed34 Used - $79.00 1 Apr/30/16 Jul/12/16
Description: Used Applied Materials Hot Ion / Pirani Gage 0190-26328 MAKE OFFER!!!!!!!!!!!
waste-not-recycling Used - $79.99 0 Apr/30/16 May/05/16
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A, Used
allpart2016 Used - $330.00 0 May/01/16 Sep/02/19
Description: AMAT 0100-11002 REV F PCB ASSEMBLY DIGITAL I/O USED
allpart2016 Used - $650.00 0 May/01/16 Sep/02/19
Description: AMAT 0100-09006 REV H W/ 0100-09024 REV F USED
allpart2016 Used - $550.00 0 May/01/16 Sep/02/19
Description: AMAT 0100-09006 USED
allpart2016 Used - $950.00 1 May/01/16 Sep/06/16
Description: AMAT 0100-20001 REV F PCB SEI USED
allpart2016 Used - $350.00 0 May/01/16 Sep/02/19
Description: AMAT 0100-00003 REV C PCB STEPPER CONT USED
surplusfreight555 NEW - $250.00 0 May/01/16 May/31/16
Description: AMAT 0100-09099 CHAMBER INTERCONNECT BD ASSY , USED
used1eqsales Scrap, for parts - $505.16 0 May/03/16 Mar/15/18
Description: LAM Research 852-017750-001 Remote used untested as-is (for parts only)
neilan1987 Used - $49.99 0 May/03/16 Jan/10/17
Description: Applied Materials AMAT 0010-21740 TC Amplifier 300MM USED
jinhyucle_0 Used - $5,000.00 0 May/03/16 May/04/16
Description: AMAT 0920-00139 Apex 3013 AE Advanced Energy RF Generator Used working 3156114-6
usedeqsales Used - $6,805.16 0 May/04/16 Feb/28/18
Description: SMC INR-498-012B Thermo Chiller HX-2000 AMAT 0190-19632 Used Tested Working
usedeqsales Used - $505.16 0 May/05/16 Jun/23/16
Description: AMAT Applied Materials 0020-84799 Source Turbo Extraction Plate Used Working
dealsonlyhere Used - $49.00 11 May/05/16 Mar/29/17
Description: 10x USED Ushio AMAT 0190-22509 EPI 120V 2000W BNA6 Finned Base Halogen Lamp Bulb
austin6032 Used - $70,000.00 0 May/05/16 Jun/04/16
Description: Endura XP Robot AMAT 0010-11364, 0010-35807 USED WORKING
usedeqsales Used - $602.58 1 May/06/16 Aug/17/16
Description: AMAT Applied Materials 0010-76005 Robot Blade 8" P5000 Precision 5000 Used
waste-not-recycling Used - $99.99 0 May/06/16 May/31/16
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A, Used
usedeqsales Used - $3,505.16 3 May/06/16 Feb/21/17
Description: ADTEC AX-1000-AMII RF Plasma Generator AMAT 0190-55019 Used Tested Working
jinhyucle_0 Used - $3,500.00 2 May/09/16 May/16/16
Description: AMAT 0920-00139 Apex 3013 AE Advanced Energy RF Generator Used working 3156114-6
usedeqsales Used - $255.16 0 May/09/16 Jul/16/20
Description: Nor-Cal 3870-02286 Angle Valve Assembly 0040-36023 AMAT Applied Materials Used
waste-not-recycling Used - $99.99 0 May/09/16 May/19/16
Description: Lam Lift Cylinder 853-011661-001 Bimba, Dynamco IFC13BH/JY 853-027430-002 Used
waste-not-recycling Used - $249.99 0 May/09/16 May/16/16
Description: Millipore MDVX-018S01 Millipore Lam 4420 Throttle Valve, 853-013541-002 Used
usedeqsales Used - $2,505.16 1 May/10/16 May/11/16
Description: AMAT Applied Materials 0040-01786 Flourine DXZ Cover 0010-01385 Lot of 30 Used
used1eqsales Used - $655.16 0 May/10/16 Feb/15/18
Description: Edwards VAT B90002031 Pneumatic Gate Valve used working
usedeqsales Used - $805.16 0 May/10/16 Feb/05/20
Description: Nidek S1145-PC2277A IM-14 Wafer Loader PCB Board IM-11 MAIN/11 Used
usedeqsales Used - $5,505.16 1 May/11/16 Jul/30/18
Description: B/E Aerospace 1231-CCN-GL-002 Temperature Control Unit AMAT 0242-07590 Used
usedeqsales Used - $428.07 1 May/12/16 Sep/02/16
Description: HVA 21251-1003Z-001 Pneumatic 10 Inch Gate Valve AMAT 3870-02881 Used Working
spsglobal Used - $1,500.00 0 May/12/16 Feb/12/18
Description: AMAT APPLIED MATERIALS 0190-35765 SERIPLEX MUX I/O PCB(7), (208H-239H) USED
spsglobal Used - $3,500.00 0 May/12/16 Mar/22/22
Description: 320-0201// AMAT APPLIED 0190-76043 PCBA ASSY, SBC SYNERGY 68040 CONTROLLER USED
spsglobal Used - $2,800.00 0 May/12/16 Mar/13/18
Description: AMAT APPLIED MATERIALS 0190-76005 SPEC CNTL DWG, INTELLIGENT MOTOR CONTROL USED
spsglobal Used - $1,000.00 1 May/12/16 Nov/14/16
Description: AMAT APPLIED MATERIALS 0190-70102 PCB ASSY VGA VIDEO 10X *MSG* USED
spsglobal Used - $1,500.00 0 May/12/16 May/18/20
Description: AMAT APPLIED MATERIALS 0190-35791 MULTIPLEXED I/O CONTROL BD, AUX 3 USED
spsglobal Used - $1,500.00 1 May/12/16 Dec/08/16
Description: AMAT APPLIED MATERIALS 0190-35776 0100-09251 MULTIPLEXED I/O CONTROL BOARD USED
spsglobal Used - $2,800.00 0 May/12/16 Jul/16/18
Description: AMAT APPLIED MATERIALS 0190-20035 PCBA STEPPER DRIVER USE 0190-76005 * USED
spsglobal Used - $2,800.00 0 May/12/16 Apr/12/22
Description: 320-0201// AMAT APPLIED 0190-09687 ASSY. DWG. STEPPER CONTROLLER VME 44-4E USED
spsglobal Used - $1,800.00 1 May/12/16 Oct/29/18
Description: AMAT APPLIED MATERIALS 0190-09667 PCB ASSY VME CPU SYNERGY UPGRADED USED
spsglobal Used - $1,500.00 0 May/12/16 Jul/02/19
Description: AMAT APPLIED MATERIALS 0100-18043 ASSEMBLY, PCB REMOTE SERIPLEX I/O HDP-CV USED
spsglobal Used - $1,000.00 0 May/12/16 Apr/11/18
Description: AMAT APPLIED MATERIALS 0100-09054 PCB ASSY, ANALOG INPUT USED
spsglobal Used - $600.00 0 May/12/16 Feb/10/17
Description: AMAT APPLIED MATERIALS 0100-01321 ASSY PCB DIGITAL I/O DIO BD USED
usedeqsales Used - $602.58 1 May/13/16 Aug/17/16
Description: AMAT Applied Materials 0010-02905 Robot Blade 8" 200mm P5000 Precision 5000 Used
usedeqsales Used - $255.16 1 May/13/16 Jul/12/19
Description: AMAT Applied Materials 0020-34112 Reference Plate P5200 Precision 5200 Used
usedeqsales Used - $205.16 0 May/13/16 Apr/02/23
Description: AMAT Applied Materials 0224-46313 Valve Assembly Swagelok Nupro 6LV-BN8BW8 Used
surplusfreight555 Used - $125.00 0 May/14/16 Jun/13/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $255.16 2 May/16/16 Jun/10/16
Description: CTI-Cryogenics 8080250K003 Maintenance Manifold AMAT 4060-90000 Used Working
usedeqsales Used - $155.16 0 May/16/16 Jun/01/18
Description: CTI-Cryogenics 8112099G001 On-Board Cryopump AMAT 0620-01201 Used Working
usedeqsales Used - $155.16 0 May/16/16 Aug/11/21
Description: Nor-Cal Products 3870-02286 Manual Angle Valve AMAT Used Working
waste-not-recycling Used - $199.99 0 May/16/16 May/23/16
Description: Millipore MDVX-018S01 Millipore Lam 4420 Throttle Valve, 853-013541-002 Used
grandbirdnet Used - $2,200.00 0 May/17/16 Nov/25/22
Description: AMAT 0010-37905 ASSEMBLY, ISCAN MODULE , USED
keykorea Used - $2,200.00 0 May/17/16 Jun/28/17
Description: AMAT 0010-05002 ASSY, INT. ISRM MODULE , USED
keykorea Used - $2,200.00 0 May/17/16 Sep/29/16
Description: AMAT 0010-05004 ASSY, FULL SCAN ISRM , USED
keykorea Used - $2,200.00 0 May/17/16 Aug/25/20
Description: AMAT 0010-37867 ASSY, INT. ISRM MODULE , USED
spsglobal Used - $4,000.00 0 May/19/16 Apr/21/21
Description: AMAT APPLIED MATERIALS 0010-01348 CARDCAGE ASSY, CH C/D SERIPLEX ULTIMA USED
spsglobal Used - $1,000.00 0 May/19/16 Aug/08/19
Description: AMAT APPLIED MATERIALS 0660-01699 CARD CONVECTRON MODULE 5-CH RFI SUPPR USED
spsglobal Used - $270.00 1 May/19/16 May/28/19
Description: AMAT APPLIED MATERIALS 0660-01536 CARD PCBA BACKPLANE VME 20 SLOT USED
spsglobal Used - $2,500.00 1 May/19/16 Oct/12/17
Description: AMAT APPLIED MATERIALS 0190-07338 CPRO3/128 30000 CPU, 2.0 BIOS W 10/100 E USED
spsglobal Used - $800.00 0 May/19/16 Mar/11/22
Description: 320-0302// AMAT APPLIED 0190-06279 CARD, DIGITAL DNET DIO 391-4 USED
spsglobal Used - $300.00 0 May/19/16 Nov/30/17
Description: AMAT APPLIED MATERIALS 0190-02748 ASSEMBLY, PCB, TRANSITION, SCANNER, FLEX USED
spsglobal Used - $800.00 0 May/19/16 Jan/26/18
Description: AMAT APPLIED MATERIALS 0190-01270 SPECIFICATION, CDN396 PCB DEVICENET ANAL USED
spsglobal Used - $650.00 0 May/19/16 Jan/31/19
Description: AMAT APPLIED MATERIALS 0100-70034 ASSY, PCB EP VGA INTERCONNECT USED
spsglobal Used - $500.00 0 May/19/16 Nov/27/17
Description: AMAT APPLIED MATERIALS 0100-35250 PCB ASSY,CHAMBER INTERFACE,DPS CENTURA USED
spsglobal Used - $600.00 1 May/19/16 Dec/06/16
Description: AMAT APPLIED MATERIALS 0100-35083 PCB ASSY CHAMBER INTCNCT B&D CENTURA MXP USED
spsglobal Used - $800.00 0 May/19/16 Feb/25/18
Description: AMAT APPLIED MATERIALS 0100-35054 PCB ASSY,CHMBR INTERFACE USED
spsglobal Used - $800.00 0 May/19/16 Jan/21/18
Description: AMAT APPLIED MATERIALS 0100-35054 0190-70108 ASSY, PCB MXP CHAMBER INTERFAC USED
spsglobal Used - $200.00 0 May/19/16 Mar/06/18
Description: AMAT APPLIED MATERIALS 0100-35034 PCB ASSY, STEPPER DRIVER PWR DISTR USED
spsglobal Used - $600.00 0 May/19/16 Jan/26/18
Description: AMAT APPLIED MATERIALS 0100-20321 ASSY, PCB DC BIAS INTERFACE USED
spsglobal Used - $200.00 0 May/19/16 Jan/26/18
Description: AMAT APPLIED MATERIALS 0100-20078 PCB ASSY LASER DRIVER USED
spsglobal Used - $1,000.00 0 May/19/16 Nov/28/19
Description: AMAT APPLIED MATERIALS 0100-20063 PCB ASSY, INTERLOCK SELECT USED
spsglobal Used - $400.00 0 May/19/16 Jan/10/18
Description: AMAT APPLIED MATERIALS 0100-20037 PCB ASSY, INTERLOCK SELECT USED
spsglobal Used - $400.00 0 May/19/16 May/24/18
Description: AMAT APPLIED MATERIALS 0100-20026 wPCB ASSY,STEPPER DRIVER DISTRIBUTION USED
spsglobal Used - $400.00 0 May/19/16 Apr/02/23
Description: 130-0101// AMAT APPLIED 0100-09104 PCB ASSY CONTACTOR INTERLOCK USED
spsglobal Used - $200.00 0 May/19/16 Jan/26/18
Description: AMAT APPLIED MATERIALS 0100-09099 PCB ASSY CHAMBER INTERCONNECT BD USED
spsglobal Used - $800.00 0 May/19/16 Mar/22/19
Description: AMAT APPLIED MATERIALS 0100-09018 0140-20021 wPCBA RS2321VIDE INTERCO USED
spsglobal Used - $500.00 0 May/19/16 Jun/09/19
Description: AMAT APPLIED MATERIALS 0100-00493 PCBA, CHAMBER INTERFACE 5200 HDPCVD ULTI USED
spsglobal Used - $400.00 0 May/19/16 Mar/06/18
Description: AMAT APPLIED MATERIALS 0100-00014 PCB ASSEMBLY OPTO DETECT USED
spsglobal Used - $500.00 0 May/19/16 Jul/28/17
Description: AMAT APPLIED MATERIALS 0090-09145 ASSY, SUSCEPTOR TC & OVERTEMP INTERLOCK USED
spsglobal Used - $1,000.00 1 May/19/16 Oct/05/22
Description: 320-0302// AMAT APPLIED 0010-21699 ASSY, TC ISOLATION AMPLIFIER USED
spsglobal Used - $1,200.00 2 May/19/16 Jul/18/17
Description: AMAT APPLIED MATERIALS 0010-10707 0100-35227 ESC CONTROL BOARD W/HELIUM DUM USED
waste-not-recycling Used - $99.99 0 May/19/16 May/31/16
Description: Lam Lift Cylinder 853-011661-001 Bimba, Dynamco IFC13BH/JY 853-027430-002 Used
keykorea Used - $800.00 1 May/20/16 Mar/02/18
Description: AMAT 0020-51397 RORZE FINGER, ROBOT FABS 393FN-1196117 , USED
svcstore Used - $849.99 0 May/21/16 May/26/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
surplusseller13 Used - $689.98 1 May/22/16 Jun/03/16
Description: Novellus 02-252397-00 Digital Dynamics Vector HDSIOC 2 Rev. D Controller Used
keykorea Used - $600.00 1 May/22/16 Jan/09/18
Description: AMAT 0020-77217 GUARD, SPLASH , USED
keykorea Used - $800.00 1 May/22/16 Jan/26/18
Description: AMAT 0020-78707 BLOCK,MOUNTING , USED
keykorea Used - $90.00 0 May/22/16 Jun/30/17
Description: AMAT 0020-79038 SPACER,SPINDLE , USED
keykorea Used - $300.00 3 May/22/16 Jan/25/18
Description: AMAT 0021-77241 LOWER FLANGE , USED
grandbirdnet Used - $180.00 0 May/23/16 Jun/22/23
Description: AMAT 0020-10135 MANIFOLD WATER PL , USED
keykorea Used - $400.00 0 May/23/16 Jul/21/16
Description: AMAT 0090-02703 BRUSH TORQUE AMP-FILTER ASSEMBLY, USED
surplusseller13 Used - $1,596.69 0 May/23/16 Dec/06/17
Description: Kollmorgen 1000-0121-01 Mag Driver AMAT 0190-03554 2 Channel Used
waste-not-recycling Used - $225.99 0 May/23/16 May/31/16
Description: Millipore MDVX-018S01 Millipore Lam 4420 Throttle Valve, 853-013541-002 Used
surplusseller13 Used - $125.98 0 May/24/16 Dec/06/17
Description: Sanyo Denki PV2A015SMT1P50-1 Servo Amplifier AMAT 0870-00017 Used
beltfed34 Used - $199.00 1 May/24/16 May/18/17
Description: Used AMAT Capacitance Manometer, PN 1350-00683, Make Offer!!!!
surplusseller13 Used - $256.98 0 May/24/16 Dec/06/17
Description: LAM Research 810-802901-307 MB Node 1 PCB Used
usedeqsales Used - $1,005.16 0 May/25/16 Apr/02/23
Description: AMAT Applied Materials 0040-06361 ISM SWLL 300mm Bellows Assembly Used
surplusseller13 Used - $259.68 1 May/25/16 Jun/13/16
Description: SMC 0100-35066 AMAT Chamber Interlock Pneumatic Manifold NVJ3243Y Used
keykorea Used - $1,900.00 0 May/25/16 Jun/21/18
Description: AMAT 3060-01694 PLATEN BEARING W/ LUBE , USED
usedeqsales Used - $1,005.16 3 May/26/16 Jul/16/21
Description: AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working
usedeqsales Used - $405.16 0 May/26/16 Jun/14/16
Description: McLean Engineering UES17H115S29 Fantray AMAT 0190-70066 Used Working
usedeqsales Used - $4,555.01 1 May/26/16 Aug/24/16
Description: CTI-Cryogenics IS-1000 Compressor LV AMAT 3620-00317 Used Tested Working
jinhyucle_0 Used - $600.00 1 May/27/16 Jul/05/17
Description: AMAT 0190-05111 CONTROL VIDEO SWITCH, USED TESTED WORKING, OPENED PACKAGE
surplusfreight555 NEW - $250.00 0 May/31/16 Jun/30/16
Description: AMAT 0100-09099 CHAMBER INTERCONNECT BD ASSY , USED
jinhyucle_0 Used - $1,200.00 0 Jun/01/16 Dec/18/17
Description: AMAT 0090-05024 RF FILTER PRODUCER SE/GT USED TESTED WORKING
jinhyucle_0 Used - $4,500.00 1 Jun/01/16 Jul/28/16
Description: AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED
usedeqsales Used - $206.16 8 Jun/01/16 Jun/03/16
Description: AMAT Applied Materials 0015-01864 Ploshing Head Clamp Lot of 2 Used Working
usedeqsales Used - $756.16 5 Jun/02/16 Jun/15/16
Description: MKS Instruments 852B-13384 Baratron AMAT 1350-01200 Lot of 10 Used Working
usedeqsales Used - $756.16 2 Jun/02/16 Jun/15/16
Description: MKS Instruments 852B12PCJ2GC Baratron AMAT 1350-01200 Lot of 10 Used Working
usedeqsales Used - $206.16 3 Jun/02/16 Jul/14/16
Description: MKS Instruments 852B12PCJ2GC Baratron AMAT 1350-01200 Lot of 2 Used Working
usedeqsales Used - $256.16 2 Jun/02/16 Jun/10/16
Description: MKS Instruments 750B11TCD2GG Baratron R750B11TCD2GS Signal Conditioner Used
usedeqsales Used - $206.16 4 Jun/02/16 Nov/09/16
Description: MKS Instruments 852B-13384 Baratron AMAT 1350-01200 Lot of 2 Used Working
usedeqsales Used - $206.16 3 Jun/03/16 Nov/02/16
Description: Aera CA-98D9-Z MFC Micro to 9-Pin D Adapter AMAT 0720-05118 Lot of 12 Used
usedeqsales Used - $256.16 1 Jun/03/16 Jul/25/16
Description: MKS Instruments 179A51CR3BM---S Mass-Flo Meter AMAT 3030-06040 Used Working
svcstore Used - $849.99 0 Jun/04/16 Jul/04/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
jinhyucle_0 Used - $500.00 0 Jun/06/16 Nov/18/16
Description: AMAT 0040-38038 CONDUCTOR MFA RF MATCH PRODUCER 300MM/200MM-S USED TESTED WORKIN
jinhyucle_0 Used - $350.00 0 Jun/06/16 Nov/18/16
Description: AMAT 0040-38036 BRACKET RF FLANGE PRODUCER 300MM/200MM, USED WORKING TESTED
keykorea Used - $4,500.00 5 Jun/06/16 Mar/05/18
Description: AMAT 0190-36524 TEMPERATURE CONTROL THERMOMETER, 4-CHANN , USED AS IS
keykorea Used - $1,500.00 0 Jun/06/16 Dec/13/17
Description: AMAT 3870-02366 VALVE MODULE 8PNEU SOL , USED
desert_dweller77 Used - $250.00 0 Jun/07/16 Jul/07/16
Description: Horiba STEC Injection Valve IV-2410AV-03 AMAT 3030-10059 Used Great
usedeqsales Used - $3,506.16 0 Jun/07/16 Jan/13/21
Description: Newport 35-3700-1425-18 Wafer Transfer Robot AMAT 0190-22248 Used Working
usedeqsales Used - $1,506.16 1 Jun/07/16 Aug/03/16
Description: AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Used Working
spsglobal Used - $3,000.00 0 Jun/09/16 Mar/06/18
Description: AMAT APPLIED MATERIALS 1290-01715 TERM CNTRLR NTWK INTERFACE 20 CHANW/3 USED
usedeqsales Used - $456.16 2 Jun/09/16 Dec/11/17
Description: AMAT Applied Materials 0020-29486 Lateral Motor Assembly 0020-64321 Used As-Is
usedeqsales Used - $5,005.15 1 Jun/09/16 Mar/27/17
Description: AMAT Applied Materials 0010-04662 300mm PVD Chamber Assembly Endura Used Working
surplusfreight555 Used - $125.00 0 Jun/13/16 Jul/13/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
used1eqsales Used - $706.16 0 Jun/16/16 Mar/21/18
Description: Yaskawa UTOPI-020SC Minertia Motor QM Series UGQMEM-02MNQ41 NSR-Series used
used1eqsales Used - $3,506.16 0 Jun/16/16 Mar/22/18
Description: TEL T-3044SS Pre Aligner Loader Module used working
usedeqsales Used - $1,011.11 0 Jun/16/16 Jun/16/16
Description: Lam Research 810-017012-001 Heartbeat PCB Board Rev. D Used Working
usedeqsales Used - $1,256.07 0 Jun/21/16 Aug/24/16
Description: Advnace Hivolt 1140-90129 Power Supply AMAT 0090-91415ITL HiTek Used Working
usedeqsales Used - $1,506.16 0 Jun/22/16 May/03/18
Description: Quality Transformer and Electronics 7323 75kVA Transformer AMAT 0190-06937 Used
surplusseller13 Used - $256.98 0 Jun/27/16 Dec/06/17
Description: SMC US16912 Rotary Union Novellus 02-169785-00 Used
surplusseller13 Used - $275.98 0 Jun/27/16 Dec/06/17
Description: Sanyo Denki PV2A015S7F1PA2 Servo Amplifier AMAT 0190-14711 Used
used1eqsales Used - $756.16 1 Jun/28/16 Sep/20/17
Description: AMAT 0010-22226 Throttle Valve Assembly used working
used1eqsales Used - $706.16 0 Jun/28/16 Mar/26/18
Description: Novellus 02-168108-00 Sesioc Sioc Bath Module used working
used1eqsales Used - $706.16 0 Jun/28/16 Mar/26/18
Description: Novellus 02-168109-00 Sesioc Sioc Electrofill used working
keykorea Used - $3,000.00 0 Jun/28/16 Sep/14/16
Description: AMAT 0100-77017 ASSY, LOWER PNEUM CNTRLR , USED
keykorea Used - $2,700.00 0 Jun/28/16 Jun/06/17
Description: AMAT 1080-01170 5KW SERVOMOTOR SGMS-50A6AB , USED
surplusseller13 Used - $725.98 0 Jun/29/16 Dec/06/17
Description: Nippon Pillar PPLG0133A Bellows Metering Pump AMAT 3620-00328 Used
surplusfreight555 NEW - $250.00 0 Jun/30/16 Jul/30/16
Description: AMAT 0100-09099 CHAMBER INTERCONNECT BD ASSY , USED
used1eqsales Used - $307.16 0 Jul/01/16 Dec/14/17
Description: AMAT Applied Materials 0100-20454 Controller Backplane 0130-20454 Rev 005 used
used1eqsales Used - $257.16 0 Jul/01/16 Mar/29/18
Description: AMAT Applied Materials 0100-76294 Smoke/Water Dist. Board 0130-76294 used works
used1eqsales Used - $457.16 0 Jul/01/16 Dec/18/17
Description: AMAT Applied Materials 0190-01270 Rev 005 Circuit Board CDN396 15039603 used
used1eqsales Used - $507.16 0 Jul/01/16 Dec/18/17
Description: AMAT Applied Materials 0100-00579 System AC Distribution 0130-00579 Rev 002 used
used1eqsales Used - $1,006.16 1 Jul/01/16 Mar/29/18
Description: AMAT 0100-20346 Smoke & Water Leak Detector 0130-20346 Rev 006 used working
used1eqsales Used - $1,006.16 0 Jul/01/16 Dec/21/17
Description: AMAT 0100-00611 Smoke & Water Leak Detector 0130-00611 Rev 005 used working
used1eqsales Used - $507.16 0 Jul/05/16 Dec/18/17
Description: AMAT Applied Materials 0660-01865 Circuit Board CDN391 15039103 used working
svcstore Used - $849.99 0 Jul/05/16 Aug/04/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
used1eqsales Used - $507.16 0 Jul/06/16 May/31/17
Description: AMI 0190-76273 Power Supply P1183-208/208 AMAT Centura used working
used1eqsales Used - $307.16 1 Jul/06/16 Nov/12/17
Description: AMAT 0100-20027 Rev 002 Circuit Board Contactor Interlock BD AMAT Centura used
capitolareatech Used - $297.50 0 Jul/09/16 Sep/15/16
Description: LAM RESEARCH 716-011427-003 USED DISK,CER,9600 DSQ
usedeqsales Used - $407.16 0 Jul/11/16 Jan/23/19
Description: AMAT Applied Materials 0100-01884 Arc Voltage Control Board PCB Card Used
usedeqsales Used - $407.16 0 Jul/11/16 Jul/18/16
Description: AMAT Applied Materials 0100-01884 Ave Voltage Control PCB Card Used Working
usedeqsales Used - $907.16 0 Jul/11/16 Apr/02/23
Description: AMAT Applied Materials 0100-90385 Contactor Drive PCB Card No Face Used Working
usedeqsales Used - $407.16 0 Jul/12/16 Apr/02/23
Description: AMAT Applied Materials 0040-07477 Enclosure CB Cleaner Panel 0180-00385 Used
usedeqsales Used - $707.16 1 Jul/12/16 Feb/22/17
Description: AMAT Applied Materials 0100-94102 Gas Interlock 10 Torr SDS PCB Card Used
usedeqsales Used - $307.16 0 Jul/12/16 Mar/12/21
Description: AMAT Applied Materials 0100-91104 Vacuum Gauge RJ45 CONN I/F PCB 0120-93712 Used
usedeqsales Used - $1,007.16 1 Jul/12/16 Jan/03/17
Description: AMAT Applied Materials 9240-00519 ITLB E84 Interface Controller 3X8H Used
usedeqsales Used - $1,003.58 0 Jul/14/16 Aug/24/16
Description: AMAT Applied Materials 9090-00791 Power Supply Assembly Used Working
surplusseller13 Used - $275.54 0 Jul/14/16 Dec/06/17
Description: Sanyo Denki PV2A015SMT1P50-01 BL Super PV Servo Amplifier AMAT 0190-12138 Used
surplusseller13 Used - $225.98 1 Jul/14/16 Oct/27/17
Description: A & N Corporation 1539-S-N Vacuum Pump Actuator AMAT 0190-08958 Used
surplusseller13 Used - $335.45 0 Jul/14/16 Dec/06/17
Description: Yaskawa SGDH-01AEY903 ServoPack Ver. 0A214-0 AMAT 0190-08038 Used
surplusseller13 Used - $1,789.65 0 Jul/14/16 Dec/06/17
Description: Phasetronics P1228B-2/1 Dual Swll Lamp Degas Driver AMAT 0190-12531 Used
used1eqsales Used - $607.16 0 Jul/14/16 Mar/29/18
Description: DIP 15049105 Circuit Board CDN491(c) AMAT 0190-08860 Rev 003 Endura 300mm used
used1eqsales Used - $242.86 1 Jul/14/16 Aug/13/16
Description: AMAT 0190-15991 Circuit Board Rev 002 9000-32-029 Rev D0 3181-00-061-A0 used
used1eqsales Used - $753.58 1 Jul/14/16 Oct/04/16
Description: Kensington Newport 15-3600-0300-01 Pre-Aligner 0190-16360 AMAT Endura 300mm used
used1eqsales Used - $227.16 0 Jul/14/16 Mar/29/18
Description: XPiQ F4A3A4A6 Power Supply 0040-87208 Rev 001 Synergy Series AMAT Endura used
usedeqsales Used - $707.16 0 Jul/18/16 Apr/02/23
Description: AMAT Applied Materials 0100-01487 PSD Board GRIPPER IN LL PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/18/16 Sep/24/18
Description: AMAT Applied Materials 0100-00941 Gap Servo PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/18/16 May/18/22
Description: AMAT Applied Materials 0110-01139 PSD Board GRIPPER IN LL PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/19/16 May/18/22
Description: AMAT Applied Materials 0110-01139 PSD Board E-CHUCK PCB Card Used Working
usedeqsales Used - $707.16 7 Jul/19/16 Nov/03/17
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card Used Working
usedeqsales Used - $307.16 0 Jul/19/16 Apr/06/20
Description: AMAT Applied Materials 0100-91032 PSU Micro Discharge Issue C PCB Board Used
usedeqsales Used - $707.16 0 Jul/19/16 May/18/22
Description: AMAT Applied Materials 0110-01139 PSD Board BEAM ALIGN PCB Card Used Working
usedeqsales Used - $407.16 0 Jul/18/16 May/18/22
Description: AMAT Applied Materials 0110-98079 I/O Processor Sensor PCB Card Used Working
usedeqsales Used - $307.16 4 Jul/19/16 Apr/23/20
Description: AMAT Applied Materials 0100-91032 PSU Micro Discharge Issue B PCB Board Used
usedeqsales Used - $707.16 1 Jul/19/16 Jun/05/17
Description: AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/19/16 Feb/26/20
Description: AMAT Applied Materials 0100-01734 EVR Lateral Servo PCB Card Used Working
usedeqsales Used - $708.09 3 Jul/20/16 Apr/02/23
Description: AMAT Applied Materials 0100-00985 HP Gas Interlock 10 Torr SDS PCB Card Used
usedeqsales Used - $503.58 0 Jul/20/16 Aug/24/16
Description: Xantrex XHR 7.5-80 DC Power Supply AMAT 1140-00154 Used Tested Working
usedeqsales Used - $507.16 4 Jul/20/16 Mar/02/18
Description: AMAT Applied Materials 0100-91146 IHC Source Chassis Motherboard PCB Board Used
used1eqsales Used - $1,007.16 1 Jul/21/16 Jul/01/17
Description: Novellus 03-032706-00 Rev C DLCM Interlock 27-032724-00 76-032707-00 used works
usedeqsales Used - $610.09 0 Jul/22/16 Apr/02/23
Description: AMAT Applied Materials 0090-91229 Cryo Pump Auto N2 Purge Module Used Working
usedeqsales Used - $3,807.16 1 Jul/22/16 Sep/12/20
Description: AMAT Applied Materials 1080-90120 Exchange Arm Motor Assembly 0021-06737 Used
allpart2016 Used - $390.00 1 Jul/24/16 Oct/07/16
Description: AMAT Applied Materials 0090-90966 Power Supply PCB Card daq Mkll Type B Used
keykorea Used - $6,500.00 1 Jul/24/16 Aug/19/16
Description: AMAT 0010-05289 BRUSH MOUNTING ASSEMBLY , USED
usedeqsales Used - $807.16 1 Jul/26/16 Aug/04/16
Description: AMAT Applied Materials 0040-18213 Cold Plate Ultima 200mm HDPCVD Used Working
jabedow Used - $1,300.00 1 Jul/27/16 Aug/12/16
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
keykorea Used - $700.00 0 Jul/27/16 Oct/28/20
Description: AMAT 0100-01646 PCB ASSY, UPA DISTRIBUTION BD., 200MM 5- , USED
desert_dweller77 Used - $250.00 0 Jul/31/16 Aug/30/16
Description: Horiba STEC Injection Valve IV-2410AV-03 AMAT 3030-10059 Used Great
magnumpihonhi Used - $439.99 1 Aug/02/16 Dec/10/18
Description: USED LAM Research 4400 715-011630-001 PEDESTAL ESC FREE SHIPPING
keykorea Used - $5,500.00 0 Aug/02/16 Aug/03/16
Description: AMAT 0190-11497 BOTTOM BASE, DI WATER SYSTEM, CMP , USED
surplusfreight555 Used - $80.00 0 Aug/03/16 Sep/02/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
keykorea Used - $2,200.00 0 Aug/03/16 Aug/09/16
Description: AMAT 0190-77393 VESSEL, PRESSURE, 2.4L, TEFLON , USED
svcstore Used - $849.99 0 Aug/05/16 Sep/04/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
surplusseller13 Used - $135.48 0 Aug/09/16 Dec/06/17
Description: CTI-Cryogenics 081271P011 Cryopump Hose AMAT 3620-01025 Used
surplusseller13 Used - $165.45 0 Aug/09/16 Dec/06/17
Description: Unit Instruments UFC-8161 Mass Flow Controller AMAT 3030-00223 5L N2 Used
autoquip7 Used - $2,300.00 0 Aug/10/16 Jul/25/22
Description: 0040-73095, APPLIED MATERIALS, REV-E3 A-1 MACHINE, USED
surplusseller13 Used - $110.25 0 Aug/11/16 Dec/06/17
Description: LAM Research 853-017423-001 Upper RF Match Coaxial Cable Assy Rev. G Used
usedeqsales Used - $808.16 0 Aug/11/16 Jul/11/17
Description: AMAT Applied Materials 0035-18000 5000 Watt Heater Plate Used Working
happyglobalsolution Used - $70,000.00 0 Aug/11/16 Nov/04/16
Description: ENDURA XP ROBOT AMAT 0010-11364, 0010-35807 USED WORKING
used1eqsales Used - $358.16 1 Aug/12/16 Nov/01/16
Description: AMAT 0190-01769 RF Gen Rack Output Cable AMAT Endura used working
used1eqsales Used - $358.16 1 Aug/12/16 Jan/11/17
Description: AMAT 0190-05576 Power Supply Cable AMAT Endura used working
surplusseller13 Used - $195.45 0 Aug/12/16 Dec/06/17
Description: ABB EH 175 Contactor EH Series AMAT 1200-01400 150HP 120V Coil Used Working
allpart2016 Used - $250.00 0 Aug/16/16 Jun/05/18
Description: Mesa Power Systems 10651 Power Supply Card AMAT 0190-08875 Used Working
used1eqsales Used - $2,008.16 0 Aug/16/16 Mar/29/18
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis PXP41L used working
surplusseller13 Used - $52.45 0 Aug/17/16 Dec/06/17
Description: LAM 855-010409-007 Programmable CPU EPROM Ver H Lot of 4 Used
keykorea Used - $6,500.00 0 Aug/21/16 Apr/12/18
Description: AMAT 0010-05289 BRUSH MOUNTING ASSEMBLY , USED
txazrecycle512 Used - $325.00 0 Aug/30/16 Sep/06/16
Description: AMAT Applied Materials 0190-37616 REV 02-MKS-AS05111-08 VIDEO/Cntrl Switch, USED
used1eqsales Used - $908.16 0 Aug/30/16 Oct/11/17
Description: AMAT 9090-00790 Resistor (Lot of 4) AMAT Quantum X used working
usedeqsales Used - $259.16 0 Sep/01/16 Dec/05/19
Description: AMAT Applied Materials 0010-13445 Stand Alone VGA Monitor Base P5000 Used
surplusfreight555 Used - $80.00 0 Sep/02/16 Oct/02/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $759.16 3 Sep/06/16 Jan/15/18
Description: MKS Instruments L2-40-SP1 Two Stage Inline Valven AMAT 0190-76185 Used Working
svcstore Used - $849.99 0 Sep/07/16 Oct/07/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
txazrecycle512 Used - $200.00 1 Sep/08/16 Sep/15/16
Description: AMAT Applied Materials 0190-37616 REV 02-MKS-AS05111-08 VIDEO/Cntrl Switch, USED
usedeqsales Used - $4,509.16 0 Sep/14/16 Feb/23/18
Description: CTI-Cryogenics 8116030G001 8F Cryopump AMAT 0190-76014 Used Tested Working
usedeqsales Used - $856.15 1 Sep/14/16 Sep/19/16
Description: HVA 21251-1003Z-001 Pneumatic 10 Inch Gate Valve AMAT 3870-02881 Used Working
capitolareatech Used - $297.50 0 Sep/20/16 Nov/19/16
Description: LAM RESEARCH 716-011427-003 USED DISK,CER,9600 DSQ
keykorea Used - $3,500.00 0 Sep/21/16 Sep/23/16
Description: AMAT 0660-01846 CARD INTERFACE DNET VME 16MHZ V40 , USED
spsglobal Used - $300.00 0 Sep/23/16 Oct/05/21
Description: 346-0103// AMAT APPLIED 3870-02659 CHECK VALVE 0050-31433 ADAPTER USED
spsglobal Used - $20.00 2 Sep/23/16 Feb/09/17
Description: AMAT APPLIED MATERIALS 3370-01036 HDL RND 3/8 DIA 2 HIGH 4L OFFSET 10- USED
spsglobal Used - $80.00 2 Sep/23/16 Feb/27/18
Description: AMAT APPLIED MATERIALS 0021-36724 BRACKET, MOUNTING, PONTOON, FACILITIES, USED
spsglobal Used - $150.00 1 Sep/23/16 Feb/10/19
Description: AMAT APPLIED MATERIALS 0021-09580 BLOCK,BRACKET,MAIN,LOWER CHAMBER,DPS USED
spsglobal Used - $100.00 1 Sep/23/16 Sep/11/18
Description: AMAT APPLIED MATERIALS 0020-21326 BUSS BAR, LONG AC REMOTE USED
spsglobal Used - $100.00 7 Sep/23/16 Sep/19/22
Description: 346-0103// AMAT APPLIED 0020-20484 HUB END LAMP COVER USED
spsglobal Used - $250.00 2 Sep/23/16 Sep/13/23
Description: 346-0103// AMAT APPLIED 0020-20483 HUB LAMP CORNER COVER USED
usedeqsales Used - $856.15 0 Sep/23/16 Sep/26/16
Description: HVA 21251-1003Z-001 Pneumatic 10 Inch Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $259.16 1 Sep/29/16 Mar/10/17
Description: AMAT Applied Materials 0010-13445 VGA Monitor Base with Pen 1120-01076 Used
spsglobal Used - $10.00 0 Sep/30/16 Sep/27/19
Description: AMAT APPLIED MATERIALS 3810-01048 STUD BALL 13MM OD5/16-18 USED
spsglobal Used - $100.00 0 Sep/30/16 Jun/07/17
Description: AMAT APPLIED MATERIALS 3060-01192 BLOCK PILLOW BRG 3/4 SFT DIA NKL PLD SC USED
spsglobal Used - $20.00 0 Sep/30/16 May/16/18
Description: AMAT APPLIED MATERIALS 0720-03264 CONN COAX ADPTR TEE N-JACK/PLUG/JACK ST USED
spsglobal Used - $20.00 0 Sep/30/16 Sep/27/19
Description: AMAT APPLIED MATERIALS 0690-01579 CLAMP TOGGLE GLNG SST 100LB W/VINYL CTD USED
spsglobal Used - $500.00 2 Sep/30/16 Nov/28/17
Description: AMAT APPLIED MATERIALS 0190-09659 LINEAR SLIDE, LUBRICATED USED
spsglobal Used - $100.00 0 Sep/30/16 Sep/27/19
Description: AMAT APPLIED MATERIALS 0021-35776 BRACKET, MOUNTING, PID CONTROLLER USED
spsglobal Used - $100.00 1 Sep/30/16 Jan/24/17
Description: AMAT APPLIED MATERIALS 0021-09446 CLAMP,RF,BULKHEAD,CATHODE,DPS USED
spsglobal Used - $120.00 1 Sep/30/16 Jan/24/17
Description: AMAT APPLIED MATERIALS 0021-09445 CLAMP,BULKHEAD,RF PIN,CATHODE,DPS USED
spsglobal Used - $100.00 1 Sep/30/16 Aug/19/22
Description: 346-0101// AMAT APPLIED 0020-70548 APPLIED MATERIALS COMPONENT USED
spsglobal Used - $200.00 1 Sep/30/16 Jul/10/17
Description: AMAT APPLIED MATERIALS 0020-33779 HOUSING, BUSHING, HOOP LIFT, DPS USED
spsglobal Used - $15.00 0 Sep/30/16 Jul/13/20
Description: AMAT APPLIED MATERIALS 0020-28952 MOUNTING BRACKET, RIGHT USED
spsglobal Used - $15.00 0 Sep/30/16 Jul/13/20
Description: AMAT APPLIED MATERIALS 0020-28935 MOUNTING BRACKET, LEFT USED
spsglobal Used - $250.00 0 Sep/30/16 Jan/08/19
Description: AMAT APPLIED MATERIALS 0020-23036 CAM,LEFT OUTBOARD USED
spsglobal Used - $250.00 0 Sep/30/16 Jan/03/22
Description: 346-0102// AMAT APPLIED 0020-23035 CAM, RIGHT INBOARD USED
spsglobal Used - $250.00 0 Sep/30/16 Jan/03/22
Description: 346-0102// AMAT APPLIED 0020-23031 CAM LEFT INBOARD USED
spsglobal Used - $100.00 1 Sep/30/16 Dec/27/16
Description: AMAT APPLIED MATERIALS 0020-21681 0020-21682 CLAMP SUPPORT CHUCK USED
spsglobal Used - $20.00 0 Sep/30/16 May/15/23
Description: 346-0102// AMAT APPLIED 0020-20726 COLLIMATOR BRACKET USED
spsglobal Used - $50.00 0 Sep/30/16 Apr/05/21
Description: AMAT APPLIED MATERIALS 0020-20661 BRACKET ELECTRICAL FEEDTHRU USED
spsglobal Used - $50.00 0 Sep/30/16 Jan/03/18
Description: AMAT APPLIED MATERIALS 0020-20579 EXTENSION ARM, DC BIAS USED
spsglobal Used - $85.00 3 Sep/30/16 Mar/20/18
Description: AMAT APPLIED MATERIALS 0020-13959 CAP, 8" HTHU HEATER USED
epicrew01 Used - $100.00 1 Sep/30/16 Jan/17/19
Description: Applied Materials 0100-35011 | CENTER FINDER LED ASSY USED
usedeqsales Used - $1,009.16 1 Sep/30/16 Feb/23/22
Description: AMAT Applied Materials 9090-00979 Electrode Assembly 3X2H Used Working
surplusfreight555 Used - $80.00 0 Oct/02/16 Nov/01/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $606.15 1 Oct/04/16 Oct/05/16
Description: MKS Instruments 627B12TBC1B Baratron AMAT 1350-00128 Used Tested Working
usedeqsales Used - $309.16 0 Oct/04/16 Mar/16/23
Description: AMAT Applied Materials 0100-90077 Thermistor Gauge Controller PCB Card Used
usedeqsales Used - $309.16 0 Oct/04/16 Mar/16/23
Description: AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working
usedeqsales Used - $310.16 0 Oct/05/16 Jan/23/19
Description: AMAT Applied Materials 0100-00970 Spin Window PCB Card Used Working
usedeqsales Used - $510.16 16 Oct/05/16 Jan/23/19
Description: AMAT Applied Materials 0090-90967 Power Supply daq MkII type H Used Working
usedeqsales Used - $705.15 0 Oct/05/16 Jan/23/19
Description: AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Used Working
jabedow Used - $600.00 1 Oct/09/16 Mar/10/17
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
keykorea Used - $16,800.00 0 Oct/09/16 May/24/17
Description: AMAT 0010-25162 D-1 DDF3 PAD CONDITIONER FOR MIRRA CMP 200MM , USED
svcstore Used - $799.99 0 Oct/10/16 Nov/09/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $4,510.16 1 Oct/11/16 Jul/03/17
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-832269-00 Used Tested Working
epicrew01 Used - $1,000.00 0 Oct/17/16 Jul/30/19
Description: 0200-35065 | LOWER LINER for AMAT USED
spsglobal Used - $350.00 0 Oct/24/16 Feb/10/17
Description: AMAT APPLIED MATERIALS 0240-22034 KIT LIFTER CONTROL PANEL USED
spsglobal Used - $1,700.00 1 Oct/24/16 Apr/06/17
Description: AMAT APPLIED MATERIALS 0190-20004 FEED THRU ROTARY ASSY SOURCE BASIC USED
spsglobal Used - $3,500.00 0 Oct/24/16 Jan/04/17
Description: AMAT APPLIED MATERIALS 0190-00981 FEEDTHRU ROTARY ASSY CENTER INJECTION SI USED
spsglobal Used - $600.00 0 Oct/24/16 Mar/16/23
Description: 176-0401// AMAT APPLIED 0050-76319 WB, PRESSURE GUAGE ADPTR, CAJON USED
spsglobal Used - $250.00 0 Oct/24/16 Mar/16/23
Description: 176-0401// AMAT APPLIED 0050-75354 FEEDTHRU ADJ AR, MIX USED
spsglobal Used - $100.00 0 Oct/24/16 Mar/16/23
Description: 176-0401// AMAT APPLIED 0050-54970 GASLINE, 3/8 OD BACKSIDE GAS, 300MM ESC, USED
spsglobal Used - $200.00 1 Oct/24/16 Mar/16/23
Description: 176-0401// AMAT APPLIED 0050-39361 INSERT,GAS FEED,LOWER CHAMBER,POLY,DPS-A USED
spsglobal Used - $300.00 1 Oct/24/16 Nov/10/17
Description: AMAT APPLIED MATERIALS 0040-76368 MANIFOLD SHORT USED
spsglobal Used - $80.00 0 Oct/24/16 Mar/16/23
Description: 346-0302// AMAT APPLIED 0030-70084 HOLDOWN RING,VIEWPORT USED
spsglobal Used - $200.00 0 Oct/24/16 Jan/03/18
Description: AMAT APPLIED MATERIALS 0021-22189 APPLIED MATERIALS COMPONENT USED
spsglobal Used - $100.00 0 Oct/24/16 Jan/02/19
Description: AMAT APPLIED MATERIALS 0020-76435 BRACKET, MOUNT, LASER USED
spsglobal Used - $70.00 0 Oct/24/16 Mar/16/23
Description: 346-0302// AMAT APPLIED 0020-70480 BAR TIE-OFF STANDARD CHAMBER USED
spsglobal Used - $450.00 1 Oct/24/16 Mar/20/18
Description: AMAT APPLIED MATERIALS 0020-25226 OBS: HOOP, HTHU 8" HEATER USED
spsglobal Used - $120.00 0 Oct/24/16 Mar/16/23
Description: 346-0302// AMAT APPLIED 0020-23487 BRACKET MOUNTING 2-PHASE DRIVER USED
spsglobal Used - $600.00 2 Oct/24/16 Jun/22/17
Description: AMAT APPLIED MATERIALS 0020-21221 HOOP CD 200MM 0020-20752 0020-22079 USED
spsglobal Used - $100.00 0 Oct/24/16 Mar/16/23
Description: 341-0202// AMAT APPLIED 0020-20911 BUSS BAR, LOWER 5/6 USED
spsglobal Used - $100.00 0 Oct/24/16 Nov/02/16
Description: AMAT APPLIED MATERIALS 0020-20910 BUSS BAR, UPPER 5/6" USED
spsglobal Used - $80.00 2 Oct/24/16 Jun/22/22
Description: 346-0202// AMAT APPLIED 0020-20053 BRACKET, CHAMBER INTERCONNECT BOARD USED
spsglobal Used - $30.00 0 Oct/24/16 Mar/28/22
Description: 346-0302// AMAT APPLIED 0020-20002 BUSS BAR GND USED
spsglobal Used - $200.00 0 Oct/24/16 Jun/07/17
Description: AMAT APPLIED MATERIALS 0020-09604 HOUSING USED
spsglobal Used - $1,200.00 0 Oct/24/16 Mar/16/23
Description: 346-0301// AMAT APPLIED 0010-10141 ASSY 8-SLOT WAFER POSITION SENSOR RETROR USED
spsglobal Used - $1,200.00 0 Oct/24/16 Mar/16/23
Description: 346-0301// AMAT APPLIED 0010-09967 ASSY 8-SLOT WAFER POSITION SENSOR MOUNT USED
spsglobal Used - $1,000.00 0 Oct/24/16 Mar/16/23
Description: 151-0501// AMAT APPLIED 0010-09143 SYS CONTROLLER POWER SUPPLY ASSY USED
kocncnparts Used - $2,700.00 0 Oct/26/16 Jan/03/17
Description: USED Lamp Driver AMI PART 0190-17464 P1191D
txazrecycle512 Used - $150.00 0 Oct/26/16 Oct/31/16
Description: APPLIED MATERIALS AMAT 0190-19919 Rev 001 DeviceNet MKS-CIT P/N AS00107-04 USED
spsglobal Used - $1,000.00 1 Oct/27/16 Aug/16/21
Description: 176-0401// AMAT APPLIED 0010-13647 GASLINE, ISOLATOR, FUJIKIN, HT BESC USED
spsglobal Used - $1,000.00 0 Oct/27/16 Sep/13/18
Description: AMAT APPLIED MATERIALS 0010-13645 GASLINE, ISOLATOR, MIXED, HT BESC USED
spsglobal Used - $100.00 1 Oct/30/16 Jan/25/22
Description: 346-0202// AMAT APPLIED 3780-01110 SPR PNEU POWERISE 6 STROKE 120LBS USED
spsglobal Used - $300.00 0 Nov/05/16 Oct/27/22
Description: 347-0401// AMAT APPLIED 0050-10047 WELDMENT, 2 MANOMETRS, 1/2VCR,ASP USED
spsglobal Used - $50.00 0 Nov/05/16 Nov/30/20
Description: AMAT APPLIED MATERIALS 0040-09584 CLAMP, TOP LID, ASP USED
spsglobal Used - $50.00 0 Nov/05/16 Oct/27/22
Description: 347-0401// AMAT APPLIED 0040-09583 BRKT,CLAMP TOP LID, ASP. USED
spsglobal Used - $420.00 0 Nov/05/16 Mar/06/18
Description: AMAT APPLIED MATERIALS 0040-09525 PORT,VACUUM,WINDOW,ASP USED
spsglobal Used - $200.00 0 Nov/05/16 Jan/18/18
Description: AMAT APPLIED MATERIALS 0040-09033 APPLIED MATERIALS COMPONENT USED
spsglobal Used - $60.00 0 Nov/05/16 Oct/28/19
Description: AMAT APPLIED MATERIALS 0020-75733 BRACKET, SENSOR MOUNT USED
spsglobal Used - $100.00 0 Nov/05/16 Oct/27/22
Description: 347-0401// AMAT APPLIED 0020-75732 COVER, SENSOR BRACKET USED
spsglobal Used - $1,000.00 0 Nov/05/16 Sep/27/18
Description: AMAT APPLIED MATERIALS 0020-32850 CHUCK, 100MM, ASP USED
spsglobal Used - $800.00 0 Nov/05/16 Sep/27/18
Description: AMAT APPLIED MATERIALS 0020-31606 HOOP, 125MM, CHUCK, ALUM, ASP USED
spsglobal Used - $1,000.00 0 Nov/05/16 Sep/27/18
Description: AMAT APPLIED MATERIALS 0020-31605 RING FOCUSING, ALUM, 125MM, ASP USED
spsglobal Used - $80.00 0 Nov/05/16 Jan/18/18
Description: AMAT APPLIED MATERIALS 0020-31294 WALL, RIGHT, CHAMBER, ASP USED
spsglobal Used - $80.00 0 Nov/05/16 Jan/18/18
Description: AMAT APPLIED MATERIALS 0020-31293 WALL, LEFT, CHAMBER, ASP USED
spsglobal Used - $80.00 0 Nov/05/16 Jan/18/18
Description: AMAT APPLIED MATERIALS 0020-31292 WALL, CENTER, CHAMBER, ASP USED
spsglobal Used - $250.00 0 Nov/05/16 Nov/30/20
Description: AMAT APPLIED MATERIALS 0020-31260 MANIFOLD, BARATRON,ASP 0190-09230 USED
spsglobal Used - $100.00 0 Nov/05/16 Mar/31/22
Description: 347-0401// AMAT APPLIED 0020-30991 COVER, TOP,FEEDTHRU,PRSP USED
spsglobal Used - $150.00 0 Nov/05/16 Oct/27/22
Description: 347-0401// AMAT APPLIED 0020-30990 HOUSING, FEEDTHRU,PRSFP USED
spsglobal Used - $150.00 0 Nov/05/16 Nov/22/17
Description: AMAT APPLIED MATERIALS 0020-20404 MOTOR MOUNT LOWER FROG LEG USED
spsglobal Used - $2,000.00 0 Nov/05/16 Oct/27/22
Description: 347-0103// AMAT APPLIED 0010-21578 ASSY SHUTTER ENCLOSURE USED
spsglobal Used - $5,000.00 0 Nov/05/16 Mar/24/20
Description: AMAT APPLIED MATERIALS 0010-21393 ASSY, SHUTTER ROTATION, LINKAGE USED
spsglobal Used - $2,000.00 0 Nov/05/16 Oct/27/22
Description: 347-0101// AMAT APPLIED 0010-21264 ASSEMBLY SHUTTER LINKAGE USED
usedeqsales Used - $1,011.16 0 Nov/07/16 Dec/13/16
Description: Xantrex XHR 120-10 DC Power Supply AMAT Applied Materials 1140-00151 Used
usedeqsales Used - $611.16 0 Nov/08/16 Apr/28/17
Description: Spellman X2784 Power Supply ESC1.5PN75X2784 Rev. C1 AMAT 0190-07247 Used Working
usedeqsales Used - $611.16 0 Nov/08/16 Apr/28/17
Description: Spellman X2784 Power Supply ESC1.5PN75X2784 Rev. D4 AMAT 0190-07247 Used Working
techequipsales NEW - $315.00 2 Nov/08/16 Nov/16/16
Description: AMAT Applied Materials 0010-09416 Etcher RF Match (Used, Untested)
spsglobal Used - $300.00 0 Nov/09/16 Oct/27/22
Description: 347-0402// AMAT APPLIED 0010-37119 0140-36075 ASSY, ADAPTER PLATE, AUTOBIAS USED
spsglobal Used - $100.00 0 Nov/09/16 Oct/27/22
Description: 347-0402// AMAT APPLIED 0020-23208 LIFT FINGER, 101% COVERAGE,WIDE BODY USED
spsglobal Used - $100.00 2 Nov/09/16 Aug/19/22
Description: 347-0402// AMAT APPLIED 0020-22463 HARD STOP FROG LEG ROBOT USED
spsglobal Used - $40.00 0 Nov/09/16 Oct/27/22
Description: 346-0102// AMAT APPLIED 0020-20579 EXTENSION ARM, DC BIAS USED
spsglobal Used - $250.00 0 Nov/09/16 Jan/03/18
Description: AMAT APPLIED MATERIALS 0020-20483 HUB LAMP CORNER COVER USED
usedeqsales Used - $2,011.16 1 Nov/09/16 Feb/14/17
Description: HiTek Power 1140-00166 High Voltage Power Supply A1028760 AMAT Used Working
usedeqsales Used - $811.16 0 Nov/09/16 Dec/30/16
Description: AMAT Applied Materials 9090-01382 G2 Series Protection Resistor Used Working
spsglobal Used - $60.00 4 Nov/10/16 Dec/03/21
Description: 347-0402// AMAT APPLIED 0020-28773 RING CLAMP, SHIELD INTERNAL USED
spsglobal Used - $30.00 0 Nov/10/16 Oct/27/22
Description: 347-0402// AMAT APPLIED 0021-76490 BRACKET, INTERLOCK RF TUBE PRECLEAN 300M USED
svcstore Used - $799.99 0 Nov/10/16 Dec/10/16
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
txazrecycle512 Used - $150.00 0 Nov/14/16 Dec/14/16
Description: APPLIED MATERIALS AMAT 0190-19919 Rev 001 DeviceNet MKS-CIT P/N AS00107-04 USED
keykorea Used - $2,600.00 2 Nov/16/16 Sep/05/17
Description: AMAT 0010-02905 8" ROBOT BLADE P5000 , USED
txazrecycle512 Used - $400.00 0 Nov/16/16 Nov/21/16
Description: Applied Materials AMAT 0190-36511 DIP294 DeviceNet I/O Block, USED
txazrecycle512 Used - $299.99 0 Nov/22/16 Dec/22/16
Description: Applied Materials AMAT 0190-36511 DIP294 DeviceNet I/O Block, USED
markcooperassociates Used - $1,900.00 1 Nov/21/16 Mar/24/18
Description: AMAT 3030-06931 Full Flow Ozone Sensor, gFFOZ, 10 SLM Used
markcooperassociates Used - $1,900.00 1 Nov/21/16 Feb/10/17
Description: AMAT 3030-06231 Full Flow Ozone Sensor, gFFOZ, Used
equipplus Used - $1,199.00 1 Nov/20/16 Oct/11/17
Description: AMAT 0010-29963 Rev 001 CCM Enabler,MKS-Tenta,Applied Materials,Used,USA-4356
ab-international Used - $195.00 0 Nov/20/16 Nov/30/16
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
ab-international Used - $350.00 0 Nov/20/16 Nov/30/16
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
ab-international Used - $1,500.00 0 Nov/19/16 Nov/29/16
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
spsglobal Used - $40.00 0 Nov/26/16 Oct/27/22
Description: 347-0403// AMAT APPLIED 0020-31659 COVER, VESPEL USED
spsglobal Used - $15.00 9 Nov/26/16 Nov/30/17
Description: AMAT APPLIED MATERIALS 0200-09242 PLUG, DC, GRAPHITE, CR VERSION, POLY USED
spsglobal Used - $250.00 1 Nov/26/16 Jan/04/17
Description: AMAT APPLIED MATERIALS 0040-21838 BRACKET, VCR CROSS HEATER BYPASS USED
spsglobal Used - $10.00 0 Nov/26/16 Oct/27/22
Description: 346-0102// AMAT APPLIED 0020-76423 CLAMP, VACUUM LINE, CENTURA CHAMBER USED
spsglobal Used - $100.00 1 Nov/26/16 Jan/23/17
Description: AMAT APPLIED MATERIALS 0040-13571 AMAT COMPONENT USED
spsglobal Used - $100.00 2 Nov/26/16 Oct/27/22
Description: 348-0401// AMAT APPLIED 0040-09456 BRACKET, MTG. MAGNETRON, PRSP3 USED
spsglobal Used - $400.00 1 Nov/26/16 Jul/07/22
Description: 348-0203// AMAT APPLIED 0040-00684 PLATE, ADPTR, GATE VALVE TXZ CHMBR, MCVD USED
spsglobal Used - $700.00 0 Nov/26/16 Oct/27/22
Description: 348-0203// AMAT APPLIED 0020-76301 ADAPTER, SLIT VALVE USED
spsglobal Used - $500.00 1 Nov/26/16 Feb/22/17
Description: AMAT APPLIED MATERIALS 0020-38663 SPACER,SLIT VALVE,MXP CENTURA USED
spsglobal Used - $50.00 0 Nov/26/16 Oct/27/22
Description: 348-0401// AMAT APPLIED 0020-31289 BRACKET, MTG FLOW SW, ASP USED
spsglobal Used - $80.00 0 Nov/26/16 Oct/27/22
Description: 348-0401// AMAT APPLIED 0020-24412 CATHODE SWITCH COVER PRECLEAN 2 USED
spsglobal Used - $80.00 0 Nov/26/16 Oct/27/22
Description: 348-0401// AMAT APPLIED 0020-24411 CATHODE INTLK COVER PRECLEAN 2 USED
spsglobal Used - $300.00 2 Nov/26/16 Dec/30/16
Description: AMAT APPLIED MATERIALS 0020-19003 INSERT, SLIT VALVE SHO USED
spsglobal Used - $800.00 0 Nov/26/16 Nov/30/20
Description: AMAT APPLIED MATERIALS 0010-76700 SUB-ASSEMBLY, ASP HINGE USED
spsglobal Used - $250.00 0 Nov/26/16 Oct/27/22
Description: 348-0201// AMAT APPLIED 3300-02260 FTG TEE 2.75 CONFLAT SST 2.75 X 2.46 USED
spsglobal Used - $15.00 2 Nov/26/16 May/18/18
Description: AMAT APPLIED MATERIALS 0020-31177 SCR AL 8-32X1/4 SLOT FLAT HD 82 DEG VENT USED
spsglobal Used - $120.00 0 Nov/26/16 Oct/27/22
Description: 348-0201// AMAT APPLIED 0020-21675 ARM LIFTER DEGAS/ ORIENTER USED
usedeqsales Used - $511.16 1 Nov/29/16 Mar/11/21
Description: AMAT Applied Materials 0040-55456 300mm Quartz Bell Jar 633007491-F Copper Used
xl-t_com Used - $750.00 1 Dec/01/16 Jun/08/17
Description: MDX-20K AE Advanced Energy 3152223-003 Power Supply Master AMAT 1140-01088 Used
usedeqsales Used - $412.16 3 Dec/06/16 May/15/19
Description: AMAT Applied Materials 0190-24484 Transponder and Sensor TLG-I2-AMAT-R1 Used
usedeqsales Used - $112.16 0 Dec/07/16 Sep/13/18
Description: TDK TAS300 Load Port Power Supply Assembly AMAT 0190-17837 Endura 300mm Used
ab-international Used - $195.00 0 Dec/10/16 Dec/20/16
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
ab-international Used - $350.00 0 Dec/10/16 Dec/20/16
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
ab-international Used - $1,500.00 0 Dec/10/16 Dec/20/16
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
keykorea Used - $2,900.00 0 Dec/12/16 Nov/19/18
Description: AMAT 0190-76050 PCB VIDEO CONTROLLER VGA , USED
svcstore Used - $799.99 0 Dec/13/16 Jan/12/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
spsglobal Used - $50.00 10 Dec/13/16 Oct/13/21
Description: 347-0402// AMAT APPLIED 0020-13958 FINGER, 8" HTHU HEATER USED
spsglobal Used - $100.00 0 Dec/13/16 Oct/12/17
Description: AMAT APPLIED MATERIALS 0020-29342 NUT RF FEEDTHRU .250" WIDE VECTRA IMP USED
spsglobal Used - $100.00 2 Dec/13/16 Sep/28/17
Description: AMAT APPLIED MATERIALS 0040-09577 COVER,SAFETY,WAVEGUIDE, ASP USED
usedeqsales Used - $1,012.16 1 Dec/14/16 Aug/21/21
Description: AMAT Applied Materials 0100-00093 Stepper Drive PCB VME Card Used Working
spsglobal Used - $100.00 0 Dec/15/16 Jun/07/17
Description: AMAT APPLIED MATERIALS 3060-01192 BLOCK PILLOW BRG 3/4 SFT DIA NKL PLD SC USED
spsglobal Used - $50.00 0 Dec/15/16 Aug/07/19
Description: AMAT APPLIED MATERIALS 1270-01191 SWITCH, SPST USED
spsglobal Used - $100.00 0 Dec/15/16 Jul/02/19
Description: AMAT APPLIED MATERIALS 0021-20207 SPACER 3.5MM MAGNET ROTARY FEEDTHRU SHIM USED
spsglobal Used - $10.00 0 Dec/15/16 Jun/02/22
Description: 351-0302// AMAT APPLIED 0020-29077 BUSHING GUIDE USED
spsglobal Used - $20.00 0 Dec/15/16 Jun/02/22
Description: 352-0201// AMAT APPLIED 0020-21853 DC ACTUATOR SOURCE USED
spsglobal Used - $200.00 0 Dec/15/16 Jul/17/17
Description: AMAT APPLIED MATERIALS 0020-21485 PLATE CONTACT DC SOURCE 13" LEFT USED
spsglobal Used - $200.00 0 Dec/15/16 Jul/17/17
Description: AMAT APPLIED MATERIALS 0020-21482 PLATE CONTACT DC SOURCE 13 RIGHT USED
spsglobal Used - $100.00 0 Dec/15/16 Oct/23/23
Description: 352-0201// AMAT APPLIED 0020-20885 COVER DC UPPER USED
spsglobal Used - $60.00 0 Dec/15/16 Jul/19/20
Description: AMAT APPLIED MATERIALS 0020-13998 PLATE, SOURCE ALIGNMENT PIN USED
spsglobal Used - $100.00 0 Dec/15/16 Mar/18/20
Description: AMAT APPLIED MATERIALS 0020-13126 COVER DC, LOWER G12, FLAME RETARDANT USED
spsglobal Used - $500.00 0 Dec/15/16 Oct/25/23
Description: 352-0201 AMAT APPLIED 0040-04135 0720-05018 ASSEMBLY, TAB GROUNDING USED
spsglobal Used - $10.00 0 Dec/15/16 Apr/22/20
Description: AMAT APPLIED MATERIALS 3500-01153 NUT LOCK NO 6 SST USED
spsglobal Used - $100.00 0 Dec/15/16 Feb/04/18
Description: AMAT APPLIED MATERIALS 1080-01131 GEAR BOX REDUCTION 7.5:1 1/2 DIA. OUTPU USED
spsglobal Used - $200.00 0 Dec/15/16 Jan/25/18
Description: AMAT APPLIED MATERIALS 0020-31122 PLATE, PRSP3 USED
spsglobal Used - $1,000.00 0 Dec/15/16 Oct/26/17
Description: AMAT APPLIED MATERIALS 0020-29214 COIL 1/8 THK, CENTER HOLES-KNURLED, VEC USED
usedeqsales Used - $812.16 0 Dec/15/16 Apr/13/23
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
usedeqsales Used - $712.16 0 Dec/15/16 Apr/13/23
Description: AMAT Applied Materials 0190-00371 DeviceNet Digital I/O Rev. P4 PCB Card Used
usedeqsales Used - $812.16 1 Dec/15/16 Aug/16/19
Description: AMAT Applied Materials 0100-20004 Chamber Interconnect Board PCB Used Working
usedeqsales Used - $162.16 1 Dec/15/16 Mar/25/22
Description: AMAT Applied Materials 0100-20454 Controller Backplane Board PCB Rev. 001 Used
usedeqsales Used - $812.16 0 Dec/15/16 Dec/08/20
Description: AMAT Applied Materials 0100-76012 HDP Chamber Interface PCB Card Used Working
usedeqsales Used - $212.16 0 Dec/15/16 Dec/08/20
Description: AMAT Applied Materials 0100-35206 HDP-DE Chamber Interconnect PCB Backplane Used
usedeqsales Used - $362.16 20 Dec/15/16 Jan/13/20
Description: AMAT Applied Materials 0100-00003 Stepper Drive PCB VME Card Used Working
usedeqsales Used - $1,012.16 0 Dec/15/16 Jun/14/17
Description: AMAT Applied Materials 0120-20067 Stepper Controller PCB VME Card Used Working
usedeqsales Used - $912.16 1 Dec/15/16 Jan/20/17
Description: AMAT Applied Materials 0100-20100 Analog Input/Output PCB VME Card Used Working
usedeqsales Used - $912.16 0 Dec/15/16 Dec/15/16
Description: AMAT Applied Materials 0100-35065 Serial Isolator PCB Card Used Working
usedeqsales Used - $1,212.16 0 Dec/16/16 Nov/03/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
keykorea Used - $500.00 0 Dec/16/16 Dec/25/16
Description: AMAT 0190-77200 SERVOMOTOR SGMP-04UA3AP10 30W, SGMP-04U314M 400W, USED
pic_insit Used - $385.00 0 Dec/18/16 Jan/17/17
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
usedeqsales Used - $3,512.16 0 Dec/19/16 Feb/23/17
Description: MAG 410 C Leybold 894 65 Turbomolecular Pump AMAT 3620-01494 Used Tested As-Is
capitolareatech Used - $297.50 3 Dec/20/16 Jan/18/17
Description: LAM RESEARCH 716-011427-003 USED DISK,CER,9600 DSQ
cubit001 Used - $505.00 1 Dec/21/16 Dec/21/16
Description: Applied Materials 0100-35273 TEOS Temperature Control Box PCB Used
cubit001 Used - $150.00 0 Dec/21/16 Jan/20/17
Description: AMAT Applied Materials 0100-70019 Controller Distribution WPS Board Rev. P2 Used
usedeqsales Used - $1,012.16 0 Dec/21/16 Dec/30/16
Description: TURBOTRONIK NT 340M/I Leybold 857 32 Pump Controller AMAT 0730-01040 Used Tested
spsglobal Used - $400.00 0 Dec/22/16 May/28/18
Description: AMAT APPLIED MATERIALS 3870-01805 VALVE PNEU RTANG 2-3/4CF NW40XNW40 24VDC USED
spsglobal Used - $450.00 0 Dec/22/16 May/19/17
Description: AMAT APPLIED MATERIALS 3870-01352 VALVE H VAC RTANG 1-1/2 CONFLAT 2-3/4 F USED
spsglobal Used - $500.00 1 Dec/22/16 Mar/13/24
Description: 126-0201// AMAT APPLIED 3870-01331 VALVE PNEU ACTD IN-LINE TYPE-NWB [USED]
spsglobal Used - $250.00 0 Dec/22/16 Jan/05/17
Description: AMAT APPLIED MATERIALS 3870-01215 VALVE BLWS PNEU 2.03 90 DEG SST BORED NW USED
spsglobal Used - $200.00 0 Dec/22/16 Jan/26/18
Description: AMAT APPLIED MATERIALS 3020-01126 CYL AIR 63MM BORE 25MM STROKE W/AUTO USED
spsglobal Used - $200.00 0 Dec/22/16 Aug/13/19
Description: AMAT APPLIED MATERIALS 3020-01052 CYL, COMPACT AIR 3.25BORE 1.5STRK AUTO USED
spsglobal Used - $800.00 0 Dec/22/16 Jun/07/17
Description: AMAT APPLIED MATERIALS 3870-02332 VALVE ASSY HEATED 3 PORT 208VAC 316SST USED
spsglobal Used - $200.00 0 Dec/22/16 Dec/09/20
Description: AMAT APPLIED MATERIALS 3870-01307 VALVE MNL DIAPH 3500PSI 1/4VCR-F/F 1/4TU USED
spsglobal Used - $100.00 0 Dec/22/16 May/10/17
Description: AMAT APPLIED MATERIALS 3870-01306 VALVE AIR ACTUATED NC 1/4 VCR F-F 10 RA USED
spsglobal Used - $300.00 0 Dec/22/16 Oct/24/22
Description: 319-0201// AMAT APPLIED 0010-09146 ASSY,SIL.THRVLV 100-125-150MM,DOWNSTR, V USED
spsglobal Used - $1,000.00 0 Dec/22/16 Mar/06/18
Description: AMAT APPLIED MATERIALS 0010-05574 ASSEMBLY, P5000 ZA MK-II SLIT VALVE 513 USED
usedeqsales Used - $505.15 4 Dec/22/16 Feb/21/19
Description: AMAT Applied Materials 9090-00314 Power Supply daq MkII type H Used Working
txazrecycle512 Used - $195.00 0 Dec/23/16 Jan/22/17
Description: Applied Materials AMAT 0190-36511 DIP294 DeviceNet I/O Block, USED
usedeqsales Used - $162.16 1 Dec/30/16 Apr/04/17
Description: AMAT Applied Materials 0050-43025 Pneumatic Valve Assembly 945A0PLPNCS4882 Used
keykorea Used - $60.00 0 Jan/01/17 May/01/18
Description: AMAT 0150-00167 CABLE, REMOTE CRT KYBRD, USED
keykorea Used - $130.00 0 Jan/01/17 Feb/02/17
Description: AMAT 0140-77032 HARNESS ROBOT Y2T, USED
johbedo_0 Used - $500.00 1 Jan/02/17 Jan/27/17
Description: AMAT 0190-77526 & 0190-77527 PAD CONDITIONER PC SWEEP AND PC ROATION SET, USED
usedeqsales Used - $151.17 0 Jan/03/17 Jul/20/20
Description: Leybold 85931-000-3M Heater Cable AMAT Applied Materials 0620-01188 Used Working
usedeqsales Used - $151.17 0 Jan/03/17 Jul/20/20
Description: Leybold 85932-000-3M Cooler Cable AMAT Applied Materials 0620-01186 Used Working
usedeqsales Used - $2,801.17 1 Jan/03/17 Nov/16/20
Description: Indramat 222721 Servo MAC112C-0-ED-1-B/130-A-0/-I00625/S005 AMAT 1080-90009 Used
ab-international Used - $183.16 0 Jan/03/17 Jan/13/17
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
ab-international Used - $328.76 0 Jan/03/17 Jan/13/17
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
ab-international Used - $1,408.95 0 Jan/03/17 Jan/13/17
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
cubit001 Used - $499.00 0 Jan/04/17 Feb/03/17
Description: Applied Materials 0100-35273 TEOS Temperature Control Box PCB Used
usedeqsales Used - $801.17 2 Jan/06/17 Jan/29/19
Description: AMAT Applied Materials 0021-20838 Pedestal LG OD Preclean 300MM Used Working
spsglobal Used - $300.00 2 Jan/07/17 Jun/20/17
Description: AMAT APPLIED MATERIALS 1140-01155 PWRSP DC +/- 5V @ 6A, +/- 12V@ 3A, +/- 1 USED
spsglobal Used - $350.00 9 Jan/07/17 Apr/06/17
Description: AMAT APPLIED MATERIALS 0870-01003 DRVER 2-PHASE STEPPER MOTOR USED
spsglobal Used - $450.00 0 Jan/07/17 Jun/29/21
Description: 321-0401// AMAT APPLIED 0190-75047 DRIVE, HARD DISK, 2.1 GB, 3.5 SCSI USED
spsglobal Used - $1,500.00 0 Jan/07/17 Nov/24/17
Description: AMAT APPLIED MATERIALS 0090-35731 ASSY, STPR MTR DRIVER, ASP+ ENHANCED LIF USED
spsglobal Used - $250.00 0 Jan/07/17 Jan/31/17
Description: AMAT APPLIED MATERIALS 1400-01046 SNSR SMOKE DETECTOR AMPLIFIER USED
spsglobal Used - $350.00 4 Jan/07/17 May/13/18
Description: AMAT APPLIED MATERIALS 0870-01028 DRVR 5-PHASE STEPPER MOTOR USED
spsglobal Used - $450.00 0 Jan/07/17 Jun/10/22
Description: 321-0403// AMAT APPLIED 0870-01005 DRVR STEPPER MOTOR USED
spsglobal Used - $480.00 2 Jan/07/17 Apr/18/19
Description: AMAT APPLIED MATERIALS 0870-01002 DRIVE 5-PHASE STEPPER MOTOR USED
spsglobal Used - $2,400.00 0 Jan/07/17 Mar/01/21
Description: AMAT APPLIED MATERIALS 0680-01253 CB MAG THERM 3P 600VAC 600A FRAME USED
spsglobal Used - $3,000.00 1 Jan/07/17 Mar/29/17
Description: AMAT APPLIED MATERIALS 0190-75053 DRIVER, VHP LOWER MOTOR CONTROL USED
uam_126 Used - $400.00 0 Jan/07/17 Jan/10/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
spsglobal Used - $30.00 0 Jan/08/17 Dec/02/18
Description: AMAT APPLIED MATERIALS 0680-01230 CB COMMON TRIP 2P 15A 120/240 VAC USED
spsglobal Used - $50.00 0 Jan/08/17 Aug/12/19
Description: AMAT APPLIED MATERIALS 0680-01214 CB MAG THERM 2P 240VAC 30A BOLT-ON QO USED
spsglobal Used - $600.00 0 Jan/08/17 Mar/06/18
Description: AMAT APPLIED MATERIALS 0010-76431 ASSY A.L.L.MOTOR RIGHT USED
spsglobal Used - $600.00 1 Jan/08/17 Mar/06/18
Description: AMAT APPLIED MATERIALS 0010-76430 ASSY A.L.L.MOTOR LEFT USED
spsglobal Used - $600.00 0 Jan/08/17 Mar/06/18
Description: AMAT APPLIED MATERIALS 0010-20125 ASSY, CASSETTE MOTOR USED
spsglobal Used - $300.00 0 Jan/08/17 Jun/19/17
Description: AMAT APPLIED MATERIALS 1080-01204 MOTOR STEPPING 4.6A 1/4SHFT FLT 1.8DEG USED
spsglobal Used - $200.00 1 Jan/08/17 Sep/27/22
Description: 322-0103// AMAT APPLIED 0090-75010 MOTOR ENCODER ASSY ROBOT EXTENSION USED
spsglobal Used - $200.00 0 Jan/08/17 Feb/19/18
Description: AMAT APPLIED MATERIALS 0090-75009 MOTOR ENCODER ASSY ROBOT USED
spsglobal Used - $400.00 1 Jan/08/17 Jan/07/20
Description: AMAT APPLIED MATERIALS 0090-70000 MTR-BRK-ENCDR ASSY CASS HNDLER, USED
spsglobal Used - $600.00 0 Jan/08/17 Aug/03/18
Description: AMAT APPLIED MATERIALS 0090-20129 ELECTRICAL ASSY LID LIFT UP DOWN SW USED
spsglobal Used - $300.00 0 Jan/08/17 Dec/27/17
Description: AMAT APPLIED MATERIALS 0190-35083 WATER FLOW SWITCH .50 GPM USED
spsglobal Used - $60.00 0 Jan/08/17 Jun/03/21
Description: AMAT APPLIED MATERIALS 0010-01194 ASSY, HOSE WATER B101 HTR TEFLON USED
usedeqsales Used - $3,801.17 0 Jan/09/17 Apr/16/20
Description: Brooks Automation 6-0002-0706-SP Wafer Robot WTM AMAT 0190-08246 Copper Used
usedeqsales Used - $2,470.76 1 Jan/09/17 Dec/29/23
Description: Brooks Automation WTM-511-2-FWS02-V1 Wafer Handling Robot AMAT 0190-08245 Used
usedeqsales Used - $3,801.17 0 Jan/09/17 Jul/21/22
Description: Brooks 6-0002-0706-SP Robot WTM511-2-FWS02-V1-CU AMAT 0190-08246 Copper Used
usedeqsales Scrap, for parts - $3,501.17 1 Jan/09/17 Jan/09/17
Description: Yaskawa XU-RCM6841 Wafer Handling Robot AMAT 0190-14738 Used Untested As-Is
usedeqsales Used - $601.17 1 Jan/13/17 Mar/12/18
Description: AMAT Applied Materials 0020-87074 XR80 Cylinder Drive Assembly 0040-97780 Used
svcstore Used - $799.99 0 Jan/13/17 Feb/12/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
spsglobal Used - $900.00 1 Jan/16/17 Mar/23/17
Description: AMAT APPLIED MATERIALS 0190-35974 PROBE ASSY XE CHAMBER RTP USED
spsglobal Used - $150.00 1 Jan/16/17 Feb/07/18
Description: AMAT APPLIED MATERIALS 0020-20123 HEATER, ISOLATOR CERAMIC USED
hicillau Used - $800.00 0 Jan/18/17 Oct/06/21
Description: AMAT CHAMBER INTERCONNECT ASSY BD P/N: 0100-20004 USED WITH WORKING CONDITION
pic_insit Used - $380.00 0 Jan/18/17 Feb/17/17
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
uam_126 Used - $400.00 0 Jan/20/17 Feb/22/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
levmucciacciar0 Used - $2,000.00 0 Jan/20/17 Jul/11/17
Description: AMAT 0010-19899 ASSEMBLY WAIST, FIXED WING UPPER , USED
keykorea Used - $8,900.00 1 Jan/22/17 Jun/08/18
Description: AMAT 0090-91917 DUAL RANGE PRE-ACCEL PSU LEAP2, USED
keykorea Used - $3,800.00 2 Jan/22/17 Nov/19/18
Description: AMAT 1140-90164 Advance Hivolt 4699738-0001, USED
keykorea Used - $3,500.00 1 Jan/22/17 Dec/21/17
Description: AMAT 0090-91806 FOCUS PSU ASSY (GLASSMAN), USED
keykorea Used - $6,500.00 1 Jan/22/17 Mar/28/19
Description: AMAT 0090-91155 GLASSMAN PSU VARIABLE SUPP, USED
grandbirdnet Used - $5,500.00 0 Jan/23/17 Jun/15/23
Description: AMAT 0200-01955 DEP RING, 200MM SIP TA/TAN, SNNF ESC, IN, USED
grandbirdnet Used - $1,800.00 0 Jan/23/17 Jun/15/23
Description: AMAT 0021-17725 SHUTTER DISK, SNNF ESC, 200MM SIP TA(N), USED
spsglobal Used - $800.00 1 Jan/24/17 Jan/23/18
Description: AMAT APPLIED MATERIALS 1150-01042 PROBE OEM PB-90 INFRARED PCB USED
spsglobal Used - $100.00 1 Jan/24/17 Aug/14/18
Description: AMAT APPLIED MATERIALS 0140-20167 HARNESS ASSY, SECONDARY GENERATOR RACK E USED
spsglobal Used - $800.00 0 Jan/24/17 Feb/16/17
Description: AMAT APPLIED MATERIALS 0090-20119 INTERLOCK SWITCH ASSY PC II RESONATOR USED
spsglobal Used - $250.00 0 Jan/24/17 Aug/19/20
Description: AMAT APPLIED MATERIALS 1350-01195 AMAT COMPONENT USED
spsglobal Used - $450.00 0 Jan/24/17 Mar/21/19
Description: AMAT APPLIED MATERIALS 1270-01623 SW PRESS ABS 250-320TORR 0.1-1A @ 12VDC USED
spsglobal Used - $750.00 1 Jan/24/17 Jun/15/23
Description: 323-0302// AMAT APPLIED 1120-00254 OPTICAL HOUSING ASSEMBLY,VERTICAL- RIGHT USED
spsglobal Used - $60.00 0 Jan/24/17 Jun/15/23
Description: 323-0401// AMAT APPLIED 0090-20139 ASSY SENSOR WFR LIFT TOP MID/BOTTOM USED
spsglobal Used - $120.00 0 Jan/24/17 Mar/06/18
Description: AMAT APPLIED MATERIALS 0090-20105 ASSY SENSOR WAFER SM312C V2 USED
keykorea Used - $2,200.00 0 Jan/25/17 Mar/05/18
Description: AMAT 0103-01002 Shield Inner Encore 8", USED
keykorea Used - $2,200.00 0 Jan/25/17 Mar/02/21
Description: AMAT 0021-23332 SHIELD, UPPER, STRAIGHT, SIP II 200MM, USED
levmucciacciar0 Used - $1,000.00 0 Jan/29/17 Feb/21/17
Description: Shimadzu EI-D3603M Turbomolecular Pump Controller AMAT 0010-32353 Used Working
spsglobal Used - $450.00 0 Jan/30/17 Nov/04/19
Description: AMAT APPLIED MATERIALS 0190-09134 CABLE ASSEMBLY,FIBER OPTIC 8,5FT USED
spsglobal Used - $30.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0150-35112 C/A, AC PWR, 5 PHASE DRIVER TO PCB USED
spsglobal Used - $40.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0150-22735 C/A GROUND- SOURCE RETURN SECOND SUPPLY USED
spsglobal Used - $50.00 0 Jan/30/17 Apr/12/17
Description: AMAT APPLIED MATERIALS 0150-20660 CABLE PC II RF MATCH TO CHAMBER USED
spsglobal Used - $60.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0150-20639 CABLE ASSY 2-PHASE DRIVER INPUT USED
spsglobal Used - $15.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0150-20182 CABLE ASSY, CHASSIS GND, MDX, 1 FT USED
spsglobal Used - $80.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0150-09783 CABLE ASSY, DISPLAY INTERCONNECT,ASP USED
spsglobal Used - $30.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0150-09757 CABLE ASSY, MANOMETER RIBBON, ASP USED
spsglobal Used - $50.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// APPLIED 0150-09639 CABLE ASSY,PREFIRE PWR SUPPLY, ASP USED
spsglobal Used - $50.00 1 Jan/30/17 Mar/08/22
Description: 326-0301// AMAT APPLIED 0150-10312 ASSY, CABLE, AC POWER, KEYED, MXP LID USED
spsglobal Used - $180.00 0 Jan/30/17 Jun/15/23
Description: 143-0402 AMAT APPLIED 0150-09679 CABLE ASSY, SENSORS INTERCONNECT, H2O VD USED
spsglobal Used - $80.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0150-05864 CABLE ASSY, 1000 TORR MANOMETER, DPN USED
spsglobal Used - $50.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0150-00304 CABLE ASSY. E/P MODULE MONOCHROMATOR INT USED
spsglobal Used - $160.00 0 Jan/30/17 Mar/06/18
Description: AMAT APPLIED MATERIALS 0140-20741 HARNESS ASSY SOURCE COVER PVD USED
spsglobal Used - $70.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0140-20694 HARNESS ASSY PVD SHUTTER SENSORS USED
spsglobal Used - $180.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0140-20518 HARNESS ASSY BUF EXTENSION USED
spsglobal Used - $10.00 3 Jan/30/17 Jul/10/21
Description: 326-0302// AMAT APPLIED 0140-20502 HARN ASSY AC 2-PHASE DRIVER USED
spsglobal Used - $250.00 0 Jan/30/17 Jan/11/18
Description: AMAT APPLIED MATERIALS 0140-20021 HARNESS ASSY, ANALOG/ STEPPER DISTRIBUTI USED
spsglobal Used - $70.00 0 Jan/30/17 Jun/15/23
Description: 143-0603// AMAT APPLIED 0140-09661 HARNESS ASSY, TC INTERLOCK USED
spsglobal Used - $350.00 0 Jan/30/17 Jun/15/23
Description: 143-0301// AMAT APPLIED 0140-09519 HARNESS ASSY, MINI CONTROLLER, REMOTE H2 USED
spsglobal Used - $250.00 0 Jan/30/17 Jun/15/23
Description: 143-0301// AMAT APPLIED 0140-09434 HARNESS ASSY MINICNTLER SLOT 1, H2O VDSI USED
spsglobal Used - $100.00 0 Jan/30/17 Jun/15/23
Description: 143-0301// AMAT APPLIED 0140-09197 HARNESS ASSY TE DRIVE USED
spsglobal Used - $500.00 0 Jan/30/17 Mar/04/22
Description: 326-0301// AMAT APPLIED 0140-09065 HARNESS, ETCH USED
spsglobal Used - $100.00 0 Jan/29/17 Apr/18/21
Description: AMAT APPLIED MATERIALS 0140-21362 HARNESS, RF MATCH RELAY USED
keykorea Used - $5,500.00 1 Jan/31/17 Apr/03/17
Description: AMAT 0660-01815 PCB CPU GESPAC ONTRAK # 22-0075-017 , USED
cubit001 Used - $2,199.00 0 Jan/31/17 Mar/02/17
Description: AMAT 0190-35302 DPS LAMP DRIVER USED P1145
visionsemi Used - $60.00 0 Feb/01/17 May/26/17
Description: Sanyo Denki PV2A015SMT1P50-01 Super Servo BL Drive AMAT 0190-12138 Used Working
waste-not-recycling Used - $3,050.00 1 Feb/04/17 Feb/09/17
Description: AMAT 3540-01082 8" Heater Endura PVD 0240-7680 KIT, Used Applied Materials
ab-international Used - $328.76 0 Feb/05/17 Feb/15/17
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
ab-international Used - $1,408.95 0 Feb/05/17 Feb/15/17
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
ab-international Used - $183.16 0 Feb/05/17 Feb/15/17
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
usedeqsales Used - $601.17 0 Feb/06/17 Jan/10/19
Description: AMAT Applied Materials 0100-99043 Spin Control PCB Card 0120-93468 XR80 Used
usedeqsales Used - $601.17 1 Feb/06/17 Feb/08/18
Description: AMAT Applied Materials 0100-90315 Scan Control PCB Card 0120-91068 XR80 Used
usedeqsales Used - $601.17 1 Feb/06/17 Mar/29/18
Description: AMAT Applied Materials 0100-90942 Index Servo PCB Card XR80 0120-93008 Used
usedeqsales Used - $602.17 0 Feb/06/17 Jan/10/19
Description: AMAT Applied Materials 0100-90861 Spin Scan Detector PCB Card Issue D XR80 Used
usedeqsales Used - $602.17 0 Feb/06/17 Apr/07/17
Description: AMAT Applied Materials 0100-90021 Arc Supply Motherboard PCB Card XR80 Used
usedeqsales Used - $802.17 1 Feb/06/17 Jun/28/17
Description: AMAT Applied Materials 0100-90876 Index control PCB Card 0120-92955 XR80 Used
usedeqsales Used - $601.17 0 Feb/06/17 Apr/07/17
Description: AMAT Applied Materials 0100-90890 Spin/Scan Interlock PCB Card XR80 Used Working
waste-not-recycling Used - $259.99 0 Feb/06/17 Feb/13/17
Description: LAM Research 853-001983-005 Rev. R ASSY ENDPT DET DUAL Detector V96360911 Used
usedeqsales Used - $601.17 0 Feb/06/17 Jan/10/19
Description: AMAT Applied Materials 0100-91059 Spin/Scan Motherboard PCB Card XR80 Used
usedeqsales Used - $601.17 0 Feb/06/17 Jan/10/19
Description: AMAT Applied Materials 0090-90240 Data Aquisition Module PCB Card XR80 Used
usedeqsales Used - $902.17 0 Feb/06/17 Jan/10/19
Description: AMAT Applied Materials 0100-90092 Arc Switchmode PCB Card XR80 Used Working
usedeqsales Used - $502.17 0 Feb/06/17 Jan/10/19
Description: AMAT Applied Materials 0100-90052 Motor Potentimeter Unit PCB Card XR80 Used
sdifabsurplus_usa Used - $275.00 0 Feb/08/17 Jul/25/17
Description: Rorze Ergo Loader Turn Plate Mirra CMP FABS-202 AMAT 0040-77544 USED, CLEAN
cubit001 Used - $199.00 0 Feb/09/17 Mar/11/17
Description: AMAT 0100-09123 WAFER SENSOR BOARD , USED
usedeqsales Used - $602.17 1 Feb/09/17 Dec/12/19
Description: AMAT Applied Materials 0040-02954 Mounting Spacer Ring DTCU Used Working
usedeqsales Used - $302.17 12 Feb/09/17 May/31/21
Description: Proteus Industries 91025006S24P2 Flow Meter AMAT 0090-76204 Used Working
usedeqsales Used - $512.17 1 Feb/09/17 Oct/20/17
Description: AMAT Applied Materials 0090-90967 Power Supply daq MkII type B Used Working
spsglobal Used - $500.00 0 Feb/09/17 Mar/06/18
Description: AMAT APPLIED MATERIALS 0010-75302 ASSY.,WAFER SENSOR LOW PROFILE TC USED
spsglobal Used - $500.00 0 Feb/09/17 Sep/06/18
Description: AMAT APPLIED MATERIALS 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC USED
texassemicontech Used - $296.00 0 Feb/10/17 Jun/29/18
Description: Lam Research OnTrak 413-240202-004 - RB 4520 AL A6 ILL PT ID CLN - Lot of 2 USED
spsglobal Used - $300.00 1 Feb/12/17 May/01/17
Description: AMAT APPLIED MATERIALS 1310-01047 TC PROBE K-TYPE 7/16-20 TEF INSUL USED
spsglobal Used - $80.00 0 Feb/12/17 Jun/15/23
Description: 143-0703// AMAT APPLIED 0140-70500 DPS, POLY, HARNESS, CATHODE MAINT. USED
spsglobal Used - $80.00 0 Feb/12/17 Jun/15/23
Description: 143-0703// AMAT APPLIED 0140-09542 HARN ASSY THERMO-SWITCH MAG COIL INTERLO USED
spsglobal Used - $60.00 0 Feb/12/17 Jun/15/23
Description: 143-0703// AMAT APPLIED 0140-00877 HARNESS ASSY, 300 TORR ATM/BARA WXZ USED
spsglobal Used - $800.00 4 Feb/12/17 Feb/04/18
Description: AMAT APPLIED MATERIALS 0100-35394 0021-09624 AMAT COMPONENT USED
spsglobal Used - $500.00 3 Feb/12/17 Aug/14/18
Description: AMAT APPLIED MATERIALS 0021-09622 CHASSIS,HV FILTER BOX,DPS USED
spsglobal Used - $2,000.00 2 Feb/12/17 Jul/10/22
Description: 350-0101// AMAT APPLIED 0010-93077 CONNECTOR BOX ASSY, HV FILTER, W/NEG ESC USED
spsglobal Used - $5,500.00 1 Feb/12/17 Oct/18/17
Description: AMAT APPLIED MATERIALS 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/S, DPS+ USED
spsglobal Used - $1,500.00 1 Feb/12/17 Apr/28/22
Description: 350-0101// AMAT APPLIED 0010-30140 ASSY,CONNECTOR BOX,HV FILTER,DPS USED
spsglobal Used - $3,000.00 0 Feb/12/17 Dec/27/17
Description: AMAT APPLIED MATERIALS 0010-08762 HV MODULE 5KV ASSY., CESC USED
spsglobal Used - $2,000.00 0 Feb/12/17 Apr/05/21
Description: AMAT APPLIED MATERIALS 0010-04926 HV MODULE ASSY, NEGATIVE, CESC USED
spsglobal Used - $800.00 0 Feb/12/17 Sep/25/17
Description: AMAT APPLIED MATERIALS 0630-01374 CAP VAR 5KV 25-4000PF VACUUM CERAMIC USED
spsglobal Used - $800.00 0 Feb/12/17 Sep/25/17
Description: AMAT APPLIED MATERIALS 0630-01361 CAPVAR 5KV 25-4000PF VACUUM CERAMIC USED
spsglobal Used - $300.00 0 Feb/12/17 Jun/14/18
Description: AMAT APPLIED MATERIALS 0200-20218 AMAT COMPONENT USED
spsglobal Used - $600.00 0 Feb/12/17 Apr/20/21
Description: AMAT APPLIED MATERIALS 0190-35511 ASSY,THERMOCOUPLE,TxZ,MCVD USED
spsglobal Used - $600.00 2 Feb/12/17 Jun/02/22
Description: 347-0303// AMAT APPLIED 0190-01403 T/C ASSY HEATER WXZ USED
spsglobal Used - $1,200.00 0 Feb/12/17 Jun/15/23
Description: 143-0201// AMAT APPLIED 0150-21689 CABLE ASSY. SQ RIGHT ANGLE 2FT USED
spsglobal Used - $300.00 0 Feb/12/17 Jun/14/18
Description: AMAT APPLIED MATERIALS 0020-28933 STRAP, VARIABLE CAPS, RF MATCH BOX USED
spsglobal Used - $300.00 0 Feb/12/17 Jun/14/18
Description: AMAT APPLIED MATERIALS 0020-28932 COIL, INDUCTIVE, IMP RF MATCH USED
waste-not-recycling Used - $199.99 0 Feb/13/17 Feb/20/17
Description: LAM Research 853-001983-005 Rev. R ASSY ENDPT DET DUAL Detector V96360911 Used
usedeqsales Used - $5,502.17 1 Feb/15/17 Apr/24/17
Description: CTI-Cryogenics 8135900G001 9600 Compressor AMAT 3620-01389 Used Tested Working
svcstore Used - $799.99 0 Feb/16/17 Mar/18/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $252.17 0 Feb/17/17 Mar/15/17
Description: Celerity UFC-1661 Mass Flow Controller MFC AMAT 3030-00107 100 SCCM O2 Used
usedeqsales Used - $252.17 0 Feb/17/17 Jun/15/23
Description: UNIT Instruments UFC-8161 Mass Flow Controller AMAT 3030-12413 200 SCCM Cl2 Used
waste-not-recycling Used - $99.99 1 Feb/20/17 Feb/27/17
Description: LAM Research 853-001983-005 Rev. R ASSY ENDPT DET DUAL Detector V96360911 Used
usedeqsales Used - $302.17 3 Feb/20/17 Jan/20/20
Description: AMAT Applied Materials 0100-00415 G2/G3 Protection and Delatch PCB Used Working
chengaoshop Used - $274.08 0 Feb/20/17 Feb/22/17
Description: 1pcs used good AMAT 0190-10156 REV 002 (by DHL or EMS) #F062 CY
pic_insit Used - $370.00 0 Feb/21/17 Mar/23/17
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
usedeqsales Used - $252.17 0 Feb/21/17 Mar/15/17
Description: UNIT Instruments 1661-101220 Mass Flow Controller UFC-1661 AMAT 3030-00123 Used
usedeqsales Used - $252.17 0 Feb/22/17 Dec/12/18
Description: UNIT Instruments UFC-8160 Mass Flow Controller AMAT 3030-09611 Used Working
usedeqsales Used - $302.17 0 Feb/22/17 Jan/10/19
Description: AMAT Applied Materials 0090-90085 Arc Heatsink Module Card XR80 0100-90095 Used
usedeqsales Used - $902.17 0 Feb/22/17 Jan/10/19
Description: AMAT Applied Materials 0100-90221 Arc Linear PCB Card XR80 0120-90739 Used
usedeqsales Used - $352.17 2 Feb/22/17 Aug/01/17
Description: ASTeX ABX-X494 HV Filter Board AMAT Applied Materials 0190-01291 Used Working
usedeqsales Used - $152.17 0 Feb/22/17 Jan/10/19
Description: AMAT Applied Materials 0100-90032 Mains Sense PCB Card 0120-92712 XR80 Used
nevadasurplus-com Used - $2,499.99 16 Feb/28/17 May/29/19
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $403.17 0 Mar/01/17 Feb/11/22
Description: AMAT Applied Materials 0090-01312 300mm Source Rotation Motor Assembly Used
usedeqsales Used - $2,003.17 0 Mar/01/17 Aug/31/22
Description: AMAT Applied Materials 0021-11382 CPI-VCM Chamber Source 3 Magnet Assembly Used
oddsendsandgems Used - $500.00 0 Mar/02/17 Mar/09/17
Description: AMAT 0040-09037 P5000 6 INCH REPLACEMENT BLADE ASSY (used) Applied Materials OEM
spsglobal Used - $3,800.00 1 Mar/03/17 Mar/14/17
Description: AMAT APPLIED MATERIALS 0010-10973 0010-30064 ENDPOINT HOT PACK USED
spsglobal Used - $3,800.00 1 Mar/03/17 Mar/14/17
Description: AMAT APPLIED MATERIALS 0010-10973 0010-30063 ENDPOINT HOT PACK USED
usedeqsales Used - $3,503.17 0 Mar/03/17 Nov/21/17
Description: TDK TAS300 300mm Wafer Load Port Type E4 AMAT 0190-17837 Used Working
ab-international Used - $328.76 0 Mar/05/17 Mar/15/17
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
ab-international Used - $183.16 0 Mar/05/17 Mar/15/17
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
ab-international Used - $1,408.95 0 Mar/05/17 Mar/15/17
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
johbedo_0 Used - $900.00 1 Mar/08/17 Mar/18/17
Description: AMAT 0190-77016 & 0190-77015 PAD CONDITIONER PC SWEEP AND PC ROATION SET, USED
usedeqsales Used - $203.17 1 Mar/08/17 Dec/07/18
Description: Pacific Scientific P2HNRXF-LSS-M1-02 Stepping Motor AMAT 0090-70008 Used Working
hicillau Used - $9,000.00 1 Mar/09/17 Dec/12/17
Description: AMAT CONTROLLER I/O ASSY PCB P/N: 0100-76050 USED WITH WORKING CONDITION
oddsendsandgems Used - $450.00 1 Mar/09/17 Mar/09/17
Description: APPLIED MATERIALS 0040-09037 P5000 6 INCH BLADE ASSEMBLY (used) AMAT OEM PART
johbedo_0 Used - $900.00 0 Mar/10/17 Aug/07/17
Description: AMAT 0010-39207 HIGH EFFICIENCY RF MATCH, ETCH, SUPER-E, USED
usedeqsales Scrap, for parts - $903.17 1 Mar/13/17 Jan/20/21
Description: AMAT Applied Materials 0010-08322 Local RF Match Used Untested As-Is
cubit001 Used - $295.00 0 Mar/15/17 Apr/14/17
Description: AMAT Applied Materials 0010-70321 Slit Valve Actuator Assembly Used
cubit001 Used - $1,899.00 0 Mar/15/17 Apr/14/17
Description: AMAT 0190-35302 DPS LAMP DRIVER USED P1145
keykorea Used - $360.00 0 Mar/21/17 Jul/05/17
Description: AMAT 0090-00961 CABLE ASSY, PRESS TRANSDUCER -14.7-15, USED
grandbirdnet Used - $180.00 0 Mar/21/17 Jun/15/23
Description: AMAT 3870-03073 VALVE ASSY SOL 3/2WAY 2MMORF 6106 W/2506 LOT OF 2 , USED
grandbirdnet Used - $180.00 0 Mar/21/17 Mar/06/22
Description: AMAT 3870-03073 VALVE ASSY SOL 3/2WAY 2MMORF 6106 W/2506 LOT OF 2 , USED
grandbirdnet Used - $180.00 0 Mar/21/17 Jun/15/23
Description: AMAT 3870-03073 VALVE ASSY SOL 3/2WAY 2MMORF 6106 W/2506 LOT OF 2 , USED
grandbirdnet Used - $30.00 0 Mar/21/17 Jun/15/23
Description: AMAT 0140-07866 CABLE ASSY ZONE-3/MM PRESS RGLTR HEAD PN, USED
grandbirdnet Used - $30.00 0 Mar/21/17 Jun/15/23
Description: AMAT 0140-07865 CABLE ASSY RR PRESS RGLTR HEAD PNEU ER44, USED
katiil3 Used - $999.00 0 Mar/23/17 Nov/21/18
Description: AMAT APPLIED MATERIALS 0090-00866 HV MODULE ASSY, NEGATIVE, CESC USED
grandbirdnet Used - $120.00 0 Mar/23/17 Jun/15/23
Description: AMAT 0040-46895 BRACKET CABLE STRAIN RELIEF 4-PORT UPA R, USED
grandbirdnet Used - $180.00 0 Mar/23/17 Jun/15/23
Description: AMAT 0020-16859 BASE PLATE UPA 300MM, USED
svcstore Used - $719.99 0 Mar/27/17 Apr/26/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
pic_insit Used - $365.00 0 Mar/27/17 Apr/26/17
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
techequipsales Used - $200.00 2 Mar/27/17 Jul/07/17
Description: Applied Materials 0190-36511 Device Net I/O Block DIP294 (used working)  
rolx1234 Used - $3,700.00 1 Mar/29/17 May/02/17
Description: USED Advanced Energy RFG 1250 | model 3155027-000M LAM 660-024637-002
usedeqsales Used - $307.15 1 Mar/29/17 Jun/11/18
Description: AMAT Applied Materials 0050-90658 ISO160 F to ISO160 K Flange Used Working
usedeqsales Used - $353.17 0 Mar/29/17 Jul/18/17
Description: MKS Instruments 750B11TCD2GA Baratron AMAT 0190-18037 Used Tested Working
levmucciacciar0 Used - $150.00 0 Mar/29/17 Mar/15/20
Description: AMAT APPLIED MATERIALS 0190-24116 ASSY. PCB, CDN396R, A-I/O, 300MM ENDURA USED
levmucciacciar0 Used - $125.00 1 Mar/29/17 Jul/12/17
Description: AMAT 0100-77042 PCB ASSY, PENTIUM INTERFACE, USED
nevadasurplus-com Used - $99.99 1 Mar/31/17 Apr/07/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $154.17 11 Apr/04/17 Mar/24/22
Description: AMAT Applied Materials 0100-20454 Controller Backplane Board PCB Rev. 005 Used
usedeqsales Used - $904.17 1 Apr/04/17 Mar/11/21
Description: AMAT Applied Materials 0090-02233 HDPCVD Interlock PCB Card 0190-00285 Used
usedeqsales Used - $554.17 1 Apr/05/17 Sep/10/17
Description: AMAT Applied Materials 0100-01781 300mm HDPCVD Chamber Distribution Board Used
usedeqsales Used - $404.17 0 Apr/05/17 Nov/30/22
Description: AMAT Applied Materials 0100-01492 Source Conditioning Board PCB Used Working
usedeqsales Used - $604.17 0 Apr/05/17 Sep/27/18
Description: AMAT Applied Materials 0100-01546 Source Magnet Control PCB Card 0120-01546 Used
johbedo_0 Used - $1,500.00 1 Apr/05/17 Apr/07/17
Description: AMAT, 0010-77825, smc, pnuematic, ELECTRONIC BOX, np420-dn1, used
usedeqsales Used - $354.17 1 Apr/06/17 Jul/05/19
Description: AMAT Applied Materials 0100-20097 Water Leak Detector Board PCB Used Working
plccenter Used - $210.00 1 Apr/07/17 Sep/13/22
Description: APPLIED MATERIAL 0100-00946 / 010000946 (USED TESTED CLEANED)
grandbirdnet Used - $180.00 0 Apr/09/17 Jun/15/23
Description: AMAT 3870-02057 VALVE 3-WAY FLAREGRIP OD TUBE 1/4, USED
cubit001 Used - $150.00 0 Apr/10/17 May/10/17
Description: AMAT 0150-09913 CABLE ASSY MFC & 5000 SYS. , USED
usedeqsales Used - $454.17 5 Apr/11/17 Jan/08/19
Description: Tylan General CMLA-11 Baratron Gauge Lam 853-017643-003 Used Tested Working
johbedo_0 Used - $400.00 0 Apr/11/17 Aug/09/17
Description: AMAT, 0180-77060, smc, pnuematic, ELECTRONIC BOX, np420-dn1, used
grandbirdnet Used - $49.00 0 Apr/11/17 Jun/15/23
Description: AMAT 0140-09004 HARNESS,LOWER,TILY CASS , USED
grandbirdnet Used - $79.00 0 Apr/11/17 Jun/15/23
Description: AMAT 0140-09542 HARN ASSY THERMO-SWITCH MAG COIL INTERLO, USED
keykorea Used - $18.00 0 Apr/11/17 Apr/12/17
Description: AMAT 0020-09577 COVER, ENCLOSURE, I/O VALVE, USED
desertmarketaz Used - $69.88 0 Apr/11/17 May/11/17
Description: NOVELLUS QUAD INTERFACE ASSY 02-105044-00 USED
usedeqsales Used - $2,004.17 2 Apr/12/17 Jul/04/19
Description: AMAT Applied Materials 0010-09978 Module MFG Gold Precision 5000 P5000 Used
usedeqsales Used - $2,004.17 1 Apr/12/17 Oct/24/17
Description: AMAT Applied Materials 0010-09337 200mm Lamp Module Precision 5000 P5000 Used
usedeqsales Used - $2,502.09 1 Apr/13/17 Sep/17/19
Description: AMAT Applied Materials 0010-76036 5000 Platform Mini-Contoller P5000 Used
usedeqsales Used - $3,504.17 0 Apr/13/17 Nov/21/17
Description: TDK TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used Working
usedeqsales Used - $3,504.17 0 Apr/13/17 Oct/21/20
Description: AE Advanced Energy 27-368450-00 B RF Navigator 10013 3155162-037 Novellus Used
buynsave2005 Scrap, for parts - $39.99 7 Apr/14/17 Aug/07/17
Description: Lam Research P/N 853-800838-010 USED UNTESTED AS IS
johbedo_0 Used - $1,500.00 1 Apr/14/17 Apr/16/17
Description: AMAT, 0040-70731, REV001, PAD CONDITIONER, HUB, ASSY, USED
usedeqsales Used - $7,004.17 0 Apr/17/17 Jun/05/18
Description: CTI-Cryogenics 3620-00474 IS-1000 Compressor LV AMAT Used Tested Working
usedeqsales Used - $804.17 1 Apr/19/17 Jun/05/17
Description: HiTek Power A1028760 DC Power Supply AMAT Applied Materials 1140-00161 Used
usedeqsales Used - $604.17 1 Apr/19/17 May/18/17
Description: AMAT Applied Materials 0100-00519 Source Magnet Control PCB Card 0110-00559 Used
usedeqsales Used - $704.17 1 Apr/19/17 Mar/05/18
Description: AMAT Applied Materials 0100-00790 Vendor Interface Pre-Accel PCB Card Used
usedeqsales Used - $704.17 1 Apr/19/17 Mar/05/18
Description: AMAT Applied Materials 0100-00272 Vendor Interface A MAG Magnet PCB Card Used
usedeqsales Used - $2,504.17 1 Apr/18/17 Sep/14/21
Description: AMAT Applied Materials 0010-09263 Precision 5000 Pneumatic Panel P5000 Used
usedeqsales Used - $1,004.17 0 Apr/18/17 Apr/05/18
Description: AMAT Applied Materials 0100-76181 Precision 5000 Wiring Distribution PCB Used
usedeqsales Used - $1,204.17 1 Apr/18/17 Aug/26/21
Description: AMAT Applied Materials 0010-76001 Cleanroom Storage Elevator P5000 No Motor Used
usedeqsales Refurbished - $5,504.17 0 Apr/18/17 Jun/20/17
Description: AMAT Applied Materials 0100-76015 Precision 5000 Cleanroom 8" Robot P5000 Used
usedeqsales Used - $204.17 1 Apr/18/17 May/01/20
Description: AMAT Applied Materials 0150-35488 Monitor Cable Reseller Lot of 2 Used Working
usedeqsales Used - $3,504.17 0 Apr/18/17 Mar/31/20
Description: AMAT Applied Materials 0010-33724 High Efficiency RF Bias Match Used Working
cubit001 NEW - $2,000.00 0 Apr/21/17 May/21/17
Description: AMAT APPLIED MATERIALS 0010-04926 HV MODULE ASSY, NEGATIVE, CESC USED
cubit001 Used - $300.00 0 Apr/21/17 May/21/17
Description: AMAT 0190-35413 Rev. A ASSY THERMOCOUPLE, PRODUCER AMAT used
usedeqsales Used - $5,004.17 1 Apr/26/17 May/02/17
Description: AMAT Applied Materials 0290-76069 Main AC Panel Endura System 3820 5500 Used
usedeqsales Used - $2,004.17 0 Apr/26/17 Oct/04/19
Description: AMAT Applied Materials 9090-00791 ITL 29Z Power Supply Assembly Used Working
usedeqsales Used - $354.17 0 Apr/25/17 Sep/13/21
Description: AMAT Applied Materials 0100-09107 TEOS Gas Interface PCB Precision P5000 Used
usedeqsales Used - $254.17 1 Apr/25/17 Oct/27/21
Description: AMAT Applied Materials 0100-09224 Expanded RS232 Interconnect PCB P5000 Used
usedeqsales Used - $354.17 0 Apr/25/17 Sep/15/21
Description: AMAT Applied Materials 0100-09106 Expanded Gas Panel Interface PCB P5000 Used
usedeqsales Used - $3,804.17 0 Apr/26/17 Apr/09/18
Description: Brooks 6-0002-0705-SP Wafer Robot WTM511-2-FWS02-V1 AMAT 0190-08245 Used Working
nevadasurplus-com Used - $499.99 0 Apr/26/17 May/03/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $2,854.17 0 Apr/27/17 Aug/08/17
Description: AMAT Applied Materials 0020-70254 Interface Chamber and Lid Assembly P5000 Used
usedeqsales Used - $2,804.17 0 Apr/27/17 Jan/08/21
Description: AMAT Applied Materials 0010-70001 8" Cassette Handler 0223-09246 P5000 Used
usedeqsales Scrap, for parts - $1,804.17 0 Apr/27/17 Aug/28/18
Description: AMAT Applied Materials P5000 Heat Exchanger 0221-10821 Used Untested As-Is
johbedo_0 Used - $300.00 0 Apr/27/17 Jul/26/17
Description: APPLIED MATERIALS, AMAT, CARRIER RING, 0020-79088, USED
usedeqsales Used - $5,004.17 1 Apr/28/17 Jan/08/21
Description: CTI-Cryogenics 3620-00503 IS-1000 Compressor LV AMAT Used Tested Working
usedeqsales Used - $204.17 0 Apr/28/17 Jun/15/23
Description: AMAT Applied Materials 0100-09134 DIO Fuse Board PCB P5000 Precision 5000 Used
usedeqsales Used - $454.17 6 Apr/28/17 Jun/25/23
Description: AMAT Applied Materials 0100-11002 Digital I/O PCB Card Precision 5000 P5000 Used
usedeqsales Used - $254.17 1 Apr/28/17 Dec/04/17
Description: AMAT Applied Materials 0090-91640 Power Supply Assembly WSR45UM44-C Used Working
usedeqsales Used - $1,962.92 0 Apr/28/17 Jun/15/23
Description: AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP Used Working
usedeqsales Used - $1,204.17 5 Apr/28/17 Jul/29/22
Description: AMAT Applied Materials 9010-01382ITL Celerity SiF4 MFC Gas Panel Assembly Used
usedeqsales Used - $1,204.17 1 Apr/28/17 Oct/13/17
Description: Celerity 9010-02276 ITL CO2 Gas Panel Assembly AMAT 9240-05618ITL Used Working
usedeqsales Used - $254.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00095 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $354.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00195 System I/O Digital Cable P5000 Used Working
ab-international Used - $1,408.95 0 Apr/30/17 May/10/17
Description: Lot of 8 Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mb
ab-international Used - $328.76 0 Apr/30/17 May/10/17
Description: Lot of 5 Used AMAT Applied Materials 0100-40025 Mainframe Interconnect Board PCB
ab-international Used - $183.16 0 Apr/30/17 May/10/17
Description: Lot of 4 Used AMAT Applied Materials 0100-40024 Load Lock Interconnect Board PCB
lurchangel Used - $109.99 0 Apr/30/17 Apr/03/18
Description: Lam Research 715-028615-002 8" Upper Baffle Plate Used
usedeqsales Used - $255.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00096 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $255.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00097 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $255.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00077 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $3,503.62 1 May/01/17 Feb/11/20
Description: CTI-Cryogenics 3620-00317 On-Board IS-1000 Compressor AMAT Used Tested Working
dy-global Used - $129.90 0 May/01/17 Dec/01/20
Description: Lam RESEARCH Used ASSY 810-800156-002 REV.C WATER DETECTOR SEM-I-54=o206
dy-global Used - $399.90 1 May/01/17 May/16/17
Description: Lam RESEARCH Used 810-802901-30S 810-800256-004 710-802901-305 SEM-I-55=2M24
svcstore Used - $719.99 0 May/01/17 May/31/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
dy-global Used - $499.90 1 May/01/17 May/16/17
Description: Lam RESEARCH Used NODE BOARD TYPE3 810-800256-004 REV.F SEM-I-57=2M24
dy-global Used - $249.90 0 May/01/17 Dec/01/20
Description: Lam RESEARCH Used SYSTEM INTERLOCK 300mm 810-800031-300 REV.A SEM-I-51=2M24
dy-global Used - $399.90 1 May/01/17 May/08/17
Description: Lam RESEARCH Used NODE BOARD, TYPE3 810-800256-005 REV.C SEM-I-50=2M24
usedeqsales Used - $305.17 1 May/02/17 May/03/17
Description: AMAT Applied Materials 0020-10666 Power Supply Panel Precision 5000 P5000 Used
usedeqsales Used - $2,005.17 1 May/03/17 Jul/03/17
Description: AMAT Applied Materials 0100-20001 System Electronics Interface PCB P5000 Used
usedeqsales Used - $455.17 2 May/03/17 Apr/06/18
Description: AMAT Applied Materials 0100-11001 Analog Output PCB Precision 5000 P5000 Used
usedeqsales Used - $2,005.17 0 May/03/17 Sep/15/17
Description: Synergy Microsystems 0090-76110 V21 VME PCB Card AMAT Precision 5000 P5000 Used
usedeqsales Used - $805.17 0 May/03/17 May/21/20
Description: AMAT Applied Materials 0100-90851 H.V/A.MAG Motherboard PCB 0100-90015 Used
usedeqsales Used - $455.17 1 May/04/17 Jul/29/18
Description: AMAT Applied Materials 0100-09071 SBC I/O Breakout Board PCB Rev. C P5000 Used
usedeqsales Used - $705.17 1 May/04/17 Nov/09/17
Description: AMAT Applied Materials 0100-91055 Gap Servo PCB Card 0110-90824 Used Working
usedeqsales Used - $1,005.17 1 May/04/17 Jul/15/18
Description: AMAT Applied Materials 0100-09299 Serial PCB Card Mizar 8115-F-00-00 P5000 Used
nevadasurplus-com Used - $499.99 0 May/04/17 May/11/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $605.17 0 May/04/17 Nov/29/21
Description: AMAT Applied Materials 0100-09009 Buffer I/O PCB Card Rev. H Precision 5000 Used
usedeqsales Used - $2,005.17 1 May/04/17 May/17/17
Description: AMAT Applied Materials 0190-76050 VGA Video Controller VME PCB Card P5000 Used
usedeqsales Used - $805.17 5 May/04/17 Dec/20/20
Description: AMAT Applied Materials 0010-13967 OFT Centerfinder Receiver 300mm Centura Used
usedeqsales Used - $805.17 4 May/04/17 Dec/20/20
Description: AMAT Applied Materials 0010-76968 OFT Centerfinder Emitter 300mm Centura Used
usedeqsales Used - $155.17 0 May/04/17 Jun/19/17
Description: Cutler-Hammer 13101RS1334 Photoelectric Switch AMAT 0010-08427 Centura Used
cubit001 Used - $650.00 0 May/05/17 Jun/04/17
Description: AMAT 0010-09635 DELTA SACVD GAS BOX USED
usedeqsales Used - $355.17 3 May/05/17 Feb/19/18
Description: AMAT Applied Materials 0010-70321 Slit Valve Actuator Gate Assembly P5000 Used
rolx1234 Used - $2,700.00 2 May/05/17 Mar/14/18
Description: USED Advanced Energy RFG 1250 | model 3155027-000M LAM 660-024637-002
techshop7777 Used - $1,100.00 1 May/08/17 May/11/17
Description: Applied Materials AMAT 0100-20069 Wafer Orienter BD PCB Board/ Barely Used
usedeqsales Used - $305.17 1 May/08/17 Jun/17/17
Description: AMAT Applied Materials 0020-10666 Power Supply Panel Precision 5000 P5000 Used
cubit001 Used - $599.00 0 May/09/17 Jun/08/17
Description: AMAT APPLIED MATERIALS 0100-70034 ASSY, PCB EP VGA INTERCONNECT USED
cubit001 Used - $305.00 0 May/09/17 Jun/08/17
Description: AMAT 0020-10666, 0020-10665 Power Supply Panel Precision 5000 P5000 Used
usedeqsales Used - $1,505.17 1 May/09/17 Jul/07/17
Description: AMAT Applied Materials 0021-22627 300mm Robot Blade End Effector 0040-96312 Used
usedeqsales Used - $1,505.17 1 May/09/17 Jul/07/17
Description: AMAT Applied Materials 0021-22627 300mm Robot Blade End Effector 0040-96311 Used
usedeqsales Used - $605.17 1 May/09/17 Apr/20/21
Description: Tylan General AC213C AdapTorr Valve Controller AC-2 Novellus 04-848579-00 Used
katiil3 Used - $499.00 0 May/10/17 Oct/23/21
Description: AMAT 0021-03637 FACEPLATE SILANE, OEM USED, Producer 200mm
usedeqsales Used - $405.17 0 May/10/17 Apr/23/21
Description: AMAT Applied Materials 0100-09011 AI MUX/CURRENT SENSE PCB Rev. G P5000 Used
usedeqsales Used - $1,005.17 0 May/10/17 May/18/17
Description: Xantrex XHR 7.5-80 DC Power Supply AMAT 1140-00154 Used Tested Working
usedeqsales Used - $305.17 0 May/11/17 Dec/19/17
Description: AMAT Applied Materials 0100-00014 OPTO Detect PCB Card Precision 5000 P5000 Used
nevadasurplus-com Used - $499.99 0 May/11/17 May/18/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
cubit001 Used - $1,099.00 0 May/11/17 Jun/10/17
Description: AMAT 0020-20390 HP ROBOT ARM 0020-70336 HP ROBOT WING USED
desertmarketaz Used - $69.88 0 May/15/17 Jun/14/17
Description: NOVELLUS QUAD INTERFACE ASSY 02-105044-00 USED
keykorea Used - $5,800.00 0 May/15/17 Jun/08/17
Description: AMAT 0010-77184 UPPER PNEUMATIC ASSEMBLY FOR MIRRA CMP , USED
cubit001 Used - $353.00 0 May/16/17 Jun/15/17
Description: AMAT Applied Materials 0010-70321 Slit Valve Actuator Gate Assembly P5000 Used
usedeqsales Used - $355.17 3 May/16/17 Jan/18/18
Description: Panasonic MQDB012AAD02 AC Servo Motor Drive AMAT 0190-15328 Used Working
usedeqsales Used - $305.17 1 May/16/17 Aug/11/17
Description: Yaskawa SGDH-04AE Y904 Servo Drive Servopack AMAT 0190-08039 Used Working
usedeqsales Used - $505.17 0 May/16/17 Sep/22/20
Description: DIP Incorporated 15039603 CDN396 Board PCB Card AMAT 0190-01270 Used Working
usedeqsales Used - $505.17 0 May/16/17 Mar/26/20
Description: MKS Instruments AS01391-21 CDN391R Board PCB Card AMAT 0190-24115 Used Working
nevadasurplus-com Used - $499.99 0 May/22/17 May/29/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
cubit001 Used - $199.00 0 May/23/17 Jun/22/17
Description: AMAT 0200-09074 Quartz Window, Heater Lamp Window, P5000 CVD Chamber USED
spsglobal Used - $330.00 0 May/25/17 Dec/05/18
Description: 130-0401// AMAT APPLIED MATERIALS 0100-09139 BOARD USED
spsglobal Used - $100.00 1 May/25/17 Dec/08/22
Description: 130-0401// AMAT APPLIED 0100-09134 BOARD USED
spsglobal Used - $150.00 0 May/25/17 Nov/10/20
Description: 130-0401// AMAT APPLIED MATERIALS 0100-09048 BOARD USED
spsglobal Used - $145.00 0 May/25/17 Apr/20/22
Description: 129-0201// AMAT APPLIED 0100-09029 BOARD USED
spsglobal Used - $500.00 1 May/25/17 Aug/29/17
Description: 130-0401// AMAT APPLIED MATERIALS 0090-09145 BOARD USED
spsglobal Used - $220.00 1 May/25/17 Jan/06/22
Description: 130-0402// AMAT APPLIED 0660-01081 wBACK PLANE AT 6 SLOTS USED
spsglobal Used - $150.00 1 May/25/17 Oct/29/18
Description: 130-0402// AMAT APPLIED MATERIALS 0100-09102 BOARD USED
spsglobal Used - $250.00 0 May/25/17 Feb/13/19
Description: 130-0402// AMAT APPLIED MATERIALS 0100-09006 BOARD USED
spsglobal Used - $350.00 0 May/25/17 Mar/14/23
Description: 130-0402// AMAT APPLIED 0010-09565 0020-10482 BOARD USED
spsglobal Used - $1,000.00 0 May/25/17 Aug/19/20
Description: 130-0401// AMAT APPLIED MATERIALS 0100-77003 BOARD USED
spsglobal Used - $900.00 0 May/25/17 Jan/15/20
Description: 130-0403// AMAT APPLIED MATERIALS 0660-01106 BOARD USED
spsglobal Used - $120.00 0 May/25/17 Aug/15/18
Description: 130-0403// AMAT APPLIED MATERIALS 0100-20040 BOARD USED
spsglobal Used - $300.00 0 May/25/17 Aug/01/22
Description: 130-0403// AMAT APPLIED 0100-09022 wPCB ASSY, MINI AI/AO USED
spsglobal Used - $250.00 0 May/25/17 Dec/02/21
Description: 130-0403// AMAT APPLIED 0100-09009 PCB ASSY, BUFFER BOARD USED
used1eqsales Used - $705.15 0 Jun/02/17 Mar/15/18
Description: AMAT 0100-01415 Vendor Interface A Mag PCB Quantum X Beamline Rack used working
used1eqsales Used - $705.15 2 Jun/02/17 Mar/29/18
Description: AMAT 0100-91015 Circuit Board Monitor Interface Rev E AMAT Quantum used working
used1eqsales Used - $2,005.13 0 Jun/02/17 Mar/29/18
Description: AMAT Applied Materials 0190-24298 Endura Cable Rev. 002 Used Working
used1eqsales Used - $2,005.13 0 Jun/02/17 Mar/29/18
Description: AMAT Applied Materials 0190-00576 Endura Main Frame Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 0 Jun/02/17 Mar/29/18
Description: AMAT Applied Materials 0190-28182 Endura RF Cable Rev. 03 Used Working
used1eqsales NEW - $803.13 0 Jun/01/17 Mar/16/18
Description: AMAT Applied Materials 0040-13509 300mm Preclean 21 OD Belljar Used Working
used1eqsales NEW - $803.13 0 Jun/01/17 Feb/15/18
Description: AMAT Applied Materials 0010-07476 300mmPVD Chamber Clear Lid Viewport Used
used1eqsales Used - $2,003.13 0 Jun/01/17 Mar/16/18
Description: AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working
used1eqsales Used - $710.15 10 Jun/02/17 Dec/20/17
Description: AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working
used1eqsales Used - $3,009.13 0 Jun/02/17 Mar/29/18
Description: Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-00473 used
used1eqsales Used - $404.16 0 Jun/02/17 Mar/29/18
Description: Particle Measuring Systems 659510-100 FiberVac II AMAT 9090-01134 used working
usedeqsales Used - $1,005.17 0 Jun/01/17 Jun/14/17
Description: Xantrex XHR 7.5-80 DC Power Supply AMAT 1140-00154 Used Tested Working
svcstore Used - $719.99 0 Jun/01/17 Jul/01/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
used1eqsales Used - $1,504.13 0 Jun/02/17 Mar/29/18
Description: Novellus Systems 26-166313-00 Rev. 6 Interface Gamma 03-166313-00 Rev. A Used
dy-global Used - $299.90 0 Jun/05/17 Aug/04/17
Description: Lam RESEARCH Used FAB 710-099175-006 VIOP REV.B 810-099175-013 PCB-I-E-356=2M22
used1eqsales Used - $805.16 0 Jun/05/17 Mar/29/18
Description: LAM Research 852-017750-001 Remote used untested as-is
used1eqsales Used - $1,502.14 0 Jun/05/17 Mar/29/18
Description: AMAT 9090-00846 Beamline Power Distribution Unit Rev C AMAT Quantum X used works
used1eqsales Used - $2,503.14 0 Jun/05/17 Mar/29/18
Description: HiTek 0090-91806 Power Supply AMAT Quantum X PRA Rack used working
used1eqsales Used - $2,504.14 0 Jun/05/17 Mar/29/18
Description: AMAT 0010-22567 Source Chamber Top CPI-VMO AMAT Endura 300mm used as-is
used1eqsales Used - $1,504.14 0 Jun/05/17 Mar/16/18
Description: AMAT 9090-00442 Decel PSU Resistor Assembly A 9010-01409 AMAT Quantum X used
used1eqsales Used - $4,007.15 1 Jun/06/17 Sep/18/17
Description: AMAT 0010-10976 Degas Chamber E AMAT 5500 Endura CU Barrier/Seed 300mm used
spsglobal Used - $8,000.00 0 Jun/07/17 Sep/06/21
Description: 107-0301// AMAT APPLIED 0010-76149 ASSEMBLY, 6 HTHU HEATER USED
spsglobal Used - $6,000.00 0 Jun/07/17 Nov/19/18
Description: 106-0301// AMAT APPLIED MATERIALS 0010-21530 HEATER USED
spsglobal Used - $3,500.00 0 Jun/07/17 Jan/25/22
Description: 137-0501// AMAT APPLIED 0010-00745 5000 ETCH INT FND POINT MONOCHROMATOR H1 USED
spsglobal Used - $3,500.00 0 Jun/08/17 Jan/05/21
Description: 137-0501// AMAT APPLIED MATERIALS 0010-09935 MOCULE MFG USED
katiil3 Used - $1,299.00 1 Jun/08/17 Jun/16/17
Description: AMAT APPLIED MATERIALS 0190-35765 SERIPLEX MUX I/O PCB, (208-239) USED
used1eqsales Used - $4,008.14 0 Jun/08/17 Mar/27/18
Description: AMAT 0010-22568 CPI-VMO Chamber Source 4 Rev 001 AMAT Endura 300mm used working
used1eqsales Used - $507.14 0 Jun/08/17 Mar/27/18
Description: AMAT Quantum X 9090-00879 Gas Interlock Chassis Module Rev. B used working
used1eqsales Used - $1,604.16 3 Jun/08/17 Oct/31/17
Description: CTI 0500-00277 On Board IS Controller AMAT Quantum X PRA Rack used working
used1eqsales Used - $1,512.14 0 Jun/08/17 Mar/27/18
Description: AMAT 9090-00846 Beamline Power Distribution Unit Rev B Quantum X used working
used1eqsales Used - $1,512.14 0 Jun/08/17 Mar/27/18
Description: AMAT 9090-00846 Beamline Power Distribution Unit Rev E Quantum X used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01490 Faraday Alignment PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01491 Beam Align PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01489 E-Chuck PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01488 Z Sensor PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01486 Y Sensor PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01485 X Sensor PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01490 Faraday Alignment PCB Rev C AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01491 Beam Align PCB Rev C AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01489 E-Chuck PCB Rev A AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01488 Z Sensor PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Dec/20/17
Description: AMAT 0100-01487 Gripper IN LL PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01486 Y Sensor PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01485 X Sensor PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $5,005.15 0 Jun/08/17 Mar/27/18
Description: AMAT 9240-04939 Control Chassis Rev A Quantum X PRA Rack used working
used1eqsales Used - $3,011.14 0 Jun/08/17 Nov/17/17
Description: Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-01265 used
used1eqsales Used - $3,011.14 0 Jun/08/17 Mar/27/18
Description: Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-01265 used
spsglobal Used - $2,000.00 0 Jun/09/17 Apr/24/23
Description: 136-0501// AMAT APPLIED 0010-09331 (#3) AMPULE/CHAMBER ASSY, TEOS USED
spsglobal Used - $2,000.00 0 Jun/09/17 Apr/24/23
Description: 136-0501// AMAT APPLIED 0010-09331 (#2) (WITHOUT COVER) AMPULE/CHAMBER TEOS USED
spsglobal Used - $2,500.00 1 Jun/09/17 Feb/27/23
Description: 136-0501// AMAT APPLIED 0010-09331 (#1) (WITH COVER) AMPULE/CHAMBER TEOS USED
spsglobal Used - $2,000.00 0 Jun/09/17 Jul/05/17
Description: 116-0304// AMAT APPLIED MATERIALS 0020-34118 USED
spsglobal Used - $2,200.00 0 Jun/09/17 Jan/04/19
Description: 107-0201// AMAT APPLIED MATERIALS 0020-76180 USED
spsglobal Used - $3,500.00 0 Jun/09/17 Jan/04/19
Description: 107-0201// AMAT APPLIED MATERIALS 0020-21881 USED
spsglobal Used - $10,000.00 0 Jun/09/17 Jan/31/23
Description: 107-0201// AMAT APPLIED 0010-04941 300MM SIP MAGNET ASSEMBLY USED
spsglobal Used - $5,000.00 0 Jun/09/17 May/17/21
Description: 106-0101// AMAT APPLIED MATERIALS 0010-03488 USED
used1eqsales Used - $1,001.16 0 Jun/09/17 Mar/27/18
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
used1eqsales Used - $2,509.14 0 Jun/09/17 Mar/27/18
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $2,209.14 0 Jun/09/17 Mar/27/18
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $990.15 0 Jun/09/17 Mar/26/18
Description: AMAT 0010-22876 Door Assembly 300mm MD SWLL 0040-03795-002 used working
tdindustrial Used - $449.50 1 Jun/09/17 Apr/10/18
Description: Lam Research Upper Electrode Seal Plate, 715-140280-001, Rev E1, Used
used1eqsales Used - $2,001.13 0 Jun/13/17 Mar/21/18
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
used1eqsales Used - $2,001.13 0 Jun/13/17 Mar/21/18
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
usedeqsales Used - $3,206.17 1 Jun/13/17 Sep/12/17
Description: Advanced Hivolt 1140-90164 Power Supply HiTek AMAT Applied Materials XR80 Used
usedeqsales Used - $3,206.17 1 Jun/13/17 Sep/12/17
Description: Advanced Hivolt 1140-90164 Power Supply HiTek AMAT Applied Materials XR80 Used
used1eqsales Used - $5,000.00 0 Jun/12/17 Mar/21/18
Description: AMAT Applied Materials 9090-01095 Controller Chasis Rev. A Used Working
used1eqsales Used - $810.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-24405 Magnetic Source Rev. 004 0040-84886 used working
used1eqsales Used - $3,509.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Jun/12/17 Nov/20/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $506.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0020-52929 Graphite G3 Holder used working
used1eqsales Used - $2,004.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0010-25341 CPI-VMO Chamber Source 1 Rev004 AMAT Endura 300mm used sold a is
used1eqsales Used - $2,804.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0010-22567 CPI-VMO Chamber Source 3 Rev 003 used sold a is
used1eqsales Used - $3,003.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-25341 CPI-VMO Chamber Source 1 Rev 003 used sold as is
used1eqsales Used - $710.15 0 Jun/12/17 Dec/20/17
Description: AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working
used1eqsales Used - $2,009.15 0 Jun/12/17 Mar/21/18
Description: Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X used working
used1eqsales Used - $1,506.15 0 Jun/12/17 Mar/21/18
Description: Acrom 7041-64423-004-102 Mobile Desktop missingpanel AMAT 9090-00810 used tested
used1eqsales Used - $1,506.15 0 Jun/12/17 Mar/21/18
Description: Acrom 7041-64423-004-102 Mobile Desktop Computer AMAT 9090-00810 used tested
used1eqsales Used - $1,706.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0040-61317 Aluminum Oxide Coated Bell Jar 300mm cleaned once used working
used1eqsales Used - $1,606.15 1 Jun/12/17 Aug/29/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
used1eqsales NEW - $1,806.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm cleaned used works
used1eqsales Used - $804.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-25341 CPI-VMO Chamber 1 used for parts sold as is
used1eqsales Used - $353.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0041-38981 RF Ground Shield Source 300mm RF PVD used working
used1eqsales Used - $1,203.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0200-76058 Quartz Pedestal Large Pin Diameter 300mm cleaned used working
used1eqsales Used - $455.54 1 Jun/12/17 Jun/28/17
Description: Novellus 61-381415-00 Computer Server AFA2 1U used tested works
used1eqsales Used - $1,506.15 0 Jun/12/17 Mar/21/18
Description: Novellus 04-341742-00 Pedestal Kit Welded Flat Preheat 2130 used working
used1eqsales Used - $1,503.15 0 Jun/12/17 Mar/22/18
Description: Novellus 02-418668-00 Ped Assy Hardware PNL Small Pkt C3ALT used sold as is
cubit001 Used - $599.00 0 Jun/13/17 Jul/13/17
Description: AMAT APPLIED MATERIALS 0100-70034 ASSY, PCB EP VGA INTERCONNECT USED
desertmarketaz Used - $69.88 0 Jun/14/17 Jul/14/17
Description: NOVELLUS QUAD INTERFACE ASSY 02-105044-00 USED
exper-tech Used - $135.00 0 Jun/14/17 Mar/01/19
Description: AMAT Applied Materials 0020-21073 Blank Flange Trasnsfer with RGA Port, Used
dy-global Used - $1,699.90 0 Jun/17/17 Sep/17/20
Description: Lam RESEARCH Used 853-042958-201 REV.B KN VME 7671 810-099175-013 SEM-I-66
dy-global Used - $1,699.90 0 Jun/17/17 Sep/17/20
Description: Lam RESEARCH Used 853-042958-201 Rev.B 605-048878-001 810-099175-013 SEM-I-67
spsglobal NEW - $7,000.00 0 Jun/19/17 Sep/11/20
Description: 109-0101// AMAT APPLIED 3870-00331 VALVE GATE PENDULUM HEATED 200 USED
spsglobal NEW - $6,000.00 0 Jun/19/17 Dec/02/20
Description: 109-0101// AMAT APPLIED 0010-10106 VDS FACILITY COMPONENTS INTERFACE UNIT USED
spsglobal Used - $4,750.00 1 Jun/19/17 Mar/18/24
Description: 108-0601// AMAT APPLIED 0010-20768 (#2) APPLIED MATRIALS COMPONENTS USED
spsglobal NEW - $5,000.00 1 Jun/19/17 Aug/12/18
Description: 108-0601// AMAT APPLIED 0010-20223 (#2) wMAGNET REM 11.3"TIN ASY USED
spsglobal Used - $10,000.00 0 Jun/19/17 Oct/18/21
Description: 108-0501// AMAT APPLIED 0010-20223 (#3) (CLEAN) wMAGNET REM 11.3"TIN ASY USED
spsglobal Used - $3,000.00 0 Jun/19/17 Sep/12/23
Description: 108-0401 AMAT APPLIED 0010-21403 (#1) APPLIED MATRIALS COMPONENTS [USED]
johbedo_0 Used - $300.00 0 Jun/24/17 Sep/06/17
Description: APPLIED MATERIALS, AMAT, SLURRY ARM, 0041-33725, REV05, USED
techequipsales NEW - $800.00 1 Jun/26/17 Jun/04/20
Description: Novellus 02-800470-06 Pin lift 5+blank Rev. E Assembly (used working)  
exper-tech Used - $250.00 0 Jun/28/17 Mar/01/19
Description: AMAT 0040-77243 Composite Retainer Ring, Used
spsglobal Used - $1,000.00 2 Jun/28/17 Sep/24/22
Description: 140-0501// AMAT APPLIED 0020-24230 BASE PRECLEAN 2 USED
spsglobal Used - $1,000.00 1 Jun/28/17 Sep/28/20
Description: 137-0101// AMAT APPLIED 0500-01139 CNTRL DUAL ZONE PHASE ANGLE 208V 50/60HZ USED
spsglobal Used - $2,000.00 0 Jun/28/17 Jul/18/18
Description: 137-0101// AMAT APPLIED 0190-70060 wMAGNET DRIVE ASSY USED
spsglobal Used - $6,000.00 0 Jun/28/17 Sep/27/19
Description: 135-0201// AMAT APPLIED 0040-09723 UNIBODY, ETCH CHAMBER WITH .397 STEP USED
spsglobal Used - $2,000.00 1 Jun/28/17 Jan/18/24
Description: 131-0601 AMAT APPLIED 0010-09497 ASSY LID GAS BOX WSI [USED]
spsglobal Used - $1,200.00 0 Jun/28/17 Oct/11/18
Description: 131-0301// AMAT APPLIED 0020-20164 COVER, INSULATOR SOURCE 11.30" USED
spsglobal Used - $4,000.00 0 Jun/28/17 Mar/19/20
Description: 129-0103// AMAT APPLIED 0010-21393 SHUTTER ROTATION, LINKAGE 0010-2126 USED
cubit001 Used - $299.00 0 Jun/29/17 Jul/29/17
Description: AMAT 0020-10666, 0020-10665 Power Supply Panel Precision 5000 P5000 Used
cubit001 Used - $999.99 0 Jun/29/17 Jul/29/17
Description: AMAT 0020-20390 HP ROBOT ARM 0020-70336 HP ROBOT WING USED
tdindustrial Used - $495.00 0 Jun/29/17 Nov/01/18
Description: AMAT Ehrhorn RF Match Network, 0010-40099, Used, As-Is
svcstore Used - $719.99 0 Jul/03/17 Aug/02/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
keykorea Used - $3,800.00 0 Jul/04/17 Apr/10/18
Description: AMAT 0190-24962 DUAL AXES DRIVER NSK ELA-B014CG7-04, USED
keykorea Used - $11,900.00 0 Jul/04/17 Jan/03/18
Description: AMAT 0190-19549 CARD P-M 1.6GHZ 512MB RAM VME BUS SINGLE, USED
keykorea Used - $1,900.00 1 Jul/04/17 Oct/24/17
Description: AMAT 0190-77114 SEVOMOTOR, CAROUSEL, USED
keykorea Used - $360.00 0 Jul/11/17 Aug/15/20
Description: AMAT 0090-00961 CABLE ASSY, PRESS TRANSDUCER -14.7-15, USED
desertmarketaz Used - $52.41 0 Jul/14/17 Aug/13/17
Description: NOVELLUS QUAD INTERFACE ASSY 02-105044-00 USED
spsglobal Used - $800.00 0 Jul/16/17 Aug/15/17
Description: 129-0401// AMAT APPLIED 0100-11001 w PWB, ANALOG OUTPUT USED
spsglobal Used - $500.00 0 Jul/16/17 Aug/15/17
Description: 129-0301// AMAT APPLIED 0100-00003 w PWB VME STEP CONT. I USED
spsglobal Used - $1,000.00 0 Jul/16/17 Jul/19/18
Description: 127-0101// AMAT APPLIED 0010-70057 0020-70147 LLC LID ASSY, 29 POSN STOR USED
spsglobal Used - $1,500.00 1 Jul/16/17 Oct/28/22
Description: 130-0302// AMAT APPLIED 0100-40033 PCBA,E-CHUCK PWR SUPPLY USED
spsglobal Used - $400.00 1 Jul/16/17 Aug/29/17
Description: 130-0302// AMAT APPLIED 0100-35249 (BROKEN) PCB ASSY,AC DIST USED
spsglobal Used - $400.00 0 Jul/16/17 Dec/18/17
Description: 130-0302// AMAT APPLIED 0100-20037 PCB ASSY, INTERLOCK SELECT USED
spsglobal Used - $800.00 2 Jul/16/17 Jun/03/21
Description: 130-0302// AMAT APPLIED 0100-20004 wPCB ASSY, CHAMBER INTERCONNEC USED
spsglobal Used - $200.00 0 Jul/16/17 Aug/15/17
Description: 130-0302// AMAT APPLIED 0100-09203 PCB ASSY, PHASE MAGNITUDE DETE USED
spsglobal Used - $300.00 0 Jul/16/17 Aug/15/17
Description: 130-0302// AMAT APPLIED 0100-09177 wPCBA EXT VME AI/O DI/O G.P. USED
spsglobal Used - $350.00 0 Jul/16/17 Jan/17/22
Description: 130-0302// AMAT APPLIED 0100-09107 PCB ASSY TEOS GAS INTERFACE USED
spsglobal Used - $300.00 4 Jul/16/17 Aug/25/22
Description: 130-0302// AMAT APPLIED 0100-09023 PCB ASSY, MINI DI/DO USED
spsglobal Used - $300.00 0 Jul/16/17 Mar/12/23
Description: 129-0203// AMAT APPLIED 0100-09126 wPCB ASY, REMOTE WIRING USED
spsglobal Used - $300.00 1 Jul/16/17 Jul/24/17
Description: 130-0301// AMAT APPLIED 0100-09063 w PCB ASSY GAS PANEL USED
spsglobal Used - $300.00 3 Jul/16/17 Feb/21/23
Description: 130-0301// AMAT APPLIED 0100-00008 w PCB TC GAUGE USED
spsglobal Used - $600.00 1 Jul/16/17 Nov/27/18
Description: 129-0701// AMAT APPLIED 0010-70386 ASSY,VGA MONITOR,STAND ALONE USED
spsglobal Used - $2,500.00 2 Jul/16/17 Jun/09/21
Description: 129-0401// AMAT APPLIED 0190-20048 wVME,SBC SYNERGY BD PACKAGE AS [USED]
spsglobal Used - $1,000.00 0 Jul/16/17 Aug/15/17
Description: 129-0401// AMAT APPLIED 0100-76124 DIGITAL INPUT OUTPUT PCB USED
spsglobal Used - $1,200.00 0 Jul/16/17 Mar/29/21
Description: 145-0401// AMAT APPLIED 0240-36150 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $100.00 0 Jul/16/17 Jun/21/21
Description: 130-0401// AMAT APPLIED 0100-00171 wIND. He CONTROL BD ASSY USED
spsglobal Used - $400.00 1 Jul/16/17 Sep/24/19
Description: 130-0303// AMAT APPLIED 0100-76088 PCB ASSY, CENTERFINDER 5,6,8 I USED
spsglobal Used - $350.00 1 Jul/16/17 Dec/25/22
Description: 130-0303// AMAT APPLIED 0100-09161 wASSY, EXPANDED RS-232 INTERCO USED
spsglobal Used - $400.00 3 Jul/16/17 Jul/30/23
Description: 130-0303 AMAT APPLIED 0100-09146 wPCBA CENTERFINDER 5,6,8 [USED]
spsglobal Used - $300.00 0 Jul/16/17 Jan/16/22
Description: 130-0303// AMAT APPLIED 0100-09106 PCB ASSY EXPANDED GAS PANEL USED
spsglobal Used - $1,000.00 0 Jul/16/17 Oct/29/19
Description: 130-0303// AMAT APPLIED 0100-00164 PCB ASSY, REMOTE GAS PANEL USED
exper-tech Used - $200.00 0 Jul/17/17 Mar/01/19
Description: Applied Materials AMAT 0020-23045 Lower Shield, 101% Tin Coverage, Used
usedeqsales Used - $461.15 1 Jul/18/17 Jul/03/18
Description: AMAT Applied Materials 0100-09071 SBC I/O Breakout Board PCB Rev. L P5000 Used
spsglobal Used - $3,000.00 0 Jul/19/17 Nov/14/18
Description: 136-0301// AMAT APPLIED 3930-01104 CNTRL TURBO PUMP 100/240VAC ET 306W-TF USED
spsglobal Used - $1,000.00 1 Jul/19/17 Jan/29/18
Description: 136-0301// AMAT APPLIED 0730-01040 CONV FREQ NT340M/I PHASE 0A NON-HEATED USED
spsglobal Used - $300.00 1 Jul/19/17 Jul/22/17
Description: 134-0601// AMAT APPLIED 0030-20006 (BROKEN) COVER SOURCE 13" USED
spsglobal Used - $5,500.00 0 Jul/19/17 May/07/21
Description: 134-0601// AMAT APPLIED 0010-22223 REACTIVE 0190-28126 USED
spsglobal Used - $200.00 0 Jul/19/17 Jul/09/18
Description: 116-0304// AMAT APPLIED 0200-09182 ADAPTER, PUMPING PLATE, 200MM USED
spsglobal Used - $3,000.00 0 Jul/19/17 Oct/04/20
Description: 107-0201// AMAT APPLIED 0040-09557 CHAMBER 5000 ASP BODY, ASP USED
spsglobal Used - $2,000.00 1 Jul/19/17 Jan/11/18
Description: 102-0501// AMAT APPLIED 0190-08677 3156024-132C PDX900-2V USED
spsglobal Used - $3,000.00 0 Jul/19/17 Feb/28/19
Description: 102-0301// AMAT APPLIED 0190-05934 ADVANCED 3152412-218C GENERATOR USED
levmucciacciar0 Used - $390.00 0 Jul/19/17 Mar/15/20
Description: AMAT Applied Materials 0100-90831 Scan Clock PCB Card 0120-93033 XR80 Used
dy-global Used - $149.90 0 Jul/20/17 Jun/20/20
Description: Lam Research Used 853-800838-011 Rev.B SEM-I-96=2M24
pic_insit Used - $360.00 0 Jul/23/17 Aug/22/17
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
cubit001 Used - $589.00 0 Jul/24/17 Aug/23/17
Description: AMAT APPLIED MATERIALS 0100-70034 ASSY, PCB EP VGA INTERCONNECT USED
usedeqsales Used - $207.17 1 Jul/27/17 Jul/28/17
Description: Advance Hivolt A1018950 Power Supply 1140-90129 AMAT 0090-91415ITL HiTek Used
spsglobal Used - $600.00 0 Jul/27/17 Aug/23/17
Description: 117-0204// AMAT APPLIED 0020-30983 PLATE, TOP, CHMBR, PRSP USED
spsglobal Used - $600.00 2 Jul/28/17 Jun/07/23
Description: 130-0202// AMAT APPLIED 0100-09179 wASSY PWB,L.S.DIST.,7 AMP USED
spsglobal Used - $500.00 1 Jul/28/17 Dec/09/22
Description: 130-0202// AMAT APPLIED 0100-09010 wPCB ASSY, BACKPLANE SYSTEM EL USED
spsglobal Used - $1,500.00 0 Jul/28/17 Aug/16/17
Description: 130-0201// AMAT APPLIED 0190-76050 PCB VIDEO CONTROLLER VGA USED
spsglobal Used - $1,000.00 0 Jul/28/17 Aug/16/17
Description: 130-0201// AMAT APPLIED 0190-70102 VGA VIDEO USED
spsglobal Used - $2,500.00 1 Jul/27/17 Feb/23/23
Description: 130-0201// AMAT APPLIED 0190-00318 AMAT DX33-00 60-0149-02 61-0273-02 USED
spsglobal Used - $800.00 0 Jul/27/17 Jan/29/18
Description: 130-0201// AMAT APPLIED 0100-76059 PCB ASSY, MAINFRAME EXPANSION USED
spsglobal Used - $500.00 0 Jul/27/17 Feb/04/18
Description: 130-0201// AMAT APPLIED 0100-20003 OBS DIGITAL INPUT OUTPUT PCB USED
spsglobal Used - $1,000.00 0 Jul/27/17 May/26/19
Description: 130-0201// AMAT APPLIED 0100-00165 SERIAL SIDEBOARD, ASSY USED
spsglobal Used - $400.00 0 Jul/27/17 Dec/23/20
Description: 128-0101// AMAT APPLIED 0040-09595 HOOP, CHUCK,SUPPORT WAFE 0020-31501 USED
spsglobal Used - $1,000.00 0 Jul/27/17 Oct/04/20
Description: 124-0401// AMAT APPLIED 0020-31503 CHUCK,200MM,ASP USED
spsglobal Used - $200.00 2 Jul/27/17 Jan/24/22
Description: 125-0102// AMAT APPLIED 0020-31122 PLATE, PRSP3 USED
spsglobal Used - $250.00 1 Jul/28/17 May/23/22
Description: 130-0303// AMAT APPLIED 0100-09008 (#1) wPCB ASSY, PNEUMATIC MANIFOLD USED
spsglobal Used - $450.00 0 Jul/28/17 Nov/06/18
Description: 130-0203// AMAT APPLIED 0660-01823 SEAGATE ST34520N USED
spsglobal Used - $600.00 2 Jul/28/17 Nov/09/22
Description: 129-0203// AMAT APPLIED 0100-76000 0020-70276 PCBA N2 DRY VAC DIST USED
spsglobal Used - $300.00 0 Jul/28/17 May/01/18
Description: 130-0203// AMAT APPLIED 0100-20097 PCB ASSY, WATER LEAK DETECTOR USED
spsglobal Used - $500.00 1 Jul/28/17 Jan/22/22
Description: 130-0203// AMAT APPLIED 0100-09271 BD ASSY 486 RS 232 DISTRIBUTION USED
spsglobal Used - $250.00 2 Jul/28/17 Nov/09/22
Description: 130-0203// AMAT APPLIED 0100-09137 0020-70139 ENCODER INTERFACE USED
spsglobal Used - $450.00 0 Jul/28/17 Nov/28/18
Description: 145-0101// AMAT APPLIED 0050-13029 (#2) (TWO VALVE) COMPONENTS USED
spsglobal Used - $2,000.00 0 Jul/28/17 Jul/19/18
Description: 145-0101// AMAT APPLIED 0010-70058 STOR ELEV ASSY, 29 POSN USED
spsglobal Used - $930.00 3 Jul/28/17 Aug/26/21
Description: 111-0101// AMAT APPLIED 0090-09244 COIL, MAGNET [USED]
spsglobal Used - $900.00 2 Jul/28/17 Mar/02/21
Description: 111-0101// AMAT APPLIED 0090-09244 (#1) (ONE CABLE) COIL, MAGNET USED
spsglobal Used - $500.00 0 Jul/28/17 Mar/05/24
Description: 115-0401// AMAT APPLIED 0050-13029 (#1) COMPONENTS USED
usedeqsales Used - $508.17 0 Aug/01/17 Sep/18/17
Description: MEI Motion Engineering T008-2001 eXMP Controller Rev. 2 AMAT 0190-13990 Used
svcstore Used - $719.99 0 Aug/03/17 Sep/02/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $3,808.17 1 Aug/03/17 Jan/17/18
Description: CLX-2500 Comdel FO1336R2 RF Generator AMAT 0190-02702 Used Tested Working
plccenter Used - $1,771.00 1 Aug/07/17 Aug/23/17
Description: APPLIED MATERIAL 0100-20001 (Used, Cleaned, Tested 2 year warranty)
plccenter Used - $222.00 8 Aug/07/17 May/10/22
Description: APPLIED MATERIAL 0190-13707 / 019013707 (USED TESTED CLEANED)
plccenter Used - $2,753.00 0 Aug/07/17 Jun/02/19
Description: APPLIED MATERIAL 0100-35227 (Used, Cleaned, Tested 2 year warranty)
usedeqsales Used - $257.15 0 Aug/08/17 Apr/27/21
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24834 1L H2 Used Working
usedeqsales Used - $408.17 2 Aug/09/17 Oct/30/18
Description: AMAT Applied Materials 0190-01769 Coaxial RF Pot Cable 75' Used Working
cubit001 Used - $185.00 0 Aug/09/17 Sep/08/17
Description: AMAT 0020-10135 MANIFOLD WATER PL , USED
cubit001 Used - $1,255.00 0 Aug/09/17 Sep/08/17
Description: Novellus 15-118061-00 RING,MANIFOLD,ADJUSTABLE Used
cubit001 Used - $299.00 1 Aug/11/17 Aug/12/17
Description: AMAT 0020-10666, 0020-10665 Power Supply Panel Precision 5000 P5000 Used
buynsave2005 Scrap, for parts - $49.99 8 Aug/12/17 Oct/25/17
Description: Lam Research P/N 853-800838-010 USED UNTESTED AS IS
desertmarketaz Used - $69.88 0 Aug/13/17 Sep/12/17
Description: NOVELLUS QUAD INTERFACE ASSY 02-105044-00 USED
buynsave2018 Used - $40.00 0 Aug/13/17 Nov/13/22
Description: Lam Research P/N 853-800749-001 USED UNTESTED AS IS
spsglobal Used - $200.00 1 Aug/16/17 Feb/08/21
Description: 129-0201// AMAT APPLIED 0100-09203 PCB ASSY, PHASE MAGNITUDE DETECTOR USED
spsglobal Used - $200.00 1 Aug/16/17 Oct/15/19
Description: 129-0201// AMAT APPLIED 0100-09165 ASSY HIGH TEMP INTERFACE BD HEAT EXCHANG USED
spsglobal Used - $300.00 0 Aug/16/17 Feb/19/20
Description: 129-0201// AMAT APPLIED 0100-09162 0040-09140 wPCBA MANOMETER SELECT BD USED
spsglobal Used - $600.00 0 Aug/16/17 Aug/28/19
Description: 129-0201// AMAT APPLIED 0100-09156 (#2) 0020-09074 OBS PCB ASSY, RF MATCH USED
spsglobal Used - $500.00 1 Aug/16/17 Apr/22/19
Description: 129-0201// AMAT APPLIED 0100-09156 (#1) OBS PCB ASSY, RF MATCH CONTROL USED
spsglobal Used - $250.00 0 Aug/16/17 Sep/06/18
Description: 129-0201// AMAT APPLIED 0100-09153 PCB,GAS PANEL INTERFACE W/O STANDOFFS USED
spsglobal Used - $600.00 1 Aug/16/17 Dec/11/22
Description: 130-0402// AMAT APPLIED 0100-09006 (#1) 0100-09024 PCB ASSY USED
spsglobal Used - $800.00 0 Aug/16/17 Dec/03/17
Description: 129-0201// AMAT APPLIED 0010-09292 0100-09032 0100-09033 PCB ASSY USED
spsglobal Used - $250.00 1 Aug/16/17 Sep/09/19
Description: 129-0201// AMAT APPLIED 0100-09041 PCB ASSY, HEAT EXCHNGR INTERFACE USED
spsglobal Used - $350.00 1 Aug/17/17 Nov/20/17
Description: 129-0201// AMAT APPLIED 0870-01028 ORIENTAL CSD5814N-T 5-PHASE DRIVER USED
spsglobal Used - $300.00 0 Aug/17/17 Aug/19/20
Description: 129-0201// AMAT APPLIED 0100-77033 PCB ASSEMBLY, WAFER LOSS BOARD USED
spsglobal Used - $300.00 1 Aug/17/17 Jan/30/19
Description: 129-0201// AMAT APPLIED 0100-76038 ASSY, PCB LED-NB, FAST WAFER MAPPING USED
spsglobal Used - $300.00 0 Aug/17/17 Jan/02/18
Description: 129-0201// AMAT APPLIED 0100-20070 0020-21637 PCB ASSY,MAG/LAMP DIST USED
spsglobal Used - $300.00 0 Aug/17/17 Sep/18/17
Description: 129-0201// AMAT APPLIED 0100-20009 wPCB ASSY,SYSTEM STEPPER DISTRIBUTION USED
spsglobal Used - $250.00 1 Aug/17/17 Nov/08/17
Description: 129-0201// AMAT APPLIED 0100-09264 BD ASSY 486PC INTERFACE USED
spsglobal Used - $250.00 0 Aug/17/17 Oct/22/17
Description: 129-0201// AMAT APPLIED 0100-09255 TC INTERLOCK BD USED
spsglobal Used - $350.00 2 Aug/17/17 Feb/21/18
Description: 129-0202// AMAT APPLIED 0660-01548 011222-103 11221100 344 IG MODULE USED
melisschot Used - $4,000.00 1 Aug/18/17 Aug/23/17
Description: AMAT 0010-07620 ASSEMBLY, ISCAN MODULE , USED
usedeqsales Used - $708.17 1 Aug/21/17 Sep/05/17
Description: SST DNP-CPCI-3U-4 DeviceNet Pro 3U Interface Card PCB AMAT 0190-34512 Used
grandbirdnet Used - $1,500.00 2 Aug/21/17 May/28/23
Description: AMAT 0190-77527 SERVOMOTOR, PC ROTATION, USED
pic_insit Used - $355.00 0 Aug/22/17 Sep/21/17
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
keykorea Used - $3,500.00 1 Aug/23/17 Mar/25/18
Description: AMAT 0190-19021 APEX 3013 3156113-006C RF GENERATOR, USED
katiil3 Used - $449.00 1 Aug/23/17 Feb/19/18
Description: MKS Instruments L2-40-SP1 Two Stage Inline Valven AMAT 0190-76185 Used Working
usedeqsales Used - $508.17 1 Aug/23/17 Jun/14/18
Description: AMAT Applied Materials 0100-20027 Contactor Interlock Board PCB Used Working
grandbirdnet Used - $1,500.00 1 Aug/23/17 Jun/13/23
Description: AMAT 0190-77186 AC SERVO MOTOR, USED
keykorea Used - $2,100.00 0 Aug/24/17 Apr/03/18
Description: AMAT 0010-77557 FACILITY INTERACE, USED
keykorea Used - $6,500.00 0 Aug/24/17 Feb/13/18
Description: AMAT 0041-27270 300MM PRODUCER SE, KIT SILANE, USED
spsglobal Used - $400.00 0 Aug/27/17 May/15/23
Description: 341-0103// AMAT APPLIED 0020-70203 PLATE CHAMBER BLANKOFF USED
spsglobal Used - $400.00 0 Aug/27/17 Mar/29/18
Description: 201-0103// AMAT APPLIED 0020-23479 PLATE MNTG PNEUM CYL/MTR LFT USED
spsglobal Used - $400.00 1 Aug/27/17 Feb/22/23
Description: 341-0102// AMAT APPLIED 0040-09525 PORT,VACUUM,WINDOW,ASP [USED]
spsglobal Used - $100.00 0 Aug/27/17 Sep/26/17
Description: 201-0102// AMAT APPLIED 0020-21713 COLLIMATOR SPACER PILLOW BLOCK USED
spsglobal Used - $200.00 0 Aug/27/17 Jun/21/21
Description: 341-0101// AMAT APPLIED 0020-31558 PLATE, RF BOX, ESC USED
johbedo_0 Used - $450.00 0 Aug/28/17 Oct/27/17
Description: AMAT 0020-78707 BLOCK,MOUNTING , USED
sdifabsurplus_usa Used - $5,400.00 0 Aug/28/17 Jan/17/18
Description: APPLIED MATERIALS 0190-33289 RTP Chamber lamp, PACK OF 180, Used
keykorea Used - $850.00 1 Aug/31/17 Jan/29/19
Description: AMAT 0040-80605 REV.003, USED
spsglobal Used - $2,000.00 0 Sep/04/17 Nov/07/17
Description: 134-0401// AMAT APPLIED 0010-70271 0010-70822 ASSY 101 WAFER LIFT USED
spsglobal Used - $2,000.00 1 Sep/04/17 Dec/14/17
Description: 107-0301// AMAT APPLIED 0040-09094 0010-09262 CVD CHAMBER ASSY 8 INCH USED
spsglobal Used - $3,000.00 0 Sep/04/17 Nov/18/21
Description: 104-0301// AMAT APPLIED 3620-01100 CRYOTORR VACUUM CONFLAT 8039485 USED
svcstore Used - $719.99 0 Sep/05/17 Oct/05/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $1,009.17 1 Sep/12/17 Oct/27/17
Description: AMAT Applied Materials 0010-29563 Mirra 300mm Titan Head Used Working
spsglobal Used - $600.00 2 Sep/12/17 Feb/16/22
Description: 125-0201// AMAT APPLIED 0020-30983 PLATE, TOP, CHMBR, PRSP USED
spsglobal Used - $200.00 0 Sep/10/17 Feb/26/24
Description: 121-0601// AMAT APPLIED 0020-23396 SHIELD, LOWER, SST 8" 101% - H USED
spsglobal Used - $150.00 0 Sep/10/17 Nov/28/18
Description: 120-0401// AMAT APPLIED 0020-23069 SHIELD LOWER 101% TIN 6" USED
spsglobal Used - $500.00 0 Sep/10/17 Aug/26/21
Description: 120-0401// AMAT APPLIED 0020-21463 SHIELD AL/TI 6" USED
dy-global Used - $399.90 0 Sep/09/17 Dec/21/17
Description: Lam RESEARCH Used 810-800256-106 REV.C PCB INTERLOCK CONTROL PCB-I-E-491=6BX3
usedeqsales Used - $4,009.17 1 Sep/13/17 Dec/13/17
Description: APEX 3013 AE Advanced Energy 0920-00128 RF 3156114-005 AMAT Used Tested Working
usedeqsales Refurbished - $4,009.17 1 Sep/14/17 Sep/16/17
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-832269-00 Used Tested Working
usedeqsales Used - $4,009.17 0 Sep/14/17 Nov/10/17
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-032269-00 Used Tested Working
desertmarketaz Used - $69.88 0 Sep/14/17 Oct/14/17
Description: NOVELLUS QUAD INTERFACE ASSY 02-105044-00 USED
dnd_surplus Used - $900.00 0 Sep/19/17 Oct/19/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
plccenter Used - $75.00 0 Sep/21/17 Dec/29/17
Description: APPLIED MATERIAL 0100-77050 (Used, Cleaned, Tested 2 year warranty)
dnd_surplus Used - $1,200.00 0 Sep/22/17 Oct/22/17
Description: AMAT Applied Materials 0100-00423, PCB ASSY, SERIPLEX I/O PCB Card Used Working
cubit001 Used - $4,500.00 0 Sep/26/17 Oct/26/17
Description: AMAT 0040-09221, 5000 CVD Configured Chamber USED
usedeqsales Used - $1,954.59 1 Sep/26/17 Sep/25/19
Description: IPUP T100L Toyota 0190-30900 Vacuum Dry Pump 42953 Hrs AMAT Used Tested Working
usedeqsales Used - $1,954.59 1 Sep/26/17 Sep/25/19
Description: IPUP T100L Toyota 0190-30906 Vacuum Dry Pump V3.4 AMAT Used Tested Working
usedeqsales Used - $4,709.17 1 Sep/29/17 Sep/25/18
Description: Spectrum B-5002 ENI B-5002-02 RF Generator AMAT 0190-15320 Used Tested Working
usedeqsales Used - $157.15 1 Oct/02/17 Dec/02/17
Description: MKS Instruments CDN127-7 Peripheral Device Adapter AMAT 0190-19018 Used Working
usedeqsales Refurbished - $4,009.17 1 Oct/02/17 Dec/14/17
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-832269-00 Used Tested Working
pic_insit Used - $345.00 0 Oct/03/17 Nov/02/17
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
usedeqsales Used - $260.17 1 Oct/03/17 Jun/26/22
Description: AMAT Applied Materials 0100-90588 H1 B/L Vacuum Control Motherboard PCB Used
usedeqsales Used - $210.17 1 Oct/03/17 Dec/01/20
Description: AMAT Applied Materials 0100-00361 H.V/A.MAG Motherboard Backplane PCB Used
usedeqsales Used - $260.17 1 Oct/03/17 Sep/10/21
Description: AMAT Applied Materials 0100-00305 Target System Vacuum Motherboard PCB Used
svcstore Used - $719.99 0 Oct/06/17 Nov/05/17
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $610.17 0 Oct/10/17 Sep/27/18
Description: AMAT Applied Materials 0100-01546 Source Magnet Control PCB Card Issue A Used
usedeqsales Used - $4,008.15 0 Oct/09/17 Jan/08/21
Description: AMAT Applied Materials 0240-61428 Quantum Leap Heat Exchanger Rev. Q2 Used
usedeqsales Used - $1,010.17 1 Oct/11/17 Jan/25/19
Description: AMAT Applied Materials 9090-00790 Resistor Quantum X Used Working
dy-global Used - $299.90 1 Oct/13/17 Feb/07/19
Description: Lam RESEARCH Used 810-107813-206 Rev.H 710-107813-206 REV.B PCB-I-E-492=6BX3
desertmarketaz Used - $69.88 0 Oct/14/17 Nov/13/17
Description: NOVELLUS QUAD INTERFACE ASSY 02-105044-00 USED
orapma12012 Used - $699.00 0 Oct/15/17 Oct/22/17
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
spsglobal Used - $130.00 0 Oct/16/17 Aug/08/19
Description: 202-0101// AMAT APPLIED 0010-09120 0015-09077 ORIENTAL PX245-02AA-C4 MOTOR USED
spsglobal Used - $50.00 1 Oct/16/17 Jan/24/18
Description: 202-0101// AMAT APPLIED 3720-01023 SHLD MAGNETIC PERMALLOY AD-MU-80 HE COO USED
dnd_surplus Used - $900.00 0 Oct/19/17 Nov/18/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
spsglobal Used - $5,500.00 0 Oct/22/17 Apr/22/20
Description: AMAT APPLIED MATERIALS 0010-93076 HV FILTER BOX ASSY W/NEGATIVE P/S, DPS+ USED
dnd_surplus Used - $1,200.00 0 Oct/22/17 Oct/28/17
Description: AMAT Applied Materials 0100-00423, PCB ASSY, SERIPLEX I/O PCB Card Used Working
usedeqsales Used - $1,510.17 1 Oct/24/17 Jul/26/18
Description: Digital Dynamics VECTOR HDSIOC 0 Controller Novellus 02-252395-00 Used Working
orapma12012 Used - $299.00 0 Oct/24/17 Oct/31/17
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
spsglobal Used - $10.00 0 Oct/25/17 Jun/18/23
Description: 341-0201// AMAT APPLIED 0020-20626 BLOCK [2ND SOURCE USED]
spsglobal Used - $500.00 0 Oct/25/17 Nov/30/20
Description: 000-0001// AMAT APPLIED 0040-09595 (#2) HOOP, CHUCK,SUPPORT WAFE USED
spsglobal Used - $300.00 0 Oct/25/17 Mar/08/19
Description: 207-0102// AMAT APPLIED 1400-01046 NITTAN CPC-2 USED
spsglobal Used - $120.00 2 Oct/25/17 Mar/04/19
Description: 207-0102// AMAT APPLIED 0090-20036 ORIENTAL PK245-02A MOTOR USED
spsglobal Used - $1,500.00 0 Oct/26/17 Jun/08/21
Description: AMAT APPLIED MATERIALS 0150-21664 CABLE ASSY, N(M), R/A TO N(M), STR RG-21 USED
spsglobal Used - $1,200.00 0 Oct/26/17 Feb/22/19
Description: AMAT APPLIED MATERIALS 0150-20166 CABLE ASSY, TURBO PUMP TO CONTROLLER 75F USED
spsglobal Used - $1,300.00 0 Oct/26/17 May/05/22
Description: 147-0501// AMAT APPLIED 0150-04962 CABLE ASSY, DC SOURCE, 75 FT 200MM USED
spsglobal Used - $1,140.00 1 Oct/26/17 Jun/14/22
Description: 146-0701// AMAT APPLIED 0150-01411 CABLE ASSY, 2 MHZ COAXIAL, 50 FT, REACTI USED
spsglobal Used - $800.00 0 Oct/26/17 Nov/12/18
Description: AMAT APPLIED MATERIALS 0140-76929 HARN ASSY,ENCODERANDHOME SENSOR DRIVER,D USED
spsglobal Used - $6,000.00 0 Oct/26/17 Jan/25/18
Description: AMAT APPLIED MATERIALS 0090-36276 0190-35867 ASSEMBLY, REACTOR, DPA USED
spsglobal Used - $1,200.00 0 Oct/26/17 Dec/04/22
Description: 112-0601// AMAT APPLIED 0040-70149 WELDMENT ARM SOURCE USED
spsglobal Used - $1,500.00 0 Oct/26/17 Apr/26/18
Description: AMAT APPLIED MATERIALS 0040-20703 0020-25344 FLANGE WATER COOLED CONFLAT USED
spsglobal Used - $240.00 0 Oct/26/17 Mar/19/20
Description: AMAT APPLIED MATERIALS 0021-70269 BLANK-OFF, SIDE, LWR CHBR, DPS USED
spsglobal Used - $700.00 0 Oct/26/17 Apr/22/19
Description: AMAT APPLIED MATERIALS 0020-29346 SHIELD 8" CLMPD .190"THK AL 3 SPRTS VECT USED
spsglobal Used - $500.00 0 Oct/26/17 Mar/06/18
Description: AMAT APPLIED MATERIALS 0020-29343 SHIELD CLAMP, DARKSPACE .727" TALL VECTR USED
spsglobal Used - $1,200.00 0 Oct/26/17 Jan/18/18
Description: AMAT APPLIED MATERIALS 0010-21154 ASSY PUMP INTERFACE BOX USED
spsglobal Used - $1,500.00 0 Oct/26/17 Jan/07/18
Description: AMAT APPLIED MATERIALS 0010-09290 ASSY, BWCVD LAMP MODULE USED
spsglobal Used - $5,000.00 0 Oct/26/17 Nov/30/17
Description: AMAT APPLIED MATERIALS 0010-02266 ASSY SHIELD 8" B101 VECTRA-IMP REV 4.5 N USED
spsglobal Used - $1,200.00 0 Oct/26/17 Nov/30/20
Description: AMAT APPLIED MATERIALS 0010-00952 0040-09558 0020-30977 ASSY, LID ASP+ USED
spsglobal Used - $5,000.00 0 Oct/26/17 Dec/14/17
Description: AMAT APPLIED MATERIALS 0010-10973 0010-30064 HOT PACK 387N USED
spsglobal Used - $5,000.00 0 Oct/26/17 Dec/14/17
Description: AMAT APPLIED MATERIALS 0010-10973 0010-30063 HOT PACK 484N USED
spsglobal Used - $1,300.00 1 Oct/26/17 Dec/13/17
Description: AMAT APPLIED MATERIALS 3870-02287 VALVE GATE VAC PNEU DN100 4 ALUM USED
spsglobal Used - $3,000.00 0 Oct/26/17 Apr/11/18
Description: AMAT APPLIED MATERIALS 1290-01715 TERM CNTRLR NTWK INTERFACE 20 CHANW/3 USED
spsglobal Used - $1,500.00 0 Oct/26/17 Nov/04/19
Description: AMAT APPLIED MATERIALS 0730-01010 wCONT FREQUENCY CONVERTE TURBO PUMP TMP- USED
spsglobal Used - $230.00 0 Oct/26/17 Sep/12/23
Description: 150-0601// AMAT APPLIED 0620-01079 CABLE ASSY CONTROLLER ONBOARD 50'L 9P-CI USED
spsglobal Used - $280.00 0 Oct/26/17 Jan/22/20
Description: AMAT APPLIED MATERIALS 0190-09267 MAGN CONTROL CABLE 55 PROOF ASP USED
dnd_surplus Used - $1,200.00 1 Oct/26/17 Oct/31/17
Description: AMAT Applied Materials 0100-00423, PCB ASSY, SERIPLEX I/O PCB Card Used Working
usedeqsales Used - $210.17 20 Oct/27/17 Nov/06/17
Description: MKS Instruments 852B-13384 Baratron Pressure Transducer AMAT 1350-01200 Used
cubit001 Used - $1,255.00 0 Oct/30/17 Nov/28/17
Description: Novellus 15-118061-00 RING,MANIFOLD,ADJUSTABLE Used
spsglobal Used - $200.00 0 Oct/30/17 Nov/27/18
Description: 120-0401// AMAT APPLIED 0020-23070 SHIELD UPPER 101% TIN5&6 USED
usedeqsales Used - $910.17 1 Oct/30/17 Mar/02/18
Description: Millipore MDVX-018S01 Throttle Valve Lam 853-013541-002 839-013522-001 Cu Used
cubit001 Used - $4,500.00 0 Oct/30/17 Nov/29/17
Description: AMAT 0040-09221, 5000 CVD Configured Chamber USED
keykorea Used - $1,900.00 0 Oct/30/17 Nov/20/17
Description: AMAT 0190-77114 SEVOMOTOR, CAROUSEL, USED
spsglobal Used - $3,500.00 0 Oct/31/17 Aug/23/18
Description: 000-0000// AMAT APPLIED 0040-40049 PANEL, FRONT USED
spsglobal Used - $300.00 1 Oct/31/17 Jun/22/21
Description: 120-0701// AMAT APPLIED 0020-26822 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $4,000.00 0 Oct/31/17 Oct/11/18
Description: 110-0101// AMAT APPLIED 0040-23840 ADAPTER, ALPS+ SOURCE USED
spsglobal Used - $600.00 0 Oct/31/17 Mar/03/22
Description: 104-0101// AMAT APPLIED 0010-70001 CASSETTE ASSY HANDLER-BOTTOM USED
spsglobal Used - $600.00 0 Oct/31/17 Mar/03/22
Description: 119-0601// AMAT APPLIED 0020-04174 TOP ADAPTER USED
spsglobal Used - $1,200.00 0 Oct/31/17 Mar/03/22
Description: 119-0601// AMAT APPLIED 0020-04043 wBOTTOM PLATE USED
spsglobal Used - $150.00 0 Oct/31/17 Mar/03/22
Description: 125-0204// AMAT APPLIED 0020-23586 SHIELD ADAPTER 8"WAFER G-12 USED
spsglobal Used - $200.00 0 Oct/31/17 Mar/03/22
Description: 125-0103// AMAT APPLIED 0020-26967 PC II GAS TRENCH COVER USED
spsglobal Used - $800.00 0 Oct/31/17 Mar/03/22
Description: 125-0103// AMAT APPLIED 0020-24535 RING SHIELD MNT COH (AR) 13" F USED
spsglobal Used - $100.00 0 Oct/31/17 Mar/03/22
Description: 125-0103// AMAT APPLIED 0020-22846 GAS TRENCH COVER USED
spsglobal Used - $50.00 0 Oct/31/17 Jul/10/18
Description: 202-0202// AMAT APPLIED 1350-01023 APPLIED MATRIALS COMPONENTS USED
usedeqsales Used - $1,510.17 1 Oct/31/17 Mar/28/18
Description: MDX-10K AE Advanced Energy 3152012-043Z Power Supply Slave AMAT 1140-01086 Used
earth_enterprises Used - $195.00 0 Nov/02/17 Nov/09/17
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
usedeqsales Used - $210.17 1 Nov/03/17 Apr/11/19
Description: AMAT Applied Materials 0090-20105 Wafer Sensor Assembly SM312CV2 Lot of 2 Used
orapma12012 Used - $299.00 0 Nov/05/17 Nov/12/17
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
usedeqsales Used - $710.17 2 Nov/06/17 Apr/11/18
Description: AMAT Applied Materials 0190-07657 Video Card PCB 9000-30-064 Rev. 001 Used
usedeqsales Used - $310.17 0 Nov/06/17 Mar/03/22
Description: Festo DNC-50-170-PPV Pneumatic Cylinder AMAT Attachment 0020-05210 Lot of 6 Used
usedeqsales Used - $511.17 0 Nov/07/17 Dec/12/18
Description: AMAT Applied Materials 0190-10156 Processor Control Card SST Rev. 002 PCB Used
nevadasurplus-com Used - $1,199.99 0 Nov/07/17 Nov/14/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
grandbirdnet Used - $6,000.00 0 Nov/08/17 Mar/03/22
Description: AMAT 0040-09893 REV MII-215, USED
earth_enterprises Used - $195.00 0 Nov/09/17 Nov/16/17
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
usedeqsales Used - $807.12 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 9090-01161 Control Module XR80 Used Working
usedeqsales Used - $807.12 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 9090-00641 Control Module XR80 Implanter Used Working
usedeqsales Used - $1,812.09 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 9090-00098 Controller XR80 Implanter Used Working
usedeqsales Used - $2,010.15 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 0090-91927 ITL XR80 Wafer Loader Interface Module Used
usedeqsales Used - $1,512.09 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 0090-91694 ITL Controller XR80 Implanter Used Working
usedeqsales Used - $3,811.14 3 Nov/10/17 Apr/19/18
Description: MDX Pinnacle AE Advanced Energy 3152412-264 AMAT 0190-25692 Used Tested Working
20041014625pm Used - $1,295.00 0 Nov/12/17 Dec/12/17
Description: Lam Research P/N: 853-012525-001 Lifter-Spinner Assembly Used Tested Good
grandbirdnet Used - $4,500.00 0 Nov/15/17 Mar/03/22
Description: AMAT 0010-13786 300MM ASSY DOOR HARD ANODIZE , USED
pic_insit Used - $335.00 0 Nov/15/17 Dec/15/17
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
nevadasurplus-com Used - $1,199.99 0 Nov/15/17 Nov/22/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
desertmarketaz Used - $69.88 0 Nov/14/17 Dec/14/17
Description: NOVELLUS QUAD INTERFACE ASSY 02-105044-00 USED
earth_enterprises Used - $195.00 0 Nov/17/17 Nov/24/17
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
dnd_surplus Used - $900.00 0 Nov/20/17 Dec/20/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
texassellbuy Used - $5,299.00 0 Nov/23/17 Sep/27/21
Description: AMAT 0040-18219 LASED, PEDESTAL, 200MM SNNF SML FLT, USED
usedeqsales Used - $2,511.17 0 Dec/06/17 Mar/03/22
Description: AMAT Applied Materials 9090-00442 Decel PSU Resistor Chassis 9010-01409ITL Used
spsglobal Used - $900.00 0 Dec/06/17 Jan/21/19
Description: 162-0101// AMAT APPLIED 0040-09005 BASE STD CATHODE NOT REPAIRABLE USED
spsglobal Used - $3,000.00 0 Dec/06/17 Oct/24/21
Description: 105-0201// AMAT APPLIED 0010-00510 (#1) ASSEMBLY BEARING USED
spsglobal Used - $1,800.00 0 Dec/06/17 Jul/19/18
Description: 145-0101// AMAT APPLIED 0010-70058 (#2) STOR ELEV ASSY, 29 POSN USED
usedeqsales Used - $1,012.17 0 Dec/05/17 Aug/05/20
Description: AMAT Applied Materials 0010-19010 300mm Motorized Lift Assembly 0190-07643 Used
svcstore Used - $719.99 0 Dec/07/17 Jan/06/18
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
earth_enterprises Used - $195.00 0 Dec/05/17 Dec/12/17
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
ab-international Used - $395.00 0 Dec/08/17 Dec/18/17
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
nevadasurplus-com Used - $799.99 0 Dec/11/17 Dec/18/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
20041014625pm Used - $1,095.00 0 Dec/12/17 Jan/11/18
Description: Lam Research P/N: 853-012525-001 Lifter-Spinner Assembly Used Tested Good
semiconductorsolution Used - $6,580.00 1 Dec/12/17 Jan/08/18
Description: AMAT, Applied Materials, 0010-36408 RF Match DPS, USED
dy-global Used - $399.90 0 Dec/12/17 Dec/21/17
Description: LAM RESEARCH Used 810-800256-004 REV.H SEM-I-120=6A36
dy-global Used - $399.90 0 Dec/12/17 Dec/21/17
Description: LAM RESEARCH Used 810-800256-005 REV.B 810-800156-002 REV.C SEM-I-122=6A36
dy-global Used - $399.90 0 Dec/12/17 Dec/21/17
Description: LAM RESEARCH Used NODE BOARD TYPE 3 810-800256-005 REV.A 714-0325 SEM-I-124=6A36
spsglobal Used - $1,000.00 0 Dec/14/17 May/10/18
Description: 131-0401// AMAT APPLIED 0020-23042 ADAPTER SOURCE 13" USED
spsglobal Used - $220.00 0 Dec/13/17 Mar/03/22
Description: 342-0301// AMAT APPLIED 3850-00007 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $60.00 0 Dec/13/17 Mar/03/22
Description: 342-0203// AMAT APPLIED 0010-91635 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $1,000.00 0 Dec/13/17 Mar/03/22
Description: 342-0203// AMAT APPLIED 0010-09487 SENSOR ASSY DETECTOR ENDPOINT USED
spsglobal Used - $550.00 0 Dec/13/17 Mar/03/22
Description: 342-0203// AMAT APPLIED 0010-00651 ASSY,MOUNT,FIBER OPTICS USED
spsglobal Used - $1,000.00 0 Dec/13/17 Oct/10/19
Description: 202-0203// AMAT APPLIED 0010-02416 ASSY, LARGE TOWER, EMAX USED
earth_enterprises Used - $195.00 0 Dec/13/17 Dec/20/17
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
desertmarketaz Used - $69.88 0 Dec/14/17 Jan/01/18
Description: NOVELLUS QUAD INTERFACE ASSY 02-105044-00 USED
dy-global Used - $249.90 0 Dec/17/17 May/17/20
Description: Lam RESEARCH Used 810-801237-001 REV.D STEPPER DRIVER INTERFACE PCB-I-E-589=6AXI
usedeqsales Used - $2,312.17 1 Dec/19/17 Dec/29/17
Description: Yaskawa Electric XU-ACP4870 300mm Wafer Prealigner AMAT 0190-14752 Used As-Is
earth_enterprises Used - $195.00 0 Dec/20/17 Dec/27/17
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
usedeqsales Used - $262.17 0 Dec/20/17 Mar/03/22
Description: Aera FCPI981CBAXDIDJAA Pressure Insensitive MFC MGMR PI-98 AMAT 0190-34215 Used
usedeqsales Used - $262.17 0 Dec/20/17 Mar/03/22
Description: Aera FCPIDN980C-ABA Pressure Insensitive MFC MGMR AMAT 0190-27879 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jun/16/18
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-117958-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Apr/30/18
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-127300-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/09/18
Description: Aera FC-7800CD Mass Flow Controller MFC Novellus 22-145235-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/09/18
Description: Aera FC-7800CD Mass Flow Controller MFC Novellus 22-142083-00 Used Working
usedeqsales Used - $262.17 2 Dec/20/17 Aug/13/18
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-117954-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/24/19
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-127297-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/24/19
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-252794-00 Used Working
usedeqsales Used - $1,006.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0020-23811 Coherent Plate 8" Used Working
usedeqsales Used - $406.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0270-00249 ELECTROSTATIC CHUCK COVER Lot of 2 Used
usedeqsales Used - $301.18 0 Dec/20/17 Mar/03/22
Description: Lam Research 716-140069-001 Upper Ceramic Insulator Ring Used Working
usedeqsales Used - $1,006.18 0 Dec/20/17 Mar/03/22
Description: Lam Research 716-140118-001 Focus Ring ESC 8" Used Working
usedeqsales Used - $456.18 1 Dec/20/17 Dec/06/21
Description: AMAT Applied Materials 0020-24530 Upper Shield Used Working
usedeqsales Used - $506.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0200-36541 Lid Liner Used Working
spsglobal Used - $30.00 0 Dec/20/17 Mar/03/22
Description: 342-0101// AMAT APPLIED 0020-23138 BRACKET SENSOR, A.L.L. CASSETT USED
usedeqsales Used - $308.18 0 Dec/20/17 Mar/03/22
Description: Lam Research 716-800842-005 Foc, Ring, Top, QTZ, 200mm, Jeida Used
spsglobal Used - $5,000.00 0 Dec/21/17 May/20/18
Description: AMAT APPLIED 0010-20524 MODIFIED 8 RF MATCH USED
spsglobal Used - $5,000.00 0 Dec/21/17 Mar/04/21
Description: AMAT APPLIED 0010-13927 PEDESTAL LIFT ASSEMBLY PRECLEAN 2K USED
dnd_surplus Used - $900.00 0 Dec/21/17 Jan/20/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $312.17 0 Dec/26/17 Jan/07/21
Description: AMAT Applied Materials 0190-24484 Transponder Reader ASC-I1 TLG-I2-AMAT-R1 Used
usedeqsales Used - $412.17 0 Dec/26/17 May/15/19
Description: AMAT Applied Materials 0190-24484 Transponder Reader with Sensor 0190-10813 Used
spsglobal Used - $2,000.00 0 Dec/27/17 Jan/09/18
Description: AMAT APPLIED MATERIALS 0240-70602 KIT, SB & WC CHAMBER BAKEOUT USED
spsglobal Used - $3,500.00 0 Dec/27/17 Mar/06/18
Description: AMAT APPLIED MATERIALS 0040-45164 0040-45206 0040-40983 ESC ASSY USED
spsglobal Used - $700.00 0 Dec/27/17 May/25/20
Description: AMAT APPLIED MATERIALS 0040-09434 FRAME, CHAMBER I/F, PRSP3 USED
spsglobal Used - $700.00 0 Dec/27/17 Apr/23/18
Description: AMAT APPLIED MATERIALS 0040-00721 PLATE, GROUNDING, SOURCE PLATE USED
spsglobal Used - $350.00 0 Dec/27/17 Jul/23/20
Description: AMAT APPLIED MATERIALS 0020-76241 TOP COVER FRONT LEFT/RIGHT USED
spsglobal Used - $5,000.00 0 Dec/27/17 Oct/14/18
Description: AMAT APPLIED MATERIALS 0020-33806 CHAMBER, UPPER, DPS A3 USED
spsglobal Used - $280.00 0 Dec/27/17 Sep/16/20
Description: AMAT APPLIED MATERIALS 0020-23041 CLAMP,SHIELD 8" WAFER USED
spsglobal Used - $6,500.00 0 Dec/27/17 May/24/19
Description: AMAT APPLIED MATERIALS 0010-34895 VECTRA IMP MATCH USED
spsglobal Used - $2,000.00 0 Dec/27/17 Mar/03/22
Description: 351-0501// AMAT APPLIED 0010-22162 (#1) ASSY, WATER BOX, BESC USED
spsglobal Used - $1,800.00 0 Dec/27/17 Mar/03/22
Description: 351-0501// AMAT APPLIED 0010-22162 (#2) ASSY, WATER BOX, BESC USED
spsglobal Used - $4,000.00 0 Dec/27/17 Apr/23/18
Description: AMAT APPLIED MATERIALS 0010-22157 ASSY, AC BOX, BESC USED
spsglobal Used - $5,000.00 0 Dec/27/17 Mar/03/22
Description: 349-0301// AMAT APPLIED MATERIALS 0010-22156 (#1) ASSY, BESC MOTORIZED LIFT USED
spsglobal Used - $2,000.00 0 Dec/27/17 Jan/08/18
Description: AMAT APPLIED MATERIALS 0010-21631 ASSY, CH A OR B LID with VIEWPORTS USED
spsglobal Used - $2,000.00 2 Dec/27/17 Aug/22/19
Description: AMAT APPLIED MATERIALS 0010-21631 ASSY, CH A OR B LID with out VIEWPORT USED
spsglobal Used - $5,000.00 0 Dec/27/17 Feb/05/18
Description: AMAT APPLIED MATERIALS 0010-20481 ASSY 200MM CASSETTE HANDLER (LLB) USED
spsglobal Used - $5,000.00 0 Dec/27/17 Feb/05/18
Description: AMAT APPLIED MATERIALS 0010-20480 ASSY 200MM CASSETTE HANDLER LEFT (LLA) USED
spsglobal Used - $5,000.00 0 Dec/27/17 Apr/07/19
Description: AMAT APPLIED MATERIALS 0010-13927 0010-20754 OBS PEDESTAL LIFT PRECLEAN 2 USED
spsglobal Used - $4,500.00 0 Dec/27/17 Apr/03/19
Description: AMAT APPLIED MATERIALS 0010-13437 AC-BOX, HTESC USED
spsglobal Used - $3,500.00 1 Dec/27/17 Sep/06/18
Description: AMAT APPLIED MATERIALS 0010-04780 ENDURA BASIC INDEXER ASSY, NON-ENP CORRO USED
spsglobal Used - $7,000.00 0 Dec/27/17 Jul/29/18
Description: AMAT APPLIED MATERIALS 0010-00854 R1 DPS+ POLY RF MATCH USED
nevadasurplus-com Used - $499.99 0 Dec/27/17 Jan/03/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
pic_insit Used - $325.00 0 Dec/27/17 Jan/26/18
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
earth_enterprises Used - $195.00 0 Dec/27/17 Jan/03/18
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
buynsave2018 Scrap, for parts - $34.99 5 Dec/28/17 Aug/29/20
Description: Lam Research P/N 853-800838-010 USED UNTESTED AS IS
spsglobal Used - $150.00 0 Jan/03/18 Mar/03/22
Description: 346-0101// AMAT APPLIED 0021-22189 APPLIED MATERIALS COMPONENT USED
nevadasurplus-com Used - $499.99 0 Jan/03/18 Jan/10/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
earth_enterprises Used - $125.00 0 Jan/04/18 Jan/11/18
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
grandbirdnet Used - $1,000.00 0 Jan/07/18 Mar/03/22
Description: AMAT 0190-75047 HARD DRIVE 3.5", USED
spsglobal Used - $2,000.00 0 Jan/08/18 Jan/27/19
Description: 306-0401// AMAT APPLIED 0010-21631 (#1) ASSY, CH A OR B LID with VIEWPORTS USED
spsglobal Used - $400.00 0 Jan/08/18 Mar/03/22
Description: 101-0401// AMAT APPLIED 0010-09201 ASSY VIDEO MONITOR THRU THE WA USED
spsglobal Used - $5,000.00 0 Jan/08/18 Mar/03/22
Description: 105-0101// AMAT APPLIED 0040-76895 0010-19913 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $400.00 0 Jan/08/18 Mar/03/22
Description: 101-0501// AMAT APPLIED 0010-75081 OBS, ASSY,TTW VGA MONITOR W/LI USED
spsglobal Used - $400.00 0 Jan/08/18 Mar/03/22
Description: 101-0501// AMAT APPLIED 0010-70410 ASSY, VGA VIDEO TTW W/O LP SYS USED
spsglobal Used - $400.00 0 Jan/08/18 Mar/03/22
Description: 101-0401// AMAT APPLIED 0010-70385 wASSY, VGA VIDEO MONITOR THRU THE WALL USED
grandbirdnet Used - $5,000.00 0 Jan/09/18 Mar/03/22
Description: AMAT 0190-29389 Ovation 35162, USED
nevadasurplus-com Used - $499.99 0 Jan/10/18 Jan/17/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
semiconductorsolution Used - $5,500.00 0 Jan/10/18 Sep/23/21
Description: AMAT, Applied Materials, 0010-76149, HTHU Heater 6" USED
semiconductorsolution Used - $25,000.00 0 Jan/10/18 Jan/14/18
Description: AMAT, Applied Materials, 0010-01456, SMF/JMF MCA E-Chuck 6" USED
earth_enterprises Used - $100.00 0 Jan/11/18 Jan/18/18
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
20041014625pm Used - $1,095.00 0 Jan/11/18 Feb/10/18
Description: Lam Research P/N: 853-012525-001 Lifter-Spinner Assembly Used Tested Good
keykorea Used - $5,000.00 2 Jan/15/18 Oct/03/19
Description: AMAT 0190-34646 Generator 3152411-243 A, USED
keykorea Used - $3,800.00 1 Jan/15/18 Mar/12/20
Description: AMAT 1140-90164 HITEK POWER , USED
keykorea Used - $5,500.00 4 Jan/15/18 Mar/08/18
Description: AMAT 0190-34646 Generator 3152411-243 A WITH 0195-02768, USED
usedeqsales Used - $2,001.18 0 Jan/17/18 Mar/03/22
Description: AMAT Applied Materials 9090-00442 PSU Resistor 9010-01409ITL Incomplete Used
nevadasurplus-com Used - $499.99 0 Jan/17/18 Jan/24/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $201.18 1 Jan/19/18 Sep/30/18
Description: AMAT Applied Materials 0190-06926 Cable SQS R/A TO SQS R/A with Interlock Used
earth_enterprises Used - $100.00 0 Jan/19/18 Jan/26/18
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
usedeqsales Used - $204.16 1 Jan/18/18 Mar/03/22
Description: AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working
usedeqsales Used - $251.18 1 Jan/19/18 May/25/18
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller MFC AMAT 3030-15725 Used Working
usedeqsales Used - $251.18 2 Jan/19/18 Jun/25/18
Description: Horiba STEC SEC-4400M Mass Flow Controller MFC AMAT 3030-12516 Used Working
usedeqsales Used - $251.18 3 Jan/18/18 Oct/11/21
Description: AMAT Applied Materials 0040-48973 SD Stepper Interface PCB Panel 0130-00537 Used
usedeqsales Used - $1,001.18 1 Jan/18/18 Jan/22/18
Description: Spellman X2784 Power Supply ESC1.5PN75X2784 AMAT 0190-07247 0190-019081 Used
dnd_surplus Used - $900.00 0 Jan/21/18 Feb/20/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
spsglobal Used - $80.00 1 Jan/21/18 Apr/26/18
Description: 202-0302// AMAT APPLIED 0190-13175 LIGHT PEN SST 5V 20MA W/PHONE JACK CONN/ USED
spsglobal Used - $1,500.00 0 Jan/21/18 Mar/12/18
Description: 202-0302// AMAT APPLIED 0010-20291 0010-20292 0020-21826 0140-20254 USED
spsglobal Used - $500.00 0 Jan/21/18 Mar/03/22
Description: 146-0701// AMAT APPLIED 0150-70038 COAXIAL CABLE USED
spsglobal Used - $350.00 0 Jan/21/18 Mar/03/22
Description: 146-0401// AMAT APPLIED 0226-47348 CABLE ASSY,75' REMOTE VIDEO USED
spsglobal Used - $700.00 0 Jan/21/18 Mar/03/22
Description: 144-0601// AMAT APPLIED 0190-09120 HOSE, HEAT EXCHANGER-FACI USED
spsglobal Used - $8,500.00 0 Jan/21/18 May/10/19
Description: 130-0201// AMAT APPLIED 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16 USED
spsglobal Used - $400.00 0 Jan/21/18 Mar/03/22
Description: 129-0601// AMAT APPLIED 0010-09103 (#4) CABLE STAND ALONE MONITOR USED
spsglobal Used - $600.00 0 Jan/21/18 Mar/22/19
Description: 129-0203// AMAT APPLIED 0100-76000 PCB FAB N2 DRY VAC DIST USED
spsglobal Used - $300.00 0 Jan/21/18 Mar/03/22
Description: 129-0203// AMAT APPLIED 0100-09126 (#2) wPCB ASY, REMOTE WIRING USED
spsglobal Used - $480.00 0 Jan/21/18 Mar/03/22
Description: 101-0601// AMAT APPLIED 0010-70155 ASSY GENERIC RF USED
buynsave2018 Used - $186.00 0 Jan/21/18 Oct/21/22
Description: Lam Research P/N 853-170803-001 USED UNTESTED AS IS
usedeqsales Used - $702.14 0 Jan/22/18 Nov/26/18
Description: DIP 15049105 DeviceNet Card CDN491 PCB AMAT Applied Materials 0660-01879 Used
usedeqsales Used - $3,201.18 1 Jan/22/18 Apr/21/18
Description: Adlink Technology cPCI-3720L2 SBC Single Board Computer PCB AMAT 0190-14599 Used
usedeqsales Used - $3,201.18 3 Jan/22/18 Mar/07/18
Description: Adlink Technology cPCI-3720L2 SBC Single Board Computer PCB AMAT 0190-28791 Used
northbaycontact Used - $249.00 0 Jan/22/18 Feb/21/18
Description: AMAT 0150-09583 CABLE COAXIAL DELTA (used)
northbaycontact Used - $249.00 0 Jan/22/18 Feb/21/18
Description: AMAT 0150-09583 CABLE COAXIAL DELTA (used)
northbaycontact Used - $249.00 0 Jan/22/18 Feb/21/18
Description: AMAT 0150-09583 CABLE COAXIAL DELTA (used)
spsglobal Used - $6,000.00 0 Jan/23/18 Mar/03/22
Description: 354-0401// AMAT APPLIED 0010-20328 OPTIONAL 8"AL MAGNET ASY USED
usedeqsales Used - $151.18 3 Jan/24/18 Jan/10/19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB 13-406263-01 Used
nevadasurplus-com Used - $499.99 0 Jan/24/18 Jan/31/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
svcstore Used - $719.99 0 Jan/24/18 Feb/23/18
Description: Lam Research 715-013639-009 Rev. B Industrial Ring Semiconductor Part Used
usedeqsales Used - $6,001.18 2 Jan/25/18 Jun/20/19
Description: Brooks Automation 151865 Wafer Robot Reliance ATR8 Lam 27-353059-00 Copper Used
usedeqsales Used - $16,001.20 1 Jan/25/18 Jan/29/18
Description: Kawasaki 3NS411B-F004 300mm Interface Robot Set 30C61E-B019 AMAT 0190-29005 Used
usedeqsales Used - $16,001.20 3 Jan/25/18 Jan/29/18
Description: Kawasaki 3NS411B-F003 300mm Interface Robot Set 30C61E-B014 AMAT 0190-16621 Used
usedeqsales Used - $7,501.18 1 Jan/25/18 Jan/27/18
Description: Kawasaki 3NS411B-F004 Factory Interface Wafer Robot AMAT 0190-28740 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Jan/27/18
Description: Kawasaki 3NS411B-F004 Factory Interface Wafer Robot AMAT 0190-29005 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Feb/02/18
Description: Kawasaki 30C61E-B014 CMP AT Robot Master Controller AMAT 0190-17941 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Jan/29/18
Description: Kawasaki 30C61E-B019 CMP AT Robot Master Controller AMAT 0190-25867 Used Working
earth_enterprises Used - $100.00 0 Jan/26/18 Feb/02/18
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
usedeqsales Used - $3,001.18 1 Jan/29/18 Aug/20/18
Description: CX-2000 Comdel FP3365RA RF Generator CV2000 AMAT 0190-31017 Used Tested Working
pic_insit Used - $315.00 0 Jan/31/18 Mar/02/18
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
earth_enterprises Used - $50.00 1 Feb/02/18 Feb/09/18
Description: 0150-21692 Applied Material AMAT RF CABLE AASY.- USED
bobsgoodies2 Used - $125.00 0 Feb/02/18 Mar/31/22
Description: SMC Air Cylinder, 1-1/4" Bore, NCDQ1B32-G0069-100, Used in AMAT # 3020-01042
northbaycontact Used - $95.00 0 Feb/02/18 Mar/04/18
Description: AMAT 0150-09109 DC POWER FOOT (used)
northbaycontact Used - $95.00 0 Feb/02/18 Mar/04/18
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
ab-international Used - $492.51 0 Feb/04/18 May/07/19
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber PCB
northbaycontact Used - $75.00 0 Feb/05/18 Mar/07/18
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
northbaycontact Used - $95.00 0 Feb/05/18 Mar/07/18
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $250.00 0 Feb/05/18 Feb/05/18
Description: AMAT 0150-09107 ASSY CABLE REM ANALOG 50 (used)
northbaycontact Used - $49.00 0 Feb/05/18 Mar/07/18
Description: AMAT 0150-09593 GENERATOR CABLE (used)
spsglobal Used - $250.00 0 Feb/07/18 Mar/03/22
Description: 105-0501// AMAT APPLIED 0820-01022 DET CALIBRATION KIT GASTECH H2 USED
spsglobal Used - $250.00 0 Feb/07/18 Mar/03/22
Description: 101-0601// AMAT APPLIED 9090-01320 FFU CONTROLLER USED
spsglobal Used - $100.00 0 Feb/07/18 Mar/03/22
Description: 342-0102// AMAT APPLIED 0040-20056 ADAPTOR ELBOW .25VCR TO MINI C USED
spsglobal Used - $5,000.00 1 Feb/07/18 Mar/06/19
Description: 115-0101// AMAT APPLIED 0100-01815 (#2) REMOTE REM RACK CONTROLLER USED
20041014625pm Used - $1,195.00 0 Feb/11/18 Mar/13/18
Description: Lam Research P/N: 853-012525-001 Lifter-Spinner Assembly Used Tested Good
tfstech Used - $395.00 1 Feb/11/18 Feb/12/18
Description: Lam Research 853-012123-001 Rev 1 Harmonic Arm Drive Motor Assembly Used
spsglobal Used - $3,000.00 0 Feb/12/18 Mar/03/22
Description: 333-0201// AMAT APPLIED 0021-21143 FRAME, SIDE INLET COOLING, LARGER ID USED
spsglobal Used - $40.00 0 Feb/12/18 Mar/03/22
Description: 321-0301// AMAT APPLIED 0020-22805 PLATE ADAPTER CLAMP WIDE BODY CHAMBER USED
spsglobal Used - $30.00 0 Feb/12/18 Jun/24/18
Description: 321-0301// AMAT APPLIED 0015-20038 PULLEY MODIFIED USED
spsglobal Used - $400.00 1 Feb/12/18 Jun/10/19
Description: 321-0202// AMAT APPLIED 0190-09552 CSD5814N2-T MOTOR 5 PH STEPPER USED
jabedow Used - $350.00 0 Feb/13/18 May/14/18
Description: AMAT 0020-78707 BLOCK,MOUNTING , USED
usedeqsales Used - $502.18 0 Feb/14/18 Mar/15/18
Description: AMAT Applied Materials 0190-05576 RF Cable 20M Endura Mainframe Used Working
usedeqsales Used - $3,502.18 2 Feb/15/18 Jan/20/19
Description: CDX-2000 Comdel FP5320R3 Dual RF Generator AMAT 0190-15399 Used Tested Working
usedeqsales Used - $252.18 0 Feb/16/18 Mar/03/22
Description: Tylan FC-2902MEP Mass Flow Controller MFC AMAT 0227-12087 20 SCCM C4F8 Used
usedeqsales Used - $126.09 1 Feb/16/18 Aug/22/19
Description: Aera 5Ra FC-D980C Mass Flow Controller MFC 100 SCCM CHF3 AMAT 3030-07339 Used
usedeqsales Used - $252.18 3 Feb/16/18 Sep/28/21
Description: Aera 5Ra FC-D980C Mass Flow Controller MFC 50 SCCM SF6 AMAT 3030-07419 Used
libo3221_5 Used - $299.99 1 Feb/17/18 May/01/18
Description: Used Applied Materials Pirani Gauge Hotlon/PI 0.775 10Volt 0190-22145 750Torr
levmucciacciar0 Used - $390.00 0 Feb/19/18 Mar/15/20
Description: ETO ABX-A434 RF Generator Controller ABX-X355 PCB Board AMAT 0190-36677 Used
jabedow Used - $1,100.00 0 Feb/20/18 Mar/22/18
Description: AMAT 0100-09172 Assembly, 8 Channel, Emission, Laser Endpoint, PCB, used working
usedeqsales Used - $3,502.18 1 Feb/22/18 Feb/27/18
Description: AMAT Applied Materials 0040-91179 300mm Heater Assembly 0020-83936 Used Working
dnd_surplus Used - $700.00 0 Feb/22/18 Mar/24/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $2,002.18 0 Feb/23/18 Dec/23/20
Description: Yaskawa SGDH-75AEY906 Platen Servo Motor Driver SERVOPACK AMAT 0190-08040 Used
usedeqsales Used - $602.18 1 Feb/23/18 Jul/25/18
Description: Lam Research 853-001983-005 End Point Detection 714-002602-001 E Rev. P Used
plccenter Used - $126.00 0 Feb/24/18 Sep/16/23
Description: APPLIED MATERIAL 0100-77050 / 010077050 (USED TESTED CLEANED)
keykorea Used - $300.00 0 Feb/26/18 Jun/07/21
Description: AMAT 0015-01864 CLAMP, POLISHING HEAD, UNIVERSAL, USED
spsglobal Used - $250.00 0 Feb/26/18 Jul/01/18
Description: 322-0201// AMAT APPLIED 0190-35083 WATER FLOW SWITCH .50 GPM USED
spsglobal Used - $300.00 0 Feb/26/18 May/11/20
Description: 322-0201// AMAT APPLIED 0090-20314 (#2) 9100SS24P14 SW WATER FLOW B101 USED
spsglobal Used - $450.00 0 Feb/26/18 Mar/27/20
Description: 322-0201// AMAT APPLIED 0090-20314 (#1) 9100SS24P14 SW WATER FLOW B101 USED
spsglobal Used - $400.00 0 Feb/26/18 Apr/16/19
Description: 322-0103// AMAT APPLIED 1080-01204 P22NRXA-LDN-HD-00 MOTOR STEPPING 4.6A USED
spsglobal Used - $80.00 0 Feb/26/18 Oct/11/19
Description: 322-0102// AMAT APPLIED 0680-01199 CBMAG 3P 240VAC 30A LOAD SIDE ACCEPTS RI USED
spsglobal Used - $1,500.00 0 Feb/26/18 Sep/03/18
Description: 321-0303// AMAT APPLIED 0090-35731 CP*OEM750X-10624 ASSY, STPR MTR DRIVER USED
spsglobal Used - $1,000.00 0 Feb/26/18 Oct/09/18
Description: 320-0302// AMAT APPLIED 0100-09196 PCB ASSY,E CHUCK CONTROLLER USED
spsglobal Used - $350.00 1 Feb/26/18 Jan/13/20
Description: 319-0102// AMAT APPLIED 3870-01212 VALVE PNEU NW 40 6LG USED
spsglobal Used - $100.00 1 Feb/26/18 Feb/14/22
Description: 316-0403// AMAT APPLIED 0020-29127 BRACKET FLOW SWITCH PVD IMP USED
usedeqsales Used - $5,002.18 0 Feb/27/18 Jul/27/18
Description: ASTRON ASTeX AX7651-2 RPS Plasma AMAT 0920-00013 238 Hours Used Tested Working
usedeqsales Used - $5,002.18 0 Feb/27/18 Jul/27/18
Description: ASTRON ASTeX AX7651-2 RPS Plasma AMAT 0920-00013 224 Hours Used Tested Working
usedeqsales Used - $5,002.18 0 Feb/27/18 Jul/27/18
Description: ASTRON ASTeX AX7651-2 RPS Plasma AMAT 0920-00013 243 Hours Used Tested Working
grandbirdnet Used - $6,000.00 0 Feb/27/18 Mar/03/22
Description: AMAT 0190-30332 FLASHLAMP CONTROL & POWER, USED
grandbirdnet Used - $5,000.00 0 Feb/27/18 Mar/03/22
Description: AMAT 0010-29963 CCM ENABLER, USED
keykorea Used - $4,200.00 1 Feb/28/18 Feb/11/20
Description: AMAT 0190-07388 BOARD 9000-31-040, USED
spsglobal Used - $800.00 0 Mar/01/18 Dec/02/18
Description: 320-401// AMAT APPLIED 0100-76059 PCB ASSY, MAINFRAME EXPANSION USED
spsglobal Used - $2,500.00 0 Mar/01/18 Aug/23/18
Description: 129-0101// AMAT APPLIED 0190-01485 (#2) TESTED DRIVER,PVD LAMP/DUAL MODE USED
spsglobal Used - $2,500.00 0 Mar/01/18 Mar/22/18
Description: 129-0101// AMAT APPLIED 0190-01485 (#1) TESTED DRIVER,PVD LAMP/DUAL MODE USED
spsglobal Used - $1,500.00 0 Mar/01/18 Oct/06/21
Description: 303-0201// AMAT APPLIED 0190-76273 DRIVER, PVD LAMP/HEATER DRIVER USED
spsglobal Used - $5,000.00 0 Mar/01/18 Nov/13/22
Description: 324-0501// AMAT APPLIED 0190-11913 RF MATCH ASSY 300MM TXZ COMDEL USED
spsglobal Used - $1,000.00 0 Mar/01/18 May/28/21
Description: 124-0301// AMAT APPLIED 0190-00839 TESTED DRIVER, LTESC/LTBESC, PVD DUAL USED
spsglobal Used - $2,000.00 0 Mar/01/18 Jul/15/18
Description: 124-0201// AMAT APPLIED 0010-20079 (#2) TESTED ASSY, PVD CHAMBER DRIVER USED
spsglobal Used - $2,000.00 0 Mar/01/18 Jun/26/18
Description: 124-0201// AMAT APPLIED 0010-20079 (#1) TESTED ASSY, PVD CHAMBER DRIVER USED
spsglobal Used - $100.00 0 Mar/01/18 Aug/17/21
Description: 316-0403// AMAT APPLIED 0040-13571 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $100.00 0 Mar/01/18 Nov/15/18
Description: 108-0201// AMAT APPLIED 3060-01192 BLOCK PILLOW BRG 3/4 SFT DIA NKL PLD SC USED
usedeqsales Used - $703.18 0 Mar/02/18 Aug/05/20
Description: AMAT Applied Materials 0100-91085 Guiding Tube PCB Card XR80 Used Working
northbaycontact Used - $95.00 0 Mar/05/18 Mar/26/18
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
northbaycontact Used - $95.00 0 Mar/05/18 Mar/26/18
Description: AMAT 0150-09109 DC POWER FOOT (used)
pic_insit Used - $310.00 0 Mar/05/18 Apr/04/18
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
northbaycontact Used - $95.00 0 Mar/07/18 Mar/26/18
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $75.00 0 Mar/07/18 Mar/26/18
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
northbaycontact Used - $49.00 0 Mar/07/18 Mar/26/18
Description: AMAT 0150-09593 GENERATOR CABLE (used)
ab-international Used - $450.00 0 Mar/10/18 Mar/20/18
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber PCB
spsglobal Used - $300.00 0 Mar/11/18 Nov/23/18
Description: 202-0303// AMAT APPLIED 0040-21368 (#1) BRACKET, LEFT, ADAPTER, WATER COVER USED
spsglobal Used - $60.00 0 Mar/11/18 Jun/04/18
Description: 202-0303// AMAT APPLIED 0020-20700 (#2) CLAMP END AR FLEX 8" GATE VALV USED
spsglobal Used - $2,500.00 0 Mar/12/18 Sep/18/18
Description: 320-0202// AMAT APPLIED 0190-76050 PCB VIDEO CONTROLLER VGA USED
spsglobal Used - $5,800.00 1 Mar/11/18 Apr/17/18
Description: 320-0202// AMAT APPLIED 0190-11528 061-01073-0031 067-02114-0006 CARD PIII USED
spsglobal Used - $1,500.00 1 Mar/11/18 Jul/13/18
Description: 320-0202// AMAT APPLIED 0190-01405 SERIPLEX VME CONTROL BOARD SPXVME6U1V2, USED
spsglobal Used - $1,000.00 0 Mar/11/18 Aug/23/18
Description: 320-0202// AMAT APPLIED 0100-35064 ASSY PCB AC DIST USED
spsglobal Used - $1,000.00 1 Mar/11/18 Mar/21/18
Description: 320-0202// AMAT APPLIED 0100-00398 ASSY, PCB SEI USED
spsglobal Used - $8,000.00 1 Mar/12/18 Apr/08/21
Description: 335-0301// AMAT APPLIED 0010-30236 ASSY, HIGH EFF. RF MATCH-BIAS W/ FILTER USED
spsglobal Used - $5,000.00 0 Mar/12/18 Sep/26/19
Description: 335-0301// AMAT APPLIED 0010-22225 ASSY, HIGH EFFICIENCY RF MATCH, REACTIVE USED
spsglobal Used - $8,000.00 0 Mar/12/18 Oct/20/19
Description: 335-0301// AMAT APPLIED 0010-05846 ASSY, HIGH EFFICIENCY RF MATCH-BIAS, 300 USED
spsglobal Used - $6,000.00 0 Mar/12/18 Nov/24/20
Description: 328-0101// AMAT APPLIED 0010-22223 ASSY,RESONATOR WITH INTERLOCKS, REACTIVE USED
spsglobal Used - $800.00 1 Mar/12/18 Sep/24/20
Description: 327-0301// AMAT APPLIED 0630-01361 CAPVAR 5KV 25-4000PF VACUUM CERAMIC USED
spsglobal Used - $6,000.00 0 Mar/12/18 Oct/31/19
Description: 327-0101// AMAT APPLIED 0010-20705 (#2) RF RESONATOR ASSY WITH INTERLOCKS USED
spsglobal Used - $7,000.00 0 Mar/12/18 Sep/17/18
Description: 327-0101// AMAT APPLIED 0010-20705 (#1) RF RESONATOR ASSY WITH INTERLOCKS USED
spsglobal Used - $50.00 0 Mar/12/18 Mar/05/20
Description: 323-0402// AMAT APPLIED 0150-00457 0150-00458 CABLE ASSY VACUUM RELAY USED
spsglobal Used - $4,000.00 1 Mar/12/18 Sep/23/21
Description: 322-0401// AMAT APPLIED 0242-09033 0010-30063 0010-10973 KIT, CO H.O.T. [USED]
spsglobal Used - $100.00 0 Mar/12/18 Mar/05/20
Description: 320-0303// AMAT APPLIED 0100-20265 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $2,000.00 0 Mar/12/18 Aug/08/19
Description: 310-0301// AMAT APPLIED 0010-09933 HV MODULE ASSY, ESC USED
spsglobal Used - $4,000.00 0 Mar/12/18 Nov/07/22
Description: 349-0401// AMAT APPLIED 0010-13437 (#2) AC-BOX, HTESC USED
spsglobal Used - $4,500.00 0 Mar/12/18 Apr/03/19
Description: 309-0401// AMAT APPLIED 0010-13437 (#1) AC-BOX, HTESC USED
spsglobal Used - $4,000.00 0 Mar/12/18 Apr/09/18
Description: 309-0201// AMAT APPLIED 0010-70441 PVD HP LIFT USED
spsglobal Used - $4,000.00 0 Mar/12/18 Apr/09/18
Description: 309-0201// AMAT APPLIED 0010-13629 ASSY, B101 MOTORIZED LIFT USED
spsglobal Used - $10,000.00 0 Mar/12/18 Dec/20/19
Description: 302-0201// AMAT APPLIED 0010-21706 ASSY, VECTRA IMP RF MATCH .015UF CAP USED
spsglobal Used - $10,000.00 0 Mar/12/18 Dec/20/19
Description: 302-0201// AMAT APPLIED 0010-13623 ASSY, VECTRA IMP RF MATCH .015UF CAP REV USED
spsglobal Used - $10,000.00 0 Mar/12/18 Dec/20/19
Description: 302-0201// AMAT APPLIED 0010-13622 ASSY, VECTRA IMP RF MATCH .01UF CAP REV USED
20041014625pm Used - $1,195.00 0 Mar/13/18 Apr/08/18
Description: Lam Research P/N: 853-012525-001 Lifter-Spinner Assembly Used Tested Good
usedeqsales Used - $303.18 2 Mar/12/18 Apr/08/21
Description: Nor-Cal Products 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
usedeqsales Used - $1,005.16 0 Mar/14/18 Sep/08/23
Description: Lam Research 852-017750-001 Remote Damaged Used Untested As-Is
usedeqsales Used - $1,512.13 0 Mar/15/18 Mar/17/21
Description: AMAT Applied Materials 0040-84444 Gripper Claw 300mm 0040-80144 Used Working
usedeqsales Used - $1,512.13 0 Mar/15/18 Feb/22/21
Description: AMAT Applied Materials 0040-84443 Gripper Claw 300mm 0040-80142 0040-03857 Used
usedeqsales Used - $705.15 5 Mar/15/18 Oct/16/19
Description: AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card Used Working
usedeqsales Used - $705.15 2 Mar/15/18 Jul/13/22
Description: AMAT Applied Materials 0100-01326 Turbo Pump Interface PCB Card Quantum X Used
usedeqsales Used - $705.15 0 Mar/15/18 Mar/27/18
Description: AMAT Applied Materials 0100-01319 Vendor Interface Pre-Accel Board PCB Card Used
usedeqsales Used - $705.15 1 Mar/15/18 Mar/03/22
Description: AMAT Applied Materials 0100-01415 Vendor Interface A Mag PCB Used Working
usedeqsales Used - $1,011.13 0 Mar/15/18 Oct/11/21
Description: AMAT Applied Materials 0100-00629 Interlock Select 300mm GEN RACK Board PCB Used
keykorea Used - $150.00 1 Mar/15/18 Jun/28/18
Description: AMAT 0190-77116 FLEXIBLE DISK, USED
tm_semi Used - $295.00 0 Mar/16/18 Apr/15/18
Description: AMAT 0040-04586 INNER SHIELD TxZ 300MM, SLIGHTLY USED, CLEAN ROOM READY
tm_semi Used - $595.00 0 Mar/16/18 Apr/15/18
Description: AMAT 0200-00531 CHAMBER INSERT, TxZ 300MM, ENDURA, USED, GOOD CONDITION.
tm_semi Used - $595.00 0 Mar/16/18 Apr/15/18
Description: AMAT 0200-02421 ENDURA TxZ STD-LID ISOLATOR 300MM; SLIGHTLY USED, OEM
spsglobal Used - $250.00 0 Mar/16/18 Apr/09/19
Description: 323-0302// AMAT APPLIED 3870-01215 VALVE BLWS PNEU 2.03 90 DEG SST BORED NW USED
spsglobal Used - $150.00 0 Mar/16/18 Jan/14/21
Description: 323-0302// AMAT APPLIED 0040-20165 BRACKET VCR CROSS USED
spsglobal Used - $30.00 14 Mar/16/18 Feb/16/21
Description: 323-0102// AMAT APPLIED 0020-20673 PIN, CHAMBER, MONOLITH INTERFACE USED
spsglobal Used - $450.00 0 Mar/16/18 Jul/06/22
Description: 322-0302// AMAT APPLIED 4060-00301 MANF ASSY EV 12 STATION USED
spsglobal Used - $3,300.00 1 Mar/16/18 Jun/15/18
Description: 321-0402// AMAT APPLIED 0010-39867 HV MODULE ASSY, POSITIVE, CESC USED
spsglobal Used - $500.00 1 Mar/16/18 Sep/04/18
Description: 321-0302// AMAT APPLIED 0660-01083 0660-01088 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $500.00 0 Mar/16/18 Apr/12/18
Description: 321-0203// AMAT APPLIED 1080-00786 C9469-041 ORIENTAL DRIVER USED
spsglobal Used - $1,500.00 0 Mar/16/18 Oct/31/19
Description: 320-0402// AMAT APPLIED 0100-35227 PCB ASSY, E-CHUCK CONTROLLER USED
spsglobal Used - $1,800.00 0 Mar/16/18 Sep/04/18
Description: 320-0402// AMAT APPLIED 0100-20069 OBS,PCB WAFER ORIENTER USED
spsglobal Used - $2,000.00 0 Mar/16/18 Oct/17/19
Description: 320-0402// AMAT APPLIED 0100-09172 ASSY,TEOS GAS INTERFACE BOARD USED
spsglobal Used - $500.00 0 Mar/16/18 Jul/08/22
Description: 320-0303// AMAT APPLIED 0100-00161 ASSY,MONOCHROMATOR INTERCONNECT BOARD USED
spsglobal Used - $1,000.00 0 Mar/16/18 May/08/22
Description: 320-0302// AMAT APPLIED 0100-38032 PCBA ESC CONTROLLER CERAMIC USED
spsglobal Used - $700.00 0 Mar/16/18 May/27/19
Description: 320-0302// AMAT APPLIED 0100-09196 (#2) PCB ASSY,E CHUCK CONTROLLER USED
spsglobal Used - $4,500.00 0 Mar/16/18 Jun/06/21
Description: 320-0301// AMAT APPLIED 0090-01433 ASSY AMP/OT CU SZ CHILLER USED
spsglobal Used - $700.00 0 Mar/16/18 Dec/23/18
Description: 318-0203// AMAT APPLIED 0021-20437 CLEANED DISK 8" B101 TI SHUTTER USED
spsglobal Used - $6,000.00 0 Mar/16/18 May/29/19
Description: 313-0301// AMAT APPLIED 3620-01124 (#2) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
spsglobal Used - $6,000.00 0 Mar/16/18 Oct/31/19
Description: 313-0301// AMAT APPLIED 3620-01124 (#1) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
spsglobal Used - $2,400.00 0 Mar/16/18 Mar/10/19
Description: 309-0301// AMAT APPLIED 0190-02703 (#2) MAGNET DRIVE ASSY 02 USED
spsglobal Used - $650.00 1 Mar/16/18 Dec/11/19
Description: 308-0101// AMAT APPLIED 0040-20033 ADAPTOR,CONV,VENT,& CRYO XFR LLC USED
spsglobal Used - $500.00 0 Mar/16/18 Dec/16/18
Description: 202-0202// AMAT APPLIED 0200-20210 INSERT, DOUBLE RF CONNECTOR, VECTRA IMP USED
usedeqsales Used - $2,005.13 0 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 0190-24298 Endura RF Cable Rev. 002 Used Working
usedeqsales Used - $2,005.13 1 Mar/16/18 Oct/29/18
Description: AMAT Applied Materials 0190-00576 Endura Main Frame Cable Rev. 003 Used Working
usedeqsales Used - $2,005.13 1 Mar/16/18 Jun/06/18
Description: AMAT Applied Materials 0190-28182 Endura RF Cable Rev. 03 Used Working
usedeqsales Used - $705.15 1 Mar/16/18 Aug/05/20
Description: AMAT Applied Materials 0090-91085 Guiding Tube Circuit Board PCB Used Working
usedeqsales Used - $705.15 0 Mar/16/18 Mar/27/18
Description: AMAT Applied Materials 0100-91015 Monitor Interface Board Rev E Used Working
usedeqsales Used - $705.15 0 Mar/16/18 Aug/05/20
Description: AMAT Applied Materials 0100-01877 Focus PSU Interface PCB Card Used Working
usedeqsales Used - $3,505.15 0 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 9090-01144 Processor and Sensor Rack Quantum X Used
usedeqsales Used - $3,508.13 0 Mar/16/18 May/21/18
Description: AMAT Applied Materials 9090-00945 Power Supply Quantum Used Working
usedeqsales NEW - $803.13 1 Mar/16/18 Feb/05/19
Description: AMAT Applied Materials 0040-13509 300mm Preclean 21 OD Belljar Used Working
usedeqsales Used - $320.46 2 Mar/16/18 Jan/02/23
Description: AMAT Applied Materials 0020-80664 Electrode 0190-90758 0020-80667 Used Working
usedeqsales Used - $404.16 0 Mar/16/18 Jan/09/19
Description: Particle Measuring Systems 659510-100 FiberVac II AMAT 9090-01134 Used Working
usedeqsales Used - $3,009.13 0 Mar/16/18 Jan/23/19
Description: Glassman PS/ER06N25.OYZ4 6KV 25MA Power Supply Series ER AMAT 9090-00473 Used
usedeqsales Used - $1,252.14 0 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 9010-01381 Celerity Gas PCB Card GeF4 Quantum X Used
usedeqsales Used - $1,305.16 0 Mar/16/18 Sep/08/23
Description: Lam Research 852-017750-001 Remote Used Untested As-Is
usedeqsales Used - $1,558.14 0 Mar/16/18 Jun/06/19
Description: AMAT Applied Materials 9090-01168 Electrostatic Chuck DC Power Supply PX32J Used
usedeqsales Used - $3,011.13 0 Mar/16/18 Apr/07/21
Description: AMAT Applied Materials 9010-00299 Quantum Process Module Load Lock Door Used
usedeqsales Used - $705.15 2 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 0100-00546 Circuit Board PCB Endura 300mm Used Working
usedeqsales Used - $2,004.14 2 Mar/16/18 Sep/24/21
Description: AMAT Applied Materials 0010-25151 ESIP Encore II TAN Controller Used Working
usedeqsales Used - $1,501.16 0 Mar/16/18 Apr/03/18
Description: AMAT Applied Materials 0021-16783 Cover Ring Pentagon Kit Copper Endura Used
usedeqsales Used - $2,004.14 2 Mar/16/18 Sep/24/21
Description: AMAT Applied Materials 0010-22911 PVD Chamber Power Controller Endura Used
usedeqsales Used - $305.15 2 Mar/16/18 Feb/24/22
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Endura 300mm Used
usedeqsales Used - $2,503.14 0 Mar/16/18 Jun/15/21
Description: HiTek 0090-91806 Power Supply AMAT Quantum X PRA Rack Used Working
tm_semi Used - $1,500.00 0 Mar/16/18 Apr/15/18
Description: APPLIED MATERIALS 0021-12063 IMP TI-SHIELD, SLIGHTLY USED, OEM
keykorea Used - $50.00 0 Mar/18/18 Apr/11/18
Description: AMAT 0140-78509 CIRCUIT BREAKER BOX, USED
keykorea Used - $50.00 0 Mar/18/18 Apr/11/18
Description: AMAT 0140-78508 CIRCUIT BREAKER BOX, USED
keykorea Used - $50.00 0 Mar/18/18 Apr/11/18
Description: AMAT 0140-78507 UPPER ELECTRONIC, USED
keykorea Used - $50.00 0 Mar/18/18 Apr/11/18
Description: AMAT 0140-78506 CIRCUIT BREAKER BOX, USED
tm_semi Used - $175.00 0 Mar/18/18 Apr/17/18
Description: AMAT 0021-07984 OUTER SHIELD, ENDURA, USED, GOOD CONDITION
tm_semi Used - $295.00 0 Mar/18/18 Apr/17/18
Description: AMAT 0200-36399 TxZ Mini-Lid Liner, Endura, Ceramic, OEM, USED, Excellent Cond.
usedeqsales Used - $3,003.12 0 Mar/19/18 Apr/27/21
Description: AMAT Applied Materials 0010-27504 Source Assembly Encore 2 Cu Used Working
usedeqsales NEW - $1,006.14 0 Mar/19/18 Mar/27/18
Description: AMAT Applied Materials 0021-21519 Gasket RF 300MM B101 Heater IMP Used Working
usedeqsales Used - $705.15 1 Mar/19/18 Aug/17/20
Description: AMAT Applied Materials 0100-01445 Circuit Board PCB 0120-00415 Used Working
usedeqsales NEW - $505.14 0 Mar/19/18 Mar/26/20
Description: AMAT Applied Materials 0240-03251 Mounting Chiller Lines Kit Endura Used Working
usedeqsales NEW - $2,005.14 1 Mar/19/18 Jan/28/21
Description: AMAT Applied Materials 0021-12762 Lower Shield 8in BESC SIP TA 200mm Used
usedeqsales Used - $1,005.14 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0020-08465 Shield Clamp Ring 8in AL-Sprayed Used Working
usedeqsales NEW - $2,005.14 2 Mar/19/18 Nov/25/20
Description: Novellus 03-417236-00 PCA GEN II FE INTF PCB C3VCTR Vector 300mm Used Working
usedeqsales Used - $1,503.18 0 Mar/19/18 Aug/31/23
Description: AMAT Applied Materials 0010-09341 Wafer Lift Precision 5000 CVD Rev. N Used
usedeqsales Used - $1,503.18 0 Mar/19/18 Sep/12/23
Description: AMAT Applied Materials 0010-09340 Susceptor Lift Precision 5000 CVD Rev. G Used
usedeqsales Used - $1,503.18 1 Mar/19/18 Jun/08/20
Description: AMAT 0010-76174 Dual Spring Loaded Throttle Valve 5000 CVD Rev. B Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01490 Faraday Alignment PCB Quantum X PRA Used
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01491 Beam Align Board PCB Quantum X Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01489 E-Chuck PCB Quantum X PRA Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01488 Z Sensor PCB Quantum X PRA Used
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01485 X Sensor PCB AMAT Quantum X PRA Used
usedeqsales Used - $705.15 0 Mar/19/18 Apr/25/24
Description: AMAT Applied Materials 0100-01489 E-Chuck PCB Rev. A Quantum X PRA Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0100-01486 Y Sensor PCB Rev B Quantum X PRA Used
usedeqsales Used - $705.15 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0100-01485 X Sensor PCB Rev B AMAT Quantum X PRA USed
usedeqsales Used - $3,211.14 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 9090-00923 Processor Vacuum Control Rev. B Quantum X Used
usedeqsales Used - $2,502.57 0 Mar/19/18 Jan/07/19
Description: AMAT Applied Materials 9240-04939 Control Chassis Rev A Quantum X PRA Used
usedeqsales Used - $1,008.14 0 Mar/19/18 Jan/28/19
Description: AMAT Applied Materials 0150-97185 Electrode 0040-01275 Quantum Leap 3 Used
usedeqsales Used - $507.14 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 9090-00879 Gas Interlock Module Rev. B Quantum X Used
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01486 Y Sensor PCB AMAT Quantum X PRA Used
usedeqsales Used - $4,000.14 0 Mar/19/18 Mar/30/21
Description: AMAT Applied Materials 0020-75028 Module Wafer Transfer Robot 0020-60583 Used
usedeqsales Used - $603.18 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0010-76175 CVD Throttle Valve Used Working
usedeqsales Used - $403.18 0 Mar/19/18 Jul/20/20
Description: AMAT Applied Materials 0040-09008 Vacuum Port Hinge Assembly 0020-10908 Used
usedeqsales Used - $607.16 0 Mar/19/18 Mar/20/18
Description: DIP 15049105 PCB Card CDN491(c) AMAT 0190-08860 Rev 003 Endura 300mm Used
usedeqsales Used - $6,500.14 0 Mar/19/18 Mar/30/21
Description: Pyramid 0500-00232 X-Scan Controller 0120-01840 9000-03062 AMAT 9000-04006 Used
usedeqsales Used - $227.16 1 Mar/19/18 Feb/14/19
Description: XPiQ F4A3A4A6 Power Supply 0040-87208 Rev. 001 Synergy Series AMAT Endura Used
usedeqsales Used - $300.00 2 Mar/19/18 Feb/13/20
Description: Lambda PDC60-300 Power Supply Board AMAT 0190-07661 Used Working
usedeqsales Used - $1,001.16 1 Mar/19/18 Nov/28/18
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
usedeqsales Used - $2,509.14 0 Mar/19/18 Jan/23/20
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
usedeqsales Used - $1,509.14 0 Mar/19/18 Mar/03/22
Description: Novellus Systems 16-383780-00 C3 Showerhead Revision D Small Dent Used Working
spsglobal Used - $40.00 0 Mar/19/18 May/15/18
Description: 110-0202// AMAT APPLIED 0020-34350 (2EA) LIFT PIN, CONDUCTIVE, ESC USED
spsglobal Used - $20.00 0 Mar/19/18 May/23/21
Description: 110-0202// AMAT APPLIED 0020-31633 (3EA) LIFT PIN, CONDUCTIVE USED
spsglobal Used - $25.00 0 Mar/19/18 May/16/18
Description: 306-0102// AMAT APPLIED 0020-23836 PLATE ADAPTER CLAMP G-12 USED
spsglobal Used - $90.00 1 Mar/19/18 May/22/18
Description: 110-0202// AMAT APPLIED 0050-20099 (#2) MANIFOLD H20 SOURCE11.3 USED
spsglobal Used - $80.00 1 Mar/19/18 May/22/18
Description: 110-0202// AMAT APPLIED 0050-20099 (#1) MANIFOLD H20 SOURCE11.3 USED
spsglobal Used - $20.00 3 Mar/19/18 Oct/29/20
Description: 110-0202// AMAT APPLIED 0020-22839 (3EA) PIN, PRECLEAN LIFT USED
spsglobal Used - $20.00 0 Mar/19/18 Aug/12/18
Description: 110-0202// AMAT APPLIED 0020-09912 LIFTING PIN, SPUTTER. USED
spsglobal Used - $1,200.00 0 Mar/20/18 Nov/04/21
Description: 322-0303// AMAT APPLIED 0010-09301 THROTTLE VALVE ASSEMBLY,TEOS, DOWNSTREAM USED
spsglobal Used - $1,200.00 0 Mar/20/18 Apr/22/18
Description: 322-0303// AMAT APPLIED 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM USED
spsglobal Used - $850.00 0 Mar/20/18 Jul/29/18
Description: 319-0401// AMAT APPLIED 1140-00095 LPS254 PWRSP DC 15VDC 250W 85-264VAC-IN USED
spsglobal Used - $1,500.00 0 Mar/20/18 Nov/17/21
Description: 319-0401// AMAT APPLIED 0730-00080 85472-6 NT361 LEYBOLD TURBOTRONIC NT150/ USED
spsglobal Used - $1,000.00 2 Mar/20/18 Apr/16/18
Description: 319-0401// AMAT APPLIED 0010-21154 ASSY PUMP INTERFACE BOX USED
spsglobal Used - $600.00 0 Mar/20/18 May/28/18
Description: 319-0102// AMAT APPLIED 3870-01352 VALVE H VAC RTANG 1-1/2" CONFLAT 2-3/4 F USED
spsglobal Used - $400.00 0 Mar/20/18 Nov/24/21
Description: 318-0101// AMAT APPLIED 0190-35463 (#1) MOTOR, 5 PHASE STEPPER W/ USED
spsglobal Used - $200.00 1 Mar/20/18 Jun/21/18
Description: 316-0501// AMAT APPLIED 0020-34831 PLATE, MOUNTING,RF/HV,W/INTERLOCK USED
spsglobal Used - $2,000.00 1 Mar/20/18 Mar/23/21
Description: 316-0401// AMAT APPLIED 0020-25770 0040-21013 0040-21014 LOWER WING DUAL USED
spsglobal Used - $2,000.00 1 Mar/20/18 Mar/23/21
Description: 316-0401// AMAT APPLIED 0020-25769 0040-21013 0040-21014 UPPER WING DUAL USED
spsglobal Used - $1,000.00 1 Mar/20/18 May/22/20
Description: 312-0301// AMAT APPLIED 9090-01168 PX32J ESC CONTROLLER ASSY INTEL USED
spsglobal Used - $1,000.00 1 Mar/20/18 Dec/28/20
Description: 312-0301// AMAT APPLIED 9090-01167 PX32J ESC CONTROLLER ASSY STD USED
spsglobal Used - $1,500.00 0 Mar/20/18 Sep/27/21
Description: 303-0301// AMAT APPLIED 0190-09378 P1125 wDRIVE SINGLE PHASE, PHASETRONICS USED
usedeqsales Used - $705.15 1 Mar/20/18 Feb/10/20
Description: AMAT Applied Materials 0100-00574 PVD/IMP Chamber Interlock PCB Card Endura Used
usedeqsales Used - $2,001.13 1 Mar/20/18 Sep/24/21
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
usedeqsales Used - $2,000.00 0 Mar/20/18 Mar/03/22
Description: AMAT Applied Materials 9090-01095 Controller Chasis Rev. A Used Working
usedeqsales Used - $810.15 0 Mar/20/18 Nov/20/19
Description: AMAT Applied Materials 0010-24405 Magnetic Source 0040-84886 Used Working
usedeqsales Used - $2,008.16 0 Mar/20/18 Apr/12/21
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis PXP41L Used Working
usedeqsales Used - $804.15 1 Mar/20/18 Aug/01/18
Description: AMAT Applied Materials 0010-25341 CPI-VMO Chamber 1 Used for Parts Used As-Is
usedeqsales Used - $353.15 0 Mar/20/18 Mar/24/21
Description: AMAT Applied Materials 0041-38981 RF Ground Shield Source PVD Used Working
usedeqsales Used - $1,203.15 1 Mar/20/18 Feb/21/19
Description: AMAT Applied Materials 0200-76058 Quartz Pedestal Large Pin Diameter 300mm Used
usedeqsales Used - $2,009.15 0 Mar/20/18 Mar/25/21
Description: Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X Used Working
usedeqsales Used - $1,506.15 0 Mar/20/18 Aug/19/21
Description: Acrom 7041-64423-004-102 Desktop PC AMAT 9090-00810 Missing Panel Used Tested
usedeqsales Used - $1,506.15 0 Mar/20/18 Mar/31/21
Description: Acrom 7041-64423-004-102 Desktop Computer AMAT 9090-00810 Used Tested Working
tm_semi Used - $175.00 0 Mar/20/18 Apr/19/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $650.00 0 Mar/20/18 Apr/19/18
Description: AMAT 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, OEM, USED, EXCELLENT COND.
keykorea Used - $900.00 1 Mar/21/18 Nov/11/19
Description: AMAT 0010-77138 SPRAY GUN ASSY, USED
usedeqsales Used - $257.16 3 Mar/21/18 Oct/04/18
Description: AMAT Applied Materials 0100-76294 Smoke/Water Dist. Board PCB 0130-76294 Used
usedeqsales Used - $1,006.16 2 Mar/21/18 Jul/05/18
Description: AMAT Applied Materials 0100-20346 Smoke & Water Leak Detector 0130-20346 Used
usedeqsales Used - $912.15 5 Mar/21/18 Aug/03/20
Description: AMAT Applied Materials 0100-01439 FOLC Loop Controller PCB Card Quantum X Used
usedeqsales Used - $712.15 0 Mar/21/18 Nov/26/18
Description: DIP 15049105 DeviceNet PCB CDN481 AMAT 0190-08860 Reflexion EFEM Used Working
usedeqsales Used - $706.16 1 Mar/21/18 Aug/27/18
Description: Novellus 02-168109-00 Sesioc Sioc Electrofill used working
usedeqsales Used - $706.16 2 Mar/21/18 Mar/03/22
Description: Novellus Systems 02-168108-00 Sesioc Sioc Bath Module Used Working
usedeqsales Used - $3,003.18 1 Mar/23/18 Mar/26/18
Description: AMAT Applied Materials 0010-19900 Robot Lower Assembly Waist Fixed Wing Cu Used
usedeqsales Used - $3,503.18 1 Mar/23/18 May/27/21
Description: Comet 20033653 RF Match Lam Research 27-382473-00 Used Working
usedeqsales Used - $1,503.18 1 Mar/23/18 Mar/03/22
Description: Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working
dnd_surplus Used - $700.00 0 Mar/24/18 Apr/23/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
eisale1535 Used - $1,503.00 0 Mar/25/18 May/24/18
Description: Novellus 02-252432-00 G Vector Spindle Assembly Used Working
bobsgoodies Used - $202.00 13 Mar/26/18 Mar/27/18
Description: TURCK AMAT 3030-05392 CONTROL, FLOW MONITOR ASSY Used in 0010-13018 Assembly
usedeqsales Used - $253.18 0 Mar/26/18 Mar/03/22
Description: Aera FCPIR981C4VX9THA Pressure Insensitive MFC MGMR Lam 797-106426-011 Used
usedeqsales Used - $353.18 2 Mar/27/18 Dec/13/19
Description: Kawasaki 50979-2460L01 Wafer Handling Robot Signal Cable AMAT 0190-10559 Used
usedeqsales Used - $3,511.15 1 Mar/27/18 Nov/09/18
Description: AMAT Applied Materials 0010-70066 Heat Exchanger AMAT 0 Used Working
usedeqsales Used - $2,151.59 1 Mar/27/18 Aug/29/19
Description: Brooks Automation 6-002-0705-SP Robot WTM511-2-FWS02-V1 AMAT 0190-08245 Used
usedeqsales Used - $603.18 1 Mar/27/18 Jan/27/22
Description: AMAT Applied Materials 0090-09145 TC AMP Assembly Precision 5000 P5000 Used
grandbirdnet Used - $4,500.00 0 Mar/27/18 Nov/25/22
Description: AMAT 0190-07312 AMPLIFIER, MEGASONIC, 200 MM SYSTEM, NRTL COMPLIANT , USED
spsglobal Used - $5,000.00 0 Mar/27/18 Nov/22/18
Description: 336-0201// AMAT APPLIED 0190-76028 OEM-12B3-02 GEN, RF 1250W 13.56MHZ USED
spsglobal Used - $2,800.00 0 Mar/27/18 Aug/07/18
Description: 323-0201// AMAT APPLIED 0010-00957 (#2) BRACKET MOUNT LASER SEE USED
spsglobal Used - $3,000.00 0 Mar/27/18 Jun/03/18
Description: 323-0201// AMAT APPLIED 0010-00957 (#1) BRACKET MOUNT LASER SEE USED
spsglobal Used - $200.00 0 Mar/27/18 Apr/05/19
Description: 322-0203// AMAT APPLIED 1040-01093 LDM-A12PA2CC1 METER XDCR 3.1/2 LCD 0-100 USED
spsglobal Used - $2,500.00 1 Mar/27/18 Aug/07/20
Description: 317-0401// AMAT APPLIED 0040-02609 CLEANED LINER, CATHODE, MAGNET, SUPER-E USED
spsglobal Used - $950.00 1 Mar/27/18 Jul/13/18
Description: 316-0301// AMAT APPLIED 0020-40569 PLATE, CONV. ROBOT TC NON-ENP USED
spsglobal Used - $2,400.00 0 Mar/27/18 Jun/14/18
Description: 316-0101// AMAT APPLIED 1270-01354 EH550C-*L SW CNTOR 3P 650A ENCL NON REV USED
spsglobal Used - $500.00 1 Mar/27/18 May/09/22
Description: 352-0301// AMAT APPLIED 0190-22543 SPECIFICATION, 5.X FI ETHERNET DIGITAL I USED
spsglobal Used - $7,000.00 0 Mar/28/18 May/18/18
Description: 337-0201// AMAT APPLIED 0190-08124 3152412-149 TESTED PWR SUPPLY, 20 KW/208 USED
spsglobal Used - $1,200.00 1 Mar/28/18 Apr/23/18
Description: 322-0303// AMAT APPLIED 0010-37148 THROTTLE ASSY, DUAL SPRING C-PLUG DIRECT USED
spsglobal Used - $100.00 1 Mar/28/18 Sep/24/22
Description: 135-0504// AMAT APPLIED 0020-22838 LIFT HOOP, PRELEAN USED
spsglobal Used - $1,250.00 0 Mar/28/18 Feb/22/19
Description: 316-0501// AMAT APPLIED 0020-70284 TUBE, ROBOT USED
spsglobal Used - $2,500.00 0 Mar/28/18 Aug/05/19
Description: 312-0203// AMAT APPLIED 0190-09427 PLASMA,APPLICATOR,ASP,METCH MXP CENTURA USED
spsglobal Used - $3,500.00 0 Mar/28/18 Sep/06/18
Description: 310-0301// AMAT APPLIED 0190-15666 OZONE CONTROLLER, API-TELEDYNE USED
spsglobal Used - $3,500.00 1 Mar/28/18 Jan/24/19
Description: 310-0201// AMAT APPLIED 0190-01398 OZONE CONTROLLER 4 CHANNEL RS232 (API) USED
spsglobal Used - $300.00 0 Mar/28/18 Dec/07/20
Description: 307-0403// AMAT APPLIED 0021-38040 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $50.00 0 Mar/28/18 Dec/07/20
Description: 307-0402// AMAT APPLIED 0021-09267 (#1) BRACKET, INTERLOCK SWITCH, RF USED
spsglobal Used - $30.00 0 Mar/28/18 Dec/07/20
Description: 307-0402// AMAT APPLIED 0021-03786 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $100.00 0 Mar/28/18 Sep/26/18
Description: 307-0402// AMAT APPLIED 0020-04079 FEEDTHRU, HELIUM USED
spsglobal Used - $1,200.00 0 Mar/28/18 May/10/20
Description: 312-0203// AMAT APPLIED 1210-02865 RES DUMMY LOAD 1.5KW ASP AUTOTUNER USED
spsglobal Used - $900.00 1 Mar/28/18 Dec/30/21
Description: 352-0203// AMAT APPLIED 0190-09272 wDIRECTIONAL COUPLER,1000W,WR284 WAVEGUI USED
usedeqsales Used - $4,603.18 0 Mar/28/18 Apr/13/18
Description: OEM-28B ENI OEM-28B-04 Power Generator Novellus 27-832269-00 Used Tested Working
usedeqsales Used - $1,203.18 1 Mar/29/18 Apr/24/24
Description: Novellus Systems 02-169180-02 Linear Track Copper Cu Exposed Used Working
usedeqsales Used - $705.15 12 Mar/29/18 Mar/03/22
Description: AMAT Applied Materials 0100-91015 Monitor Interface Board PCB Card Issue E Used
usedeqsales Used - $510.15 5 Mar/29/18 Apr/25/19
Description: AMAT Applied Materials 0100-01109 Local Ground Board PCB Quantum X Used Working
usedeqsales Used - $2,203.18 1 Mar/30/18 Jul/24/18
Description: Kensington 15-4000-0002-01B Positioning Controller 4000D AMAT 0190-23562 Used
plccenter Used - $695.00 0 Apr/02/18 Jun/02/19
Description: APPLIED MATERIAL 0190-76273 (Used, Cleaned, Tested 2 year warranty)
spsglobal Used - $200.00 0 Apr/03/18 May/02/24
Description: 323-0402// AMAT APPLIED 0090-20409 SENSOR, CASS PRESENT USED
spsglobal Used - $600.00 2 Apr/03/18 Feb/22/23
Description: 322-0103// AMAT APPLIED 0090-06774 PK569NAWA ORIENTAL MOTOR USED
spsglobal Used - $2,500.00 0 Apr/03/18 Apr/18/19
Description: 316-0403// AMAT APPLIED 0020-21105 0020-70271 0020-70272 0020-70285 BLADE USED
spsglobal Used - $200.00 0 Apr/03/18 Feb/09/21
Description: 307-0201// AMAT APPLIED 0020-75896 BLOCK NEST CASSETTE 150MM WBLL CMF CENTU USED
spsglobal Used - $600.00 0 Apr/03/18 Apr/12/19
Description: 307-0201// AMAT APPLIED 0020-23981 0020-23175 0020-23176 APPLIED MATRIALS USED
spsglobal Used - $200.00 0 Apr/03/18 Apr/12/19
Description: 307-0201// AMAT APPLIED 0020-23175 0020-23176 SUPPORT RIGHT LEFT USED
spsglobal Used - $650.00 0 Apr/03/18 Apr/12/19
Description: 307-0201// AMAT APPLIED 0020-23039 0020-23040 0020-25062 0020-25063 USED
spsglobal Used - $600.00 0 Apr/04/18 Dec/20/18
Description: 322-0103// AMAT APPLIED 0090-70001 C5347-9212M MTR-BRK-ENCDR ASSY STOR USED
spsglobal Used - $800.00 0 Apr/04/18 May/01/19
Description: 319-0302// AMAT APPLIED 0010-76765 ASSY, OTF EMITTER, 200MM BANK USED
spsglobal Used - $800.00 0 Apr/04/18 Apr/18/19
Description: 316-0403// AMAT APPLIED 0020-21104 0020-21105 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $2,000.00 0 Apr/04/18 Apr/18/19
Description: 316-0403// AMAT APPLIED 0020-21025 0020-70271 0020-70272 APPLIED MATRIALS USED
spsglobal Used - $500.00 0 Apr/04/18 Apr/12/19
Description: 307-0203// AMAT APPLIED 0040-13658 0040-13659 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $800.00 0 Apr/04/18 Apr/12/19
Description: 307-0203// AMAT APPLIED 0040-13658 0040-13659 0020-75980 0021-04652 USED
spsglobal Used - $3,000.00 0 Apr/04/18 Apr/12/18
Description: 307-0202// AMAT APPLIED 0190-00981 FEEDTHRU ROTARY ASSY CENTER INJECTION SI USED
spsglobal Used - $150.00 0 Apr/04/18 Oct/15/20
Description: 307-0202// AMAT APPLIED 0040-23546 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $500.00 0 Apr/04/18 Sep/19/19
Description: 307-0202// AMAT APPLIED 0020-21261 GUIDE SHAFT WAFER ORIENTER USED
spsglobal Used - $800.00 0 Apr/04/18 Apr/12/19
Description: 307-0201// AMAT APPLIED 0240-21643 0020-22994 0020-22995 KIT 150MM CASSETTE USED
pic_insit Used - $300.00 0 Apr/05/18 May/05/18
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
usedeqsales Used - $504.18 2 Apr/05/18 Feb/12/20
Description: Lam Research 853-190023-001 Hard Disk, IDE W/ Controller, PCB Used
lurchangel Used - $89.99 0 Apr/06/18 May/06/18
Description: Lam Research 715-028615-002 8" Upper Baffle Plate Used
usedeqsales Used - $854.18 0 Apr/06/18 Jul/24/20
Description: LAM Research 716-011036-001 Alumina Orifice Filler Ring Used Working
20041014625pm Used - $295.00 0 Apr/08/18 Apr/15/18
Description: Lam Research 853-012261-001 Inner Gate Assembly 4420 Etcher Used
usedeqsales Used - $3,503.15 0 Apr/09/18 Feb/12/20
Description: Newport Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Cu Used
usedeqsales Used - $1,004.18 0 Apr/09/18 Dec/04/20
Description: KoMiCo KP00-0200-02348K Si Lapping Type Insert Ring AMAT 0200-02348 Used Working
usedeqsales Used - $604.18 5 Apr/09/18 Mar/03/22
Description: Lam Research 718-098591-001 VAT 65 Pendulum Valve Gate Paddle Used Working
usedeqsales Used - $604.18 5 Apr/10/18 Nov/19/19
Description: AMAT Applied Materials 0021-04319 Throttle Valve Liner Used Working
spsglobal Used - $3,000.00 0 Apr/11/18 Oct/02/18
Description: 323-0401// AMAT APPLIED 1290-01715 TERM CNTRLR NTWK INTERFACE 20 CHANW/3 USED
usedeqsales Used - $2,752.09 4 Apr/11/18 Jan/03/19
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Rev. 001 Copper Used
usedeqsales Used - $154.18 2 Apr/11/18 Jan/02/20
Description: Sensor Technics SQ01566 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used
usedeqsales Used - $2,201.16 1 Apr/12/18 Apr/15/18
Description: Kensington 25-4021-0015-04 Servo Positioning Unit 4000D AMAT 0190-23563 Used
usedeqsales Used - $204.18 0 Apr/12/18 Mar/03/22
Description: AMAT Applied Materials 0050-62007 Exhaust Purge Line RP300EPI Used Working
usedeqsales Used - $154.18 1 Apr/13/18 May/03/18
Description: Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
usedeqsales Used - $154.18 1 Apr/13/18 May/09/18
Description: Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used
usedeqsales Used - $504.18 0 Apr/13/18 Mar/03/22
Description: AMAT Applied Materials 0140-38099 IPS RF Side Lower Lamp Connector Assembly Used
keykorea Used - $350.00 0 Apr/13/18 Jun/19/18
Description: AMAT 0140-77382 CABLE MAINFRAME BLKHD-PC/HCLU PLATEN PUM, USED,
keykorea Used - $380.00 0 Apr/13/18 May/16/18
Description: AMAT 0140-05027 CABLE ROBOT, ENCL MNFRM-ROBOT BLKHD, USED
keykorea Used - $40.00 0 Apr/13/18 May/16/18
Description: AMAT 0140-03929 CABLE, DI SIGNAL FOR DI FLOW METER, USED
grandbirdnet Used - $100.00 0 Apr/15/18 Jan/20/22
Description: AMAT 0620-02368 CABLE ASSY DNET DROP 1.0METER 300V 80C W, USED
keykorea Used - $100.00 0 Apr/15/18 Jun/16/20
Description: AMAT 0140-78039 CABLE, SENSOR-CONTROL, BLKHD 1, SRD, USED
grandbirdnet Used - $90.00 0 Apr/15/18 Jan/20/22
Description: AMAT 0620-02899 CABLE ASSY DNET DROP 4.5M WSC-RKC, USED
grandbirdnet Used - $80.00 0 Apr/15/18 Jan/20/22
Description: AMAT 0620-02816 CABLE ASSY DNET DROP 2.5METER 300V 80C W, USED
keykorea Used - $100.00 0 Apr/15/18 Jun/16/20
Description: AMAT 0140-01881 CABLE, SENSOR-CONTROL, BLKHD 4, SCRUBBER, USED
20041014625pm Used - $695.00 0 Apr/15/18 May/15/18
Description: Lam Research 853-012261-001 Inner Gate Assembly 4420 Etcher Used
usedeqsales Used - $504.18 0 Apr/16/18 Mar/03/22
Description: AMAT Applied Materials 0140-38097 IPS CHDIPS032010 Lower Lamp Connector Used
keykorea Used - $100.00 0 Apr/16/18 Jun/16/20
Description: AMAT 0140-01879 CABLE, SENSOR-CONTROL, BLKHD 2, SCRUBBER, USED
spsglobal Used - $500.00 0 Apr/18/18 Mar/25/21
Description: 329-0401// AMAT APPLIED 0040-23703 (BROKEN) FRONT DOOR COVER USED
spsglobal Used - $5,000.00 1 Apr/18/18 Sep/12/18
Description: 328-0101// AMAT APPLIED 0010-01195 ASSEMBLY,FAN MODULE, 5MF CAP, DTCU,DPS USED
spsglobal Used - $450.00 1 Apr/18/18 Jul/05/18
Description: 321-0302// AMAT APPLIED 0190-00405 ST34520N DRIVE, HARD DISK, 4.5 GB, 3.5" USED
spsglobal Used - $350.00 0 Apr/18/18 Jun/27/18
Description: 321-0203// AMAT APPLIED 0870-01003 UD2115A DRVER 2-PHASE STEPPER MOTOR USED
spsglobal Used - $500.00 0 Apr/18/18 Jul/30/18
Description: 320-0402// AMAT APPLIED 0100-20012 PCB ASSY, ISOLATION AMPLIFIER USED
spsglobal Used - $2,500.00 0 Apr/18/18 Jun/10/18
Description: 319-0202// AMAT APPLIED 0010-76428 ASSY, PVD SLIT VALVE ACTUATOR USED
spsglobal Used - $450.00 0 Apr/18/18 Nov/24/21
Description: 318-0101// AMAT APPLIED 0190-35463 MOTOR, 5 PHASE STEPPER USED
spsglobal Used - $3,000.00 0 Apr/18/18 Dec/22/20
Description: 316-0201// AMAT APPLIED 0040-32190 BASE,COOLING,200MM CATHODE,DPS USED
spsglobal Used - $1,900.00 4 Apr/18/18 Mar/23/21
Description: 310-0102// AMAT APPLIED 0010-30091 HV MODULE ASSY USED
spsglobal Used - $1,000.00 0 Apr/18/18 Mar/30/23
Description: 346-0101// AMAT APPLIED 0020-34048 SUPPORT, BELLOWS USED
spsglobal Used - $6,000.00 0 Apr/18/18 Sep/22/21
Description: 137-0501// AMAT APPLIED 0010-14157 MONOCROMATOR MODULE ASSY-P/C ENDPNT 19 USED
spsglobal Used - $2,800.00 0 Apr/18/18 Apr/28/21
Description: 331-0101// AMAT APPLIED 0040-33998 LINER, SLIT LINER DOOR USED
spsglobal Used - $20.00 0 Apr/18/18 Feb/23/21
Description: 307-0203// AMAT APPLIED 0021-36021 PIN HEATER,TXZ USED
spsglobal Used - $180.00 3 Apr/18/18 May/19/23
Description: 347-0203// AMAT APPLIED 0021-21858 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $10.00 6 Apr/18/18 Apr/20/23
Description: 347-0203// AMAT APPLIED 0021-09070 WASHER, BASE MOUNTING, .290 I.D. USED
spsglobal Used - $40.00 0 Apr/18/18 Nov/11/19
Description: 307-0203// AMAT APPLIED 0020-22361 PLATE, TC BOX USED
spsglobal Used - $20.00 10 Apr/18/18 Apr/20/23
Description: 347-0203// AMAT APPLIED 0015-09382 SCREW, BASE MOUNTING, FULL SHOULDER USED
usedeqsales Used - $354.18 4 Apr/18/18 Dec/12/19
Description: Kawasaki 50979-2459L01 Wafer Handling Robot Signal Cable AMAT 0190-10558 Used
sammy_etek NEW - $5,725.00 2 Apr/19/18 May/14/19
Description: AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED
spsglobal Used - $40.00 0 Apr/19/18 May/19/20
Description: 201-0302// AMAT APPLIED 0020-23034 BRACKET GUIDE, FRONT PANEL DOOR, PVD USED
spsglobal Used - $30.00 0 Apr/19/18 Oct/12/23
Description: 341-0302// AMAT APPLIED 0020-10190 PLATE CONNECTOR SACVD / WB USED
spsglobal Used - $300.00 0 Apr/19/18 Nov/26/19
Description: 340-0101// AMAT APPLIED 0150-76318 CABLE COAXIAL USED
spsglobal Used - $40.00 0 Apr/19/18 Jul/19/21
Description: 326-0302// AMAT APPLIED 0150-22734 C/A GROUND- SOURCE RETURN AND WATER MANI USED
spsglobal Used - $400.00 0 Apr/19/18 Jun/26/20
Description: 323-0402// AMAT APPLIED 0010-75302 BRACKET, LOW PROFILE SENSOR, TC USED
spsglobal Used - $200.00 1 Apr/19/18 Jun/16/22
Description: 323-0401// AMAT APPLIED 0090-76035 ASSY, SENSOR, WAFER SLIDE RECEIVER USED
spsglobal Used - $150.00 0 Apr/19/18 Mar/16/20
Description: 201-0302// AMAT APPLIED 0020-10135 MANIFOLD WATER PL USED
spsglobal Used - $80.00 3 Apr/20/18 Nov/22/20
Description: 202-0302// AMAT APPLIED 0190-70024 LIGHT PEN SST 5V 20MA W/PHONE JACK CONN/ USED
usedeqsales Used - $154.18 1 Apr/20/18 Jun/19/18
Description: Novellus Systems 26-370867-00 Backplane MC4 PCB Lam Research G-XPRS Used
usedeqsales Used - $804.18 0 Apr/23/18 Mar/03/22
Description: AMAT Applied Materials 0020-34017 MXP Polyimide ESC 0010-30724 0090-09299 Used
dnd_surplus Used - $700.00 0 Apr/24/18 May/24/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $804.18 0 Apr/24/18 Mar/03/22
Description: AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017 Used Working
nevadasurplus-com Used - $499.99 0 Apr/24/18 May/01/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
spsglobal Used - $50.00 0 Apr/25/18 Jul/03/18
Description: 202-0103// AMAT APPLIED 3870-02674 VALVE SOL 3/2WAY 1/16ORFC USED
usedeqsales Used - $254.18 1 Apr/25/18 Feb/19/20
Description: This STEC LF-410A-EVD Liquid Mass Flow Meter TEOS AMAT 3030-05743 is used workin
usedeqsales Used - $254.18 1 Apr/25/18 May/17/20
Description: Horiba STEC LF-310A-EVD Liquid Mass Flow Meter TEPO AMAT 3030-05745 Used Working
ab-international Used - $200.00 0 Apr/27/18 May/07/18
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber PCB
buynsave2018 Used - $430.00 0 Apr/29/18 Oct/29/22
Description: LAM 685-069171-002 REV:C SPECTROMETER HORIBA USED
orapma12012 Used - $995.00 1 Apr/30/18 Dec/04/18
Description: AMAT APPLIED 0190-35789 SERIPLEX VME CONTROL BOARD SPXVME6U1V2, USED
usedeqsales Used - $154.18 1 Apr/30/18 Jan/02/20
Description: Sensor Technics SQ01538 Pressure Sensor AMAT 0090-00960 RR PT-44 Used Working
usedeqsales Used - $154.18 1 Apr/30/18 Jan/02/20
Description: Sensor Technics SQ01567 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
usedeqsales Used - $6,004.18 1 Apr/30/18 May/03/18
Description: AMAT Applied Materials 0020-33806 Upper Chamber Assembly DPS + Poly Used Working
nevadasurplus-com Used - $499.99 0 May/01/18 May/08/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $555.18 2 May/03/18 Dec/08/20
Description: AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly No Valve Gear Used
usedeqsales Used - $605.18 1 May/03/18 Feb/22/21
Description: AMAT Applied Materials 0010-09120 BWCVD Motor Drive Assembly Used Working
usedeqsales Used - $605.18 0 May/03/18 Dec/03/19
Description: AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly Used Working
usedeqsales Used - $1,205.18 4 May/03/18 May/23/18
Description: AMAT Applied Materials 0010-09035 BWCVD Throttle Valve Assembly Used Working
northbaycontact Used - $75.00 0 May/02/18 Jun/01/18
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
northbaycontact Used - $95.00 0 May/02/18 Jun/01/18
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $95.00 0 May/02/18 Jun/01/18
Description: AMAT 0150-09109 DC POWER FOOT (used)
northbaycontact Used - $95.00 0 May/02/18 Jun/01/18
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
northbaycontact Used - $49.00 0 May/02/18 Jun/01/18
Description: AMAT 0150-09593 GENERATOR CABLE (used)
spsglobal Used - $100.00 5 May/03/18 Feb/26/21
Description: 320-0303// AMAT APPLIED 0300-09055 PROM KIT ASSY USED
spsglobal Used - $150.00 0 May/03/18 Mar/03/20
Description: 320-0303// AMAT APPLIED 0090-20295 VAC RELAY WITH HARNESS USED
spsglobal Used - $800.00 0 May/03/18 May/03/21
Description: 308-0401// AMAT APPLIED 0090-20119 INTERLOCK SWITCH ASSY PC II RESONATOR USED
spsglobal Used - $100.00 0 May/03/18 Sep/05/22
Description: 348-0102// AMAT APPLIED 0140-35134 0040-75124 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $100.00 0 May/03/18 Sep/05/22
Description: 348-0102// AMAT APPLIED 0140-35134 0020-36233 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $50.00 0 May/03/18 Jan/31/19
Description: 307-0203// AMAT APPLIED 3610-01048 PUL TMG 3MMP 9MMW 32T .25BORE AL DBL FL USED
spsglobal Used - $40.00 0 May/03/18 Oct/31/19
Description: 307-0203// AMAT APPLIED 0020-23352 DISK HEATER USED
spsglobal Used - $150.00 1 May/04/18 Feb/08/23
Description: 341-0303// AMAT APPLIED 0020-09059 TOP HINGE BRACKET USED
spsglobal Used - $100.00 1 May/04/18 Apr/03/20
Description: 201-0303// AMAT APPLIED 0020-04148 MANIFOLD CATHODE USED
spsglobal Used - $1,500.00 0 May/04/18 Jul/29/18
Description: 201-0303// AMAT APPLIED 0010-09917 ASSY, ENDPOINT DETECTOR SENSOR HEAD USED
spsglobal Used - $30.00 0 May/04/18 Apr/01/19
Description: 201-0303// AMAT APPLIED 0040-31890 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $100.00 1 May/04/18 Jan/10/23
Description: 341-0303// AMAT APPLIED 0021-07082 APPLIED MATRIALS COMPONENTS USED
dy-global Used - $199.90 0 May/04/18 Jan/04/21
Description: Lam RESEARCH Used 810-801237-001 REV.D STEPPER DRIVER INTERFACE PCB-I-E-677=6BX3
storemanager-2009 Used - $1,200.00 0 Mar/07/18 Jun/18/18
Description: Used, Lid, Chamber HDPCVD, Ult
storemanager-2009 Used - $2,000.00 1 Mar/07/18 May/07/18
Description: USED MATCH RF, 13.56Mhz, 6",
storemanager-2009 Used - $2,200.00 0 Mar/07/18 Jun/18/18
Description: Used, Adapter Collimator Sourc
storemanager-2009 Used - $190.00 0 Mar/07/18 Jun/18/18
Description: BRACKET, MOUNTING, USED
storemanager-2009 Used - $900.00 0 Mar/07/18 Jun/18/18
Description: USED, ASSY, CHAMBER A OR B LID
storemanager-2009 Used - $1,800.00 0 Mar/07/18 Jun/18/18
Description: FACEPLATE, DxZ, USED
storemanager-2009 Used - $650.00 0 Mar/07/18 Jun/18/18
Description: USED, WELDMENT, SLIT VALVE INS
usedeqsales Used - $155.18 0 May/04/18 Nov/02/18
Description: Lam Research 853-017807-001 Lower Match RF Cable Used Working
pic_insit Used - $290.00 0 May/06/18 Jun/05/18
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
lurchangel Used - $39.99 0 May/06/18 Oct/01/19
Description: Lam Research 715-028615-002 8" Upper Baffle Plate Used
tm_semi Used - $595.00 0 May/08/18 Jun/07/18
Description: AMAT 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 May/08/18 Jun/07/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
usedeqsales Used - $505.18 0 May/08/18 Mar/11/20
Description: AMAT Applied Materials 0270-09227 Chamber Lid View Port 0021-02640 Used Working
tm_semi Used - $295.00 0 May/08/18 Jun/07/18
Description: AMAT 0040-04586 INNER SHIELD TxZ 300MM, SLIGHTLY USED, CLEAN ROOM READY
tm_semi Used - $595.00 0 May/08/18 Jun/07/18
Description: AMAT 0200-00531 CHAMBER INSERT, TxZ 300MM, ENDURA, USED, GOOD CONDITION.
usedeqsales Used - $2,010.15 0 May/08/18 Mar/09/22
Description: Lam Research 11200-3-E-00182139 Harmonic Arm Load Lock 715-012139-001 Used
usedeqsales Used - $4,010.15 0 May/08/18 Sep/21/18
Description: Lam Research 11201-1-D-00332136 Harmonic Arm Load Lock 715-011204-001 Used
usedeqsales Used - $2,010.12 0 May/08/18 Mar/09/22
Description: Lam Research 852-011201-583-A-LLMAIN Harmonic Arm Drive 715-130092-008 Used
usedeqsales Used - $2,010.12 1 May/08/18 Dec/24/21
Description: Lam Research 852-011201-001-L-230 Harmonic Arm Drive Chamber 715-130092-008 Used
usedeqsales Used - $2,005.55 1 May/08/18 Mar/22/22
Description: Lam Research 715-011204-001 Harmonic Arm 852-011201-001-D Rainbow 4420 Used
usedeqsales Used - $5,005.18 1 May/08/18 Oct/04/18
Description: AMAT Applied Materials 0242-76879 Wall Street 5-PH W/O MLT SLT CLDN Centura Used
usedeqsales Used - $154.18 1 May/09/18 Oct/22/19
Description: Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00961 Z3/(MM) PT-42 Used
usedeqsales Used - $405.18 0 May/09/18 Dec/17/20
Description: Jennings CSVF-500-0415 Adjustable RF Capacitor AMAT 0021-10751 Used Working
usedeqsales Used - $1,805.18 1 May/09/18 Sep/21/18
Description: Lam Research 810-017003-004 DIP High Frequency PCB Used Working
usedeqsales Used - $305.18 0 May/10/18 Mar/03/22
Description: AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Used Working
usedeqsales Used - $405.18 0 May/10/18 Dec/17/20
Description: AMAT Applied Materials 0021-10863 IPS RF Feedthru Base Used Working
usedeqsales Used - $255.18 1 May/10/18 Jan/14/20
Description: AMAT Applied Materials 0020-40905 5000 Standard Interface Blank Lot of 2 Used
nevadasurplus-com Used - $499.99 0 May/10/18 May/17/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
grandbirdnet Used - $1,200.00 1 May/10/18 Dec/19/22
Description: AMAT 0195-07983 SANYO DENKI P30B04010DXS8FM, 017PLX0220-XX-3959X, USED
usedeqsales Used - $605.18 0 May/11/18 Mar/03/22
Description: AMAT Applied Materials 0020-26906 6" Low Knee Shield Used Working
usedeqsales Used - $405.18 0 May/14/18 Mar/03/22
Description: AMAT Applied Materials 0020-79376 Clamp Seal SST Lot of 5 Used Working
storemanager-2009 Used - $4,050.00 0 May/14/18 Jun/18/18
Description: AMAT 0010-39306 USED, ASSY., HEATER LIFT, TXZ
grandbirdnet Used - $220.00 1 May/15/18 Sep/25/23
Description: AMAT 0090-77162 WAFER PRESENT SWITCH, USED
dy-global Used - $1,999.90 0 May/15/18 Jun/15/20
Description: Lam Research Used 853-05487-010 Rev:G 714-120820-002 SEM-I-163=6B47
usedeqsales Used - $154.18 1 May/15/18 May/17/18
Description: Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
20041014625pm Used - $625.00 0 May/15/18 Jun/14/18
Description: Lam Research 853-012261-001 Inner Gate Assembly 4420 Etcher Used
usedeqsales Used - $1,205.18 0 May/16/18 Mar/03/22
Description: Novellus Systems 02-169180-02 Linear Track 15-265469-02 Used Working
usedeqsales Used - $1,205.18 1 May/16/18 Jul/19/22
Description: Novellus Systems 02-169180-02 Linear Track 15-265469-02 Rev. E Used Working
spsglobal Used - $1,000.00 0 May/16/18 Jan/28/19
Description: 307-0301// AMAT APPLIED 0010-21154 ASSY PUMP INTERFACE BOX USED
usedeqsales Used - $1,205.18 0 May/17/18 Mar/03/22
Description: Lam Research 02-169180-01 Linear Track 15-265469-01 Rev. F Used Working
usedeqsales Used - $805.18 0 May/17/18 Mar/03/22
Description: Lam Research 02-169180-02 Linear Track 15-265469-02 Copper Exposed No Motor Used
nevadasurplus-com Used - $499.99 0 May/18/18 May/25/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $1,405.18 2 May/18/18 May/25/21
Description: AMAT Applied Materials 0041-32713 Shower Head Gen 2.1 Producer GT Used Working
usedeqsales Used - $405.18 1 May/18/18 Jul/07/18
Description: AMAT Applied Materials 0100-35059 Remote Distribution Board PCB Used Working
usedeqsales Used - $405.18 1 May/18/18 May/29/18
Description: AMAT Applied Materials 0100-20157 Loader/Mainframe Interconnect Board PCB Used
usedeqsales Used - $4,005.18 1 May/18/18 Dec/18/20
Description: AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper Used Working
capitolareatech NEW - $95.00 0 May/20/18 Jun/20/20
Description: LAM RESEARCH (LAM) 714-013638-001 Plate, Valve Label Used on 853-013610-001 ASSY
exper-tech Used - $75.00 0 May/23/18 Mar/01/19
Description: Lam Research Recipe Module 853-005684-001, Used
dnd_surplus Used - $700.00 0 May/24/18 Jun/23/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
exper-tech Used - $75.00 0 May/24/18 Mar/01/19
Description: Lam Research Master Module 853-005683-001, Used
usedeqsales Used - $505.12 1 Jun/08/18 Aug/06/21
Description: AMAT Applied Materials 0100-01765 I/O Interface Board PCB Reflexion CMP Used
eisale1535 Used - $1,503.00 0 May/30/18 Nov/26/18
Description: Novellus 02-252432-00 G Vector Spindle Assembly Used Working
usedeqsales Used - $1,506.18 0 Jun/08/18 Jun/30/22
Description: AMAT Applied Materials Endura TiN Kit 0020-26289 0020-24530 Used Working
usedeqsales Used - $406.18 0 Jun/08/18 Mar/03/22
Description: AMAT Applied Materials 0020-22647 Upper Shield Used Working
usedeqsales Used - $506.18 0 Jun/08/18 Jun/30/22
Description: AMAT Applied Materials 0020-22892 Bucket Shield Used Working
usedeqsales Used - $406.18 1 Jun/08/18 Jul/23/21
Description: AMAT Applied Materials 0020-25059 Clamp Ring Used Working
katiil3 Used - $749.00 1 Jun/09/18 Sep/09/20
Description: LF-5 AE Advanced Energy 752572050 RF Generator RFPP AMAT 0920-01014 Used
spsglobal Used - $600.00 0 Jun/13/18 Apr/02/20
Description: 316-0101// AMAT APPLIED 1270-01354 EH550C-*L SW CNTOR 3P 650A ENCL NON REV USED
20041014625pm Used - $625.00 0 Jun/15/18 Jul/05/18
Description: Lam Research 853-012261-001 Inner Gate Assembly 4420 Etcher Used
dy-global Used - $249.90 0 Jun/17/18 Mar/27/19
Description: Lam Research Used 810-802901-305 REV.C, 810-800256-005 REV.F SEM-I-128=2M24
grandbirdnet Used - $10,000.00 0 Jun/18/18 Jun/30/22
Description: AMAT 0020-33806 UPPER CHAMBER DPS + POLY, USED
usedeqsales Used - $403.16 0 Jun/20/18 Aug/26/20
Description: K-Tec Technology WKE-200 Current Transformer AMAT 1360-01227 Used Working
usedeqsales Used - $406.18 0 Jun/20/18 Mar/03/22
Description: MKS Instruments 01396-01 Interface Card PCB AMAT 0190-37895 Used Working
storemanager-2009 Used - $250.00 0 Jun/20/18 Aug/09/18
Description: AMAT 0870-01002 Used, Super Vexta 5 Phase Driv
storemanager-2009 Used - $25.00 0 Jun/20/18 Aug/09/18
Description: AMAT 0020-20651 USED BRACKET GUIDE SOURCE
storemanager-2009 Used - $90.00 0 Jun/20/18 Aug/09/18
Description: AMAT 0040-13651 USED ENCLOSURE, LAMP SIDE, WIDEBODY CHAMBER
grandbirdnet Used - $3,800.00 0 Jun/21/18 Aug/12/19
Description: AMAT 0190-24962 DUAL AXES DRIVER NSK ELA-B014CG7-04, USED
spsglobal Used - $300.00 1 Jun/21/18 Aug/21/18
Description: 201-0403// AMAT APPLIED 3310-01193 GAUGE VAC STABIL-ION 2-3/4 CON USED
spsglobal Used - $80.00 0 Jun/21/18 Aug/26/18
Description: 201-0403// AMAT APPLIED 0040-70096 FLANGE VCR ORIENTER USED
spsglobal Used - $200.00 0 Jun/21/18 Jan/07/21
Description: 201-0403// AMAT APPLIED 0040-20198 ELBOW 2XKF 25 ,VCR 1/4 MALE USED
spsglobal Used - $50.00 0 Jun/21/18 Aug/07/19
Description: 201-0403// AMAT APPLIED 0020-21880 FLANGE BLIND USED
spsglobal Used - $80.00 0 Jun/21/18 Sep/17/18
Description: 201-0403// AMAT APPLIED 0020-09064 WINDOW FLANGE USED
spsglobal Used - $100.00 1 Jun/22/18 Oct/23/19
Description: 320-0303// AMAT APPLIED 0100-20078 PCBA LASER DRIVER SEE USED
spsglobal Used - $400.00 0 Jun/22/18 Jun/28/19
Description: 319-0102// AMAT APPLIED 3870-01213 VALVE PNEU NW 25 FLANGE USED
spsglobal Used - $50.00 1 Jun/22/18 Dec/02/18
Description: 307-0403// AMAT APPLIED 0021-36604 0021-36606 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $30.00 0 Jun/22/18 Mar/17/21
Description: 201-0501// AMAT APPLIED 0270-76902 CAL TOOL ZERO POSITION USED
spsglobal Used - $50.00 0 Jun/22/18 Aug/06/19
Description: 201-0501// AMAT APPLIED 0020-31695 SPACER WEDGE HRTV USED
spsglobal Used - $30.00 1 Jun/22/18 Oct/09/22
Description: 341-0501// AMAT APPLIED 0020-31619 STRAP,CONNECTING,150MM CERAMIC USED
spsglobal Used - $20.00 0 Jun/22/18 Oct/24/19
Description: 201-0501// AMAT APPLIED 0020-31520 SPACER, SUPPORT HANGER, ASP USED
spsglobal Used - $100.00 2 Jun/22/18 Feb/08/22
Description: 341-0501// AMAT APPLIED 0020-28889 BLANK-OFF ADAPTER, GASLINE USED
spsglobal Used - $150.00 0 Jun/22/18 May/12/20
Description: 201-0501// AMAT APPLIED 0020-23594 PLATE, 8" CCD VACUUM SEAL REVI USED
spsglobal Used - $30.00 1 Jun/22/18 Mar/19/23
Description: 341-0501// AMAT APPLIED 0020-20502 PAD TEMP SWITCH USED
spsglobal Used - $2,850.00 1 Jun/22/18 Sep/24/21
Description: 338-0101// AMAT APPLIED 0010-04542 CLEANED HEATER, ASSY, 200MM FC WXZPLUS USED
dnd_surplus Used - $700.00 0 Jun/23/18 Jul/23/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
storemanager-2009 Used - $2,000.00 0 Jun/22/18 Jun/29/18
Description: AMAT 0010-70067 Used Heat Exchanger AMAT 1
storemanager-2009 Used - $2,000.00 3 Jun/22/18 Jun/28/18
Description: AMAT 0290-09018 Used Heat Exchanger AMAT 0
storemanager-2009 Used - $30.00 0 Jun/22/18 Aug/09/18
Description: AMAT 0020-29311 USED SPACER, SOURCE GUIDE PIN
usedeqsales Used - $2,006.18 1 Jun/22/18 Jan/03/19
Description: AMAT Applied Materials 0020-79039 Housing Spindle Assembly Used Working
usedeqsales Used - $1,006.18 0 Jun/22/18 Jun/30/22
Description: Lam Research 716-330892-507 Ceramic Focus Ring Base Used Working
usedeqsales Used - $2,506.18 1 Jun/25/18 Jan/26/22
Description: AMAT Applied Materials 0200-06355 Open Pocket Ceramic Blade Copper Exposed Used
usedeqsales Used - $2,506.18 1 Jun/25/18 Jun/30/22
Description: Lam Research 02-287782-00 Heater Pedestal PED Assembly Copper Cu Exposed Used
usedeqsales Used - $1,406.18 6 Jun/25/18 May/25/21
Description: AMAT Applied Materials 0041-32713 Shower Head Gen 2.1 Producer GT Rev. 05 Used
spsglobal Used - $500.00 1 Jun/26/18 Jul/31/19
Description: 113-0401// AMAT APPLIED 0050-25994 MANIFOLD WATER SOURCE 13" SST USED
spsglobal Used - $300.00 0 Jun/26/18 Jul/12/20
Description: 112-0301// AMAT APPLIED 0040-75661 SUPPORT,SMIF INTERFACE,CENTURA MF USED
spsglobal Used - $650.00 0 Jun/26/18 Jul/08/18
Description: 332-0103// AMAT APPLIED 0010-76431 A3723-9215 ASSY A.L.L.MOTOR RIGHT USED
spsglobal Used - $650.00 2 Jun/26/18 Jul/08/18
Description: 332-0103// AMAT APPLIED 0010-76430 A3723-9215 ASSY A.L.L.MOTOR LEFT USED
tm_semi Used - $550.00 0 Jun/27/18 Jul/27/18
Description: AMAT 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $295.00 1 Jun/27/18 Jul/12/18
Description: AMAT 0040-04586 INNER SHIELD TxZ 300MM, SLIGHTLY USED, CLEAN ROOM READY
tm_semi Used - $595.00 1 Jun/27/18 Jul/12/18
Description: AMAT 0200-00531 CHAMBER INSERT, TxZ 300MM, ENDURA, USED, GOOD CONDITION.
tm_semi Used - $175.00 0 Jun/27/18 Jul/27/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
usedeqsales Used - $307.18 0 Jul/02/18 Jun/30/22
Description: AMAT Applied Materials 0200-08584 Top Pocketed Cover Used Working
storemanager-2009 Used - $75.00 0 Jul/03/18 Aug/09/18
Description: AMAT 0020-22838 LIFT HOOP, PRELEAN USED
storemanager-2009 Used - $25.00 0 Jul/03/18 Aug/09/18
Description: AMAT 0020-23837 SPACER, SOURCE GUIDE PIN USED
storemanager-2009 Used - $100.00 0 Jul/03/18 Aug/09/18
Description: AMAT 0020-22242 MAGIC LIFT HOOP 8" USED
northbaycontact Used - $95.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
northbaycontact Used - $49.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0150-09593 GENERATOR CABLE (used)
northbaycontact Used - $75.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
northbaycontact Used - $95.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $95.00 0 Jul/03/18 Aug/02/18
Description: AMAT 0150-09109 DC POWER FOOT (used)
excessdealer Used - $50.00 1 Jul/03/18 Mar/10/21
Description: AMAT Applied Materials 0040-61317 Aluminum Oxide Coated Quartz Bell Jar Used
grandbirdnet Used - $1,900.00 1 Jul/04/18 Mar/23/21
Description: AMAT 0010-76005 assy blade robot8, USED
20041014625pm Used - $200.00 0 Jul/05/18 Jul/12/18
Description: Lam Research 853-012261-001 Inner Gate Assembly 4420 Etcher Used
usedeqsales Used - $357.18 3 Jul/06/18 Mar/04/19
Description: MKS Instruments 690A01TRC High Accuracy Absolute Capacitance Manometer 690A Used
usedeqsales Used - $307.18 0 Jul/10/18 Nov/30/18
Description: Lam Research 810-009281-001 Gap Driver Board PCB Lot of 3 Used
pic_insit Used - $270.00 0 Jul/10/18 Aug/09/18
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
spsglobal Used - $10.00 0 Jul/11/18 Jun/30/22
Description: 342-0402// AMAT APPLIED 0020-55790 APPLIED MATRIALS COMPONENTS USED
usedeqsales Used - $506.18 2 Jul/11/18 Jun/13/22
Description: MKS Instruments AS01396-6-11 Analog I/O VME PCB Card CDN396 AMAT 0190-24116 Used
usedeqsales Used - $407.18 2 Jul/11/18 Apr/13/20
Description: AMAT Applied Materials 0100-76269 RF Match Control Board PCB 0110-76269 Used
storemanager-2009 Used - $250.00 0 Jul/11/18 Aug/09/18
Description: AMAT 0020-21221 HOOP CD 200 MM USED
usedeqsales Used - $2,207.18 1 Jul/11/18 Jul/11/18
Description: GE Fanuc VMIVME-7645-130 SBC Single Board Computer PCB Card AMAT 0190-19549 Used
grandbirdnet Used - $1,500.00 1 Jul/12/18 Oct/13/19
Description: AMAT 0190-77170 MOTOR SGM SERVO 200W 10:1, USED
20041014625pm Used - $350.00 0 Jul/12/18 Jul/17/18
Description: Lam Research 853-012261-001 Inner Gate Assembly 4420 Etcher Used
usedeqsales Used - $507.18 0 Jul/16/18 Sep/22/20
Description: DIP 15039603 Digital I/O CDN396 PCB Card AMAT 0190-01270 DIP-340-013 Used
20041014625pm Used - $525.00 0 Jul/17/18 Aug/01/18
Description: Lam Research 853-012261-001 Inner Gate Assembly 4420 Etcher Used
honeybunny1215 Scrap, for parts - $249.99 0 Jul/13/18 Jan/31/19
Description: Lam Research 810-495586-001 4520XL Interlock Board PCB Card Used Working
usedeqsales Used - $307.18 0 Jul/18/18 Nov/30/18
Description: AMAT Applied Materials 0100-00193 Sync Detect PWB Card PCB Used
storemanager-2009 Used - $30.00 0 Jul/20/18 Aug/09/18
Description: LAM RESEARCH 833-491135-050 REV. B 4PIN MALE 4PIN FEMALE SHIELDED CABLE USED
ab-international Used - $100.00 0 Jul/22/18 Aug/01/18
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
dnd_surplus Used - $500.00 0 Jul/23/18 Aug/22/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
ab-international Used - $150.00 0 Jul/24/18 Aug/03/18
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber PCB
usedeqsales Used - $307.18 0 Jul/25/18 Nov/30/18
Description: AMAT Applied Materials 0100-00206 Rev. B Sync Detect II Board PCB Used
usedeqsales Used - $457.18 1 Jul/26/18 Jan/22/21
Description: Dedicated Computing OEM-A1811R Server PC 61-381415-00 Novellus 61-381415-00 Used
usedeqsales Used - $607.18 0 Jul/27/18 Jun/30/22
Description: Lam Research 715-330889-002 Ground Chamber Ring Lam 9600 Used
usedeqsales Used - $807.18 2 Jul/27/18 Jun/30/22
Description: Lam Research 715-495014-001 Chamber Transition Manifold Liner Used
usedeqsales Used - $7,507.18 1 Jul/30/18 Aug/01/18
Description: Kawasaki 3NS511C-G003 Wafer Transfer Wet Robot AMAT 0190-26267 Non-Cu Spec Used
sx-space Used - $879.00 0 Aug/02/18 Jun/30/22
Description: SBS PCM-VIDEO APPLIED MATERIALS 0660-00097 Used 100% test by DHL or EMS
sx-space Used - $921.00 0 Aug/02/18 Jun/30/22
Description: APPLIED MATERIALS 0100-00472 REV002 Used 100% test by DHL or EMS
usedeqsales Used - $408.18 1 Aug/02/18 Mar/20/20
Description: AMAT Applied Materials ULP-2-.350-N/S Low Pass Filter 0190-09186 Lot of 2 Used
unitedrf Used - $2,250.00 0 Aug/03/18 Jul/20/20
Description: HIGH EFF RF-BIAS W/FILTER AMAT# 0010-33724 Used **MOVING SALE**
unitedrf Used - $3,500.00 1 Aug/03/18 Jul/15/20
Description: HIGH EFF RF-BIAS W/FILTER AMAT# 0010-26180 Used **MOVING SALE**
usedeqsales Used - $1,508.18 0 Aug/03/18 Aug/13/18
Description: Lam Research 02-287782-00 15" Heater Pedestal PED Assembly Novellus Used Working
storemanager-2009 Used - $750.00 0 Aug/03/18 Aug/09/18
Description: NOVELLUS 02-132970-00 ASSY,CUP,296,LOW PRO (NC) USED
rolx1234 Used - $2,700.00 2 Aug/06/18 Aug/06/18
Description: USED Advanced Energy RFG 1250 | model 3155027-000M LAM 660-024637-002
usedeqsales Used - $608.18 2 Aug/06/18 Aug/31/18
Description: AMAT Applied Materials 1350-00681 Capacitance Manometer Used Tested Working
northbaycontact Used - $75.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
northbaycontact Used - $95.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $49.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0150-09593 GENERATOR CABLE (used)
northbaycontact Used - $95.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0150-09109 DC POWER FOOT (used)
northbaycontact Used - $95.00 0 Aug/06/18 Sep/05/18
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
usedeqsales Used - $1,508.18 1 Aug/06/18 Jun/23/20
Description: Lam Research 02-287781-00 15" Heater Pedestal PED Assembly Rev. C Novellus Used
tm_semi Used - $175.00 0 Aug/07/18 Sep/06/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $595.00 1 Aug/07/18 Aug/23/18
Description: AMAT 0021-22224 ENDURA, IMP TI-PEDESTAL, 300MM, OEM, USED, EXCELLENT COND.
cosplity Used - $5,500.00 0 Aug/07/18 Aug/16/18
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030 , USED
usedeqsales Used - $1,008.18 2 Aug/08/18 Sep/13/18
Description: AMAT Applied Materials 0100-76124 Digital I/O Board PCB VME Card Rev. B Used
usedeqsales Used - $1,008.18 2 Aug/08/18 Sep/13/18
Description: AMAT Applied Materials 0100-76124 Digital I/O Board PCB VME Card Rev. 001 Used
usedeqsales Used - $3,510.17 10 Aug/08/18 Feb/01/19
Description: TDK Corporation TAS300 300mm Wafer Load Port Type E4 AMAT 0190-17837 Used
usedeqsales Used - $3,510.17 1 Aug/08/18 Nov/13/19
Description: TDK Corporation TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used
tm_semi Used - $950.00 0 Aug/08/18 Sep/07/18
Description: AMAT 0200-02421 ISOLATOR LID, CERAMIC, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $425.00 0 Aug/08/18 Sep/07/18
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Aug/08/18 Sep/07/18
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
usedeqsales Used - $3,904.91 0 Aug/09/18 Nov/30/18
Description: AMAT Applied Materials 0010-76061 HP ENP Robot Upper/Lower Drive Set of 2 Used
usedeqsales Used - $358.18 0 Aug/09/18 Jun/30/22
Description: MKS Instruments 100016886 Isolation Valve AMAT 3870-06568 HPS Used Working
unitedrf Used - $3,850.00 0 Aug/09/18 Jul/20/20
Description: Astex ARX-X248, 13.5 Mhz Solid State Driver AMAT 0190-18146 Used **MOVING SALE**
unitedrf Refurbished - $4,750.00 0 Aug/09/18 Jan/16/19
Description: Astex ARX-X490 13.5 Mhz Solid State Driver AMAT 0190-01292 Used **MOVING SALE**
yericomfg Used - $700.00 0 Aug/08/18 Aug/27/19
Description: AMAT Applied Materials Slit Valve Door Bonded 0040-78987, Used, As Is
yericomfg Used - $1,100.00 5 Aug/08/18 Sep/12/18
Description: Lam Research Control Panel PS 853-800083-601, 1019688, Used As Is
yericomfg Used - $250.00 1 Aug/08/18 Oct/23/18
Description: AMAT Applied Materials Hot Ion/Pirani Gauge 750 Torr; 0190-26328, Used As Is
pic_insit Used - $260.00 0 Aug/10/18 Sep/09/18
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
tm_semi Used - $1,750.00 0 Aug/13/18 Sep/12/18
Description: AMAT 0021-12063 SHIELD, ONE PIECE, 190, ENDURA, OEM, USED, EXCELLENT COND.
tm_semi Used - $250.00 0 Aug/13/18 Sep/12/18
Description: AMAT 0021-07586 ISOLATOR RING, ENDURA, TxZ, OEM, USED, EXCELLENT COND.
tm_semi Used - $295.00 0 Aug/13/18 Sep/12/18
Description: AMAT 0200-36399 LID LINER, ENDURA, TxZ, OEM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Aug/13/18 Sep/12/18
Description: AMAT 0021-07984 OUTER SHIELD, TXZ, ENDURA, OEM, USED, EXCELLENT COND.
usedeqsales Used - $358.18 1 Aug/13/18 Aug/19/21
Description: AMAT Applied Materials 0090-06791 Flow Meter Proteus 9B8006SA1E15P2 Used Working
usedeqsales Used - $308.18 0 Aug/13/18 Apr/12/19
Description: Sanyo Denki PV2A015SMT1P50-1 Servo Amplifier BL Super PV AMAT 0190-12138 Used
alvin1462 Used - $24,888.00 1 Aug/15/18 Feb/18/21
Description: Applied Materials AMAT 0010-27430 -001 , Heater, 300mm Hi Temp, Used, Cleaned
storemanager-2009 Used - $562.50 0 Aug/11/18 Jul/17/21
Description: NOVELLUS 02-132970-00 ASSY,CUP,296,LOW PRO (NC) USED
storemanager-2009 Used - $50.00 0 Aug/11/18 Jul/11/20
Description: LAM RESEARCH 833-491135-050 REV. B 4PIN MALE 4PIN FEMALE SHIELDED CABLE USED
usedeqsales Used - $308.18 1 Aug/15/18 Jun/02/21
Description: Sanyo Denki PV2A015S7F1PA2 Servo Amplifier BL Super PV AMAT 0190-14711 Used
spsglobal Used - $100.00 0 Aug/15/18 Aug/21/18
Description: 323-0401// AMAT APPLIED 0140-20167 HARNESS ASSY, SECONDARY GENERATOR RACK E USED
usedeqsales Used - $158.18 0 Aug/16/18 Jun/30/22
Description: AMAT Applied Materials 3870-03867 Pneumatic N.C. Diaphragm Valve Used Working
spsglobal Used - $200.00 1 Aug/16/18 Aug/16/22
Description: 326-0301// AMAT APPLIED 0140-09223 HARNESS ASSY CVD/TUNG CHAMBER USED
spsglobal Used - $350.00 0 Aug/16/18 Feb/10/20
Description: 322-0103// AMAT APPLIED 0090-70008 MOTOR ASSY CASSETTE HANDLER USED
spsglobal Used - $400.00 1 Aug/16/18 Aug/23/18
Description: 320-0402// AMAT APPLIED 0100-20037 PCB ASSY, INTERLOCK SELECT USED
usedeqsales Used - $508.18 0 Aug/16/18 Mar/03/22
Description: Lam Research 715-140124-001 Facing Plate/Electrode 715-140125-001 Lot of 3 Used
usedeqsales Used - $804.15 0 Aug/20/18 Nov/08/21
Description: AMAT Applied Materials 0010-25341 CPI-VMO Chamber 1 Used for Parts Used As-Is
usedeqsales Used - $1,508.18 1 Aug/20/18 Oct/31/19
Description: Lam Research 02-287782-00 15" Heater Pedestal PED Assembly Novellus Used Working
usedeqsales Used - $3,808.18 2 Aug/20/18 Nov/11/18
Description: Brooks Automation 013089-098-20 Loadport FIXLOAD V6 Novellus 04-255330-00 Used
tm_semi Used - $500.00 0 Aug/20/18 Sep/19/18
Description: NOVELLUS 15-267139-00 INOVA, PRECLEAN DFE, CERAMIC SHIELD, OEM, USED, EXCL COND.
tm_semi Used - $375.00 0 Aug/20/18 Sep/19/18
Description: NOVELLUS 15-264302-00 INOVA, PRECLEAN DFE, CERAMIC RING, OEM, USED, EXCEL COND.
tm_semi Used - $375.00 0 Aug/20/18 Sep/19/18
Description: NOVELLUS 17-260230-00 INOVA, PRECLEAN DFE, SHIELD TRANSFER PLANE, OEM, USED.
tm_semi Used - $75.00 0 Aug/20/18 Sep/19/18
Description: NOVELLUS 15-055546-03 INOVA, PRECLEAN DFE, PIN WAFER LIFT, CERAMIC, OEM, USED
tm_semi Used - $75.00 0 Aug/20/18 Sep/19/18
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND.
tm_semi Used - $1,500.00 0 Aug/20/18 Sep/19/18
Description: NOVELLUS 15-267250-00 INOVA, PRE CLEAN DFE, SHIELD BARREL, OEM, USED, EXCL COND.
usedeqsales Used - $508.18 0 Aug/21/18 Mar/03/22
Description: MKS Instruments AS01396-6-3 Analog I/O VME PCB Card CDN396R AMAT 0190-25905 Used
usedeqsales Used - $258.18 0 Aug/21/18 May/17/22
Description: Mesa Power Systems 10651 100 Watt UPC PCB Card AMAT 0190-08875 Used Working
usedeqsales Used - $2,008.18 1 Aug/21/18 Jan/03/19
Description: AMAT Applied Materials 0020-79594 Laser Emmiter ISRM Module 0010-37866 Used
usedeqsales Used - $5,008.18 0 Aug/22/18 Aug/23/18
Description: ASTRONi ASTeX AX7670-85 RPS Remote Source Plasma 1353 Hours AMAT 0190-41329 Used
dnd_surplus Used - $500.00 0 Aug/22/18 Sep/21/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
capitolareatech Used - $995.00 0 Aug/23/18 Jun/23/20
Description: LAM RESEARCH (LAM) 853-013542-002 Assy., Isolation Valve, Heated with Used PH265
spsglobal Used - $350.00 0 Aug/24/18 Oct/03/18
Description: 321-0203// AMAT APPLIED 0870-01003 UD2115A DRVER 2-PHASE STEPPER MOTOR USED
spsglobal Used - $350.00 1 Aug/24/18 Nov/22/18
Description: 321-0202// AMAT APPLIED 0870-01028 CSD5814N-T DRVR 5-PHASE STEPPER MOTOR USED
usedeqsales Used - $208.18 0 Aug/24/18 Mar/03/22
Description: Yaskawa Electric SGDH-01AEY903 Servo Drive SERVOPACK AMAT 0190-08038 Used
ab-international Used - $200.00 0 Aug/26/18 Sep/05/18
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber PCB
ab-international Used - $150.00 0 Aug/26/18 Sep/05/18
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
grandbirdnet Used - $11,000.00 0 Aug/26/18 Nov/19/20
Description: AMAT 0920-00013 FI20620-1, AMAT, GEN FLUORINE 208VAC 3PHASE W/ENHANCE, USED
usedeqsales Used - $3,001.18 1 Aug/30/18 Mar/11/20
Description: CX-2000 Comdel FP3365RA RF Generator CV2000 AMAT 0190-31017 Used Tested Working
dy-global Used - $2,499.90 0 Sep/02/18 Sep/02/20
Description: Lam Research Used 853-040482-301 Rev:M RF Matcher SEM-I-193=6B52
dy-global Used - $2,499.90 0 Sep/02/18 Sep/02/20
Description: Lam Research AUTOMATCH Used 853-040482-301 Rev:M SEM-I-194=6B52
dy-global Used - $6,999.90 0 Sep/02/18 Sep/02/20
Description: Lam Research RF Generator Matcher Used 853-043759-004 REV.F SEM-I-196=6B52
spsglobal Used - $150.00 0 Sep/03/18 Aug/07/20
Description: 323-0302// AMAT APPLIED 3870-01767 VALVE PNEU DIAPH 140PSIG 1/4-F USED
spsglobal Used - $5.00 63 Sep/03/18 Feb/13/20
Description: 306-0102// AMAT APPLIED 0020-20479 STUD CRYO/GATE PROCESS CHAMBER USED
usedeqsales Used - $1,202.12 0 Sep/05/18 Aug/20/21
Description: Digital Dynamics 27-053660-00 sioc Power Supply Module Novellus Used Working
usedeqsales Used - $1,252.12 0 Sep/05/18 Mar/03/22
Description: Digital Dynamics 27-053659-00 sioc Controller Module Novellus Used Working
northbaycontact Used - $95.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
northbaycontact Used - $95.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0150-09109 DC POWER FOOT (used)
northbaycontact Used - $49.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0150-09593 GENERATOR CABLE (used)
northbaycontact Used - $95.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $75.00 0 Sep/06/18 Oct/06/18
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
spsglobal Used - $80.00 0 Sep/07/18 May/19/22
Description: 343-0101// AMAT APPLIED 0020-31596 3370-01018 GUIDE, HINGE, UNIBODY COVER USED
spsglobal Used - $300.00 0 Sep/07/18 Jun/08/23
Description: 343-0201// AMAT APPLIED 3300-02263 3870-01325 FTG RLF VALVE VENT APTR USED
spsglobal Used - $210.00 1 Sep/07/18 Aug/29/19
Description: 203-0201// AMAT APPLIED 0020-20403 MOTOR MOUNT UPPER FROG LEG USED
spsglobal Used - $10.00 0 Sep/07/18 Nov/13/20
Description: 203-0103// AMAT APPLIED 0020-24063 FLAG SENSOR MOTORIZED LIFT USED
usedeqsales Used - $1,009.18 1 Sep/07/18 Sep/14/18
Description: Lam Research 605-017034-110 VME Card PCB Used
usedeqsales Used - $802.10 0 Sep/07/18 Apr/08/20
Description: AMAT Applied Materials 0100-90027 Data Acquisition Inverter PCB Card Rev. G Used
usedeqsales Used - $709.18 0 Sep/07/18 Sep/24/18
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card XR80 Used Working
usedeqsales Used - $712.10 0 Sep/07/18 Apr/08/20
Description: AMAT Applied Materials 0100-90492 T.P.D.U. Monitor PCB Card XR80 Used Working
tm_semi Used - $950.00 0 Sep/07/18 Sep/10/18
Description: AMAT 0200-02421 ISOLATOR LID, CERAMIC, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $425.00 0 Sep/07/18 Oct/07/18
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Sep/07/18 Oct/07/18
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
tm_semi Used - $175.00 0 Sep/07/18 Oct/07/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $1,250.00 0 Sep/08/18 Oct/08/18
Description: AMAT 0035-00193 ENDURA XP, SHIELD, ONE PIECE, BEADBLAST, SST, ENDURA, OEM, USED
tm_semi Used - $5,950.00 0 Sep/08/18 Oct/08/18
Description: NOVELLUS 15-268083-00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND.
tm_semi Used - $1,750.00 0 Sep/08/18 Oct/08/18
Description: AMAT 0020-18068 ENDURA, LOWER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Sep/08/18 Oct/08/18
Description: AMAT 0021-25296 ENDURA ALPS, SHUUER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $750.00 0 Sep/08/18 Oct/08/18
Description: AMAT 0020-48011 ENDURA, COVER RING, OEM USED, EXCEL COND.
tm_semi Used - $495.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0021-26969 ENDURA, TA/TAN, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0021-25014 ENDURA, COBALT, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $750.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0020-24164 ENDURA, TA/TAN, LOWER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0020-28033 ENDURA, TA/TAN, UPPER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0200-20437 ENDURA, COBALT, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $650.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0020-22177 ENDURA, COBALT, COVER RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0021-12555 ENDURA, COBALT, UPPER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $850.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0020-29711 ENDURA, COBALT, LOWER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $750.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0020-24166 ENDURA,TA/TAN, COVER RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $750.00 0 Sep/09/18 Oct/09/18
Description: AMAT 0040-51718 ENDURA, DEP RING, OEM, USED, EXCELLENT COND.
dy-global Used - $399.90 0 Sep/09/18 Nov/09/20
Description: Lam Research Used 810-033620-008 REV.A MATCH INTERFACE 3 CAP PCB-I-E-761=6BX3
usedeqsales Used - $2,409.18 0 Sep/10/18 Mar/03/22
Description: Lam Research 02-112622-00 Index Transfer Plate Novellus 15-055437-00 Used
spsglobal Used - $280.00 0 Sep/10/18 Apr/21/21
Description: 203-0203// AMAT APPLIED 0020-24291 MOUNTING PLATE PC 2 RF MATCH USED
spsglobal Used - $150.00 0 Sep/10/18 Aug/23/23
Description: 343-0203// AMAT APPLIED 0045-20002 CLAMP ACTUATOR SHUTTER LINKAGE USED
spsglobal Used - $130.00 0 Sep/10/18 Nov/13/18
Description: 343-0203// AMAT APPLIED 0015-09042 RESISTOR CERAMIC MOD USED
pic_insit Used - $250.00 0 Sep/10/18 Oct/10/18
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
spsglobal Used - $30.00 0 Sep/10/18 Jun/02/22
Description: 343-0202// AMAT APPLIED 0020-20523 (#1) CAP, LAMP FEEDTHRU USED
1mxz-4612 Used - $306.00 0 Sep/11/18 Apr/14/21
Description: 1pc used APPLIED MATERIALS ASSY NO.0100-00997 0130-00997
prism_electronics7 Used - $1,800.00 0 Sep/18/18 Apr/17/19
Description: APPLIED MATERIALS AMAT 0190-09467 USED CHAMBER B SERIPLEX BOARD
spsglobal Used - $140.00 0 Sep/17/18 Feb/08/22
Description: 145-0601// AMAT APPLIED 0150-20027 CABLE ASSY,OPERATOR PANEL,P26 USED
spsglobal Used - $450.00 0 Sep/17/18 Feb/08/22
Description: 145-0501// AMAT APPLIED 0150-20676 CBL ASSY CHAMBER E ORIENTER UMBILICAL USED
spsglobal Used - $300.00 1 Sep/17/18 Jul/03/22
Description: 145-0401// AMAT APPLIED 0620-01283 CABLE DC HIGH VLTGE 50FT USED
spsglobal Used - $400.00 0 Sep/17/18 Jul/06/21
Description: 145-0301// AMAT APPLIED 0150-76995 CABLE ASSY, VHP XFER RESOLVER CNTRLLR TO USED
spsglobal Used - $150.00 1 Sep/17/18 Jan/13/22
Description: 145-0301// AMAT APPLIED 0150-76850 CABLE ASSY, RF GEN DC PWR INTCNT, 50FT USED
spsglobal Used - $1,500.00 0 Sep/17/18 Oct/04/18
Description: 145-0201// AMAT APPLIED 0150-16084 CA CHAMBER A-B-C-D,50 FT USED
spsglobal Used - $1,000.00 0 Sep/17/18 Oct/07/18
Description: 145-0201// AMAT APPLIED 0150-16083 CA PNEUMATIC UMBILICAL,50 FT USED
spsglobal Used - $1,000.00 0 Sep/17/18 Oct/04/18
Description: 145-0201// AMAT APPLIED 0150-16082 CA LOAD LOCK UMBILICAL,50 FT USED
spsglobal Used - $1,000.00 0 Sep/17/18 Oct/07/18
Description: 145-0201// AMAT APPLIED 0150-16081 CA MAIN FRAME UMBILICAL CMJ3,5 USED
spsglobal Used - $1,000.00 0 Sep/17/18 Oct/07/18
Description: 145-0101// AMAT APPLIED 0150-16079 CA MAIN FRAME UMBILICAL CMJ1,50 FT USED
tm_semi Used - $1,500.00 0 Sep/19/18 Oct/19/18
Description: NOVELLUS 15-267250-00 INOVA, PRE CLEAN DFE, SHIELD BARREL, OEM, USED, EXCL COND.
tm_semi Used - $375.00 0 Sep/19/18 Oct/19/18
Description: NOVELLUS 15-264302-00 INOVA, PRECLEAN DFE, CERAMIC RING, OEM, USED, EXCEL COND.
tm_semi Used - $375.00 0 Sep/19/18 Oct/19/18
Description: NOVELLUS 17-260230-00 INOVA, PRECLEAN DFE, SHIELD TRANSFER PLANE, OEM, USED.
tm_semi Used - $75.00 0 Sep/19/18 Oct/19/18
Description: NOVELLUS 15-055546-03 INOVA, PRECLEAN DFE, PIN WAFER LIFT, CERAMIC, OEM, USED
tm_semi Used - $75.00 0 Sep/19/18 Oct/19/18
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND.
tm_semi Used - $500.00 0 Sep/19/18 Oct/19/18
Description: NOVELLUS 15-267139-00 INOVA, PRECLEAN DFE, CERAMIC SHIELD, OEM, USED, EXCL COND.
usedeqsales Used - $309.18 0 Sep/19/18 Nov/30/18
Description: Lam Research 810-000670-001 Analog Output PCB Card Used Working
usedeqsales Used - $709.18 0 Sep/19/18 Jan/23/19
Description: Force Computers 102205 SBC PCB Card SYS68K/CPU-6A/C3 Lam 810-017034-300 Used
plccenter Used - $1,500.00 0 Sep/19/18 Sep/20/18
Description: APPLIED MATERIAL 0100-20100 (Used, Cleaned, Tested 2 year warranty)
usedeqsales Used - $2,109.18 0 Sep/20/18 Dec/08/20
Description: PDX 900-2V AE Advanced Energy 0190-10028 Power Supply AMAT Used Tested Working
ciscorpor Used - $4,000.00 0 Sep/21/18 Oct/23/21
Description: LAM LOADPORT P/N: 853-810522-005, (LAM EXELAN2300 Used)
grandbirdnet Used - $200.00 0 Sep/21/18 Apr/02/23
Description: AMAT 0140-78004 CA ASSY, MOTOR X ,USED
dnd_surplus Used - $1,000.00 1 Sep/22/18 Oct/15/21
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
plccenter Used - $1,500.00 0 Sep/25/18 Jun/02/19
Description: APPLIED MATERIAL 0100-20100 (Used, Cleaned, Tested 2 year warranty)
usedeqsales Used - $309.18 0 Sep/26/18 Mar/18/21
Description: AMAT Applied Materials 0040-80146 Gripper Claw Assembly Used Working
prism_electronics7 Used - $6,056.25 0 Sep/27/18 Aug/12/20
Description: APPLIED MATERIALS 0040-09557 AMAT USED, CHAMBER BODY ASP
spsglobal Used - $110.00 0 Sep/27/18 Feb/20/22
Description: 146-0601// AMAT APPLIED 0150-20337 CABLE REMOTE SYS VIDEO 35 FT USED
spsglobal Used - $650.00 0 Sep/27/18 Aug/12/20
Description: 146-0501// AMAT APPLIED 0150-10497 C/A, PNEUMATIC UMBILICAL, 55FT EMC COMP USED
spsglobal Used - $960.00 0 Sep/27/18 Oct/26/21
Description: 146-0101// AMAT APPLIED 0150-76184 EMC COMP.,25FT CABLE CHAMBER A USED
spsglobal Used - $180.00 0 Sep/28/18 Feb/24/22
Description: 144-0401// AMAT APPLIED 3400-01070 CTI 8043456G030 HOSE FLEX LINE W/ELBOW USED
spsglobal Used - $100.00 0 Sep/28/18 Aug/15/19
Description: 144-0301// AMAT APPLIED 3400-01134 HOSE ASSY FLEX BRAID 1/4IDX23.5"L 1/4VC USED
spsglobal Used - $640.00 1 Sep/28/18 Dec/27/22
Description: 147-0201// AMAT APPLIED 0150-76183 EMC COMP.,HARNESS ASSY PNEUMATIC'S USED
spsglobal Used - $680.00 0 Sep/28/18 Apr/08/19
Description: 147-0201// AMAT APPLIED 0150-76181 EMC COMP.,HARNESS ASSY MAIN FR USED
spsglobal Used - $1,100.00 0 Sep/28/18 Apr/08/19
Description: 147-0201// AMAT APPLIED 0150-76179 EMC COMP.,HARNESS ASSY MAIN FR USED
spsglobal Used - $760.00 0 Sep/28/18 Dec/09/18
Description: 147-0201// AMAT APPLIED 0150-76178 EMC COMP., CABLE ASSY, GAS PAN USED
spsglobal Used - $800.00 0 Sep/28/18 Dec/11/18
Description: 147-0201// AMAT APPLIED 0150-76177 EMC COMP.,CABLE ASSY,GAS PANEL USED
spsglobal Used - $400.00 0 Sep/28/18 Nov/19/18
Description: 147-0201// AMAT APPLIED 0150-35880 CABLE ASSY, ROBOT CONTROL USED
spsglobal Used - $940.00 1 Sep/28/18 Dec/14/23
Description: 147-0201// AMAT APPLIED 0140-70353 HARNESS ASSY, VHP MAINFRAME TRANSFER CHA USED
usedeqsales Used - $1,604.08 3 Sep/28/18 Oct/13/20
Description: LF-5 AE Advanced Energy 3150012-009 RF Generator RFPP AMAT 0920-01014 Used
ab-international Used - $150.00 0 Sep/30/18 Oct/10/18
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
ab-international Used - $200.00 0 Sep/30/18 Oct/10/18
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber PCB
prism_electronics7 Used - $240.00 0 Oct/01/18 Aug/03/22
Description: APPLIED MATERIALS 0150-20337 USED, CABLE, REMOTE SYSTEM VID
prism_electronics7 Used - $349.99 0 Oct/01/18 Jul/25/22
Description: APPLIED MATERIALS 0040-76420 Used Enclosure Flow Meter
prism_electronics7 Used - $680.00 0 Oct/02/18 Aug/14/20
Description: APPLIED MATERIALS 3011-01302 USED, ETCH PLATE
prism_electronics7 Used - $403.75 0 Oct/04/18 Aug/10/20
Description: APPLIED MATERIALS 3870-01606 AMAT Used, Valve Water Control TMS
prism_electronics7 Used - $500.00 1 Oct/04/18 Mar/24/19
Description: APPLIED MATERIALS 0100-00825 Used, Assy PCB AIO w/o A/D Con (missing screw front
tm_semi Used - $425.00 0 Oct/07/18 Nov/06/18
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Oct/07/18 Nov/06/18
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
tm_semi Used - $175.00 0 Oct/07/18 Nov/06/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $5,950.00 0 Oct/08/18 Nov/07/18
Description: NOVELLUS 15-268083-00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND.
tm_semi Used - $495.00 0 Oct/08/18 Nov/07/18
Description: AMAT 0021-25296 ENDURA ALPS, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,250.00 0 Oct/08/18 Nov/07/18
Description: AMAT 0035-00193 ENDURA XP, SHIELD, ONE PIECE, BEADBLAST, SST, ENDURA, OEM, USED
tm_semi Used - $1,750.00 0 Oct/08/18 Nov/07/18
Description: AMAT 0020-18068 ENDURA, LOWER SHIELD, OEM, USED, EXCELLENT COND.
northbaycontact Used - $95.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
northbaycontact Used - $75.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
northbaycontact Used - $49.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0150-09593 GENERATOR CABLE (used)
northbaycontact Used - $95.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0150-09109 DC POWER FOOT (used)
northbaycontact Used - $95.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
tm_semi Used - $495.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0021-26969 ENDURA, TA/TAN, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0021-25014 ENDURA, COBALT, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0200-20437 ENDURA, COBALT, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $750.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0040-51718 ENDURA, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Oct/09/18 Nov/08/18
Description: AMAT 0020-28033 ENDURA, TA/TAN, UPPER SHIELD, OEM, USED, EXCELLENT COND.
prism_electronics10 Used - $234.45 0 Oct/10/18 Mar/16/22
Description: APPLIED MATERIALS 0227-43587 Used, H/A Smif-Asyst Cassette
prism_electronics10 Used - $290.00 2 Oct/10/18 Jun/19/19
Description: APPLIED MATERIALS AMAT 0100-20097 Used, PCB ASSY, WATER LEAK DET
prism_electronics10 Used - $54.00 0 Oct/10/18 Mar/16/22
Description: APPLIED MATERIALS AMAT 0140-00145 Used, Harness Assy Turbo INTC
prism_electronics10 Used - $34.18 0 Oct/10/18 Mar/16/22
Description: 0150-35434 Applied Materials AMAT Used, Cable Assy RF Match C
prism_electronics10 Used - $36.43 0 Oct/10/18 Mar/16/22
Description: 0150-10530 Applied Materials AMAT Used, CA TC Amp Interlock Inte
prism_electronics10 Used - $44.93 0 Oct/10/18 Mar/16/22
Description: Applied Materials AMAT 0226-31322 Cable RS-232 Hyundai, Used
prism_electronics10 Used - $337.50 0 Oct/10/18 Mar/16/22
Description: Lot Of 6 Applied Materials AMAT 0040-09926 AMAT Tube,Gas FeedThru, DxZ, Used,
spsglobal Used - $1,200.00 0 Oct/11/18 Apr/16/19
Description: 321-0103// AMAT APPLIED 1080-01204 0930-01033 (BROKEN) APPLIED MATRIALS USED
spsglobal Used - $5,000.00 0 Oct/11/18 Jun/17/19
Description: 321-0103// AMAT APPLIED 0010-02027 0010-30101 0010-39474 APPLIED MATRIALS USED
spsglobal Used - $300.00 1 Oct/11/18 Oct/11/18
Description: 147-0301// AMAT APPLIED 9444-25551 AS02555-55 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $640.00 0 Oct/11/18 Apr/08/19
Description: 147-0301// AMAT APPLIED 0150-76682 EMC COMP., HARNESS ASSY LOAD L USED
spsglobal Used - $1,800.00 0 Oct/11/18 Nov/03/19
Description: 320-0401// AMAT APPLIED 0010-77264 0010-04514 0100-37064 APPLIED MATRIALS USED
spsglobal Used - $200.00 0 Oct/11/18 May/12/19
Description: 320-0303// AMAT APPLIED 0100-35353 PCB ASSY 5 PHASE STEPPER DRIVER INTERFAC USED
spsglobal Used - $500.00 0 Oct/11/18 Feb/14/22
Description: 319-0301// AMAT APPLIED 0200-05376 CLEANED QUARTZ TUBE,APPLICATOR ASP+ USED
spsglobal Used - $500.00 1 Oct/11/18 Jan/05/20
Description: 319-0103// AMAT APPLIED 0190-14220 SGDF-A2CP SERVO MOTOR DRIVER 20W 24VDC USED
spsglobal Used - $80.00 2 Oct/11/18 Dec/03/21
Description: 347-0403// AMAT APPLIED 0020-28743 BLOCK, WATER IMP USED
spsglobal Used - $5,500.00 0 Oct/11/18 Oct/30/18
Description: 332-0201// AMAT APPLIED 0010-70264 ASSY HP ROBOT DRIVER UPPER/LOWER USED
spsglobal Used - $5,000.00 1 Oct/11/18 Jul/25/19
Description: 327-0201// AMAT APPLIED 0010-20524 MODIFIED 8" RF MATCH USED
spsglobal Used - $1,000.00 0 Oct/11/18 Mar/27/20
Description: 321-0402// AMAT APPLIED 0190-00544 (#2) APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $2,000.00 0 Oct/11/18 Feb/22/19
Description: 320-0401// AMAT APPLIED 0100-09169 0100-09299 EXPANDED RS-232 CONTROLLER USED
spsglobal Used - $30.00 0 Oct/12/18 Apr/23/20
Description: 326-0501// AMAT APPLIED 0150-20061 CABLE ASSY. SUPPLY CONTROL USED
spsglobal Used - $100.00 0 Oct/12/18 Jun/18/20
Description: 323-0402// AMAT APPLIED 0090-09006 SLIT VALVE ACTUATOR USED
spsglobal Used - $300.00 0 Oct/12/18 Jan/18/22
Description: 323-0402// AMAT APPLIED 0040-00457 CABLE FIBRE OPTIC 6 FOOT USED
tm_semi Used - $1,750.00 0 Oct/12/18 Nov/10/18
Description: AMAT 0021-12063 SHIELD, ONE PIECE, 190, ENDURA, OEM, USED, EXCELLENT COND.
tm_semi Used - $250.00 0 Oct/12/18 Nov/10/18
Description: AMAT 0021-07586 ISOLATOR RING, ENDURA, TxZ, OEM, USED, EXCELLENT COND.
tm_semi Used - $295.00 0 Oct/12/18 Nov/10/18
Description: AMAT 0200-36399 LID LINER, ENDURA, TxZ, OEM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Oct/12/18 Nov/10/18
Description: AMAT 0021-07984 OUTER SHIELD, TXZ, ENDURA, OEM, USED, EXCELLENT COND.
spsglobal Used - $30.00 1 Oct/12/18 Jun/19/22
Description: 326-0402// AMAT APPLIED 0140-09498 HARNESS ASSY RF COVER USED
spsglobal Used - $30.00 0 Oct/12/18 Sep/30/22
Description: 326-0402// AMAT APPLIED 0140-09031 HARNESS CASSETTE POSITIO USED
spsglobal Used - $50.00 0 Oct/12/18 Jan/31/19
Description: 326-0502// AMAT APPLIED 0620-01044 CABLE ASSY CONVECTRON CONTROL 9 USED
spsglobal Used - $50.00 0 Oct/12/18 Feb/15/19
Description: 326-0502// AMAT APPLIED 0620-01043 CABLE ASSY CONVECTRON CONTROL 7 USED
spsglobal Used - $30.00 0 Oct/12/18 Oct/24/21
Description: 326-0402// AMAT APPLIED 0150-00306 CABLE ASSY. E/P MODULE MONOCHROMATOR USED
prism_electronics5 Used - $212.50 0 Oct/12/18 Jun/27/22
Description: APPLIED MATERIALS AMAT 0020-75093 VIEW PORT WAFER C LEXAN USED
spsglobal Used - $500.00 1 Oct/11/18 Oct/31/18
Description: 320-0401// AMAT APPLIED 0010-70157 1140-01147 APPLIED MATRIALS COMPONENTS USED
pic_insit Used - $240.00 0 Oct/13/18 Nov/12/18
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
spsglobal Used - $50.00 3 Oct/14/18 Jul/04/19
Description: 343-0302// AMAT APPLIED 0190-36349 LAMP ASSY CYCLED 480 WATT XE CHAMBER RTP USED
spsglobal Used - $600.00 0 Oct/15/18 Sep/08/21
Description: 147-0601// AMAT APPLIED 0150-20009 CABLE ASSY, CONVECTRON INTERCONNECT II 2 USED
spsglobal Used - $720.00 0 Oct/15/18 Sep/15/21
Description: 147-0601// AMAT APPLIED 0150-20008 CABLE ASSY, CONVECTRON INTERCONNECT I 25 USED
spsglobal Used - $100.00 0 Oct/15/18 Mar/21/22
Description: 147-0401// AMAT APPLIED 0150-75035 CABLE ASSY, EMC COMP, SYSTEMS USED
spsglobal Used - $300.00 0 Oct/15/18 Dec/15/21
Description: 146-0401// AMAT APPLIED 0150-76461 CABLE, 55 FT RF COAXIAL 13.56 USED
spsglobal Used - $2,700.00 0 Oct/15/18 Jun/28/22
Description: 148-0401// AMAT APPLIED 0150-35205 HARNESS ASSY MAINFRAME UMBILIC USED
spsglobal Used - $120.00 0 Oct/15/18 Mar/16/20
Description: 147-0701// AMAT APPLIED 0150-76224 EMC COMP., CABLE, SPARE DIGITAL GAS PANE USED
spsglobal Used - $560.00 1 Oct/15/18 Jan/30/19
Description: 147-0701// AMAT APPLIED 0150-35258 CABLE ASSY USED
spsglobal Used - $800.00 0 Oct/15/18 Oct/29/18
Description: 149-0501// AMAT APPLIED 0150-20007 CABLE ASSY, RF GENERATOR INTERCONNECT 50 USED
spsglobal Used - $520.00 0 Oct/15/18 Sep/15/21
Description: 149-0401// AMAT APPLIED 0150-21351 CONVECTRON 2, (EMC COMPLIANT) USED
spsglobal Used - $760.00 0 Oct/15/18 Sep/15/21
Description: 149-0401// AMAT APPLIED 0150-20011 CABLE ASSY, LOADER PNEU- MATIC INTERCONN USED
spsglobal Used - $240.00 0 Oct/15/18 Jul/22/21
Description: 149-0401// AMAT APPLIED 0150-20002 CABLE ASSY,RF GEN DC PWR INT. 50FT USED
spsglobal Used - $760.00 0 Oct/15/18 Sep/15/21
Description: 149-0301// AMAT APPLIED 0150-20010 CABLE ASSY, PNEUMATIC INTERCONNECT 25FT USED
spsglobal Used - $180.00 1 Oct/15/18 Aug/07/22
Description: 149-0101// AMAT APPLIED 0150-09583 CABLE COAXIAL DELTA USED
spsglobal Used - $250.00 0 Oct/15/18 Jan/14/19
Description: 148-0701// AMAT APPLIED 0190-21223 PURCH SPEC 45FT STABIL ION GAUGE CABLE USED
spsglobal Used - $700.00 0 Oct/15/18 Apr/18/22
Description: 148-0701// AMAT APPLIED 0140-00149 EXPANDED HARNESS ASSY A" USED
prism_electronics10 Used - $540.00 0 Oct/15/18 Mar/16/22
Description: Used, Applied Materials AMAT 0100-20008 PCB, Assy, Cryo Temp Interconn
prism_electronics10 Used - $90.00 0 Oct/15/18 Mar/16/22
Description: 0150-35389 Applied Materials AMAT Ca Assy RF Match, Used
prism_electronics10 Used - $112.50 0 Oct/15/18 Mar/16/22
Description: Applied Materials AMAT 0040-09926 AMAT Tube,Gas FeedThru, DxZ, Used,
prism_electronics10 Used - $112.50 0 Oct/15/18 Mar/16/22
Description: 0150-76388 Applied Materials AMAT Used Ca Assy, Turbo Controlle
prism_electronics10 Used - $45.00 0 Oct/15/18 Mar/16/22
Description: 0150-18029 Applied Materials AMAT Ca Assy, Water Flow Swit, Used
usedeqsales Used - $1,505.09 1 Oct/15/18 Jun/25/21
Description: Lam Research 853-012123-001-G-230S Harmonic Motor Assembly 715-130080-008 Used
prism_electronics10 Used - $200.00 1 Oct/15/18 Jun/09/21
Description: AMAT Applied Materials 0190-35168 Fluid Flow Switch Assy Used
prism_electronics10 Used - $25.19 0 Oct/15/18 Mar/16/22
Description: AMAT 0150-35174 Applied Materials Ca Assy CJ14-SJ14 Used
usedeqsales Used - $5,510.18 1 Oct/15/18 Oct/17/18
Description: Kawasaki 30C61E-B026 CMP Robot Controller AMAT 0190-34968 Used Working
prism_electronics10 Used - $31.95 0 Oct/16/18 Mar/16/22
Description: AMAT 0020-79363 Applied Materials Bracket MTG Plate Disk, Used
gti-semi Used - $12,000.00 0 Oct/16/18 Nov/20/18
Description: AMAT LOAD CUP ASSY, p/n 0010-77157, Used, as is
usedeqsales Used - $352.10 30 Oct/17/18 Jul/05/21
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
tm_semi Used - $850.00 0 Oct/18/18 Oct/22/18
Description: AMAT 0020-29711 ENDURA, COBALT, LOWER SHIELD, OEM, USED, EXCELLENT COND.
bobsgoodies Used - $119.00 1 Oct/18/18 Sep/15/20
Description: Applied Materials AMAT 3870-01306 PARKER VERIFLO MODEL: 944AOPLPHNCSFSFF USED
usedeqsales Used - $1,310.18 2 Oct/18/18 Oct/02/19
Description: HVA High Vacuum Apparatus 11211-1003R Gate Valve AMAT 0020-48595 Used Working
spsglobal Used - $600.00 0 Oct/18/18 Jun/03/22
Description: 150-0201// AMAT APPLIED 9444-26864 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $220.00 0 Oct/18/18 Feb/24/22
Description: 149-0701// AMAT APPLIED 0150-35431 CABLE ASSY,VDS INTERCONNECT USED
spsglobal Used - $360.00 0 Oct/18/18 Jun/30/22
Description: 149-0701// AMAT APPLIED 0150-20004 CABLE ASSY,TURBO CONTROL INTERCONNECT 50 USED
spsglobal Used - $390.00 0 Oct/18/18 Nov/25/19
Description: 149-0701// AMAT APPLIED 0140-37677 EMC COMP, H/A, VIDEO INTERCONN USED
spsglobal Used - $160.00 0 Oct/19/18 Dec/21/21
Description: 150-0501// AMAT APPLIED 0150-09183 CABLE ASSY SET GAS TO REMOTE, USED
tm_semi Used - $375.00 0 Oct/19/18 Nov/18/18
Description: NOVELLUS 15-264302-00 INOVA, PRECLEAN DFE, CERAMIC RING, OEM, USED, EXCEL COND.
tm_semi Used - $375.00 0 Oct/19/18 Nov/17/18
Description: NOVELLUS 17-260230-00 INOVA, PRECLEAN DFE, SHIELD TRANSFER PLANE, OEM, USED.
tm_semi Used - $75.00 0 Oct/19/18 Nov/17/18
Description: NOVELLUS 15-055546-03 INOVA, PRECLEAN DFE, PIN WAFER LIFT, CERAMIC, OEM, USED
tm_semi Used - $75.00 0 Oct/19/18 Nov/17/18
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND.
tm_semi Used - $1,500.00 0 Oct/19/18 Nov/17/18
Description: NOVELLUS 15-267250-00 INOVA, PRE CLEAN DFE, SHIELD BARREL, OEM, USED, EXCL COND.
spsglobal Used - $140.00 1 Oct/19/18 Oct/11/19
Description: 141-0101// AMAT APPLIED 0150-20068 CABLE ASSY,CRYO COMPRESSOR INT USED
spsglobal Used - $120.00 0 Oct/19/18 Feb/20/22
Description: 141-0201// AMAT APPLIED 0150-20781 CABLE ASSY REMOTE THIRD MON USED
tm_semi Used - $500.00 0 Oct/19/18 Nov/18/18
Description: NOVELLUS 15-267139-00 INOVA, PRECLEAN DFE, CERAMIC SHIELD, OEM, USED, EXCL COND.
usedeqsales Used - $2,255.09 3 Oct/19/18 Jan/28/22
Description: NSK ELC-JG014VF3-01 300mm XP Robot Servo Drive ELC AMAT 0190-19536 Used Working
usedeqsales Used - $1,207.15 0 Oct/19/18 Mar/13/19
Description: AMAT Applied Materials 0041-12192 Reflector Plate Used Working
spsglobal Used - $8,500.00 1 Oct/22/18 Jan/09/20
Description: 329-0101// AMAT APPLIED 1110-01043 3155086-001B NTWRK MATCH RF 12.56MH USED
spsglobal Used - $3,000.00 0 Oct/22/18 May/09/19
Description: 325-0501// AMAT APPLIED 1290-01715 8113040G003 TERM CNTRLR NTWK INTERFACE USED
spsglobal Used - $500.00 0 Oct/22/18 Aug/25/19
Description: 320-0403// AMAT APPLIED 0010-20406 DETECTOR ASSY, LLA ,LEFT USED
spsglobal Used - $800.00 0 Oct/22/18 Mar/01/20
Description: 320-0303// AMAT APPLIED 0010-09292 0100-09032 0100-09033 PCB ASSY, TC USED
spsglobal Used - $1,800.00 0 Oct/22/18 May/13/19
Description: 320-0202// AMAT APPLIED 0100-20069 OBS,PCB WAFER ORIENTER USED
spsglobal Used - $2,500.00 0 Oct/22/18 Jan/06/21
Description: 303-0301// AMAT APPLIED 0190-09024 wMAGNET DRIVER ASSY USED
spsglobal Used - $180.00 0 Oct/23/18 Mar/16/20
Description: 142-0102// AMAT APPLIED 0150-76230 OPM.,CABLE ASSY,AMPULE HEATER USED
spsglobal Used - $150.00 0 Oct/23/18 Mar/16/20
Description: 142-0102// AMAT APPLIED 0150-76229 EMC COMP., CABLE, FAN POWER IN USED
spsglobal Used - $180.00 0 Oct/23/18 Mar/16/20
Description: 142-0102// AMAT APPLIED 0150-76226 EMC COMP., CABLE, ANALOG #2 GA USED
spsglobal Used - $200.00 0 Oct/23/18 Mar/16/20
Description: 142-0102// AMAT APPLIED 0150-76225 EMC COMP., CABLE, ANALOG #1 GA USED
spsglobal Used - $200.00 0 Oct/23/18 Mar/16/20
Description: 142-0102// AMAT APPLIED 0150-76223 EMC COMP., CABLE, DIGITAL #2 G USED
spsglobal Used - $150.00 1 Oct/23/18 May/10/22
Description: 142-0102// AMAT APPLIED 0150-76165 C/A EMC COMP, HEAT EXCHANGER, USED
spsglobal Used - $200.00 0 Oct/23/18 Mar/16/20
Description: 142-0301// AMAT APPLIED 0150-76227 EMC COMP., CABLE, SPARE ANALOG USED
spsglobal Used - $100.00 0 Oct/23/18 Jul/23/23
Description: 142-0301// AMAT APPLIED 0150-35002 CABLE ASSY, MAINTENANCE MONITO USED
spsglobal Used - $130.00 0 Oct/23/18 Dec/19/18
Description: 142-0203// AMAT APPLIED 0620-01254 CABLE NETWORK TERM I/F FOR ON-BRD CRYO USED
spsglobal Used - $100.00 0 Oct/23/18 Jun/18/23
Description: 142-0203// AMAT APPLIED 0227-05858 50 FT. EMC COMP, CABLE [2ND SOURCE USED]
grandbirdnet Used - $130.00 1 Oct/24/18 Feb/08/22
Description: AMAT 0040-77048 COVER, TRACK, USED
storefarm Used - $958.30 0 Nov/02/18 Jul/02/20
Description: [Used] AMAT / 0010-30094, P-5000 / RF MATCH, 3KV, 13.56MHz
storefarm Used - $2,094.75 0 Nov/02/18 Mar/15/20
Description: [Used] APPLIED MATERIALS, AMAT / 5000 ETCH, 0010-30342 / RF MATCH POLY MXP PLUS
storefarm Used - $1,767.50 1 Nov/02/18 May/17/19
Description: [Used] APPLIED MATERIALS, AMAT / P-5000 MXP+, 0010-09416 / RF MATCH
storefarm Used - $4,500.00 0 Nov/01/18 Jul/04/22
Description: [Used] APPLIED MATERIALS, AMAT / 0040-39662 REV 003, 30056500-153-001 / RF MATCH
usedeqsales Used - $610.18 0 Nov/01/18 Aug/19/21
Description: Festo 200763 Megasonic PS Control Box 300H AMAT 0090-04970 Used Working
mont_cass Used - $139.99 0 Nov/04/18 Dec/04/18
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
ifab9127 Used - $9,500.00 0 Nov/05/18 Jun/20/19
Description: 0247-01720 OEM AMAT Titan l 150mm head used
spsglobal Used - $60.00 0 Nov/05/18 May/13/19
Description: 341-0301// AMAT APPLIED 0020-22295 BRACKET MTG WADER ORIENTATION USED
spsglobal Used - $95.00 1 Nov/05/18 Aug/02/19
Description: 326-0302// AMAT APPLIED 0140-37821 HARN ASSY, ASP+ ENHANCED LIFT 5V USED
spsglobal Used - $250.00 0 Nov/05/18 Mar/12/19
Description: 323-0503// AMAT APPLIED 0190-21303 RG393-DMA-DMA-60CM USED
spsglobal Used - $3,500.00 0 Nov/05/18 Apr/09/21
Description: 323-0502// AMAT APPLIED 1120-01071 OPT BIFURCATED BDL SPC 210/230N BICON USED
spsglobal Used - $100.00 0 Nov/05/18 Aug/07/20
Description: 323-0302// AMAT APPLIED 3870-01306 VALVE AIR ACTUATED NC 1/4 VCR F-F 10 RA USED
spsglobal Used - $150.00 0 Nov/05/18 Oct/27/21
Description: 323-0302// AMAT APPLIED 3310-01071 GAUGE TC 1-1000 MTORR METALTUBE 1/8"IPS USED
spsglobal Used - $700.00 1 Nov/05/18 Jul/04/22
Description: 346-0102// AMAT APPLIED 0020-35057 INSERT, LLA & LLB SLIT VALVE, ALUMINUM E USED
tm_semi Used - $425.00 0 Nov/06/18 Dec/06/18
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Nov/06/18 Dec/06/18
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
usedeqsales Used - $1,611.18 1 Nov/06/18 Mar/07/19
Description: AMAT Applied Materials 0190-05576 RF Cable 65 Foot Endura Mainframe Used Working
tm_semi Used - $175.00 0 Nov/06/18 Dec/06/18
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
usedeqsales Used - $6,511.18 1 Nov/07/18 Nov/12/18
Description: NSK MELA-B014CF6-03 300mm Single Blade Robot Servo Drive AMAT 0190-03544 Used
usedeqsales Used - $6,511.18 2 Nov/07/18 Nov/12/18
Description: NSK ELA-B014CFD-03 Servo Drive ELA Series NSK-M-CLR AMAT 0190-02472 Used Working
tm_semi Used - $5,950.00 0 Nov/07/18 Dec/07/18
Description: NOVELLUS 15-268083-00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND.
tm_semi Used - $495.00 0 Nov/07/18 Dec/07/18
Description: AMAT 0021-25296 ENDURA ALPS, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,250.00 0 Nov/07/18 Dec/07/18
Description: AMAT 0035-00193 ENDURA XP, SHIELD, ONE PIECE, BEADBLAST, SST, ENDURA, OEM, USED
tm_semi Used - $1,750.00 0 Nov/07/18 Dec/07/18
Description: AMAT 0020-18068 ENDURA, LOWER SHIELD, OEM, USED, EXCELLENT COND.
hjtec_sales Used - $2,300.00 1 Nov/08/18 Oct/11/22
Description: AMAT 0010-23752, Brush Mount Flow Side Assy. LK, used
tm_semi Used - $495.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0021-26969 ENDURA, TA/TAN, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0021-25014 ENDURA, COBALT, SHUTTER DISK, OEM, USED, EXCELLENT COND.
northbaycontact Used - $95.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $95.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0150-09109 DC POWER FOOT (used)
northbaycontact Used - $95.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
northbaycontact Used - $75.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
northbaycontact Used - $49.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0150-09593 GENERATOR CABLE (used)
tm_semi Used - $1,750.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0200-20437 ENDURA, COBALT, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $750.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0040-51718 ENDURA, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Nov/08/18 Dec/08/18
Description: AMAT 0020-28033 ENDURA, TA/TAN, UPPER SHIELD, OEM, USED, EXCELLENT COND.
usedeqsales Used - $2,511.18 1 Nov/08/18 Dec/21/18
Description: SBS Technologies CL7R2Q0B00C14W23 SBC PCB Card CL7 80GB AMAT 0190-15489 Used
usedeqsales Used - $2,511.18 1 Nov/08/18 Dec/21/18
Description: SBS Technologies CL7R2Q0B00C14W18 SBC PCB Card CL7 60GB AMAT 0190-12695 Used
usedeqsales Used - $2,511.18 1 Nov/08/18 Dec/14/18
Description: SBS Technologies CL7R2Q0B00C14W18 SBC PCB Card CL7 30GB AMAT 0190-12695 Used
usedeqsales Used - $1,511.18 1 Nov/08/18 Dec/14/18
Description: VMIC VMICPCI-7325-148 SBC Single Board Computer PCB Card AMAT 0190-14731 Used
usedeqsales Used - $411.18 0 Nov/09/18 Oct/02/23
Description: Inova Computers 11249 UPS Board PCB Card 1-ICP-UPS AMAT 0190-07905 Used Working
usedeqsales Used - $7,505.09 0 Nov/09/18 Dec/21/18
Description: AMAT Applied Materials 0010-38754 RF HR Dome Temperature Control Unit DTCU Used
usedeqsales Used - $461.18 0 Nov/09/18 Oct/01/21
Description: SBS Technologies MC303-S00026 Carrier PCB Card AMAT 0190-07847 0190-07910 Used
usedeqsales Used - $261.18 2 Nov/09/18 Jan/09/19
Description: Mesa Power Systems 10616 100 Watt UPC PCB Card AMAT 0190-07906 Used Working
dy-global Used - $499.90 1 Nov/09/18 Dec/11/18
Description: GE Used V7668A 605-109114-002 REV.E PMC422-LAM D2 14-2170D00 PCB-I-E-843=6CX1
spsglobal Used - $100.00 0 Nov/16/18 Jan/08/21
Description: 343-0401// AMAT APPLIED 3220-01051 CPLG FLOATING JOINT 1/2-20 UNF USED
spsglobal Used - $100.00 0 Nov/16/18 Jun/16/22
Description: 323-0103// AMAT APPLIED 0630-01604 CAP FIX 3.6KV 80PF 5% RF PORC USED
spsglobal Used - $300.00 1 Nov/15/18 Sep/09/19
Description: 343-0401// AMAT APPLIED 0020-21704 CHUCK ORIENTER 5" USED
spsglobal Used - $10.00 0 Nov/15/18 Jun/28/22
Description: 343-0401// AMAT APPLIED 0020-20522 WSHR,INSULATOR LIFTER USED
spsglobal Used - $50.00 0 Nov/15/18 Jun/18/23
Description: 343-0401// AMAT APPLIED 0020-10729 SUPPORT HANGER LAMP MODULE [2ND SOURCE USED]
spsglobal Used - $1,200.00 0 Nov/15/18 Apr/18/19
Description: 343-0401// AMAT APPLIED 0010-10995 ASSEMBLY, SOFT PUMP VALVE USED
spsglobal Used - $1,300.00 0 Nov/15/18 Nov/04/21
Description: 130-0701// AMAT APPLIED 0010-70058 (#1) STOR ELEV ASSY, 29 POSN USED
spsglobal Used - $900.00 0 Nov/15/18 Oct/04/21
Description: 130-0602// AMAT APPLIED 0010-09053 ASSY, 200MM STORAGE USED
grandbirdnet Used - $2,200.00 1 Nov/15/18 Feb/16/22
Description: AMAT 0041-12192 REV 03 HAM139259, USED
grandbirdnet Used - $5,000.00 1 Nov/15/18 Feb/17/22
Description: AMAT 0920-00004 AE Navigator RF MATCH 3155132-001 C, USED
grandbirdnet Used - $4,500.00 0 Nov/15/18 Sep/15/19
Description: AMAT 0190-10926 LWR ELECTRONICS, P-RIZED BRUSH 1 OR 2 W/, USED
grandbirdnet Used - $1,500.00 0 Nov/15/18 May/14/20
Description: AMAT 0010-21264 Rev A Assembly Shutter Linkage SMC NCRB80-180, USED
grandbirdnet Used - $750.00 1 Nov/15/18 Mar/06/22
Description: AMAT 0140-00576 HARNESS ASSY, INTERCONNECT, PVD, LTESC, USED
grandbirdnet Used - $2,200.00 0 Nov/14/18 Jan/21/24
Description: AMAT 0010-77773 ASSY, 2 PHASE DRIVER, USED
usedeqsales Used - $811.18 1 Nov/16/18 Mar/11/19
Description: Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Used Working
tm_semi Used - $75.00 0 Nov/17/18 Dec/17/18
Description: NOVELLUS 15-055546-03 INOVA, PRECLEAN DFE, PIN WAFER LIFT, CERAMIC, OEM, USED
tm_semi Used - $75.00 0 Nov/17/18 Dec/17/18
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND.
tm_semi Used - $1,500.00 0 Nov/17/18 Dec/17/18
Description: NOVELLUS 15-267250-00 INOVA, PRE CLEAN DFE, SHIELD BARREL, OEM, USED, EXCL COND.
tm_semi Used - $375.00 0 Nov/18/18 Dec/18/18
Description: NOVELLUS 15-264302-00 INOVA, PRECLEAN DFE, CERAMIC RING, OEM, USED, EXCEL COND.
tm_semi Used - $375.00 0 Nov/17/18 Dec/17/18
Description: NOVELLUS 17-260230-00 INOVA, PRECLEAN DFE, SHIELD TRANSFER PLANE, OEM, USED.
tm_semi Used - $500.00 0 Nov/18/18 Dec/18/18
Description: NOVELLUS 15-267139-00 INOVA, PRECLEAN DFE, CERAMIC SHIELD, OEM, USED, EXCL COND.
grandbirdnet Used - $5,000.00 0 Nov/18/18 Nov/18/18
Description: AMAT 0020-11595 LIFT LEVER TO RELEASE BRAKE, USED
usedeqsales Used - $511.18 2 Nov/19/18 Jul/02/20
Description: Kawasaki 50819-1093 Robot Teach Box AMAT Applied Materials 0190-10710 Used
usedeqsales Used - $611.18 1 Nov/20/18 Aug/04/21
Description: SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-07656 Used
grandbirdnet Used - $2,000.00 1 Nov/22/18 Oct/06/21
Description: AMAT 0040-50893 LLB DEGAS/PREHEAT REFLECTOR, 300MM ENDUR, USED
allforsale555 Used - $299.00 1 Nov/24/18 Dec/04/18
Description: AMAT 0040-04853 Manifold SST swivel water center injecti ( used good )
grandbirdnet Used - $1,600.00 0 Nov/25/18 Jun/17/19
Description: AMAT 0090-03162 SBS CL7R2Q0B00C14W23 W/30GB HDD MHT2030AR, USED
usedeqsales Used - $311.18 0 Nov/26/18 Oct/26/19
Description: MKS Instruments AS00721-05 Power Supply PCB Card PWRS-0721 AMAT 0190-17081 Used
usedeqsales Used - $311.18 1 Nov/26/18 Jul/02/19
Description: VAT 81105-01-117 Gate Valve Housing AMAT 0190-09436 Reseller Lot of 3 Used
usedeqsales Used - $502.14 0 Nov/26/18 Jan/08/20
Description: DIP DIP-420-230 DeviceNet PCB Card CDN491 15049105 AMAT 0660-01879 Used Working
usedeqsales Used - $512.15 0 Nov/26/18 Jan/09/20
Description: DIP DIP-254-025 DeviceNet PCB Card CDN481 15049105 AMAT 0190-08860 Used Working
grandbirdnet Used - $7,800.00 1 Nov/26/18 Dec/10/20
Description: AMAT 0010-14612 ASSEMBLY 200MM CATHODE 300MM DPS2, USED
grandbirdnet Used - $5,500.00 1 Nov/26/18 Dec/18/18
Description: AMAT 0040-61519 Producer SE Full Robot Arm Set, USED
gkservice2018 Used - $887.20 0 Nov/27/18 Sep/27/19
Description: MicroNode AS02108G-03 Analog Devicenet 0190-50729 Used
grandbirdnet Used - $5,500.00 0 Nov/27/18 Apr/10/19
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030, USED
spsglobal Used - $3,000.00 0 Nov/28/18 Feb/14/20
Description: 318-0301// AMAT APPLIED 0010-00957 ASSY, ORIENTER LASER OPTICS USED
usedeqsales Used - $3,311.18 1 Nov/28/18 Nov/29/18
Description: Brooks 6-0001-0903-SP Wafer Robot ABM405-1-S-CE-S293 AMAT 0520-00021 Used
usedeqsales Used - $1,511.18 1 Nov/29/18 Oct/12/20
Description: AMAT Applied Materials 0100-09196 E Chuck Controller PCB Card Used Working
spsglobal Used - $50.00 1 Nov/29/18 Dec/17/18
Description: 342-0203// AMAT APPLIED 0010-01100 ASSY SPRT TRAY - MLD OVERLAY USED
mont_cass Used - $139.99 0 Dec/04/18 Jan/03/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
spsglobal Used - $1,700.00 0 Dec/06/18 Mar/22/19
Description: 124-0102// AMAT APPLIED 0020-27372 (#3) CLAMP RING 8" JMF COLD AL/TI 6 USED
tm_semi Used - $1,500.00 0 Dec/06/18 Jan/05/19
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
tm_semi Used - $425.00 0 Dec/06/18 Jan/05/19
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
spsglobal Used - $2,000.00 0 Dec/06/18 Jun/17/20
Description: 124-0203// AMAT APPLIED 0020-27642 CLAMP RING 8" SNNF TI 2MM E/E 2ND SOURCE USED
tm_semi Used - $175.00 0 Dec/06/18 Jan/05/19
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
spsglobal Used - $450.00 0 Dec/06/18 Jun/18/23
Description: 124-0304// AMAT APPLIED 0020-27869 PEDESTAL, 6" SMF @ C & D MOD 2ND SOURCE USED
spsglobal Used - $250.00 0 Dec/06/18 Jul/08/19
Description: 124-0304// AMAT APPLIED 0020-27123 PEDESTAL, PCII 8" SNNF USED
spsglobal Used - $350.00 0 Dec/06/18 Oct/11/20
Description: 124-0303// AMAT APPLIED 0020-10162 DIFFUSER 200MM USED
spsglobal Used - $450.00 0 Dec/06/18 Jun/18/23
Description: 124-0302// AMAT APPLIED 0020-26971 6" TI SHUTTER DISK 2ND SOURCE USED
spsglobal Used - $250.00 0 Dec/07/18 Jun/03/19
Description: 124-0404// AMAT APPLIED 0020-10936 BLOCKER PLATE,SILANE 200MM USED
spsglobal Used - $90.00 0 Dec/07/18 Jun/18/23
Description: 124-0404// AMAT APPLIED 0020-09312 PLATE, BLOCKER 125MM 2ND SOURCE USED
spsglobal Used - $700.00 1 Dec/07/18 Apr/18/21
Description: 125-0303// AMAT APPLIED 0021-35869 PERF PLATE,TxZ 200MM USED
spsglobal Used - $800.00 1 Dec/07/18 Dec/07/18
Description: 125-0301// AMAT APPLIED 0020-10117 PLATE PERF OXIDE 200MM USED
spsglobal Used - $1,500.00 0 Dec/07/18 May/18/21
Description: 125-0102// AMAT APPLIED 0020-27819 COVER RING, A101 HI-PWR POIS C USED
usedeqsales Used - $1,008.15 0 Dec/07/18 Mar/13/19
Description: Novellus 02-169194-00 Robot Linear Track UDK5214NW RM26A3S Used Working
tm_semi Used - $1,250.00 0 Dec/07/18 Jan/06/19
Description: AMAT 0035-00193 ENDURA XP, SHIELD, ONE PIECE, BEADBLAST, SST, ENDURA, OEM, USED
tm_semi Used - $5,950.00 0 Dec/07/18 Jan/06/19
Description: NOVELLUS 15-268083-00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND.
tm_semi Used - $1,750.00 0 Dec/07/18 Jan/06/19
Description: AMAT 0020-18068 ENDURA, LOWER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Dec/07/18 Jan/06/19
Description: AMAT 0021-25296 ENDURA ALPS, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Dec/08/18 Jan/07/19
Description: AMAT 0021-26969 ENDURA, TA/TAN, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Dec/08/18 Jan/07/19
Description: AMAT 0021-25014 ENDURA, COBALT, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Dec/08/18 Jan/07/19
Description: AMAT 0200-20437 ENDURA, COBALT, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $750.00 0 Dec/08/18 Jan/07/19
Description: AMAT 0040-51718 ENDURA, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Dec/08/18 Jan/07/19
Description: AMAT 0020-28033 ENDURA, TA/TAN, UPPER SHIELD, OEM, USED, EXCELLENT COND.
eisale1535 Used - $1,503.00 0 Dec/09/18 Jun/09/20
Description: Novellus 02-252432-00 G Vector Spindle Assembly Used Working
northbaycontact Used - $95.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0150-09109 DC POWER FOOT (used)
northbaycontact Used - $95.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $75.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
northbaycontact Used - $49.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0150-09593 GENERATOR CABLE (used)
northbaycontact Used - $95.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
tm_semi Used - $1,750.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0021-12063 SHIELD, ONE PIECE, 190, ENDURA, OEM, USED, EXCELLENT COND.
tm_semi Used - $250.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0021-07586 ISOLATOR RING, ENDURA, TxZ, OEM, USED, EXCELLENT COND.
tm_semi Used - $295.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0200-36399 LID LINER, ENDURA, TxZ, OEM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Dec/10/18 Jan/09/19
Description: AMAT 0021-07984 OUTER SHIELD, TXZ, ENDURA, OEM, USED, EXCELLENT COND.
spsglobal Used - $600.00 0 Dec/13/18 Nov/26/19
Description: 145-0201// AMAT APPLIED 0190-70059 PURCH SPEC 45FT NUDE ION GAUGE CABLE USED
spsglobal Used - $5,000.00 1 Dec/13/18 Jul/11/23
Description: 108-0701// AMAT APPLIED 0010-20768 (#1) MAGNET ASSY G-12 8 *** [USED]
pic_insit Used - $160.00 0 Dec/14/18 Jun/14/21
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
gkservice2018 Used - $1,468.68 0 Dec/17/18 Sep/17/19
Description: Used and Tested V7668A V7668A-132L00 605-604676-006 LAM
tm_semi Used - $375.00 0 Dec/18/18 Jan/17/19
Description: NOVELLUS 15-264302-00 INOVA, PRECLEAN DFE, CERAMIC RING, OEM, USED, EXCEL COND.
tm_semi Used - $375.00 0 Dec/17/18 Jan/16/19
Description: NOVELLUS 17-260230-00 INOVA, PRECLEAN DFE, SHIELD TRANSFER PLANE, OEM, USED.
tm_semi Used - $75.00 0 Dec/17/18 Jan/16/19
Description: NOVELLUS 15-055546-03 INOVA, PRECLEAN DFE, PIN WAFER LIFT, CERAMIC, OEM, USED
tm_semi Used - $75.00 0 Dec/17/18 Jan/16/19
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND.
tm_semi Used - $1,500.00 0 Dec/17/18 Jan/16/19
Description: NOVELLUS 15-267250-00 INOVA, PRE CLEAN DFE, SHIELD BARREL, OEM, USED, EXCL COND.
usedeqsales Used - $262.18 1 Dec/21/18 Oct/14/20
Description: AMAT Applied Materials 0190-19764 Flexible Fiber Optic Spectrograph Line Used
usedeqsales Used - $3,512.18 2 Dec/21/18 Jun/04/20
Description: AMAT Applied Materials 0010-01929 RF Match H.E. BESC MATCH PVD Assembly Used
usedeqsales Used - $3,256.09 1 Dec/21/18 Aug/01/19
Description: AMAT Applied Materials 0010-30687 High Efficiency RF Auto Match Assembly Used
tm_semi Used - $500.00 0 Dec/18/18 Jan/17/19
Description: NOVELLUS 15-267139-00 INOVA, PRECLEAN DFE, CERAMIC SHIELD, OEM, USED, EXCL COND.
grandbirdnet Used - $700.00 0 Dec/26/18 Nov/27/19
Description: AMAT 0020-77925 BEARING SEAT, RACE CLAMP, USED
grandbirdnet Used - $1,900.00 0 Dec/26/18 Jan/02/20
Description: AMAT 3060-01694 PLATEN BEARING W/ LUBE, USED
usedeqsales Used - $2,511.18 0 Jan/02/19 Dec/23/20
Description: SBS Technologies CL7R2Q0B00C14W18 SBC PCB Card CL7 30GB AMAT 0190-12695 Used
usedeqsales Used - $2,511.18 1 Jan/02/19 Jan/22/19
Description: VMIC VMICPCI-7325-148 SBC Single Board Computer PCB Card AMAT 0190-14731 Used
mont_cass Used - $124.99 0 Jan/03/19 Feb/02/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $5,001.19 0 Jan/04/19 Dec/08/20
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Copper Used Working
tm_semi Used - $425.00 0 Jan/05/19 Feb/04/19
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Jan/05/19 Feb/04/19
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
tm_semi Used - $175.00 0 Jan/05/19 Feb/04/19
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $1,250.00 0 Jan/06/19 Feb/05/19
Description: AMAT 0035-00193 ENDURA XP, SHIELD, ONE PIECE, BEADBLAST, SST, ENDURA, OEM, USED
tm_semi Used - $5,950.00 0 Jan/06/19 Feb/05/19
Description: NOVELLUS 15-268083-00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND.
tm_semi Used - $1,750.00 0 Jan/06/19 Feb/05/19
Description: AMAT 0020-18068 ENDURA, LOWER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Jan/06/19 Feb/05/19
Description: AMAT 0021-25296 ENDURA ALPS, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Jan/07/19 Feb/06/19
Description: AMAT 0021-26969 ENDURA, TA/TAN, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Jan/07/19 Feb/06/19
Description: AMAT 0021-25014 ENDURA, COBALT, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $750.00 0 Jan/07/19 Feb/06/19
Description: AMAT 0040-51718 ENDURA, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Jan/07/19 Feb/06/19
Description: AMAT 0020-28033 ENDURA, TA/TAN, UPPER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Jan/07/19 Feb/06/19
Description: AMAT 0200-20437 ENDURA, COBALT, DEP RING, OEM, USED, EXCELLENT COND.
northbaycontact Used - $49.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0150-09593 GENERATOR CABLE (used)
northbaycontact Used - $75.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used)
northbaycontact Used - $95.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $95.00 0 Jan/09/19 Jan/21/19
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
usedeqsales Used - $501.19 0 Jan/09/19 Jan/08/20
Description: DIP DIP-394-055 DeviceNet VME PCB Card CDN491 PN00359-01 AMAT 0190-08860 Used
tm_semi Used - $295.00 1 Jan/09/19 Jan/22/19
Description: AMAT 0200-36399 LID LINER, ENDURA, TxZ, OEM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Jan/09/19 Feb/08/19
Description: AMAT 0021-07984 OUTER SHIELD, TXZ, ENDURA, OEM, USED, EXCELLENT COND.
tm_semi Used - $250.00 0 Jan/09/19 Feb/08/19
Description: AMAT 0021-07586 ISOLATOR RING, ENDURA, TxZ, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Jan/09/19 Feb/08/19
Description: AMAT 0021-12063 SHIELD, ONE PIECE, 190, ENDURA, OEM, USED, EXCELLENT COND.
usedeqsales Used - $301.19 0 Jan/10/19 Feb/13/20
Description: TeNTA AS00721-05 cPCI Power Board PCB PWRS-0721 AMAT 0190-17081 Rev 002 MKS Used
usedeqsales Used - $601.18 0 Jan/10/19 Feb/14/20
Description: SBS Technologies CPMC1 PMC-Video PCB Card AMAT 0190-07657 with 0190-17952 Used
katiil3 Used - $249.00 0 Jan/11/19 Oct/23/21
Description: Applied materials / AMAT 0100-20228 Encoder Interconnect Board ( Used good )
usedeqsales Used - $301.19 0 Jan/11/19 Dec/11/19
Description: Kawasaki 50979-2388LA1 Panel Robot Cable AMAT 0190-16259 Used Working
usedeqsales Used - $301.19 0 Jan/11/19 Dec/11/19
Description: Kawasaki 50979-2389LA1 EXT-EMG Robot Cable AMAT 0190-16260 Used Working
spsglobal Used - $300.00 0 Jan/13/19 Feb/26/19
Description: 323-0403// AMAT APPLIED 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC USED
spsglobal Used - $40.00 0 Jan/13/19 Oct/12/21
Description: 323-0301// AMAT APPLIED 0020-24018 PIN ANTENNA PC II POLI SI USED
usedeqsales Used - $3,506.14 0 Jan/14/19 Jan/11/21
Description: CTI-Cryogenics 0190-12086 On-Board P300 Cryopump Module 8113212G001 AMAT Used
tm_semi Used - $1,500.00 0 Jan/16/19 Feb/15/19
Description: NOVELLUS 15-267250-00 INOVA, PRE CLEAN DFE, SHIELD BARREL, OEM, USED, EXCL COND.
tm_semi Used - $375.00 0 Jan/17/19 Feb/16/19
Description: NOVELLUS 15-264302-00 INOVA, PRECLEAN DFE, CERAMIC RING, OEM, USED, EXCEL COND.
tm_semi Used - $375.00 0 Jan/16/19 Feb/15/19
Description: NOVELLUS 17-260230-00 INOVA, PRECLEAN DFE, SHIELD TRANSFER PLANE, OEM, USED.
tm_semi Used - $75.00 0 Jan/16/19 Feb/15/19
Description: NOVELLUS 15-055546-03 INOVA, PRECLEAN DFE, PIN WAFER LIFT, CERAMIC, OEM, USED
tm_semi Used - $75.00 0 Jan/16/19 Feb/15/19
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND.
tm_semi Used - $500.00 0 Jan/17/19 Feb/16/19
Description: NOVELLUS 15-267139-00 INOVA, PRECLEAN DFE, CERAMIC SHIELD, OEM, USED, EXCL COND.
bobsgoodies Used - $85.00 1 Jan/18/19 Feb/11/20
Description: AMAT 1080-01142 Motor Chopper Assy Series H 82334.5 CW Crouzet Used in HAMAT4
ab-international Used - $245.03 0 Jan/20/19 Jan/30/19
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber PCB
sx-space Used - $2,487.89 0 Jan/20/19 Jan/19/22
Description: Used & Test ed applied materials 0660-90102 Free DHL/EMS
katiil3 Used - $449.00 0 Jan/21/19 Oct/23/21
Description: AMAT Applied Materials 0090-91229 Cryo Pump Auto N2 Purge Module ( used )
northbaycontact Used - $0.99 0 Jan/21/19 Jan/31/19
Description: AMAT 0150-09106 ASSY CABLE REMOTE 50 FT (used) NO Reserve
northbaycontact Used - $0.99 0 Jan/21/19 Jan/31/19
Description: AMAT 0150-09593 GENERATOR CABLE (used)
northbaycontact Used - $0.99 0 Jan/21/19 Jan/31/19
Description: AMAT 0150-09033 CABLE ASSY, EMC COMP, SYSTEMS VIDEO 12 F (used)
northbaycontact Used - $0.99 0 Jan/21/19 Jan/31/19
Description: AMAT 0150-09145 CABLE ASSY FINAL VALVE N2 FLOW INTERLOCK (used)
usedeqsales Used - $1,601.19 2 Jan/22/19 Aug/17/19
Description: AMAT Applied Materials 0100-01984 Wafer Orienter Board PCB Used Working
spsglobal Used - $20.00 0 Jan/22/19 May/31/20
Description: 151-0501// AMAT APPLIED 3420-01077 INSUL CLAMP KF-50 3/8"THK 2.75 USED
usedeqsales Used - $705.15 0 Jan/23/19 Mar/09/21
Description: AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Quantum X Used Working
usedeqsales Used - $510.16 97 Jan/23/19 May/28/21
Description: AMAT Applied Materials 0090-90967 Power Supply PCB Card daq MkII type H Used
usedeqsales Used - $310.16 0 Jan/23/19 Mar/09/21
Description: AMAT Applied Materials 0100-00970 Spin Window PCB Card 0110-90443 Used Working
usedeqsales Used - $801.19 1 Jan/29/19 Jan/30/19
Description: Artesyn Technologies 200011-563 6 Power Supply N1204-1XXX AMAT 1140-90090 Used
usedeqsales Used - $902.19 1 Feb/04/19 Apr/03/19
Description: MKS Instruments L2-40-SP1 Vacuum Isolation Valve w/Bypass AMAT 0190-76185 Used
tm_semi Used - $425.00 0 Feb/04/19 Mar/06/19
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,500.00 0 Feb/04/19 Mar/06/19
Description: AMAT 0040-08492 GAS DISTRIBUTION PLATE, TXZ 300MM, OEM, USED, EXCELLENT CONDITIO
tm_semi Used - $175.00 0 Feb/04/19 Mar/06/19
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
mont_cass Used - $109.99 0 Feb/04/19 Mar/06/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
tm_semi Used - $5,950.00 0 Feb/05/19 Mar/07/19
Description: NOVELLUS 15-268083-00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND.
tm_semi Used - $1,750.00 0 Feb/08/19 Mar/11/19
Description: AMAT 0021-12063 SHIELD, ONE PIECE, 190, ENDURA, OEM, USED, EXCELLENT COND.
tm_semi Used - $250.00 1 Feb/08/19 Mar/03/19
Description: AMAT 0021-07586 ISOLATOR RING, ENDURA, TxZ, OEM, USED, EXCELLENT COND.
tm_semi Used - $175.00 0 Feb/08/19 Mar/11/19
Description: AMAT 0021-07984 OUTER SHIELD, TXZ, ENDURA, OEM, USED, EXCELLENT COND.
usedeqsales Used - $702.19 1 Feb/12/19 Aug/06/21
Description: AMAT Applied Materials 0100-91072 Source Magnet Control PCB Card 0120-93578 Used
usedeqsales Used - $702.19 0 Feb/12/19 May/03/21
Description: AMAT Applied Materials 0100-90940 Spin Scan Relay PCB Card Used Working
usedeqsales Used - $4,002.19 2 Feb/12/19 Feb/14/19
Description: AMAT Applied Materials 0020-79039 Spindle-S 0021-79724 0040-77461 Copper Cu Used
grandbirdnet Used - $3,850.00 0 Feb/12/19 Jul/29/21
Description: AMAT 0190-75053 DRIVER, VHP LOWER MOTOR CONTROL, USED
usedeqsales Used - $2,002.19 0 Feb/13/19 Dec/11/20
Description: AMAT Applied Materials 0190-16171 Drive Assembly Hard Disk w/Floppy CD-Rom Used
usedeqsales Used - $702.19 0 Feb/14/19 Apr/29/19
Description: AMAT Applied Materials 0100-91024 Vendor Interface PRE-ACCEL PCB Card Used
usedeqsales Used - $702.19 0 Feb/14/19 Nov/25/19
Description: AMAT Applied Materials 0100-91055 Gap Servo PCB Card 0120-93017 Used Working
tm_semi Used - $75.00 0 Feb/15/19 Mar/18/19
Description: NOVELLUS 15-055546-03 INOVA, PRECLEAN DFE, PIN WAFER LIFT, CERAMIC, OEM, USED
tm_semi Used - $75.00 0 Feb/15/19 Mar/18/19
Description: NOVELLUS 15-166116-00 INOVA, PRECLEAN DFE, GAS TUBE, OEM, USED, EXCL COND.
tm_semi Used - $1,500.00 0 Feb/15/19 Mar/18/19
Description: NOVELLUS 15-267250-00 INOVA, PRE CLEAN DFE, SHIELD BARREL, OEM, USED, EXCL COND.
tm_semi Used - $375.00 0 Feb/16/19 Mar/18/19
Description: NOVELLUS 15-264302-00 INOVA, PRECLEAN DFE, CERAMIC RING, OEM, USED, EXCEL COND.
tm_semi Used - $375.00 0 Feb/15/19 Mar/18/19
Description: NOVELLUS 17-260230-00 INOVA, PRECLEAN DFE, SHIELD TRANSFER PLANE, OEM, USED.
tm_semi Used - $500.00 0 Feb/16/19 Mar/18/19
Description: NOVELLUS 15-267139-00 INOVA, PRECLEAN DFE, CERAMIC SHIELD, OEM, USED, EXCL COND.
jabedow Used - $175.00 0 Feb/16/19 Mar/27/20
Description: AMAT 0020-78707 BLOCK,MOUNTING , USED
katiil3 Used - $149.00 1 Feb/17/19 Mar/17/20
Description: Applied Materials/AMAT 0020-27123 Pedestal 8" SNNF Used good
katiil3 Used - $159.00 1 Feb/17/19 Jul/17/19
Description: Applied materials 0100-11002 rev F digital I/O card Used good
spsglobal Used - $3,500.00 0 Feb/17/19 Dec/15/20
Description: 320-0401// AMAT APPLIED 0190-35773 MULTIPLEXED I/O CONTROL BOARD, CH A USED
spsglobal Used - $1,000.00 1 Feb/17/19 Oct/17/23
Description: 346-0402// AMAT APPLIED 0010-21868 COOLDOWN MANIFOLD 200 MM USED
spsglobal Used - $5,000.00 0 Feb/17/19 Aug/16/23
Description: 331-0301// AMAT APPLIED 0010-26286 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $1,500.00 0 Feb/17/19 Apr/28/21
Description: 321-0303// AMAT APPLIED 0090-35731 CP*OEM750X-10624 ASSY, STPR MTR DRIVER, USED
usedeqsales Used - $602.19 1 Feb/18/19 Feb/02/22
Description: AMAT Applied Materials 0190-05647 Serial Module Board PCB Rev. 001 Used Working
usedeqsales Used - $402.19 1 Feb/18/19 Jul/24/20
Description: AMAT Applied Materials 0100-01228 Eight Slot VME Backplane Board PCB Used
usedeqsales Used - $602.19 1 Feb/18/19 Jul/24/20
Description: AMAT Applied Materials 0100-01223 Slit Valve Exclusive Interlock PCB Card Used
usedeqsales Used - $302.19 1 Feb/19/19 Jun/13/19
Description: APW 445-1001891 Backplane Board PCB CompactPCI Rev. A AMAT 0190-07912 Used
usedeqsales Used - $502.19 0 Feb/19/19 Jan/08/20
Description: DIP DIP-131-444 DeviceNet VME PCB Card 15049105 CDN491 AMAT 0660-01879 Used
tm_semi Used - $5,950.00 0 Mar/07/19 Apr/06/19
Description: NOVELLUS 15-268083-00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND.
usedeqsales Used - $403.19 1 Mar/15/19 Jan/24/21
Description: AMAT Applied Materials 0100-01945 Wisperscan Motion Interface PCB Used Working
tm_semi Used - $1,500.00 0 Mar/18/19 Apr/17/19
Description: NOVELLUS 15-267250-00 INOVA, PRE CLEAN DFE, SHIELD BARREL, OEM, USED, EXCL COND.
tm_semi Used - $375.00 0 Mar/18/19 Apr/17/19
Description: NOVELLUS 17-260230-00 INOVA, PRECLEAN DFE, SHIELD TRANSFER PLANE, OEM, USED.
tm_semi Used - $375.00 0 Mar/18/19 Apr/17/19
Description: NOVELLUS 15-264302-00 INOVA, PRECLEAN DFE, CERAMIC RING, OEM, USED, EXCEL COND.
usedeqsales Used - $203.19 1 Mar/19/19 May/06/19
Description: Kawasaki 50979-2561L01 EXT-EMG/BNC Robot Cable AMAT 0190-10575 Used
usedeqsales Used - $2,003.19 1 Mar/22/19 Dec/11/23
Description: AMAT Applied Materials 0190-12662 Drive Assemly Hard Disk w/Floppy CD-ROM Used
usedeqsales Used - $2,003.19 2 Mar/27/19 May/03/20
Description: AMAT Applied Materials 0100-35124 Seriplex I/O Distribution Board PCB Card Used
spsglobal Used - $150.00 0 Mar/27/19 Aug/23/23
Description: 343-0203// AMAT APPLIED 0045-20003 CLAMP MAGNETIC COUPLING SHUTTER LINKAGE USED
usedeqsales Used - $2,011.15 3 Mar/28/19 Mar/31/20
Description: AMAT Applied Materials 0010-09181 Precision 5000 Platform DC Power Supply Used
hommertechnology Used - $1,265.00 0 Mar/29/19 Dec/29/22
Description: Used AMAT WxZ Heater 0010-04542 M-002, 0040-04542 Rev001 For Sale
zhenxia-2011 Used - $778.18 1 Mar/31/19 May/22/19
Description: used 1pc LAM 810-017034-005 REV-1 M09634001 LAM
tm_semi Used - $175.00 2 Apr/05/19 Mar/19/21
Description: LAM RESEARCH 714-801511-002 LINER, MTNG PLATE, ESC 300MM, USED, EXCELLENT COND.
tm_semi Used - $425.00 0 Apr/05/19 Oct/07/21
Description: AMAT 0021-19312 PLATE, BLOCKER, TXZ 300MM, OEM, USED, EXCELLENT COND.
usedeqsales Used - $604.19 2 Apr/05/19 Jul/01/19
Description: ENI 000-1945-615 13.56MHz Band Pass Filter PCB OEM-12B3 AMAT 0190-06199 Used
usedeqsales Used - $811.18 1 Apr/04/19 Aug/17/20
Description: Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Used Working
johanmcleo_0 Used - $59.00 0 Apr/10/19 Feb/10/22
Description: LAM 853-080273-001 Absolute Pressure Switch w/ plug used
tm_semi Used - $175.00 1 Apr/10/19 Jun/23/21
Description: AMAT 0021-07984 OUTER SHIELD, TXZ, ENDURA, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Apr/10/19 Oct/07/21
Description: AMAT 0021-12063 SHIELD, ONE PIECE, 190, ENDURA, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Apr/07/19 Oct/07/21
Description: AMAT 0020-28033 ENDURA, TA/TAN, UPPER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $750.00 0 Apr/07/19 Oct/07/21
Description: AMAT 0040-51718 ENDURA, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 1 Apr/07/19 Jan/17/21
Description: AMAT 0200-20437 ENDURA, COBALT, DEP RING, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Apr/07/19 Oct/07/21
Description: AMAT 0021-25014 ENDURA, COBALT, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $495.00 0 Apr/07/19 Oct/07/21
Description: AMAT 0021-26969 ENDURA, TA/TAN, SHUTTER DISK, OEM, USED, EXCELLENT COND.
mont_cass Used - $50.00 0 Apr/07/19 Sep/30/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
tm_semi Used - $1,250.00 0 Apr/06/19 Oct/07/21
Description: AMAT 0035-00193 ENDURA XP, SHIELD, ONE PIECE, BEADBLAST, SST, ENDURA, OEM, USED
tm_semi Used - $495.00 0 Apr/06/19 Oct/07/21
Description: AMAT 0021-25296 ENDURA ALPS, SHUTTER DISK, OEM, USED, EXCELLENT COND.
tm_semi Used - $1,750.00 0 Apr/06/19 Oct/07/21
Description: AMAT 0020-18068 ENDURA, LOWER SHIELD, OEM, USED, EXCELLENT COND.
tm_semi Used - $5,950.00 0 Apr/06/19 Oct/07/21
Description: NOVELLUS 15-268083-00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND.
jiali20160 Used - $833.81 0 Apr/18/19 Jun/04/20
Description: SBS PCM-VIDEO APPLIED MATERIALS 0660-00097 Used 100% test by DHL /FedEx
usedeqsales Used - $4,002.19 1 Apr/11/19 Feb/04/21
Description: AMAT Applied Materials 0040-81156 Chamber Upper Liner 300mm DPS2 Cleaned Used
tm_semi Used - $375.00 0 Apr/17/19 Oct/07/21
Description: NOVELLUS 15-264302-00 INOVA, PRECLEAN DFE, CERAMIC RING, OEM, USED, EXCEL COND.
tm_semi Used - $375.00 0 Apr/17/19 Oct/07/21
Description: NOVELLUS 17-260230-00 INOVA, PRECLEAN DFE, SHIELD TRANSFER PLANE, OEM, USED.
tm_semi Used - $1,500.00 0 Apr/17/19 Oct/07/21
Description: NOVELLUS 15-267250-00 INOVA, PRE CLEAN DFE, SHIELD BARREL, OEM, USED, EXCL COND.
yhcet1 Used - $407.00 0 Apr/22/19 Apr/26/19
Description: AMAT APPLIED 0100-09002 PCB ASSY, VME SBC USED
usedeqsales Used - $604.19 1 Apr/23/19 May/15/19
Description: AMAT Applied Materials 0100-00245 Controller Interconnect CH Tray MCE PCB Used
spsglobal Used - $10,000.00 0 Apr/25/19 May/16/19
Description: 336-0201// AMAT APPLIED 0190-70100 7520426011 PWRSP, 400KHZ RF GEN. 1-PHASE USED
spsglobal Used - $160.00 0 Apr/24/19 Apr/05/20
Description: 306-0103// AMAT APPLIED 0020-75980 COLLAR, 1.18' SHAFT, HTESC HTR ISOLATOR USED
spsglobal Used - $2,700.00 0 Apr/24/19 Jul/01/19
Description: 310-0401// AMAT APPLIED 1290-01715 (#1) 8113040G003 TERM CNTRLR NTWK USED
spsglobal Used - $60.00 0 Apr/24/19 May/16/19
Description: 311-0401// AMAT APPLIED 1400-01047 SNSR SMOKE DETECTOR SENSING HEAD USED
spsglobal Used - $350.00 0 Apr/24/19 May/21/19
Description: 321-0202// AMAT APPLIED 0870-01028 CSD5814N-T DRVR 5-PHASE STEPPER MOTOR USED
spsglobal Used - $10,000.00 0 Apr/25/19 Apr/27/21
Description: 336-0301// AMAT APPLIED 0190-75075 31596024-030E TESTED GENERATOR, RF 900W USED
spsglobal Used - $5,500.00 0 Apr/25/19 May/10/19
Description: 336-0301// AMAT APPLIED 0190-75075 31596024-110A TESTED GENERATOR, RF 900W USED
spsglobal Used - $2,000.00 0 Apr/25/19 May/01/19
Description: 303-0303// AMAT APPLIED 0190-70060 wMAGNET DRIVE ASSY USED
spsglobal Used - $130.00 0 Apr/25/19 Jun/29/20
Description: 320-0403// AMAT APPLIED 0100-01133 MAGNET CURRENT SENSE CARD USED
spsglobal Used - $60.00 0 Apr/25/19 May/14/19
Description: 323-0301// AMAT APPLIED 0200-35293 WINDOW, MANOMETER PORT, DSP ME USED
usedeqsales Used - $1,605.19 3 May/02/19 May/21/19
Description: Engenuity VME-LTNI-6U LonTalk VME PCB Card Lam 810-707183-001 E2 Continuum Used
spsglobal Used - $60.00 0 Apr/30/19 Oct/22/23
Description: 143-0703// AMAT APPLIED 0140-07681 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $10.00 0 Apr/30/19 Oct/22/23
Description: 143-0703// AMAT APPLIED 0140-07683 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $60.00 0 Apr/30/19 Oct/22/23
Description: 143-0703// AMAT APPLIED 0140-07684 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $60.00 0 Apr/30/19 Oct/22/23
Description: 143-0703// AMAT APPLIED 0140-08107 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $10.00 0 Apr/30/19 Oct/06/21
Description: 326-0303// AMAT APPLIED 0140-20214 HARNESS ASSY, MAG LAMP DRIVER CONTROL USED
spsglobal Used - $2,000.00 0 Apr/30/19 Sep/30/19
Description: 316-0403// AMAT APPLIED 0010-76600 BLADE ASSY 8" THIN METAL BUFFER USED
jiali20160 Used - $874.08 0 Apr/30/19 Mar/30/20
Description: APPLIED MATERIALS 0100-00472 REV002 Used 100% test by DHL /FedEx
hommertechnology Used - $900.00 0 Apr/29/19 Dec/28/22
Description: Used AMAT 0010-17814 ASSY Endpoint Detector WXZ 300mm For Sale
spsglobal Used - $480.00 0 Apr/29/19 May/10/19
Description: 321-0203// AMAT APPLIED 0870-01002 DRIVE 5-PHASE STEPPER MOTOR USED
ab-international Used - $150.00 0 Apr/27/19 Apr/30/19
Description: Used AMAT Applied Materials 0190-40086 Rev A General Microsystems 16 Mbyte V36
ab-international Used - $100.00 1 Apr/27/19 May/07/19
Description: Lot of 14 Used AMAT Applied Materials 0100-40039 High Density Plasma Chamber PCB
usedeqsales Used - $1,605.19 1 May/02/19 May/21/19
Description: Engenuity VME-LTNI-S3 LonTalk VME PCB Card Lam 810-707183-001 FPD Continuum Used
jiali20160 Used - $1,396.50 0 Apr/26/19 May/26/20
Description: Used V7668A V7668A-132L00 605-604676-006 LAM Free DHL /FedEx
hommertechnology Used - $440.00 0 Apr/26/19 Dec/25/22
Description: Used AMAT 0010-34322 ASSY 150mm Susceptor For Sale
grandbirdnet Used - $9,500.00 2 May/10/19 Aug/01/19
Description: AMAT 0190-15206 RF MATCH, 60 MHZ, 5 KV, 50 A AE NAVIGATO, USED
spsglobal Used - $20.00 0 May/09/19 Nov/13/20
Description: 326-0302// AMAT APPLIED 0140-20504 HARN ASSY LIFT UP/DOWN SENSOR USED
spsglobal Used - $60.00 0 May/09/19 Feb/17/20
Description: 344-0402// AMAT APPLIED 0225-09103 FOUNDATION INTERLOCK RF MATCH USED
spsglobal Used - $550.00 0 May/09/19 Aug/16/22
Description: 321-0303// AMAT APPLIED 0870-00083 DRVR STEP MOTOR, DEVICENET POSITION CONT USED
spsglobal Used - $60.00 0 May/09/19 Jan/21/20
Description: 308-0201// AMAT APPLIED 3060-01192 BLOCK PILLOW BRG 3/4 SFT DIA NKL PLD SC USED
spsglobal Used - $50.00 0 May/09/19 Nov/14/23
Description: 342-0203// AMAT APPLIED 0010-01100 ASSY SPRT TRAY - MLD OVERLAY USED
happy_1 Used - $1,800.00 1 May/11/19 Nov/20/20
Description: AMAT 0190-09379 (wPCB ASSY VME CPU SYNERGY UPGRADED)( USED CONDITION)
happy_1 Used - $600.00 1 May/11/19 Sep/16/21
Description: AMAT 0100-35217 (PCB ASSY,TC AMP/INTERLOCK) USED CONDITION
happy_1 Used - $100.00 1 May/11/19 Feb/22/21
Description: AMAT 0226-31128 (ASSY VERSION 4 SIGNAL LAMP PCB) USED CONDITION
happy_1 Used - $1,300.00 1 May/11/19 Oct/24/22
Description: AMAT 0100-09054 (PCB ASSY, ANALOG INPUT) (USED CONDITION)
happy_1 Used - $450.00 1 May/11/19 Aug/21/21
Description: AMAT 0100-00003 (VME STEPPER CONTROLLER I) USED CONDITION
happy_1 Used - $450.00 1 May/11/19 Jun/13/19
Description: AMAT 0100-76252 ASSEMBLY, DIFFERENTIAL TRANSMITTER (USED CONDITION)
grandbirdnet Used - $1,700.00 0 May/13/19 Jan/27/21
Description: AMAT 0190-77015 PC. 1/ROT INC ENCODER SERVMOTOR, USED
grandbirdnet Used - $4,000.00 1 May/14/19 Oct/04/19
Description: AMAT 0190-34105 ROTARY UNION 8 PORT 20401-275, USED
spsglobal Used - $480.00 0 May/15/19 Apr/14/20
Description: 321-0203// AMAT APPLIED 0870-01002 DRIVE 5-PHASE STEPPER MOTOR USED
spsglobal Used - $450.00 0 May/15/19 May/29/19
Description: 321-0302// AMAT APPLIED 0190-00405 ST34520N DRIVE, HARD DISK, 4.5 GB, 3.5 S USED
spsglobal Used - $1,500.00 0 May/15/19 Aug/15/19
Description: 322-0303// AMAT APPLIED 0010-09035 ASSY BWCVD THROTTLE VALVE 100,125 &150MM USED
spsglobal Used - $20.00 0 May/15/19 Sep/07/23
Description: 344-0403// AMAT APPLIED 3300-01088 FTG TBG CONN 1/4T 1/4TS SST PORT CONN USED
bt_store22 Used - $1,550.00 4 May/22/19 Jul/22/20
Description: AMAT 3690-03269 BRG LEADSCR&NUT ASSY 5DX 1LEADX4TRVLX USED TXZ
usedeqsales Used - $1,505.19 1 May/20/19 May/20/19
Description: AMAT Applied Materials 0020-26383 Soup Bowl Dual Robot Used Working
bt_store1 Used - $1,650.00 0 May/28/19 Jul/15/21
Description: AMAT 0021-35869 TXZ SHOWER HEAD USED
bt_store1 Used - $2,000.00 0 May/26/19 May/29/19
Description: AMAT 3690-03269 BRG LEADSCR NUT ASSY 5DX 1LEADX4TRVLX USED TXZ
expertsurplus Used - $135.00 1 May/29/19 Mar/07/22
Description: AMAT Applied Materials 0020-21073 Blank Flange Transfer with RGA Port, Used
expertsurplus Used - $135.00 0 May/29/19 May/29/19
Description: AMAT Applied Materials 0020-70299 FLANGE BLANKOFF ORIENTER PORT W/RGA POS. Used
bobsgoodies2 Used - $199.00 0 May/29/19 Mar/31/22
Description: AMAT APPLIED MATERIALS 0090-75010 MOTOR ENCODER ASSY ROBOT EXTENSION USED
plccenter Used - $2,753.00 0 Jun/03/19 Aug/12/20
Description: APPLIED MATERIAL 0100-35227 / 010035227 (USED TESTED CLEANED)
plccenter Used - $1,500.00 4 Jun/03/19 Jan/22/20
Description: APPLIED MATERIAL 0100-20100 / 010020100 (USED TESTED CLEANED)
plccenter Used - $2,195.00 0 Jun/03/19 May/09/23
Description: APPLIED MATERIAL 0190-76273 / 019076273 (USED TESTED CLEANED)
spsglobal Used - $350.00 0 Jun/05/19 Jun/12/19
Description: 311-0402// AMAT APPLIED 1040-01169 METER XDCR 3-1/2LCD 0-100PSI 0-10VDC-OUT USED
spsglobal Used - $2,400.00 0 Jun/04/19 Sep/26/19
Description: 310-0103// AMAT APPLIED 0010-09933 HV MODULE ASSY, ESC TESTED USED
spsglobal Used - $2,000.00 1 Jun/04/19 Sep/20/19
Description: 320-0202// AMAT APPLIED 0090-76058 ELECT ASSY,ENHANCED WAFER ORIENTER USED
spsglobal Used - $4,000.00 0 Jun/04/19 Mar/08/23
Description: 322-0303// AMAT APPLIED 0010-05574 ASSEMBLY, P5000 ZA MK-II SLIT VALVE 513 USED
usedeqsales Used - $661.11 1 Jun/04/19 Oct/21/20
Description: MKS Instruments 100997144 Isolation Valve Lam Research 839-013521-001 4420 Used
usedeqsales Used - $10,005.20 0 Jun/10/19 Jun/10/19
Description: AMAT Materials 0010-05940 RH-3 Magnet RP Assembly Rev. 002 Used Working
grandbirdnet Used - $200.00 0 Jun/10/19 Dec/05/19
Description: AMAT 0190-77184 BEARING, BALL, 2.5IDX3.0DX.25W, UNOCOL U, USED
dy-global Used - $350.00 1 Jun/08/19 Jun/16/19
Description: Lam RESEARCH USED Node Board, Type 3 810-800256-005 Water Detect SEM-I-261=6B37
dy-global Used - $350.00 3 Jun/08/19 Jun/16/19
Description: Lam RESEARCH USED NODE BOARD, TYPE3 810-800256-005 REV F SEM-I-259=6B37
storefarm Used - $482.00 1 Jun/14/19 Sep/14/21
Description: [Used] AMAT, APPLIED / 0100-66014 / EXHAUST SWITCHING, Rev E7
liquiditech Used - $76.50 3 Jun/13/19 Mar/14/20
Description: AMAT Applied Materials 0090-00353 Buffer Interlock PCB Card Used Working
orapma12012 Used - $1,499.00 1 Jun/17/19 Jun/20/19
Description: AMAT 0190-09379 (wPCB ASSY VME CPU SYNERGY UPGRADED)( USED CONDITION)
orapma12012 Used - $1,349.00 0 Jun/17/19 Feb/28/20
Description: AMAT 0190-09379 (wPCB ASSY VME CPU SYNERGY UPGRADED)( USED CONDITION)
spsglobal Used - $3,000.00 0 Jun/18/19 Jul/01/19
Description: 310-0301// AMAT APPLIED 1290-01715 TERM CNTRLR NTWK INTERFACE USED
autoquip7 NEW - $5,725.00 2 Jun/24/19 Dec/29/21
Description: AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED
spsglobal Used - $510.00 1 Jun/26/19 Nov/19/20
Description: 344-0502// AMAT APPLIED 0090-01270 LINEAR SENSOR, SEALABLE LOAD CUP, 100MM USED
bobsgoodies2 NEW - $650.00 6 Jun/27/19 Aug/31/20
Description: AMAT Flow Switch Turck FCS-G1/4A4-ARX/D018 0.3M Used in AMAT 0190-00544 Assy.
dy-global Used - $1,499.90 0 Jul/01/19 Sep/27/22
Description: AMAT AKT USED 0010-71963 REV:E1 CHASSI 0100-71057 REV E2 PCB-I-E-1029=7AXX
dy-global Used - $599.90 0 Jul/01/19 Sep/27/22
Description: AKT AMAT USED MainFrame MF INTERLOCK/5500 0100-71047 REV.06 PCB-I-E-1029=7AXX
dy-global Used - $1,199.90 1 Jul/01/19 Jun/23/22
Description: AMAT SPX USED VME6U1V2 30299-083 0600-01809 INTF CARD PCB-I-E-1029=7AXX
dy-global Used - $799.90 0 Jul/01/19 Sep/27/22
Description: AMAT AKT USED COMM INTFC 0100-71055 COMMUNICAION INTERFACE PCB-I-E-1029=7AXX
spsglobal Used - $80.00 0 Jun/30/19 Aug/07/22
Description: 344-0502// AMAT APPLIED 0090-36334 ASSY, UNDERTEMP SWITCH TEOS LINE USED
spsglobal Used - $560.00 0 Jun/30/19 Nov/21/21
Description: 344-0502// AMAT APPLIED 0090-20143 ASSY PHOTO I/O USED
katiil3 Used - $49.00 1 Jun/30/19 Oct/23/21
Description: Applied Materials 0190-07450 Rev 005 I/O PCB Board MKS-Tenta AS00710-02 Used
spsglobal Used - $2,500.00 2 Jul/03/19 Sep/26/19
Description: 303-0301// AMAT APPLIED 0190-70060 (#1) 1100-0051-00 wMAGNET DRIVE ASSY USED
1mxz-4612 Used - $392.34 1 Jul/03/19 Feb/18/20
Description: 1pc used SBS TECHNOLOGIES 85224036-002 AMAT 0190-23311 SBS 601496
spsglobal Used - $40.00 0 Jul/07/19 Sep/29/21
Description: 124-0404// AMAT APPLIED 0020-20500 SHIELD CRYO,ROUND USED
spsglobal Used - $40.00 0 Jul/07/19 Jun/18/23
Description: 124-0404// AMAT APPLIED 0020-20500 SHIELD CRYO,ROUND 2ND SOURCE USED
spsglobal Used - $150.00 2 Jul/07/19 Jan/15/20
Description: 125-0402// AMAT APPLIED 0035-00074 TOP SHIELD USED
spsglobal Used - $200.00 0 Jul/07/19 Aug/12/20
Description: 125-0402// AMAT APPLIED 0020-34761 SHIELD, THERMAL, POS A-D , R2 USED
spsglobal Used - $190.00 1 Jul/08/19 Sep/08/23
Description: 345-0101// AMAT APPLIED 0020-23877 SUPPORT (R) SPECIAL USED
spsglobal Used - $190.00 1 Jul/08/19 Sep/08/23
Description: 345-0101// AMAT APPLIED 0020-23876 SUPPORT (L) SPECIAL USED
spsglobal Used - $50.00 0 Jul/08/19 Apr/13/22
Description: 324-0102// AMAT APPLIED 0020-20470 (10EA) APPLIED MATRIALS COMPONENTS USED
smartelektronikgmbh Used - $80.00 0 Jul/12/19 Nov/20/19
Description: AMAT APPLIED 0140-09498 HARNESS ASSY RF COVER USED
grandbirdnet Used - $250.00 3 Jul/11/19 Dec/08/22
Description: AMAT 0021-77866 CLAMP DRIVE SPINDLE, USED
spsglobal Used - $7,000.00 0 Jul/17/19 Nov/26/19
Description: 303-0201// AMAT APPLIED 0190-76006 3152317-000A PWRSPY, DC 6KW STAND ALONE USED
usedeqsales Used - $311.18 0 Jul/19/19 Aug/08/19
Description: VAT 81105-01-117 Gate Valve Housing AMAT 0190-09436 Reseller Lot of 3 Used
smartelektronikgmbh Used - $280.00 0 Jul/22/19 Nov/18/19
Description: AMAT APPLIED 0870-01002 DRIVE 5-PHASE STEPPER MOTOR USED
smartelektronikgmbh NEW - $190.00 1 Jul/22/19 Aug/01/19
Description: AMAT APPLIED 0090-06774 PK569NAWA ORIENTAL MOTOR USED
spsglobal Used - $60.00 0 Jul/30/19 Feb/15/23
Description: 345-0102// AMAT APPLIED 0190-13410 TC, PROBE K-TYPE QUICK-DISCONN USED
spsglobal Used - $140.00 0 Jul/30/19 Mar/22/21
Description: 345-0102// AMAT APPLIED 0190-35199 ASSY,SERVICE CABLE,GATE VALVE USED
spsglobal Used - $120.00 0 Jul/30/19 Feb/19/20
Description: 345-0102// AMAT APPLIED 0190-09132 (#1) SW, 15 HG, VCR-4 OPEN DECREAS USED
spsglobal Used - $100.00 0 Jul/30/19 Feb/19/20
Description: 345-0102// AMAT APPLIED 0190-09132 SW, 15 HG, VCR-4 OPEN DECREAS USED
spsglobal Used - $120.00 0 Jul/30/19 Oct/14/21
Description: 345-0102// AMAT APPLIED 0190-09133 SW, 75 TORR, VCR-4, CLOSE DECREASING TOR USED
spsglobal Used - $100.00 2 Jul/30/19 Oct/10/19
Description: 345-0102// AMAT APPLIED 0190-09365 SWITCH, ATM VCR, CLOSE DECREAS USED
spsglobal Used - $270.00 1 Jul/30/19 Sep/13/21
Description: 345-0102// AMAT APPLIED 0190-09515 FLOW SW, SPEC. CNTRL DRW, ETCH USED
bt_store22 Used - $3,700.00 0 Jul/30/19 Aug/11/20
Description: AMAT 0920-00127 ADVANCED ENERGY 3156111-207 A APEX1513 USED
smartelektronikgmbh Used - $140.00 0 Jul/29/19 Sep/13/19
Description: AMAT APPLIED 0040-20165 BRACKET VCR CROSS USED
spsglobal Used - $250.00 0 Jul/29/19 Dec/28/21
Description: 322-0201// AMAT APPLIED 0190-35083 WATER FLOW SWITCH .50 GPM USED
spsglobal Used - $30.00 0 Jul/29/19 Feb/10/20
Description: 323-0401// AMAT APPLIED 0090-20003 SENSOR ASSY,VALVE SENSOR AND HARNESS USED
spsglobal Used - $60.00 2 Jul/28/19 Jan/27/20
Description: 341-0402// AMAT APPLIED 0020-22295 BRACKET MTG WADER ORIENTATION USED
spsglobal Used - $10,000.00 0 Jul/28/19 Jul/12/21
Description: 301-0201// AMAT APPLIED 0190-09841 HFV8000 ADVANCED GENERATOR USED
spsglobal Used - $4,000.00 2 Jul/28/19 Apr/13/21
Description: 303-0101// AMAT APPLIED 0010-70252 ASSY 6 HEATER VCR W/2TC S MSG USED
spsglobal Used - $1,700.00 1 Jul/28/19 Feb/03/20
Description: 303-0401// AMAT APPLIED 0190-09419 DRIVE SINGLE PHASE, PHASETRONICS USED
spsglobal Used - $4,000.00 0 Jul/28/19 Aug/08/19
Description: 320-0202// AMAT APPLIED 0190-76005 SPEC CNTL DWG, INTELLIGENT MOTOR CONTROL USED
spsglobal Used - $1,000.00 2 Jul/28/19 Feb/16/21
Description: 320-0302// AMAT APPLIED 0100-09196 0010-09937 PCB ASSY,E CHUCK CONTROLLER USED
spsglobal Used - $480.00 0 Jul/28/19 Jan/06/21
Description: 322-0301// AMAT APPLIED 3690-03269 BRG LEADSCR&NUT ASSY .5DX.1LEADX4TRVLX USED
spsglobal Used - $60.00 1 Aug/04/19 Mar/16/22
Description: 141-0501// AMAT APPLIED 0140-20693 HARNESS ASSY NEW MAIN CONNECT SHUTTER USED
orapma12012 Used - $7,999.00 0 Aug/04/19 Feb/28/20
Description: AMAT APPLIED 0010-21206 MAGNET ASSY DURASOURCE 13 JMW1 USED
spsglobal Used - $20.00 3 Aug/05/19 Mar/16/22
Description: 141-0502// AMAT APPLIED 0140-09174 HARNESS ASSY, ROTATION SENSOR USED
spsglobal Used - $50.00 1 Aug/08/19 Jun/22/21
Description: 341-0501// AMAT APPLIED 0020-31695 SPACER WEDGE HRTV USED
gti-semi Used - $650.00 15 Aug/14/19 Nov/27/19
Description: Applied Materials AMAT, PCB - I/O BOARD, p/n 0100-76124, USED
storemanager-2009 Used - $562.50 0 Aug/12/19 Jul/17/21
Description: NOVELLUS, 15-032014-00 USED, WINDOW, QUARTZ, ETCH RES
dy-global Used - $199.90 0 Aug/16/19 Mar/05/23
Description: LAM Used VIOP, PHASE III 810-046015-009 REV : B JABM12170505 PCB-I-E-1125=6DX3
spsglobal Used - $2,000.00 2 Aug/22/19 Jan/12/21
Description: 304-0201// AMAT APPLIED 0010-21631 CH A OR B LID with out VIEWPORT USED
galacticmarketplace Used - $129.99 0 Aug/23/19 Oct/23/19
Description: APPLIED MATERIALS AMAT CABLE SPILL SENSOR 0150-09769 REV C KTC 06-02-75 USED
galacticmarketplace Used - $129.99 1 Aug/23/19 Dec/16/19
Description: APPLIED MATERIALS AMAT THREE CLIPPARD PANEL SWITCHES 0110-09263 REV A USED
wapi80 Used - $425.00 0 Aug/22/19 Dec/22/19
Description: USED Applied Materials 1350-00681 CAPACITANCE MANOMETER
wapi80 Used - $280.00 0 Aug/22/19 Jan/22/22
Description: USED Applied Materials 0190-72680 CAPACITANCE MANOMETER
zindchau15 Used - $3,490.00 2 Aug/21/19 Oct/23/21
Description: APPLIED MATERIALS 0041-13866 ALUMINIUM SICONI SHOWERHEAD USED CLEANED
zindchau15 Used - $1,490.00 0 Aug/21/19 Oct/23/21
Description: APPLIED MATERIALS 0021-34728 BLOCKER, PLATE, SICONI, 300MM AMAT USED CLEANED
spsglobal Used - $90.00 0 Aug/25/19 Jun/22/22
Description: 141-0502// AMAT APPLIED 0140-20910 (#1) HARNESS ASSY SOLENOID RGA VALV USED
spsglobal Used - $40.00 0 Aug/25/19 May/10/21
Description: 141-0502// AMAT APPLIED 0140-21034 HARNESS ASSY, HEATER DRIVER, CVD-AL USED
spsglobal Used - $60.00 0 Aug/25/19 May/21/20
Description: 141-0502// AMAT APPLIED 0150-09182 CABLE ASSY,MINI CONTROLLER R52 USED
spsglobal Used - $20.00 0 Aug/26/19 Feb/24/22
Description: 141-0502// AMAT APPLIED 0150-20219 CBLE,EBARA PUMP DIST.BOX USED
spsglobal Used - $130.00 1 Aug/26/19 Jul/16/21
Description: 141-0502// AMAT APPLIED 0150-20225 CABLE ASSY CRYO TEMP INDICATOR [USED]
spsglobal Used - $40.00 0 Aug/26/19 May/03/20
Description: 141-0502// AMAT APPLIED 0150-75616 C/A HTESC GROUNDING SLEEVE USED
spsglobal Used - $40.00 1 Aug/26/19 Feb/14/22
Description: 141-0601// AMAT APPLIED 0150-10454 CABLE,LID/COVER INTERLOCK SW,D USED
csi.usa Refurbished - $800.00 0 Aug/26/19 Aug/27/19
Description: AMAT 0190-15905 CABLE ASSY SQS(M) R/A TO SQS(M) R/A CXN3, USED
dy-global Used - $349.90 1 Aug/28/19 Sep/08/19
Description: LAM RESEARCH NODE BOARD TYPE3 Used 810-800256-005 714-032529-001 SEM-I-271=6B47
dy-global Used - $249.90 0 Aug/28/19 Nov/21/19
Description: LAM RESEARCH node board Used 810-802901-307 JABM13170460 REV.C SEM-I-270=6B47
roundtable1 NEW - $39.00 0 Aug/30/19 Sep/01/19
Description: 141-0502// AMAT APPLIED 0140-20283 HARNESS ASSY., EBARA MONOLITH USED
katiil3 Used - $119.00 1 Sep/02/19 Jun/02/21
Description: Applied materials 0190-17964 ETCH DPS INTLK module DIP-210-016 Used
katiil3 Used - $135.00 0 Sep/02/19 Jan/14/20
Description: AMAT Applied Materials P5000 Thermal Electric Driver BD Assembly 0090-09116 Used
katiil3 Used - $89.00 0 Sep/02/19 Oct/23/21
Description: Applied materials 0190-14285 EPI 300MM Interlock Module DIP-428-015 Used
starbit10 Used - $650.00 0 Sep/03/19 Feb/05/20
Description: AMAT 0100-09006 REV H W/ 0100-09024 REV F USED
starbit10 Used - $330.00 0 Sep/03/19 Feb/05/20
Description: AMAT 0100-11002 REV F PCB ASSEMBLY DIGITAL I/O USED
spsglobal Used - $40.00 0 Sep/04/19 Oct/12/23
Description: 141-0603// AMAT APPLIED 0150-09241 CABLE ASSY CHAMBER PURGE AFC USED
spsglobal Used - $120.00 0 Sep/04/19 Oct/12/23
Description: 141-0603// AMAT APPLIED 0150-09681 CABLE ASSEMBLY INTEGRTD END POINT POWER USED
spsglobal Used - $30.00 0 Sep/04/19 Oct/12/23
Description: 141-0603// AMAT APPLIED 0150-09791 CABLE ASSY,MAG GEN FILAMENT OVERRIDE USED
spsglobal Used - $20.00 0 Sep/04/19 Oct/12/23
Description: 141-0603// AMAT APPLIED 0150-70023 CABLE FEEDER WIRES TB1 USED
spsglobal Used - $90.00 0 Sep/04/19 Jan/19/23
Description: 141-0603// AMAT APPLIED 0140-09518 HARNESS ASSY,THROTTLE VALVE TE USED
spsglobal Used - $50.00 0 Sep/04/19 Oct/12/23
Description: 141-0602// AMAT APPLIED 0150-35838 CABLE,OVERTEMP SWITCH,DXZ USED
spsglobal Used - $600.00 0 Sep/04/19 Oct/12/23
Description: 141-0602// AMAT APPLIED 0150-76818 CABLE, HARNESS MFC CHAMBER B USED
spsglobal Used - $600.00 0 Sep/04/19 Oct/12/23
Description: 141-0602// AMAT APPLIED 0150-76820 CABLE, HARNESS MFC CHAMBER D USED
spsglobal Used - $10.00 0 Sep/03/19 May/10/23
Description: 141-0602// AMAT APPLIED 0150-09367 ASSY CABLE FEEDER WIRE K3-6 TO CB9-3 USED
spsglobal Used - $50.00 0 Sep/03/19 Oct/28/19
Description: 141-0602// AMAT APPLIED 0150-09879 CABLE ESC CONTROLLER CHAMBER C USED
spsglobal Used - $80.00 0 Sep/03/19 Oct/12/23
Description: 141-0601// AMAT APPLIED 0150-75055 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $30.00 0 Sep/03/19 Oct/12/23
Description: 141-0602// AMAT APPLIED 0140-09064 HARNESS HELIUM PRESSURE SENSIT USED
spsglobal Used - $5,000.00 0 Sep/04/19 Nov/27/19
Description: 327-0201// AMAT APPLIED 0010-20524 MODIFIED 8" RF MATCH USED
capitolareatech Used - $2.95 0 Sep/05/19 Dec/05/19
Description: Applied Materials (AMAT) 3300-01268 Used BUSHING 3/4MPX1/2FP, HARDWARE
capitolareatech Used - $179.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0100-09123 Used PCB, WAFER SENSOR  
capitolareatech Used - $1,995.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0010-30091 Used
capitolareatech Used - $39.95 0 Sep/05/19 Aug/13/20
Description: Applied Materials (AMAT) 0720-01153 Used RECEPTACLE, FEMALE 120/208V 
shawhe8015 NEW - $1,199.00 0 Sep/10/19 Oct/10/19
Description: AMAT Applied Materials 0200-00674 8” Dep Ring AMAT Endura 200mm NEW - NOT USED
usedeqsales Used - $648.09 1 Aug/13/09 Apr/03/20
Description: Nikon 4S007-902-1 Interface Board PCB X2A-STGA/D NSR-S204B Used Working
spsglobal Used - $140.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-09057 CABLE ASSY RF GENERATOR USED
spsglobal Used - $40.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-00258 CABLE ASSY MONOCHROMATOR INTER USED
spsglobal Used - $80.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-00174 SCANNING END POINT CABLE USED
spsglobal Used - $20.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-10675 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $40.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-09210 (#2) CHAMBER B MFC CABLE ASSY USED
spsglobal Used - $40.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-09210 (#1) CHAMBER B MFC CABLE ASSY USED
spsglobal Used - $80.00 0 Sep/15/19 Oct/12/23
Description: 141-0701// AMAT APPLIED 0150-09063 ASSYCABLE, CHAMBER ATMOSPHERE SW CVD USED
spsglobal Used - $40.00 0 Sep/16/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0150-09050 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $20.00 0 Sep/16/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0150-09037 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $30.00 0 Sep/16/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0150-09035 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $120.00 0 Sep/16/19 May/02/22
Description: 141-0702// AMAT APPLIED 0150-05963 CABLE ASSEMBLY DC POWER DNET 300MM RTP/S USED
spsglobal Used - $60.00 0 Sep/16/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0150-00273 CABLE ASSY.REMOTE RECIPE COLLE USED
spsglobal Used - $50.00 0 Sep/16/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0140-10285 HRNS,HV INTLK FILTER BOX,DPS USED
spsglobal Used - $20.00 2 Sep/15/19 Mar/16/22
Description: 141-0702// AMAT APPLIED 0140-09173 HARNS ASSY,EXT SENSOR CB USED
spsglobal Used - $60.00 0 Sep/15/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0140-09159 HARNESS ASSY ROOTS BLOW JUMPER USED
spsglobal Used - $20.00 0 Sep/16/19 May/02/23
Description: 141-0703// AMAT APPLIED 0140-09056 (#1) HARNESS AIRFLOW INTERLK USED
spsglobal Used - $40.00 0 Sep/16/19 Oct/12/23
Description: 141-0703// AMAT APPLIED 0140-09038 HARNESS,CHAMBER C&D SLIT/OPEN/ USED
spsglobal Used - $70.00 0 Sep/16/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0225-09247 CES,RF INTERLOCK SWITCH HARNES USED
spsglobal Used - $130.00 0 Sep/16/19 May/25/22
Description: 141-0702// AMAT APPLIED 0150-39041 CABLE ASSY,AE RF GENERATOR ADA USED
spsglobal Used - $80.00 0 Sep/16/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0150-21366 CABLE, ASSY DC POWER/INTERLOCKS RGB USED
spsglobal Used - $50.00 0 Sep/16/19 Oct/12/23
Description: 141-0702// AMAT APPLIED 0150-10408 CABLE, ASSY, EMO INTERCONNECT, USED
spsglobal Used - $20.00 0 Sep/16/19 Oct/12/23
Description: 141-0703// AMAT APPLIED 0150-09273 CABLE LEVEL SENSOR USED
spsglobal Used - $40.00 0 Sep/16/19 Oct/12/23
Description: 141-0703// AMAT APPLIED 0150-09272 CABLE,THERMO-COUPLE USED
usedeqsales Used - $1,004.19 1 Sep/17/19 Sep/17/19
Description: AMAT Applied Materials 0040-04658 Rev.004 Fixed Lower Wing Assembly Used
usedeqsales Used - $1,004.19 1 Sep/17/19 Sep/17/19
Description: AMAT Applied Materials 0021-08364 Rev. 002 Fixed Lower Wing Waist Assembly Used
usedeqsales Used - $7,507.19 1 Sep/17/19 Sep/17/19
Description: AMAT Applied Materials 0010-76891 UHV 300mm Robot Endura Cover Missing Used
spsglobal Used - $20.00 0 Sep/17/19 May/02/23
Description: 141-0703// AMAT APPLIED 0150-09667 CABLE ASSY,MICROWAVE INTLK,UPP USED
spsglobal Used - $190.00 1 Sep/18/19 Jul/14/20
Description: 142-0501// AMAT APPLIED 0150-36131 ASSY CABLE,NESLAB CONTROL,75 FT, EMC COM USED
spsglobal Used - $50.00 0 Sep/18/19 Mar/01/22
Description: 142-0501// AMAT APPLIED 0150-09707 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $40.00 1 Sep/18/19 Oct/30/21
Description: 142-0501// AMAT APPLIED 0140-20021 HARNESS ASSY, ANALOG/ STEPPER DISTRIBUTI USED
spsglobal Used - $40.00 1 Sep/18/19 Jan/13/22
Description: 142-0502// AMAT APPLIED 0140-09708 HARNESS 1/2 ATM/BARA WXZ USED
spsglobal Used - $40.00 0 Sep/18/19 Mar/10/21
Description: 142-0501// AMAT APPLIED 0150-39000 CABLE, COVER INTERLOCK - SLIT LINER DOOR USED
grandbirdnet Used - $200.00 0 Sep/18/19 Sep/08/22
Description: AMAT 0040-77438 REV P2, USED
grandbirdnet Used - $200.00 0 Sep/18/19 Sep/08/22
Description: AMAT 0020-78188 REV C, USED
grandbirdnet Used - $200.00 0 Sep/18/19 Jan/16/24
Description: AMAT 0020-83936 REV 001, USED
grandbirdnet Used - $230.00 1 Sep/19/19 Oct/20/22
Description: AMAT 0020-79207 ADAPTER, USED
grandbirdnet Used - $200.00 0 Sep/19/19 Sep/08/22
Description: AMAT 0040-77440 REV P1, USED
grandbirdnet Used - $20.00 0 Sep/20/19 Sep/08/22
Description: AMAT 0090-77224 RECIRCULATION FLOW SWITCH, USED
pdcsystems Used - $300.00 1 Sep/21/19 Sep/23/19
Description: APPLIED MATERIALS AMAT 1110-01045 NETWORK PORT SERVER 16-CHAN , USED
itchelll57-5 NEW - $450.00 0 Sep/21/19 Sep/28/19
Description: DIP DIP-242-492 DeviceNet Analog I/O VME PCB Card CDN396 AMAT 0660-00078 Used
grandbirdnet Used - $900.00 0 Sep/22/19 Sep/08/22
Description: AMAT 0021-77092 MOUNT-DRIVE MOTOR, USED
techequipsales Used - $350.00 1 Sep/24/19 Sep/08/22
Description: Leybold 262-78189-51V1 Turbo Pump Cable AMAT 0620-02820 *Used Working*
techequipsales Used - $665.00 0 Sep/24/19 Sep/08/22
Description: Leybold 85964-001-20M Turbo Pump Cable AMAT 0620-02310 *Used Working*
pdcsystems Used - $575.00 4 Sep/24/19 Sep/25/19
Description: Applied Material (AMAT) 0100-01321 ASSY PCB DIGITAL I/O DIO BD AMAT (Used)
usedeqsales Used - $1,004.19 0 Sep/24/19 Oct/02/19
Description: AMAT Applied Materials 0040-04658 Rev.004 Fixed Lower Wing Assembly Used
spsglobal Used - $50.00 0 Sep/25/19 Sep/08/22
Description: 142-0502// AMAT APPLIED 0150-20716 CABLE ASSY FINAL VLV/INTLK DI USED
spsglobal Used - $40.00 0 Sep/25/19 Sep/08/22
Description: 142-0502// AMAT APPLIED 0150-09019 ASSY, FLT CABLE, CHMBR INT D 4 USED
spsglobal Used - $60.00 0 Sep/25/19 Sep/08/22
Description: 142-0502// AMAT APPLIED 0150-00257 CABLE ASSY.,PC-MONOCHROMATOR INTERFACE USED
grandbirdnet Used - $10,000.00 0 Sep/25/19 Mar/09/22
Description: AMAT 0040-87219 LINER CHAMBER CT+ W/LEAK CHECK PORT 300M, USED
grandbirdnet Used - $8,500.00 1 Sep/25/19 May/27/21
Description: AMAT 0040-79912 LINER CHAMBER W/ LEAK CHECK PORT, 300MM, USED
grandbirdnet Used - $7,800.00 1 Sep/25/19 Jun/09/21
Description: AMAT 0040-79913 LINER, CATHODE, DIRECT COOLED, 300MM EMA, USED
smartelektronikgmbh Used - $450.00 0 Sep/25/19 Nov/10/20
Description: AMAT APPLIED 0100-09006 PCB ASSY, INTELLIGENT INTERFAC USED
spsglobal Used - $2,500.00 0 Sep/26/19 Sep/30/19
Description: 303-0301// AMAT APPLIED 0190-70060 (#1) 1100-0051-00 wMAGNET DRIVE ASSY USED
spsglobal Used - $2,500.00 0 Sep/26/19 Sep/30/19
Description: 303-0301// AMAT APPLIED 0190-70060 (#2) 1100-0075-00 wMAGNET DRIVE ASSY USED
itchelll57-5 NEW - $150.00 0 Sep/28/19 Oct/05/19
Description: DIP DIP-242-492 DeviceNet Analog I/O VME PCB Card CDN396 AMAT 0660-00078 Used
bobsgoodies2 Used - $425.00 0 Sep/30/19 Mar/31/22
Description: AMAT 0140-78307 HARNESS SLURRY FLOW METERS, COPPER, USED
lurchangel Used - $20.00 0 Oct/01/19 Oct/19/20
Description: 715-140126-001 REV A Lam Research Facing Upper Baffle Very Good Used Condition
lurchangel Used - $29.00 0 Oct/01/19 Oct/19/20
Description: Lam Research 715-028615-002 8" Upper Baffle Plate Used Very Good
grandbirdnet Used - $12,500.00 0 Oct/02/19 Sep/02/21
Description: AMAT 0010-27688 ASSY, UPA DC DISTRIBUTION BOX, CONTOUR 3, USED
katiil3 Used - $399.00 0 Oct/02/19 Oct/23/21
Description: Applied materials/ AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM Used good
dnd_surplus NEW - $150.00 8 Oct/03/19 Nov/18/20
Description: Nor-Cal 3870-02286 Angle Valve Assembly AMAT Applied Materials 0040-36023 Used
grandbirdnet Used - $6,000.00 0 Oct/03/19 Dec/15/20
Description: AMAT 0010-23361 POLISHER I/O, USED
itchelll57-5 NEW - $150.00 0 Oct/05/19 Oct/12/19
Description: DIP DIP-242-492 DeviceNet Analog I/O VME PCB Card CDN396 AMAT 0660-00078 Used
dy-global Used - $349.90 2 Oct/07/19 Oct/09/19
Description: LAM RESEARCH Used Node Board Type 3 810-800256-005 714 032717 SEM-I-286=6B47
dy-global Used - $999.90 0 Oct/07/19 Sep/08/22
Description: ADVANTECH Used CTO ID#APP8-0195-10912-001v02 AMAT 0195-10912 ELEC-I-761=7C14
usedeqsales Used - $4,506.19 1 Oct/07/19 Oct/08/19
Description: AMAT Applied Materials 0010-23802 Rev. 003 DC Power Supply 101143-04 Used Workin
usedeqsales Used - $4,506.19 1 Oct/07/19 Oct/08/19
Description: AMAT Applied Materials 0190-35076 DC Power Supply VAD610014 Used Working
usedeqsales Used - $2,504.19 0 Oct/07/19 Mar/16/23
Description: Novellus Systems 02-259457-00 Vector Spindle Camco 50RGS4H14-270 Used Working
grandbirdnet Used - $700.00 1 Oct/07/19 Oct/07/19
Description: AMAT 0021-79724 GUARD, SPLASH, REFLECTION, USED
usedeqsales Used - $3,504.19 0 Oct/08/19 Nov/07/19
Description: AMAT Applied Materials 0010-21748 300mm Preclean/RPC PVD RF Match Used
usedeqsales Used - $3,504.19 6 Oct/08/19 Feb/01/20
Description: AMAT Applied Materials 0010-02977 Sub Zero Besc PVD RF Match Used
usedeqsales Used - $3,003.19 1 Oct/08/19 Mar/11/20
Description: Novellus 61-294221-00 Rev. A Spindle Yaskawa YSC-02D04B021 Used
usedeqsales Used - $604.19 0 Oct/09/19 Sep/17/20
Description: AMAT Applied Materials 0100-00156 Rev. B Isolation Amplifier Used Working
grandbirdnet Used - $700.00 0 Oct/09/19 Sep/08/22
Description: AMAT 0021-79724 GUARD, SPLASH, REFLECTION, USED
grandbirdnet Used - $3,800.00 7 Oct/11/19 Sep/08/22
Description: AMAT 0760-01037 CPLG UNION ROTARY 4-PORT, USED
itchelll57-5 NEW - $150.00 0 Oct/12/19 Oct/19/19
Description: DIP DIP-242-492 DeviceNet Analog I/O VME PCB Card CDN396 AMAT 0660-00078 Used
spsglobal Used - $40.00 0 Oct/13/19 Oct/27/22
Description: 142-0503// AMAT APPLIED 0150-00250 CABLE ASSY, ETCH EXPANDED VME, USED
spsglobal Used - $40.00 0 Oct/13/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-09516 HARNESS, CHAMBER AT-TEMP USED
spsglobal Used - $20.00 0 Oct/13/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-09478 HARN GATE VALVE HEATER SW USED
spsglobal Used - $20.00 0 Oct/13/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-09079 HARNESS HEATING POWER USED
spsglobal Used - $20.00 0 Oct/13/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-00591 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $10.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-76195 H/A, UPS XFMR BRKT, CES MCVD C USED
spsglobal Used - $20.00 0 Oct/14/19 Apr/23/20
Description: 142-0601// AMAT APPLIED 0140-76169 H/A 2P 30A OUTLET USED
spsglobal Used - $20.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-76062 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $20.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-21314 HARN ASSY, LINE OVERTEMP, CVD ON ENDURA USED
spsglobal Used - $20.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-21037 HARNESS ASSY, HEATER DRIVER, C USED
spsglobal Used - $250.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-20709 HARNESS ASSY EXTERNAL C & D PCII INTERC USED
spsglobal Used - $20.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0140-20130 HARNESS ASSY, WAFER ORIENTER S USED
spsglobal Used - $10.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0150-20764 C/A CONTROLLER SMOKE DETECTOR USED
spsglobal Used - $60.00 0 Oct/14/19 Jun/23/22
Description: 142-0601// AMAT APPLIED 0150-10249 CABLE ASSY LFC TO L11FB CH. C LIQUID INJ USED
spsglobal Used - $80.00 1 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0150-10248 CABLE ASSY LFC TO L11FB CH A LIQUID INJ USED
spsglobal Used - $40.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0150-09143 CABLE ASSY DC POWER JUMPER TO USED
spsglobal Used - $20.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0150-09048 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $40.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0150-08143 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $20.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0150-01218 CABLE, COVER SWITCH RF GENERATOR USED
spsglobal Used - $50.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0150-76042 CABLE ASSY, MIZAR/SECS INTERCONN, USED
spsglobal Used - $60.00 0 Oct/14/19 Oct/27/22
Description: 142-0601// AMAT APPLIED 0150-21669 CABLE, ADAPTER 25PD, PLUG/15PD USED
spsglobal Used - $20.00 0 Oct/14/19 Mar/24/22
Description: 142-0602// AMAT APPLIED 0150-00028 STEPPER OUTPUT II CABLE 40 PINS USED
grandbirdnet Used - $1,500.00 0 Oct/14/19 Sep/02/20
Description: AMAT 0190-77170 MOTOR SGM SERVO 200W 10:1, USED
usedeqsales Used - $1,310.18 0 Oct/15/19 Oct/22/19
Description: HVA High Vacuum Apparatus 11211-1003R Gate Valve AMAT 0020-48595 Used Working
itchelll57-5 NEW - $150.00 0 Oct/19/19 Oct/26/19
Description: DIP DIP-242-492 DeviceNet Analog I/O VME PCB Card CDN396 AMAT 0660-00078 Used
spsglobal Used - $20.00 0 Oct/20/19 Sep/08/22
Description: 142-0602// AMAT APPLIED 0150-09017 ASSY FLT CABLE CHMBR INT B 40 USED
spsglobal Used - $40.00 0 Oct/20/19 Oct/27/22
Description: 142-0602// AMAT APPLIED 0150-09004 ASSEMBLY, FLAT CABLE RS232.25P USED
spsglobal Used - $10.00 0 Oct/20/19 Oct/27/22
Description: 142-0602// AMAT APPLIED 0150-09003 ASSY FLAT CABLE SCCI 50P USED
spsglobal Used - $20.00 0 Oct/20/19 Oct/27/22
Description: 142-0602// AMAT APPLIED 0150-09419 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $20.00 0 Oct/20/19 Sep/08/22
Description: 142-0602// AMAT APPLIED 0150-09011 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $100.00 0 Oct/20/19 Nov/28/19
Description: 142-0603// AMAT APPLIED 0140-09505 GATE VALVE CHAMBER HARNESS ASSY USED
spsglobal Used - $80.00 0 Oct/20/19 Oct/27/22
Description: 142-0602// AMAT APPLIED 0150-75175 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $10.00 2 Oct/21/19 Mar/04/22
Description: 142-0603// AMAT APPLIED 0150-10093 CABLE ASSY, CHMBR. PNEU, DI/O USED
spsglobal Used - $10.00 0 Oct/21/19 Oct/27/22
Description: 142-0603// AMAT APPLIED 0150-09421 CABLE ASSY,INTERLOCK, FLOW DETECTOR USED
spsglobal Used - $70.00 0 Oct/21/19 Sep/08/22
Description: 142-0603// AMAT APPLIED 0150-76522 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $40.00 0 Oct/21/19 Oct/27/22
Description: 142-0603// AMAT APPLIED 0150-70032 CABLE ASSY,BRAKE EXT,29 POSN STOR ELEV USED
spsglobal Used - $60.00 0 Oct/21/19 Oct/27/22
Description: 142-0701// AMAT APPLIED 0140-70094 HARNESS ASSY LLO/PUMP CONTROL USED
spsglobal Used - $90.00 3 Oct/21/19 Sep/08/22
Description: 142-0701// AMAT APPLIED 0140-09244 HARNESS AMPULE TEMP USED
spsglobal Used - $30.00 0 Oct/21/19 Oct/27/22
Description: 142-0701// AMAT APPLIED 0140-09121 HARNESS GAS PANEL COVER SWITCH USED
spsglobal Used - $20.00 0 Oct/21/19 Sep/08/22
Description: 142-0701// AMAT APPLIED 0140-09078 HARNESS HEATING MANIFOLD USED
spsglobal Used - $20.00 0 Oct/21/19 Oct/27/22
Description: 142-0701// AMAT APPLIED 0140-09077 HARNESS HEATING MANIFOLD USED
sx-space Used - $5,366.98 0 Oct/21/19 May/31/21
Description: Lam RESEARCH 810-099175-011 Used & Tested with warranty Free DHL or EMS
spsglobal Used - $80.00 0 Oct/23/19 Sep/08/22
Description: 142-0701// AMAT APPLIED 0150-09807 CABLE ASSY, MODIFIED WAFER POSITION SENS USED
spsglobal Used - $20.00 0 Oct/23/19 Sep/08/22
Description: 142-0702// AMAT APPLIED 0150-09049 ASSY CABLE REMOTE DIGIT USED
spsglobal Used - $20.00 0 Oct/23/19 Sep/08/22
Description: 142-0702// AMAT APPLIED 0150-09010 ASSY, FLAT CABLE 34 POS USED
spsglobal Used - $20.00 0 Oct/23/19 Sep/08/22
Description: 142-0702// AMAT APPLIED 0150-00267 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $100.00 0 Oct/23/19 Jan/10/22
Description: 142-0702// AMAT APPLIED 0140-00160 HARNESS ASSY. E/P MODULE MONOCHROMATORS USED
spsglobal Used - $30.00 0 Oct/24/19 Oct/27/22
Description: 142-0702// AMAT APPLIED 0150-36349 CABLE ASSY, AUTOBIAS POWER USED
spsglobal Used - $20.00 0 Oct/24/19 Sep/06/20
Description: 142-0702// AMAT APPLIED 0150-20583 CABLE ASSY, MTR LIFT CH 1 & 2 USED
spsglobal Used - $50.00 0 Oct/24/19 Jul/05/21
Description: 142-0702// AMAT APPLIED 0150-09242 CABLE ASSY DUAL MANOMETER RIBBONS-POS. A USED
spsglobal Used - $10.00 0 Oct/24/19 Oct/27/22
Description: 142-0703// AMAT APPLIED 0150-76163 CABLE ASSY, SOURCE WELDMENT GR USED
spsglobal Used - $50.00 0 Oct/24/19 Apr/09/23
Description: 142-0703// AMAT APPLIED 0150-39388 CABLE,CELL,END PT DET USED
spsglobal Used - $550.00 0 Oct/24/19 Jan/04/23
Description: 143-0501// AMAT APPLIED 0150-09841 CABLE ASSY POWER CABLE MAGNET USED
spsglobal Used - $130.00 0 Oct/24/19 Jun/07/23
Description: 143-0501// AMAT APPLIED 0150-02157 CABLE,ASSY,RF/RPS2/FINAL VLV STATUS,YEL USED
spsglobal Used - $430.00 5 Oct/24/19 Feb/28/24
Description: 143-0501 AMAT APPLIED 0140-09082 HARNESS ASSY MAG POWER [USED]
jsban11 Used - $10,000.00 0 Oct/25/19 Sep/25/20
Description: 0010-01456 MCA CHUCK 6" (USED CONDITION)
storefarm Used - $214.00 2 Mar/21/18 Jul/28/21
Description: [Used] CUTLER-HAMMER / BAB2015HT / 2-POLE CIRCUIT BREAKER, 1pcs
itchelll57-5 NEW - $150.00 0 Oct/26/19 Nov/02/19
Description: DIP DIP-242-492 DeviceNet Analog I/O VME PCB Card CDN396 AMAT 0660-00078 Used
grandbirdnet Used - $1,000.00 2 Oct/29/19 Apr/23/23
Description: AMAT 0190-07970 INTERLOCK MODULE PRODUCER SE CDN 500-19, USED
grandbirdnet Used - $1,000.00 2 Oct/29/19 Apr/17/23
Description: AMAT 0100-01363 PCB ASSEMBLY, SIGNAL CONDITIONING, PROD, USED
grandbirdnet Used - $2,000.00 1 Oct/29/19 Nov/01/23
Description: AMAT 0190-22207 COMPACTPCI LOW PWR DUAL-SLOT CPU MOD, USED
spsglobal Used - $80.00 1 Oct/30/19 Mar/17/20
Description: 143-0502// AMAT APPLIED 0140-36042 HARNESS ASSY, INTERCONNECT, DC POWER USED
spsglobal Used - $20.00 0 Oct/30/19 Apr/12/22
Description: 143-0502// AMAT APPLIED 0140-20220 HARNESS ASSY.DEGAS TRANSFORMER USED
spsglobal Used - $40.00 0 Oct/31/19 Feb/24/22
Description: 143-0502// AMAT APPLIED 0150-09884 CABLE, ASSY LID INTERLOCK WXZ USED
spsglobal Used - $170.00 0 Oct/31/19 Apr/09/20
Description: 143-0503// AMAT APPLIED 0140-09145 HARN, CASSETTE HANDLER INTER.W/BRAKE USED
spsglobal Used - $40.00 9 Oct/31/19 Sep/16/21
Description: 143-0503// AMAT APPLIED 0150-10232 MFC CBL 9-PIN USED
itchelll57-5 NEW - $50.00 0 Nov/02/19 Nov/08/19
Description: DIP DIP-242-492 DeviceNet Analog I/O VME PCB Card CDN396 AMAT 0660-00078 Used
jabedow Used - $4,500.00 1 Nov/06/19 Nov/06/19
Description: AMAT Applied Materials 0190-35076 DC Power Supply VAD610014 Used Working
shanghai*book Used - $5,336.80 0 Nov/06/19 Dec/06/19
Description: 1pcs used working Lam III 810-099175-011 Via DHL or EMS
shanghai*book Used - $4,366.82 0 Nov/06/19 Dec/06/19
Description: 1PCS used working applied materials 0010-27786 REV 004 Via DHL or EMS
spsglobal Used - $80.00 0 Nov/06/19 Jan/09/20
Description: 143-0503// AMAT APPLIED 0150-20119 CABLE ASSY,BUFFER ROBOT TEST * USED
spsglobal Used - $1,850.00 0 Nov/11/19 Jun/28/20
Description: 320-0203// AMAT APPLIED 0100-01996 ASSY, PCB SEI USED
spsglobal Used - $2,000.00 0 Nov/11/19 Feb/10/20
Description: 320-0203// AMAT APPLIED 0100-00975 ASSY PCB STEPPER CONTROLLER2 USED
spsglobal Used - $2,500.00 2 Nov/11/19 Jan/20/20
Description: 303-0301// AMAT APPLIED 0190-70060 wMAGNET DRIVE ASSY 1100-0051-00 USED
bobsgoodies2 Used - $355.00 5 Nov/11/19 Nov/19/19
Description: Vexta PK569-AUA 5 PH Stepper Stepping MOTOR 5 Ph used in AMAT 0190-35788 assy.
gosemicat Used - $599.00 3 Nov/12/19 Jan/15/20
Description: AMAT 0040-23840 ADAPTER, ALPS+ SOURCE USED
grandbirdnet Used - $1,100.00 0 Nov/13/19 Nov/13/19
Description: AMAT 0021-77498 CLEAN CUP BOWL, USED
katiil3 Used - $1,419.00 0 Nov/19/19 Oct/23/21
Description: AE Advanced Energy/ AMAT 0190-24495 DC Generator 3152412-223 Pinnacle 20kW Used
bobsgoodies2 NEW - $350.00 0 Nov/22/19 Jan/09/20
Description: Vexta PK569-AUA 5-Phase stepping motor as used in AMAT 0190-35788 assembly
spsglobal Used - $150.00 2 Nov/24/19 Feb/17/22
Description: 344-0201// AMAT APPLIED 0200-10027 RING,INNER,1.50,DBL ANNULUS,S USED
spsglobal Used - $1,100.00 0 Nov/25/19 Apr/12/21
Description: 116-0102// AMAT APPLIED 0040-09212 ASSY WAFER LIFT USED
expertsurplus Used - $75.00 1 Nov/25/19 Mar/18/21
Description: Lam Research Master Module 853-005683-001, Used
grandbirdnet Used - $800.00 0 Nov/25/19 Jun/14/23
Description: AMAT 0020-78707 BLOCK,MOUNTING, USED
spsglobal Used - $1,600.00 0 Nov/27/19 Dec/07/22
Description: 116-0201// AMAT APPLIED 0020-09672 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $100.00 0 Nov/27/19 Jun/03/21
Description: 116-0104// AMAT APPLIED 0200-35323 RING, CAPTURE, CERAMIC,195MM S USED
spsglobal Used - $450.00 0 Nov/27/19 Jun/30/22
Description: 116-0104// AMAT APPLIED 0200-09021 SHIELD 150MM [USED]
spsglobal Used - $1,100.00 0 Nov/27/19 Jul/13/20
Description: 116-0103// AMAT APPLIED 0200-20331 COVER RING 8 B101 CERAMIC, 10 USED
smartelektronikgmbh Used - $280.00 1 Nov/28/19 Dec/10/19
Description: AMAT APPLIED 0870-01002 DRIVE 5-PHASE STEPPER MOTOR USED
spsglobal Used - $260.00 1 Nov/28/19 Mar/23/21
Description: 125-0202// AMAT APPLIED 0020-31493 GAS DISTRIBUTION PLATE, 133 HOLES USED
spsglobal Used - $80.00 2 Nov/28/19 Mar/27/20
Description: 125-0103// AMAT APPLIED 0020-21468 CLAMP SHIELD 5 & 6" USED
spsglobal Used - $190.00 1 Nov/28/19 May/26/20
Description: 124-0502// AMAT APPLIED 0020-31627 FLANGE,BASE, ESC USED
spsglobal Used - $380.00 1 Nov/28/19 Feb/13/23
Description: 124-0502// AMAT APPLIED 0020-31627 (#1) FLANGE,BASE, ESC USED
spsglobal Used - $600.00 0 Nov/28/19 Jan/18/22
Description: 116-0601// AMAT APPLIED 0020-26254 INSULATOR CERAMIC DURASOURCE TTN USED
spsglobal Used - $100.00 0 Nov/28/19 Feb/06/22
Description: 116-0502// AMAT APPLIED 0200-36682 LINER, QUARTZ, LOWER, GAS DIST USED
spsglobal Used - $700.00 0 Nov/28/19 Aug/06/23
Description: 116-0501// AMAT APPLIED 0200-35782 SHADOW RING, 200MM FLAT, SI/QT USED
spsglobal Used - $350.00 0 Nov/28/19 Jun/18/23
Description: 116-0403// AMAT APPLIED 0200-10246 UNI-INSERT,GDP,LINER,88 HOLD, 2ND SOURCE USED
spsglobal Used - $300.00 0 Nov/27/19 Jun/18/23
Description: 116-0402// AMAT APPLIED 0200-10243 SHADOW RING, QUARTZ, 150MM [2ND SOURCE USED]
spsglobal Used - $220.00 1 Nov/27/19 Dec/11/19
Description: 116-0303// AMAT APPLIED 0200-09027 ADAPTER, PUMPING PLATE #6 USED
spsglobal Used - $40.00 0 Dec/02/19 Dec/08/20
Description: 342-0202// AMAT APPLIED 0020-21637 BRACKET, ATG, PCB MAG/ LAMP DRIVER USED
spsglobal Used - $300.00 1 Dec/02/19 May/07/20
Description: 129-0201// AMAT APPLIED 0100-20070 PCB ASSY,MAG/LAMP DIST USED
spsglobal Used - $3,500.00 0 Dec/02/19 Mar/16/20
Description: 350-0301// AMAT APPLIED 1290-01715 TERM CNTRLR NTWK INTERFACE 20 CHANW/3 USED
spsglobal Used - $4,000.00 0 Dec/02/19 Dec/15/21
Description: 322-0303// AMAT APPLIED 0010-10556 MONOCROMATOR MODULE ASSY-P/C ENDPNT 19" USED
spsglobal Used - $1,300.00 1 Dec/02/19 Dec/04/19
Description: 320-0203// AMAT APPLIED 0100-00793 PCBA VGA DISPLAY W/LP USED
spsglobal Used - $4,500.00 0 Dec/02/19 Dec/04/19
Description: 320-0202// AMAT APPLIED 0190-76005 SPEC CNTL DWG, INTELLIGENT MOTOR CONTROL USED
spsglobal Used - $1,200.00 1 Dec/02/19 May/11/21
Description: 150-0701// AMAT APPLIED 0150-20166 CABLE ASSY, TURBO PUMP TO CONTROLLER 75F USED
usedeqsales Used - $810.15 0 Dec/03/19 Mar/03/21
Description: AMAT Applied Materials 0010-24405 Magnetic Source 0040-84886 Used Working
grandbirdnet Used - $1,000.00 0 Dec/04/19 Dec/04/19
Description: AMAT 0140-77492 IT1001-N31-X4, USED
grandbirdnet Used - $1,000.00 0 Dec/04/19 Dec/04/19
Description: AMAT 0140-78261 IT1001-N31-X6, USED
grandbirdnet Used - $1,000.00 0 Dec/04/19 Dec/04/19
Description: AMAT 0140-77491 IT1001-N31-X4, USED
spsglobal Used - $8,000.00 0 Dec/05/19 Feb/14/20
Description: 130-0201// AMAT APPLIED 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU TESTED USED
spsglobal Used - $380.00 5 Dec/05/19 Apr/29/22
Description: 147-0301// AMAT APPLIED 0150-18035 CBL ASSY, EMC COMP, PUMP UMBILICAL, 75FT USED
spsglobal Used - $500.00 1 Dec/05/19 Dec/29/21
Description: 320-0303// AMAT APPLIED 0190-16926 DNET BUS SCANNER, SINGLE CHANNEL, SST CP USED
spsglobal Used - $500.00 1 Dec/05/19 Jun/23/21
Description: 320-0303// AMAT APPLIED 0190-07502 CARD ONE SLOT 3U COMPACT PCI M-TYPE PO USED
spsglobal Used - $900.00 1 Dec/05/19 Jun/29/21
Description: 320-0202// AMAT APPLIED 0100-01321 ASSY PCB DIGITAL I/O DIO BD USED
capitolareatech Used - $699.95 0 Dec/06/19 Aug/13/20
Description: Applied Materials (AMAT) 0020-21041 Used WEIGHT, 6" CLAMP RING
expertsurplus Used - $75.00 1 Dec/19/19 Mar/18/21
Description: Lam Research Recipe Module 853-005684-001, Used
esprprts Used - $1,450.00 0 Dec/19/19 Jan/06/20
Description: MIZAR 7710-00011-01 VIDEO , AMAT 0100-09003 PCB ASSY, USED
dr.dantom Used - $550.00 1 Dec/24/19 Mar/04/21
Description: Applied Materilas AMAT 0021-25180 REV 003 USED TI DISK SHUTTER TWAS
pranesh1411 Used - $5,750.00 0 Jan/04/20 Jun/20/20
Description: AMAT, Applied Materials 0090-03467 PCB SBC Board, Synergy V452.Condition is Used
capitolareatech Used - $48.95 0 Jan/07/20 Aug/13/20
Description: Applied Materials (AMAT) 0020-78238 Used RETAINER, SEMICONDUCTOR PART
zoro Used - $564.98 0 Jan/07/20 Mar/30/22
Description: AMAT Applied Materials 0100-91072 Source Magnet Control PCB Card 0120-93578 Used
zoro Used - $349.30 0 Jan/07/20 Dec/07/20
Description: AMAT Applied Materials 0090-90967 Power Supply PCB Card daq MkII type H Used
zoro Used - $475.30 2 Jan/07/20 Aug/03/20
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card 0120-93017 Working USED
doomsday512 Used - $4,050.00 1 Jan/07/20 Jan/12/20
Description: AMAT, Applied Materials 0090-03467 PCB SBC Board, Synergy V452 (Used)
spsglobal Used - $1,140.00 1 Jan/10/20 Jun/23/22
Description: 147-0201// AMAT APPLIED 0150-76315 CABLE ASSY, COAXIAL 13.56 MHZ USED
spsglobal Used - $1,500.00 0 Jan/10/20 Aug/08/22
Description: 147-0101// AMAT APPLIED 0150-20333 CABLE RF GEN INTERCONNECT - 61 FT. USED
spsglobal Used - $160.00 0 Jan/13/20 Feb/21/20
Description: 343-0302// AMAT APPLIED 0020-25695 COLLAR 1.18 DIA SFT USED
spsglobal Used - $3,000.00 1 Jan/12/20 Aug/23/21
Description: 320-0402// AMAT APPLIED 0090-03402 ASSEMBLY, PANEL LATCH CPCI PYRO BOARD 30 USED
spsglobal Used - $10.00 0 Jan/16/20 Apr/14/20
Description: 347-0302// AMAT APPLIED 0020-13740 SCR MACH SHLDR 5/16-18X1L SKT USED
spsglobal Used - $1,000.00 0 Jan/17/20 Oct/15/20
Description: 347-0303// AMAT APPLIED 0190-09372 TESTED ASSY, TC, HTR, WxZ USED
spsglobal Used - $800.00 0 Jan/17/20 Sep/27/21
Description: 347-0303// AMAT APPLIED 0190-09372 ASSY, TC, HTR, WxZ [USED]
spsglobal Used - $250.00 1 Jan/17/20 May/22/20
Description: 347-0303// AMAT APPLIED 0190-09062 ASSY TC PROBE USED
capitolareatech Used - $179.95 0 Jan/17/20 Aug/13/20
Description: Applied Materials (AMAT) 0090-00026 Vacuum Pressure Switch USED
esprprts Used - $325.00 1 Jan/18/20 May/31/21
Description: MIZAR 7710-00011-01 VIDEO , AMAT 0100-09003 PCB ASSY, USED
spsglobal Used - $600.00 1 Jan/20/20 Aug/19/22
Description: 129-0701// AMAT APPLIED 0010-70386 ASSY,VGA MONITOR,STAND ALONE USED
katiil3 Used - $199.00 0 Jan/21/20 Oct/23/21
Description: Applied materials 0040-45853 w/ SMC MHZL2-20C-X3074 Used good
spsglobal Used - $200.00 0 Jan/22/20 Dec/14/21
Description: 340-0202// AMAT APPLIED 0021-07072 APPLIED MATRIALS COMPONENTS USED
spsglobal Used - $350.00 0 Jan/22/20 Nov/04/21
Description: 126-0201// AMAT APPLIED 3870-01212 VALVE PNEU NW 40 6LG [USED]
spsglobal Used - $60.00 0 Jan/22/20 Dec/04/22
Description: 113-0402// AMAT APPLIED 0020-21809 ENCLOSURE VALVE REAR RIGHT USED
capitolareatech Used - $299.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-04041 Plate, Water Box USED GOOD CONDITION
capitolareatech Used - $24.95 0 Jan/24/20 Jun/24/20
Description: Applied Materials (AMAT) 0020-04190 Switch Clamp USED GOOD CONDITION
spsglobal Used - $400.00 1 Jan/31/20 Feb/24/20
Description: 319-0201// AMAT APPLIED 0520-01043 0010-39853 ACTR RTRY 180DEG 50MMBORE USED
spsglobal Used - $6,000.00 0 Feb/03/20 Jan/10/22
Description: 353-0401// AMAT APPLIED 3620-01124 (#3) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
spsglobal Used - $6,000.00 0 Feb/03/20 Jul/11/21
Description: 353-0401// AMAT APPLIED 3620-01124 (#1) TURBOVAC 361C PUMP TURBO W/CERAMIC USED
spsglobal Used - $5,000.00 0 Feb/03/20 Feb/14/20
Description: 321-0303// AMAT APPLIED 9090-01408 QX DSP BOARD ASSY USED
storefarm Used - $68.00 0 Feb/03/20 Sep/03/20
Description: [Used] LAM AMP / 684-019113-032 / CABLE, 2 MHz GEN, 53A2P18, 53A2P19, Rev.C
equipplus Used - $119.00 1 Feb/05/20 Mar/17/20
Description: Applied Materials 1350-00255 Capacitance Manometer,AMAT,used,US$6614
grandbirdnet Used - $4,200.00 1 Feb/12/20 May/24/22
Description: AMAT 0190-07338 BOARD 9000-31-040, USED
capitolareatech NEW - $99.95 0 Feb/14/20 Aug/13/20
Description: Applied Materials (AMAT) 0300-09015 PROM SBC VME USED
spsglobal Used - $50.00 1 Feb/17/20 Apr/28/22
Description: 320-0303// AMAT APPLIED 0190-70103 PCB ASSY ANALOG MONITOR LIGHT PEN USED
spsglobal Used - $4,000.00 1 Feb/17/20 Jan/10/22
Description: 127-0101// AMAT APPLIED 0040-23840 ADAPTER, ALPS+ SOURCE [USED]
spsglobal Used - $2,500.00 0 Feb/18/20 Aug/18/20
Description: 349-0101// AMAT APPLIED 0010-76047 ASSEMBLY, BASIC PNEUMATIC LIFT USED
spsglobal Used - $1,000.00 1 Feb/18/20 Apr/12/21
Description: 105-0201// AMAT APPLIED 0040-09675 BASE,ESC USED
spsglobal Used - $2,800.00 0 Feb/18/20 Jan/26/21
Description: 105-0201// AMAT APPLIED 0010-00510 (#2) ASSEMBLY BEARING USED
spsglobal Used - $2,500.00 0 Feb/19/20 Sep/15/21
Description: 349-0401// AMAT APPLIED 0010-14862 ASSEMBLY, THROTTLE VALVE, 300MM DUAL FLA USED
tsvialexander Scrap, for parts - $50.00 1 Feb/19/20 Dec/16/20
Description: Lam RESEARCH Used 810-801237-001 TEPPER DRIVER INTERFACE PCB-I-E-589=6AXI
dy-global Used - $999.90 1 Feb/22/20 Feb/24/20
Description: NOVELLUS USED 02-257935-00 MC3E Platform Controller with Ethernet SEM-I-374=8F21
dy-global Used - $599.90 1 Feb/22/20 Feb/27/20
Description: NOVELLUS USED 02-275643-00 REV.A HDSIOC E84 AWC 79-10098-00 REV.C SEM-I-375=8F21
dy-global Used - $799.90 1 Feb/22/20 Mar/09/22
Description: NOVELLUS USED 02-264833-00 REV.A SESIOC WTS 0 79-10098-00 REV.C SEM-I-376=8F21
dy-global Used - $799.90 1 Feb/22/20 Oct/15/20
Description: NOVELLUS USED 02-264834-00 REV.A SESIOC WTS 1 79-10098-00 REV.C SEM-I-373=8F21
spsglobal Used - $20.00 0 Feb/24/20 Feb/17/22
Description: 342-0101// AMAT APPLIED 0020-09912 LIFTING PIN, SPUTTER. USED
spsglobal Used - $2,700.00 0 Feb/24/20 Mar/16/20
Description: 350-0301// AMAT APPLIED 1290-01715 (#1) 8113040G003 TERM CNTRLR NTWK USED
usedeqsales Used - $1,450.59 0 Jan/18/19 Aug/25/22
Description: Denso 593682-2030 Servo Driver PZTDRIVER Nikon 4S587-754 NSR-S205C Used Working
usedeqsales Used - $2,901.19 0 Jan/18/19 Aug/21/20
Description: Denso 593682-2030 Servo Driver PZTDRIVER Nikon 4S587-754 NSR-S307E Used Working
spsglobal Used - $800.00 0 Mar/02/20 Feb/17/22
Description: 320-0303// AMAT APPLIED 0010-09292 0100-09032 0100-09033 PCB ASSY, TC USED
todd1455 Used - $699.00 0 Mar/04/20 Feb/17/22
Description: Applied Materials 0140-16373 Rev E3 Harness Assembly Heater Control Box used
hatalf Used - $2,900.00 0 Mar/04/20 Mar/05/20
Description: AMAT 0190-07338 BOARD 9000-31-040 USED
spsglobal Used - $10.00 0 Mar/04/20 Feb/17/22
Description: 324-0201// AMAT APPLIED 0020-04137 CLAMP, 1/4 TUBE AND FILTER USED
spsglobal Used - $10.00 0 Mar/04/20 Feb/17/22
Description: 324-0201// AMAT APPLIED 0020-04135 SUPPORT, 1/4 TUBE USED
spsglobal Used - $10.00 0 Mar/04/20 Feb/17/22
Description: 324-0201// AMAT APPLIED 0020-04134 CLAMP, 1/4 TUBE USED
expertsurplus Used - $20.00 0 Mar/06/20 Feb/17/22
Description: Watlow Columbia Heater Jacket, AMAT 3420-01062, Used
spsglobal Used - $10.00 0 Mar/17/20 Feb/17/22
Description: 352-0402// AMAT APPLIED 0022-77049 (4EA) SCREW USED
spsglobal Used - $100.00 0 Mar/17/20 Jun/28/20
Description: 346-0403// AMAT APPLIED 0040-23559 BRACKET, RF MATCH MOUNT USED
spsglobal Used - $20.00 0 Mar/17/20 Dec/01/20
Description: 346-0403// AMAT APPLIED 0021-20445 BRACKET, MOUNTING RIGHT, 13.56MHZ RF USED
spsglobal Used - $20.00 0 Mar/17/20 Dec/01/20
Description: 346-0403// AMAT APPLIED 0021-20444 BRACKET, MOUNTING LEFT, 13.56MHZ RF M USED
spsglobal Used - $20.00 0 Mar/17/20 Feb/17/22
Description: 344-0401// AMAT APPLIED 0200-09035 PIN WAFER LIFT 6" USED
spsglobal Used - $80.00 0 Mar/17/20 Feb/17/22
Description: 320-0403// AMAT APPLIED 0100-20265 (#1) PCB ASSY, FILTER BOARD USED
spsglobal Used - $300.00 0 Mar/18/20 May/14/20
Description: 323-0403// AMAT APPLIED 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC [USED]
spsglobal Used - $100.00 0 Mar/18/20 Feb/17/22
Description: 322-0502// AMAT APPLIED 0200-09657 PANEL REAR CBL LIQUID SOURCE [USED]
dgold32 Scrap, for parts - $6,999.99 0 Mar/23/20 Apr/08/20
Description: Applied Materials AMAT 0010-27430 -001 , Heater, 300mm Hi Temp, Used,
spsglobal Used - $70.00 0 Mar/23/20 Feb/17/22
Description: 324-0203// AMAT APPLIED 0200-20375 LABRINTH, RIGID COIL SPRT ELECTRA [USED]
spsglobal Used - $20.00 0 Mar/23/20 Feb/17/22
Description: 324-0203// AMAT APPLIED 0040-92361 SHOE,PICK UP,SPECIAL 150MM [USED]
spsglobal Used - $10.00 0 Mar/23/20 Feb/17/22
Description: 324-0203// AMAT APPLIED 0040-92201 SHOE,PICK UP 125MM [USED]
spsglobal Used - $200.00 0 Mar/23/20 Feb/17/22
Description: 324-0203// AMAT APPLIED 0040-90182 PILLAR,ELECTD SUPPT DEAC [USED]
spsglobal Used - $320.00 0 Mar/23/20 Feb/17/22
Description: 324-0203// AMAT APPLIED 0040-09012 ARM, LOWER LEFT [USED]
spsglobal Used - $320.00 0 Mar/23/20 Feb/17/22
Description: 324-0203// AMAT APPLIED 0040-09010 ARM, LOWER RIGHT [USED]
spsglobal Used - $40.00 0 Mar/23/20 Feb/17/22
Description: 324-0203// AMAT APPLIED 0021-90215 INSULATING FLANGE [USED]
spsglobal Used - $220.00 0 Mar/23/20 Feb/17/22
Description: 324-0203// AMAT APPLIED 0020-79313 GEAR, ROTATION [USED]
spsglobal Used - $20.00 0 Mar/23/20 Jun/04/20
Description: 324-0203// AMAT APPLIED 0020-38694 FLAG,SENSOR,THROTTLE,DPS-A3 [USED]
sps-global Used - $2,000.00 0 Mar/23/20 Jun/08/20
Description: 406-0401// AMAT APPLIED 0190-26495 ENG SPECIFICATION TEMP CONTROLLER 6 CH [USED]
maxisemi1349 Used - $1,500.00 0 Mar/26/20 Jan/23/22
Description: 0200-00991 CERAMIC BLADE, 300MM HIGH SHOE, used sold "as is"
spsglobal Used - $2,500.00 0 Mar/31/20 Aug/02/20
Description: 303-0401// AMAT APPLIED 0190-02703 MAGNET DRIVE ASSY 01 [USED]
spsglobal Used - $1,000.00 0 Mar/31/20 Sep/21/22
Description: 319-0103// AMAT APPLIED 0190-00544 APPLIED MATRIALS COMPONENTS USED
semikorea Used - $34,000.00 0 Apr/03/20 Apr/10/23
Description: Applied Materials Heater, 300mm Hi Temp, 0010-27431, Used
spsglobal Used - $7,000.00 0 Apr/03/20 Aug/24/23
Description: 324-0401// AMAT APPLIED 0190-17272 MAGNET DRIVER [USED]
visionsemi Used - $3,300.00 0 Apr/04/20 Jan/27/22
Description: AMAT APPLIED MATERIALS CENTURA 300MM LINEAR PLATFORM PCB 0100-02292 USED
grandbirdnet Used - $4,500.00 1 Apr/06/20 Dec/02/20
Description: AMAT 0190-36871 3150310-901C PDX II Plasma Drive 2000, USED
grandbirdnet Used - $4,600.00 0 Apr/07/20 Mar/19/21
Description: AMAT 0190-11332 SPINDLE MOTOR 300MM LK REFLEXION D101B-93-1210-014, USED
grandbirdnet Used - $2,800.00 3 Apr/07/20 Jun/16/22
Description: AMAT 0190-08040 PLATEN ROTATION MOTOR DRIVER SGDH-75AE , USED
spsglobal Used - $400.00 0 Apr/05/20 Oct/19/23
Description: 348-0101// AMAT APPLIED 0020-23350 SHIELD, HTHU HEATER RF TOP [USED]
spsglobal Used - $200.00 0 Apr/05/20 Oct/19/23
Description: 348-0101// AMAT APPLIED 0020-23478 TUBE FLANGED HTR RF LOWER ESC/HT HTR/P [USED]
spsglobal Used - $5,500.00 0 Apr/07/20 May/12/20
Description: 327-0201// AMAT APPLIED 0010-20524 TESTED MODIFIED 8 RF MATCH [USED]
spsglobal Used - $1,200.00 0 Apr/07/20 Oct/30/20
Description: 333-0401// AMAT APPLIED 0020-20164 COVER, INSULATOR SOURCE 11.30 [USED]
spsglobal Used - $160.00 1 Apr/07/20 Jul/28/21
Description: 346-0103// AMAT APPLIED 0020-25695 COLLAR 1.18 DIA SFT [USED]
techequipsales Used - $5,000.00 0 Apr/08/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 002 Heater *used working*
techequipsales Used - $2,000.00 1 Apr/09/20 Sep/15/20
Description: AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ *used working*
techequipsales Used - $4,000.00 0 Apr/09/20 Oct/12/20
Description: AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ *used working*
techequipsales Used - $5,000.00 0 Apr/09/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 0040-49807 Heater *used working*
grandbirdnet Used - $6,500.00 0 Apr/09/20 Oct/25/21
Description: AMAT 0040-83331 LINER, CATHODE, DIRECT-COOLED, BAFFLE, USED CLEANED
grandbirdnet Used - $7,900.00 1 Apr/13/20 Jan/11/23
Description: AMAT 0040-83331 LINER, CATHODE, DIRECT-COOLED, BAFFLE, E , USED CLEANED
fstparts Used - $1,700.00 0 Apr/13/20 Apr/20/20
Description: AMAT Applied Materials 0100-01996 ASSY,SYSTEM ELECTRONICS INTERFACE BOARD, USED
happy_dragon_ong Used - $388.00 1 Apr/15/20 Apr/15/20
Description: APPLIED MATERIALS AMAT / 0100-01996 ASSY, PCB SEI USED
happy_dragon_ong Used - $588.00 1 Apr/15/20 Jun/19/20
Description: APPLIED MATERIALS AMAT / 0100-76124 ASSY, PCB SEI USED
grandbirdnet Used - $2,500.00 2 Apr/15/20 Apr/29/22
Description: LAM RESEARCH 02-321647-00 VECTOR HDSIOC 1, USED
grandbirdnet Used - $750.00 0 Apr/20/20 Jun/15/21
Description: AMAT 0100-00509 ASSY BOARD W/ 0190-00285. 0100-00509, USED
fstparts Used - $1,700.00 0 Apr/20/20 Apr/27/20
Description: AMAT Applied Materials 0100-01996 ASSY,SYSTEM ELECTRONICS INTERFACE BOARD, USED
grandbirdnet Used - $6,000.00 0 Apr/21/20 Jun/10/20
Description: AMAT 0240-43874 KIT, PLATEN DRIVE MTR- 200MM WITH 3970-00030 GEAR BOX, USED
grandbirdnet Used - $300.00 0 Apr/26/20 Apr/26/20
Description: AMAT 0090-77294 ASSY MEGASONIC, USED
fstparts Used - $1,700.00 0 Apr/27/20 May/04/20
Description: AMAT Applied Materials 0100-01996 ASSY,SYSTEM ELECTRONICS INTERFACE BOARD, USED
grandbirdnet Used - $4,500.00 0 Apr/28/20 Nov/16/21
Description: AMAT 0190-44187 Paramount, RF Generator, M/N 3156310-040 A, USED
grandbirdnet Used - $4,500.00 0 Apr/28/20 Nov/16/21
Description: AMAT 0190-44188 Paramount, RF Generator, M/N 3156330-040A, USED
grandbirdnet Used - $4,500.00 0 Apr/29/20 Nov/16/21
Description: AMAT 0190-33823 Paramount, RF Generator, M/N 3156310-015A, USED
grandbirdnet Used - $3,500.00 1 Apr/29/20 Oct/25/22
Description: AMAT 0190-19021 APEX3013, RF Generator, M/N 3156113-006 D, USED
grandbirdnet Used - $3,500.00 1 Apr/29/20 Feb/17/21
Description: LAM RESEARCH 660-032596-214 AE APEX 1513 RF GENERATOR 3156110-214, USED
grandbirdnet Used - $3,500.00 1 Apr/29/20 Jul/28/21
Description: LAM RESEARCH 660-032596-213 AE APEX 1513 RF GENERATOR 3156110-213, USED
grandbirdnet Used - $3,500.00 0 Apr/29/20 Mar/08/23
Description: LAM RESEARCH 660-032596-023 AE APEX 1513 RF GENERATOR 3156110-008, USED
techequipsales Used - $950.00 1 May/02/20 Oct/26/22
Description: Novellus 02-033134-01 Heater *used working, 90 day warranty*
techequipsales Used - $4,000.00 0 May/03/20 Oct/12/20
Description: AMAT Applied Materials 0010-05254 0040-32148 Heater Assy *used working*
spsglobal Used - $150.00 0 May/04/20 Jul/10/23
Description: 327-0402// AMAT APPLIED 0021-09805 ENDPOINT BRAKCET FOR MXP POLY ETCH [USED]
fstparts Used - $1,700.00 0 May/04/20 May/11/20
Description: AMAT Applied Materials 0100-01996 ASSY,SYSTEM ELECTRONICS INTERFACE BOARD, USED
grandbirdnet Used - $200.00 0 May/07/20 Jun/16/20
Description: AMAT 0140-78041 CNTRL BOX BLKHD SRD , USED
techequipsales Used - $3,000.00 0 May/07/20 Oct/27/21
Description: LAM Research 715-803261-001 B ESC Electron Static Chuck *used working*
grandbirdnet Used - $3,500.00 0 May/08/20 Sep/12/22
Description: AMAT 0010-08900 LOTO BREAKER BOX ASSEMBLY, USED
grandbirdnet Used - $3,500.00 0 May/08/20 Sep/12/22
Description: AMAT 0010-77892 LOTO BREAKER BOX ASSEMBLY, USED
grandbirdnet Used - $6,900.00 0 May/11/20 Mar/13/22
Description: AMAT 0040-33998 LINER, SLIT LINER DOOR, USED
fstparts Used - $1,700.00 0 May/11/20 May/18/20
Description: AMAT Applied Materials 0100-01996 ASSY,SYSTEM ELECTRONICS INTERFACE BOARD, USED
techequipsales Used - $4,000.00 0 May/12/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 002 Heater *used working, clean surface*
grandbirdnet Used - $150.00 1 May/14/20 Jun/13/22
Description: AMAT 0150-70205 CABLE ASBLE ASSY ENC. IF TO SEI BD 64POS, USED
spsglobal Used - $500.00 0 May/15/20 Jul/08/20
Description: 322-0203// AMAT APPLIED 0090-20012 INDEXER BRAKE ASSY 0090-03861 [USED]
dy-global Used - $199.95 1 May/17/20 Sep/04/20
Description: Lam RESEARCH Used 810-801237-001 REV.D STEPPER DRIVER INTERFACE PCB-I-E-589=6AXI
fstparts Used - $1,700.00 1 May/18/20 May/18/20
Description: AMAT Applied Materials 0100-01996 ASSY,SYSTEM ELECTRONICS INTERFACE BOARD, USED
vintage-audio-source Used - $399.95 1 May/20/20 Jan/06/21
Description: AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working
grandbirdnet Used - $5,000.00 0 May/21/20 Mar/19/21
Description: AMAT 1080-00275 DRVR SERVO MOTOR FOR 7.5KW MOTOR PY0A300W4PW1A00, USED
grandbirdnet Used - $1,800.00 0 May/21/20 Aug/25/20
Description: AMAT 0100-20003 OBS DIGITAL INPUT OUTPUT PCB, USED
0754rockss Used - $670.00 0 Jun/01/20 Mar/24/21
Description: Used SPELLMAN ESC5PN25X3717 Model: X3717 AMAT 0190-23905 Fast DHL
grandbirdnet Used - $4,300.00 0 Jun/07/20 Sep/03/20
Description: AMAT 0010-77680 ASSY, ELECTRONIC BOX, SRD, LOWER, USED
markcooperassociates Used - $250.00 1 Jun/08/20 May/06/21
Description: AMAT Applied Materials 0100-09056 Centerfinder Interface PCB Module, Used
spsglobal Used - $2,000.00 0 Jun/08/20 Jun/01/22
Description: 406-0401// AMAT APPLIED 0190-26495 ENG SPECIFICATION TEMP CONTROLLER 6 CH [USED]
industrialautomationspareparts Used - $1.00 0 Jun/15/20 May/05/21
Description: AMAT APPLIED SD2048DL VERITY SPECTROMETER used in good condition
equipplus Used - $199.00 2 Jun/16/20 Dec/25/22
Description: AMAT 0090-09075 Hub Assy, 8 channel Pyro Hub rev 03,Used,USA&6748
equipplus Used - $299.00 0 Jun/24/20 Dec/30/21
Description: Applied Materials AMAT 0190-28703 Rev01 1192921,used,USA^6757
spsglobal Used - $120.00 0 Jul/05/20 Jun/14/22
Description: 352-0102// AMAT APPLIED 0015-20002 PULLEY, MODIFIED SOURCE [USED]
spsglobal Used - $50.00 0 Jul/06/20 Aug/25/21
Description: 352-0201// AMAT APPLIED 0020-27889 SHIM, MAGNET ASSY, 2.0MM, AL [USED]
spsglobal Used - $1,500.00 0 Jul/06/20 Oct/30/20
Description: 333-0401// AMAT APPLIED 0020-20295 (#2) wFRAME SOURCE 11.30" [USED]
spsglobal Used - $1,300.00 0 Jul/06/20 Oct/30/20
Description: 333-0401// AMAT APPLIED 0020-20295 (#1) wFRAME SOURCE 11.30" [USED]
spsglobal Used - $100.00 0 Jul/06/20 Jan/14/21
Description: 320-0403// AMAT APPLIED 0100-20065 PCBA, ROTATION MOTOR CONTROL [USED]
spsglobal Used - $30.00 0 Jul/06/20 Jun/02/22
Description: 350-0201// AMAT APPLIED 0020-20617 BRACKET HANDLE [USED]
spsglobal Used - $20.00 0 Jul/06/20 Jun/02/22
Description: 350-0201// AMAT APPLIED 3370-01029 HDL RND 4" LOG 2" HIGH SST 1/2THK 5/16 [USED]
spsglobal Used - $10.00 0 Jul/06/20 Jun/02/22
Description: 352-0201// AMAT APPLIED 0020-29079 GROUND STRIP [USED]
spsglobal Used - $20.00 0 Jul/06/20 May/24/21
Description: 142-0501// AMAT APPLIED 0150-20103 CABLE ASSY, CCD BD INT. [USED]
spsglobal Used - $3,000.00 1 Jul/06/20 Oct/11/21
Description: 106-0301// AMAT APPLIED 0020-76180 (CLEAN) APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $100.00 2 Jul/07/20 Oct/08/21
Description: 325-0203// AMAT APPLIED 0040-20095 ALIGNMENT TOOL FROG LEG ROBOT [USED]
spsglobal Used - $30.00 1 Jul/07/20 Mar/28/23
Description: 350-0203 AMAT APPLIED 0020-30000 CLAMP,PIN ADJ, WB [USED]
spsglobal Used - $1,000.00 0 Jul/08/20 Jul/21/20
Description: 348-0102// KCB150-454 APPLIED 0140-09073 0140-70084 MATRIALS COMPONENTS [USED]
spsglobal Used - $60.00 0 Jul/08/20 Nov/30/20
Description: 343-0102// AMAT APPLIED 0020-21716 PLUG, CVD/MONOLITH INTERFACE [USED]
spsglobal Used - $270.00 0 Jul/08/20 Mar/14/21
Description: 320-0403// AMAT APPLIED 0100-20086 wPCB ASSY,WAFER MAP LED [USED]
spsglobal Used - $1,920.00 0 Jul/08/20 Oct/15/20
Description: 116-0404// AMAT APPLIED 0020-20895 BASE HEATER 5/6 DEGAS [USED]
spsglobal Used - $560.00 0 Jul/08/20 Jul/07/22
Description: 115-0201// AMAT APPLIED 0190-70066 FANTRAY 300CFM19"X1.75" X8"115VAC [USED]
spsglobal Used - $20.00 0 Jul/08/20 Oct/20/21
Description: 340-0301// AMAT APPLIED 0020-20791 A/C COVER, PRECLEAN [USED]
spsglobal Used - $110.00 0 Jul/08/20 Dec/08/20
Description: 340-0301// AMAT APPLIED 0020-20232 FLANGE, EXHAUST FAN [USED]
spsglobal Used - $160.00 0 Jul/08/20 Jul/09/20
Description: 321-0102// AMAT APPLIED 3020-01060 CYL PNEU 2.5L STROKE 63MM BORE DBL END [USED]
spsglobal Used - $160.00 0 Jul/08/20 Apr/11/22
Description: 114-0501// AMAT APPLIED 0015-20030 MOD INTERIOR TRIM 54 POSITION [USED]
spsglobal Used - $700.00 5 Jul/08/20 Jul/13/21
Description: 322-0203// AMAT APPLIED 0090-20012 INDEXER BRAKE ASSY [USED]
spsglobal Used - $280.00 0 Jul/09/20 Jun/29/23
Description: 141-0401// AMAT APPLIED 0020-23970 PANEL FRONT DC POWER/REMOTE GA [USED]
grandbirdnet Used - $2,200.00 1 Jul/09/20 Oct/20/22
Description: AMAT 0040-77170 DRIVEN PULLEY , USED
xsysengineering NEW - $1,200.00 0 Jul/11/20 Jun/12/21
Description: Applied Materials (AMAT) 0040-99957 ESC (2 of 2 available) Used. Electro-Static
xsysengineering NEW - $1,200.00 0 Jul/11/20 Jun/12/21
Description: Applied Materials (AMAT) 0040-99957 ESC (1 of 2 available) Used. Electro-Static
techequipsales Used - $1,000.00 1 Jul/14/20 Dec/04/20
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
techequipsales Used - $2,000.00 1 Jul/14/20 Jan/18/21
Description: LAM Research 839-800327-385 ESC ASSY DZ CLG 2 FNSH 300MM *used working*
spsglobal Used - $6,700.00 0 Jul/14/20 Nov/03/20
Description: 109-0401// AMAT APPLIED 0010-35202 ASSY 5 PHASE DRIVER [USED]
grandbirdnet Used - $1,300.00 0 Jul/17/20 Sep/28/21
Description: AMAT 1140-00394 POWER SUPPLY MODULE HF10-729, USED
markcooperassociates Used - $200.00 0 Jul/20/20 Oct/12/22
Description: Applied Materials 0270-76588 Simple Cathode Handling Tool, Used
spsglobal Used - $250.00 0 Jul/21/20 Feb/22/21
Description: 126-0501// AMAT APPLIED 0680-01142 CB FRAME ONLY 600V 3P 250A INT [USED]
grandbirdnet Used - $4,500.00 1 Jul/21/20 Jan/12/21
Description: AMAT 0920-00128 APEX 3013 3156114-005 A RF GENERATOR, USED
spsglobal Used - $40.00 0 Jul/23/20 Jul/23/20
Description: 344-0402// AMAT APPLIED 3060-01185 BRG SLEEVE OILITE .6250IDX.8770ODX1.5L [USED]
spsglobal Used - $400.00 1 Jul/23/20 Jun/23/22
Description: 134-0502// AMAT APPLIED 0020-21707 LIFTER 8 [USED]
spsglobal Used - $250.00 1 Jul/23/20 Oct/03/22
Description: 134-0503// AMAT APPLIED 0020-21221 HOOP CD 200MM [USED]
usedeqsales Used - $1,003.19 0 Jul/23/20 Jan/18/21
Description: AMAT Applied Materials 0040-98491 Siconic Clean Chiller Assembly 300mm Used
spsglobal Used - $800.00 0 Jul/27/20 Oct/04/21
Description: 134-0503// AMAT APPLIED 0020-70278 LIFTER 200MM WAFER ORIENTER P5000 [USED]
spsglobal Used - $800.00 2 Jul/27/20 Feb/14/22
Description: 134-0503// AMAT APPLIED 0020-27668 BLADE 8" 101 SHUTTER [USED]
semistarcorp NEW - $1,150.00 2 Aug/01/20 Nov/16/20
Description: Lam Research 713-028487-001 B Ring New, Never Used
spsglobal Used - $950.00 2 Aug/05/20 Aug/26/20
Description: 141-0402// AMAT APPLIED 0190-15905 CABLE ASSY SQS(M) R/A TO SQS(M) R/A [USED]
spsglobal Used - $1,160.00 0 Aug/05/20 May/06/21
Description: 141-0402// AMAT APPLIED 0150-22371 CABLE ASSY, SQ(M) R/A TO SQ(M) STR. [USED]
spsglobal Used - $10.00 0 Aug/05/20 Oct/22/23
Description: 351-0202// AMAT APPLIED 3780-01158 (2EA) SPR CPRSN [USED]
spsglobal Used - $5,500.00 0 Aug/06/20 Feb/03/21
Description: 327-0201// AMAT APPLIED 0010-20524 MODIFIED 8 RF MATCH (TESTED) [USED]
prism_electronics12 Used - $1,111.50 0 Aug/10/20 Dec/10/20
Description: APPLIED MATERIALS AMAT 0190-09467 USED CHAMBER B SERIPLEX BOARD
prism_electronics12 Used - $204.24 0 Aug/10/20 Aug/23/22
Description: APPLIED MATERIALS 3870-01606 AMAT Used, Valve Water Control TMS
spsglobal Used - $220.00 0 Aug/11/20 Sep/12/23
Description: 111-0502// AMAT APPLIED 0020-20292 BLOCK GAS SPRING FIXTURE [USED]
spsglobal Used - $180.00 1 Aug/11/20 Mar/09/22
Description: 322-0103// AMAT APPLIED 0090-09010 STEPPER MTR ASSY W BRAKE [USED]
spsglobal Used - $400.00 0 Aug/11/20 May/12/22
Description: 322-0103// AMAT APPLIED 0010-00071 2.25" STEPPING MTR ASSY,ATMOSPHERE [USED]
spsglobal Used - $200.00 0 Aug/11/20 Dec/20/20
Description: 322-0103// AMAT APPLIED 0090-75009 PH265-05B-C12 MOTOR ENCODER ASSY ROBOT [USED]
spsglobal Used - $600.00 0 Aug/11/20 Oct/08/21
Description: 322-0103// AMAT APPLIED 0090-70001 C5347-9212M MTR-BRK-ENCDR ASSY [USED]
spsglobal Used - $80.00 0 Aug/12/20 Nov/16/22
Description: 319-0102// AMAT APPLIED 0150-09208 CABLE ASSY , FAN/AMPULE [USED]
spsglobal Used - $1,600.00 1 Aug/12/20 Dec/20/21
Description: 123-0302// AMAT APPLIED 0190-09663 ACTUATOR, 5000 ZA SLIT VALVE [USED]
spsglobal Used - $510.00 2 Aug/12/20 Jun/08/22
Description: 123-0302// AMAT APPLIED 0190-09662 PLATE, CHAMBER I/F 5000 ZA SLIT VALVE [USED]
spsglobal Used - $920.00 0 Aug/12/20 Oct/04/21
Description: 123-0302// AMAT APPLIED 0010-76043 ASSY, DOOR SLIT VALVE, PRSP/ASP [USED]
prism_electronics12 Used - $1,567.50 0 Aug/12/20 Jul/25/22
Description: APPLIED MATERIALS 0040-09557 AMAT USED, CHAMBER BODY ASP
techequipsales Used - $1,500.00 1 Aug/13/20 Jul/03/22
Description: LAM 810-099175-009 VIOP Phase III Circuit Board *used working, 90-day warranty
prism_electronics12 Used - $400.00 0 Aug/14/20 Jul/22/22
Description: APPLIED MATERIALS 3011-01302 USED, ETCH PLATE
capitolareatech Used - $179.95 0 Aug/15/20 Jun/23/22
Description: Applied Materials (AMAT) 0090-00026 Vacuum Pressure Switch USED
spsglobal Used - $40.00 4 Aug/18/20 Aug/26/20
Description: 176-0402// AMAT APPLIED 0050-30514 WELDMENT, WATER LINE [USED]
spsglobal Used - $60.00 0 Aug/18/20 Nov/03/22
Description: 111-0703// AMAT APPLIED 0020-21089 COVER, CHAMBER INTERCONNECT BD. [USED]
spsglobal Used - $140.00 0 Aug/18/20 Nov/03/22
Description: 111-0702// AMAT APPLIED 0020-21217 BRACKET,REARSTEP, LEFT [USED]
spsglobal Used - $80.00 0 Aug/18/20 Nov/03/22
Description: 111-0702// AMAT APPLIED 0020-10235 ENCLOSURE, N2 DRYVAC DIS [USED]
spsglobal Used - $520.00 0 Aug/18/20 Nov/03/22
Description: 176-0504// AMAT APPLIED 0040-93545 FLEXIBLE MANIFOLD,BTL 1 DIN 8 [USED]
spsglobal Used - $250.00 0 Aug/19/20 Nov/03/22
Description: 123-0303// AMAT APPLIED 0050-20099 MANIFOLD H20 SOURCE11.3 [USED]
spsglobal Used - $840.00 0 Aug/19/20 Nov/03/22
Description: 123-0303// AMAT APPLIED 0040-87211 BLANKOFF, 200MM MONOLITH [USED]
spsglobal Used - $50.00 0 Aug/19/20 Nov/03/22
Description: 123-0303// AMAT APPLIED 0040-20794 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $160.00 0 Aug/19/20 May/19/21
Description: 123-0303// AMAT APPLIED 0040-20048 FEEDTHRU LAMP [USED]
spsglobal Used - $200.00 0 Aug/19/20 Nov/03/22
Description: 123-0303// AMAT APPLIED 0020-71449 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $50.00 0 Aug/19/20 Jun/02/22
Description: 123-0303// AMAT APPLIED 0020-70732 COVER, PVD CHAMBER VIEWPORT [USED]
spsglobal Used - $190.00 0 Aug/19/20 Nov/03/22
Description: 123-0303// AMAT APPLIED 0020-31687 ADAPTER A&B POS, SERVICABLE GATE VALVE [USED]
spsglobal Used - $100.00 0 Aug/19/20 Sep/12/23
Description: 123-0302// AMAT APPLIED 0020-32836 HINGE,REMOVABLE,RIGHT, SLIT VA [USED]
spsglobal Used - $80.00 0 Aug/19/20 Nov/03/22
Description: 123-0302// AMAT APPLIED 0020-09114 LEVER B LIST VALVE CHAM [USED]
techequipsales Used - $562.50 1 Aug/21/20 Nov/02/20
Description: Novellus 27-034079-01 ESC Power Supply Regan Solutions ecc1-1 *used working
grandbirdnet Used - $360.00 0 Aug/24/20 Dec/17/21
Description: AMAT 0090-00961 CABLE ASSY PRESS TRANSDUCER -14.7 - 15, USED
spsglobal Used - $240.00 0 Aug/24/20 Aug/31/23
Description: 342-0303// AMAT APPLIED 0020-23315 BRACKET, COHERENT ,W/B CH [USED]
spsglobal Used - $20.00 0 Aug/24/20 Mar/15/21
Description: 323-0401// AMAT APPLIED 0090-20033 MAGNETIC CONTACT ASY LID [USED]
spsglobal Used - $350.00 1 Aug/24/20 Oct/25/20
Description: 321-0302// AMAT APPLIED 0660-01088 DISK DRIVE FLOPPY 3-1/2 720K/1.44MB [USED]
spsglobal Used - $300.00 0 Aug/24/20 Aug/31/23
Description: 123-0302// AMAT APPLIED 0020-21826 CLAMP VIEWPORT DETECTOR [USED]
spsglobal Used - $500.00 0 Aug/24/20 Aug/31/23
Description: 123-0302// AMAT APPLIED 0010-20407 DETECTOR ASSY, LLA, RIGHT [USED]
spsglobal Used - $500.00 0 Aug/24/20 Sep/19/23
Description: 123-0302// AMAT APPLIED 0010-20406 DETECTOR ASSY, LLA, LEFT [USED]
spsglobal Used - $20.00 0 Aug/24/20 May/23/21
Description: 350-0201// AMAT APPLIED 3370-01036 HDL RND 3/8 DIA 2 HIGH 4L OFFSET 10- [USED]
storefarm Used - $998.00 0 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-279241-00 / IXT PVD SIOC 1, Rev.A, 1pcs
storefarm Used - $1,498.00 0 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-271697-00 / WTS-HV SIOC 3, Rev.A, 1pcs
storefarm Used - $998.00 0 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-387943-00 / IXT PVD SIOC 2, Rev.A, 1pcs
storefarm Used - $998.00 0 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-375806-00 / IXT PVD SIOC 2, Rev.1, 1pcs
storefarm Used - $998.00 4 Aug/24/20 Aug/31/23
Description: [Used] NOVELLUS / 02-279241-00 / IXT PVD SIOC 1, Rev.A, 1pcs
spsglobal Used - $10.00 0 Aug/24/20 Aug/31/23
Description: 350-0202// AMAT APPLIED 0021-22229 CLAMP TOP CABLE HOLDER SOURCE GNDS [USED]
spsglobal Used - $180.00 0 Aug/25/20 Apr/27/22
Description: 322-0403// AMAT APPLIED 0020-10876 MANIFOLD GAS OUT BWCVD [USED]
spsglobal Used - $200.00 0 Aug/25/20 Aug/31/23
Description: 322-0403// AMAT APPLIED 0020-10467 HOUSING, QUARTZ TUBE [USED]
spsglobal Used - $40.00 0 Aug/25/20 Aug/08/22
Description: 322-0403// AMAT APPLIED 0020-10189 PLATE CONNECTOR 200M [USED]
spsglobal Used - $40.00 0 Aug/25/20 Aug/31/23
Description: 322-0403// AMAT APPLIED 0020-09882 PLATE CONNECTOR [USED]
spsglobal Used - $50.00 1 Aug/25/20 Nov/04/21
Description: 322-0403// AMAT APPLIED 0020-09881 ADAPTOR MANIFOLD [USED]
spsglobal Used - $130.00 0 Aug/25/20 Jan/25/21
Description: 322-0403// AMAT APPLIED 0015-09042 (#1) RESISTOR CERAMIC MOD [USED]
spsglobal Used - $240.00 0 Aug/25/20 Aug/25/20
Description: 322-0403// AMAT APPLIED 0090-09084 SUSCEPTOR ASSY, 150MM [USED]
spsglobal Used - $150.00 1 Aug/25/20 Nov/08/22
Description: 322-0403// AMAT APPLIED 0020-10135 MANIFOLD WATER PL [USED]
spsglobal Used - $120.00 1 Aug/25/20 Jun/03/21
Description: 323-0402// AMAT APPLIED 0090-20020 SENSOR ASSY, HALL EFFECT [USED]
spsglobal Used - $170.00 0 Aug/25/20 Oct/15/23
Description: 322-0403// AMAT APPLIED 0020-30335 HOUSING,QTZ TUBE SACVD [USED]
spsglobal Used - $340.00 2 Aug/25/20 Aug/30/21
Description: 322-0403// AMAT APPLIED 0020-13079 OUTPUT MANIFOLD, UNIVERSAL CHMBR [USED]
spsglobal Used - $60.00 0 Aug/25/20 Nov/13/20
Description: 347-0102// AMAT APPLIED 0020-23473 CLAMP HEATER MOTORIZED LIFT [USED]
spsglobal Used - $250.00 0 Aug/25/20 Sep/12/23
Description: 341-0402// AMAT APPLIED 0020-23367 CHASSIS DC BIAS SHORT MONITOR [USED]
spsglobal Used - $50.00 0 Aug/25/20 Sep/01/20
Description: 323-0502// AMAT APPLIED 0190-36567 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $240.00 0 Aug/25/20 Aug/25/20
Description: 322-0403// AMAT APPLIED 0090-09084 (#1) SUSCEPTOR ASSY, 150MM [USED]
spsglobal Used - $20.00 1 Aug/25/20 Sep/12/22
Description: 351-0201// AMAT APPLIED 0020-42237 CLAMP,PULLEY,WXZ [USED]
spsglobal Used - $60.00 0 Aug/25/20 Sep/27/21
Description: 351-0201// AMAT APPLIED 0020-31425 MIXER GAS BOX LID BWCVD [USED]
spsglobal Used - $100.00 1 Aug/25/20 Dec/01/22
Description: 351-0201// AMAT APPLIED 0015-09405 PULLEY,MOD,.313 BORE,WXZ [USED]
spsglobal Used - $110.00 0 Aug/25/20 Jan/15/23
Description: 343-0301// AMAT APPLIED 0020-09602 MANIFOLD INPUT [USED]
dgold32 Scrap, for parts - $5,999.99 1 Aug/25/20 Sep/06/20
Description: Used MKS C5002-05 2 MHz 5 KW RF Generator, LAM 660-124460-635
spsglobal Used - $200.00 0 Aug/25/20 Aug/08/22
Description: 123-0103// AMAT APPLIED 3020-01126 CYL AIR 63MM BORE 25MM STROKE W/AUTO [USED]
grandbirdnet Used - $18,000.00 1 Aug/27/20 Sep/23/20
Description: LAM RESEARCH 02-389101-00 Robot Serial Number : 0102-10059, USED
techequipsales Used - $700.00 0 Aug/28/20 May/10/23
Description: LAM 715-119652-023 Mag 8 Robot Bottom Plate *used working
techequipsales Used - $350.00 0 Aug/28/20 May/10/23
Description: LAM 716-044111-001 Plate *used working
dy-global Used - $5,999.95 0 Sep/02/20 Nov/19/21
Description: Lam Research RF Generator Matcher Used 853-043759-004 REV.F SEM-I-196=9C43
dy-global Used - $2,299.95 1 Sep/02/20 Sep/12/21
Description: Lam Research Used 853-040482-301 Rev:M RF Matcher SEM-I-193=6B52
dy-global Used - $1,999.95 1 Sep/02/20 Apr/10/22
Description: Lam Research AUTOMATCH Used 853-040482-301 Rev:M SEM-I-194=9A3A
storefarm Used - $2,498.00 0 Aug/31/20 Sep/15/21
Description: [Used] NOVELLUS / 02-395666-12 / LPB, INEXT PVD SNGL GAP, UPS, K-SPEC, Rev.1
storefarm Used - $2,498.00 0 Aug/31/20 Sep/15/21
Description: [Used] NOVELLUS / 02-353119-12 / LPB, INEXT PVD SNGL GAP UPS, K-SPEC, Rev.2
storefarm Used - $2,498.00 0 Aug/31/20 Sep/15/21
Description: [Used] NOVELLUS / 02-271943-11 / ASSY, LPB, SGL GAP W/UPS PVD300, Rev.B
storefarm Used - $984.00 1 Sep/04/20 Jan/10/22
Description: [Used] NOVELLUS / 27-326390-00 / TT2301R2-1-NES-NOVELLUS2, Teach Pendant
spsglobal Used - $500.00 0 Sep/04/20 Mar/07/22
Description: 321-0303// AMAT APPLIED 0870-01063 STEPPER MOTOR 120VAC 1.4A/PH 5PH [USED]
grandbirdnet Used - $400.00 0 Sep/06/20 Mar/03/22
Description: AMAT 0100-00156 PCB ASSEMBLY ISOLATION AMPLIFIER, USED
grandbirdnet Used - $400.00 0 Sep/06/20 Jul/13/22
Description: AMAT 0100-00014 PWB OPTO DETECTOR, USED
spsglobal Used - $60.00 0 Sep/07/20 Mar/03/22
Description: 136-0201// AMAT APPLIED 0020-09421 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $80.00 0 Sep/07/20 Mar/03/22
Description: 136-0201// AMAT APPLIED 0020-09763 PANEL TURBO CONTROLLER [USED]
spsglobal Used - $80.00 0 Sep/07/20 Mar/03/22
Description: 123-0202// AMAT APPLIED 0020-09445 CONDUIT, 3IN EMT, 12.38 LONG [USED]
spsglobal Used - $40.00 0 Sep/07/20 Mar/03/22
Description: 123-0202// AMAT APPLIED 0020-09516 APPLIED MATRIALS COMPONENTS [USED]
industrial-parts2018 Used - $177.00 5 Sep/06/20 Dec/06/21
Description: Used Applied Materials Hotlon/PI 0.775...10 Volt Vacuum Gauge 0190-26328
spsglobal Used - $10.00 1 Sep/07/20 May/19/22
Description: 351-0202// AMAT APPLIED 3780-01158 (2EA) SPR 1.000FL X .300OD X .022WIRE [USED]
spsglobal Used - $5,000.00 0 Sep/07/20 Jul/18/21
Description: 167-0101// AMAT APPLIED CONTROL COMPUTER, EYED, ROHS VERSION 3 [USED]
storefarm Used - $1,798.00 0 Sep/08/20 Jan/30/23
Description: [Used] APPLIED AMAT / 0010-19269, MXQ25-75 / SLIT VALVE, Rev.002
grandbirdnet Used - $300.00 0 Sep/08/20 Mar/07/24
Description: AMAT 3870-01160 VALVE PNEU1 INLINE, USED
grandbirdnet Used - $1,200.00 1 Sep/08/20 May/19/21
Description: NOVELLUS 02-121427-00 SMC GATE VALVE XGT-0402AWM-X16, USED
spsglobal Used - $20.00 0 Sep/10/20 Feb/23/21
Description: 323-0301// AMAT APPLIED 0021-35987 RF STRAP TxZ MCVD [USED]
spsglobal Used - $50.00 0 Sep/10/20 Mar/07/24
Description: 321-0301// AMAT APPLIED 0020-31516 SCREW PAN HD,6-32X3/4, HAYNESALLOY [USED]
spsglobal Used - $200.00 0 Sep/10/20 Mar/07/24
Description: 130-0102// AMAT APPLIED 0100-09076 PCB ASSY BRAKE INTER [USED]
techequipsales Used - $1,500.00 0 Sep/10/20 Mar/07/24
Description: LAM 853-002336-002 B AC Enclosure LAM 2300 KIYO3X Process Chamber *used working
techequipsales Used - $3,500.00 1 Sep/10/20 Mar/01/21
Description: LAM 2300 KIYO3X 716-014317-006 719-003481-858 715-030576-323 TCP RF Coil *used
spsglobal Used - $60.00 1 Sep/10/20 Mar/15/23
Description: 342-0202// AMAT APPLIED 0020-86374 ORIENTOR LOCKING SCREW LONG [USED]
spsglobal Used - $100.00 2 Sep/10/20 Jun/15/21
Description: 343-0303// AMAT APPLIED 0190-13175 LIGHT PEN 5V 20MA W/PHONE JACK CONN. [USED]
spsglobal Used - $300.00 0 Sep/14/20 Mar/11/21
Description: 177-0304// AMAT APPLIED 0190-13449 VALVE, MANIFOLD PLUG, 0.297 OR [USED]
spsglobal Used - $300.00 0 Sep/14/20 Jan/25/21
Description: 177-0304// AMAT APPLIED 0190-13448 VALVE, MANIFOLD PLUG, 0.297 OR [USED]
spsglobal Used - $300.00 0 Sep/14/20 Jan/05/21
Description: 177-0304// AMAT APPLIED 0190-01189 VAVLE FCW RETURN, CENTURA MMF [USED]
spsglobal Used - $80.00 0 Sep/14/20 Jan/27/21
Description: 177-0303// AMAT APPLIED 3870-02329 VALVE PLUG .297DIA ORIFICE [USED]
spsglobal Used - $80.00 0 Sep/14/20 Dec/16/20
Description: 177-0303// AMAT APPLIED 3870-01515 VALVE FINE MTR 1/4 VCR VERNIER [USED]
spsglobal Used - $160.00 1 Sep/14/20 Apr/18/23
Description: 177-0303// AMAT APPLIED 3870-01332 VALVE MNL BLWS 1/4VCR-F/F NUPRO 1.5"D [USED]
dilutedpurity Used - $30.00 3 Sep/16/20 Apr/28/21
Description: SMC Electro-Pneumatic Regulator, IT1001-N31-X6, 3800-01147 AMAT USED
dy-global Used - $1,699.95 1 Sep/17/20 Jun/20/22
Description: Lam RESEARCH Used 853-042958-201 REV.B KN VME 7671 810-099175-013 SEM-I-66
dy-global Used - $1,699.95 1 Sep/20/20 May/23/22
Description: Lam RESEARCH Used 853-042958-201 Rev.B 605-048878-001 810-099175-013 SEM-I-67
spsglobal Used - $600.00 0 Sep/21/20 Sep/20/22
Description: 322-0203// AMAT APPLIED 0190-10982 SENSOR HX/FCW FLOWSWITCH 5 SEC DELAY [USED]
spsglobal Used - $250.00 1 Sep/21/20 Jul/07/21
Description: 322-0403// AMAT APPLIED 0010-30360 ASSY, CONN, RF SHIELD, REGULAR [USED]
spsglobal Used - $500.00 1 Sep/22/20 Dec/21/21
Description: 320-0403// AMAT APPLIED 0100-35103 PCB ASSY, MAG GEN INTERFACE ASP [USED]
spsglobal Used - $500.00 0 Sep/22/20 Oct/06/20
Description: 323-0503// AMAT APPLIED 0190-21303 CABLE ASSEMBLY, RF MATCH, PRECLEAN 2 [USED]
spsglobal Used - $500.00 0 Sep/22/20 Oct/06/20
Description: 323-0503// AMAT APPLIED 0190-21303 (#2) CABLE ASSEMBLY, RF MATCH [USED]
spsglobal Used - $500.00 0 Sep/22/20 Oct/06/20
Description: 323-0503// AMAT APPLIED 0190-21303 (#1) CABLE ASSEMBLY, PRECLEAN [USED]
grandbirdnet Used - $1,400.00 1 Sep/22/20 May/11/23
Description: AMAT 0190-12032 MOTOR SERVO 100W, W/DOUBLE FLATS, W/ CON, USED
spsglobal Used - $800.00 0 Sep/24/20 Sep/24/20
Description: 323-0503// AMAT APPLIED 0630-01361 CAPVAR 5KV 25-4000PF VACUUM CERAMIC USED
prism_electronics12 Used - $999.99 0 Sep/25/20 Sep/28/20
Description: APPLIED MATERIALS AMAT 0190-09467 USED CHAMBER B SERIPLEX BOARD
expertsurplus Used - $350.00 0 Oct/13/20 Sep/23/21
Description: AMAT, MANIFOLD 5RA 2-1 PROCESS FUJIKIN CXZ, 0050-03139, Used
expertsurplus Used - $350.00 1 Oct/13/20 Mar/03/22
Description: AMAT, WELDMENT, MIXER-DIVERTER , P5000 MCVD NUPRO VALVE, 0050-10395, Used
expertsurplus Used - $450.00 1 Oct/13/20 Sep/27/22
Description: AMAT, Gasline Mixed, Lower Isolator, HT Besc, 0050-75729, Used
expertsurplus Used - $200.00 3 Oct/13/20 Dec/06/21
Description: AMAT, Gasline Dual Valve LLC, 0050-20662, Used
expertsurplus Used - $150.00 1 Oct/14/20 Aug/26/21
Description: AMAT, Gas Line No.3 Preclean Chamber Reactive PR, 0190-20015, Used
expertsurplus Used - $250.00 1 Oct/14/20 Jun/02/22
Description: AMAT, Weldment Assy, Dual Baratron VCR, 0050-31075, Used
plccenter Used - $1,900.00 0 Oct/27/20 May/02/22
Description: APPLIED MATERIALS 0100-09054 / 010009054 (USED TESTED CLEANED)
plccenter Used - $990.00 0 Oct/27/20 Aug/07/23
Description: APPLIED MATERIALS 0100-11002 / 010011002 (USED TESTED CLEANED)
spsglobal Used - $360.00 0 Oct/27/20 May/26/22
Description: 322-0102// AMAT APPLIED 0190-09470 COOLANT FLOW SWITCH, 50GPM,PROTEUS,SST [USED]
spsglobal Used - $240.00 0 Oct/27/20 May/17/22
Description: 322-0102// AMAT APPLIED 0190-00530 WATER FLOW SWITCH W/ METER,1.5-1-8 LPM [USED]
spsglobal Used - $180.00 0 Oct/27/20 May/26/22
Description: 322-0102// AMAT APPLIED 0150-10746 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $180.00 0 Oct/27/20 May/26/22
Description: 322-0102// AMAT APPLIED 0140-09881 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $360.00 0 Oct/27/20 May/26/22
Description: 322-0101// AMAT APPLIED 0150-09505 CABLE ASSY,FLOW METER [USED]
spsglobal Used - $20,000.00 0 Oct/27/20 Jan/31/21
Description: 114-0201// AMAT APPLIED 0190-09264 MAGNETRON POWER SUPPLY, 1500W [USED]
spsglobal Used - $180.00 0 Oct/27/20 Dec/29/21
Description: 322-0202// AMAT APPLIED 0090-20303 SW ASSY WTR FLOW 1/4 NPT BRS 0.5 GPM [USED]
spsglobal Used - $1,800.00 0 Nov/01/20 Nov/18/20
Description: 333-0401// AMAT APPLIED 0020-20295 wFRAME SOURCE 11.30" [USED]
spsglobal Used - $800.00 0 Nov/05/20 May/26/22
Description: 322-0303// AMAT APPLIED 0020-10669 0020-31283 PORT VACUUM,WB [USED]
spsglobal Used - $20.00 0 Nov/05/20 May/26/22
Description: 321-0301// AMAT APPLIED 0690-01566 CLAMP FLG DBL-CLAW NW AL M8-HEX [USED]
spsglobal Used - $1,000.00 1 Nov/05/20 Nov/03/21
Description: 320-0403// AMAT APPLIED 0190-07970 INTERLOCK MODULE PRODUCER SE CDN [USED]
spsglobal Used - $80.00 0 Nov/06/20 May/26/22
Description: 352-0502// AMAT APPLIED 0090-70014 ASSY BLOW OUT VALVE [USED]
spsglobal Used - $560.00 1 Nov/05/20 Feb/07/21
Description: 321-0102// AMAT APPLIED 0020-33164 HOUSING, THROTTLE VALVE [USED]
spsglobal Used - $50.00 0 Nov/05/20 May/10/21
Description: 346-0102// AMAT APPLIED 0020-20651 BRACKET GUIDE SOURCE [USED]
spsglobal Used - $40.00 0 Nov/05/20 May/26/22
Description: 344-0401// AMAT APPLIED 0020-33579 CLAMP,LOWER CABLE,THROTTLE VALVE [USED]
spsglobal Used - $40.00 0 Nov/05/20 May/26/22
Description: 344-0401// AMAT APPLIED 0020-33578 CLAMP,LOWER CABLE,THROTTLE VALVE [USED]
spsglobal Used - $20.00 0 Nov/05/20 May/26/22
Description: 341-0501// AMAT APPLIED 0020-31520 SPACER, SUPPORT HANGER, ASP [USED]
spsglobal Used - $400.00 1 Nov/06/20 May/11/22
Description: 151-0201// AMAT APPLIED 0020-10665 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $60.00 0 Nov/06/20 May/26/22
Description: 176-0302// AMAT APPLIED 1040-01015 BROOKS TRANSMITTER [USED]
spsglobal Used - $100.00 0 Nov/06/20 May/26/22
Description: 350-0301// AMAT APPLIED 0020-10113 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $200.00 0 Nov/06/20 Nov/23/21
Description: 324-0201// AMAT APPLIED 0021-10642 FEEDTHRU,HELIUM,HOUSING [USED]
spsglobal Used - $60.00 0 Nov/06/20 May/26/22
Description: 347-0301// AMAT APPLIED 0020-04124 COVER,FEEDTHRU [USED]
spsglobal Used - $330.00 0 Nov/06/20 May/26/22
Description: 347-0302// AMAT APPLIED 0040-76076 MANIFOLD SHORT FACILITIES I/F CENTURA [USED]
spsglobal Used - $290.00 0 Nov/06/20 May/26/22
Description: 347-0302// AMAT APPLIED 0021-06739 MANIFOLD, EXTENSION, MAINFRAME WATER [USED]
spsglobal Used - $100.00 0 Nov/06/20 May/26/22
Description: 347-0402// AMAT APPLIED 0020-04079 FEEDTHRU, HELIUM [USED]
spsglobal Used - $20.00 0 Nov/06/20 May/26/22
Description: 350-0202// AMAT APPLIED 0020-09358 APPLIED MATRIALS COMPONENTS [USED]
dy-global Used - $399.95 1 Nov/09/20 May/26/22
Description: Lam Research Used 810-033620-008 REV.A MATCH INTERFACE 3 CAP PCB-I-E-761=6BX3
smartelektronikgmbh Used - $450.00 1 Nov/11/20 Dec/11/22
Description: AMAT APPLIED 0100-09006 PCB ASSY, INTELLIGENT INTERFAC USED
greenacres4x4ltd Used - $11.00 0 Nov/14/20 Nov/17/20
Description: AMAT 1140-90164 HITEK POWER , USED
galacticmarketplace Used - $389.99 1 Nov/17/20 Apr/13/21
Description: AMAT Applied Materials Wafer Base 200mm Load 0021-77141 E2 SHP01 7-1/4" Used
bobsgoodies2 Used - $36.00 0 Nov/18/20 Mar/31/22
Description: AMAT 0050-06668 3/8" Teflon Hose, Heat Exchanger, H2O in Used in 0010-38114
spsglobal Used - $60.00 0 Nov/23/20 Apr/18/23
Description: 123-0302// AMAT APPLIED 0015-70041 ASSY CAM FOLLOWER CROWNE [USED]
equipplus Used - $3,299.00 0 Nov/23/20 Feb/23/23
Description: LAM Research 853-051190-526 Rev A,1001685,714-050912-203 Rev E,Used,US&6956
equipplus Used - $109.00 1 Nov/24/20 Dec/19/21
Description: LAM Research 771-001032-014 Rev C Proteus 98008SA10F3P2,1002600,Used,US$6958
aviationbooks Used - $3.33 0 Nov/28/20 Dec/01/20
Description: AMAT 1140-90164 HITEK POWER , USED
spsglobal Used - $150.00 0 Nov/29/20 Jan/06/21
Description: 343-0201// AMAT APPLIED 3870-01325 FTG RLF VALVE VENT APTR CRYOPUMP AL [USED]
spsglobal Used - $150.00 0 Nov/29/20 Jan/26/21
Description: 343-0201// AMAT APPLIED 3870-01325 (#1) FTG RLF VALVE VENT APTR CRYOPUMP [USED]
zhenzhen-l Used - $3.33 0 Nov/30/20 Dec/05/20
Description: AMAT 1140-90164 HITEK POWER , USED
ilkleymoormusic Used - $1.22 0 Dec/01/20 Dec/06/20
Description: AMAT 1140-90164 HITEK POWER , USED
spsglobal Used - $12,000.00 0 Dec/01/20 Apr/18/21
Description: 114-0101// AMAT APPLIED 0920-01019 TESTED GENRF 400KHZ 208VAC 3 PHASE [USED]
dy-global Used - $99.95 3 Dec/02/20 Apr/13/22
Description: Lam RESEARCH Used ASSY 810-800156-002 REV.C WATER DETECTOR SEM-I-54=o208
spsglobal Used - $20.00 0 Dec/02/20 Dec/13/20
Description: 342-0101// AMAT APPLIED 0020-22839 PIN, PRECLEAN LIFT [USED]
spsglobal Used - $560.00 0 Dec/02/20 Jun/21/21
Description: 321-0102// AMAT APPLIED 0020-32075 HOUSING,OUTER,HRTV [USED]
spsglobal Used - $5,760.00 0 Dec/03/20 Apr/08/21
Description: 320-0501// AMAT APPLIED 0190-09769 MAGNATRON ASSY, 1500 WATTS [USED]
spsglobal Used - $2,500.00 0 Dec/03/20 Mar/18/21
Description: 303-0401// AMAT APPLIED 0190-09395 LAMP DRIVER, RING LUGS, DELTA POWER [USED]
spsglobal Used - $20,000.00 0 Dec/03/20 Jan/25/21
Description: 114-0201// AMAT APPLIED 0190-49768 ENI NOVA-25A-01 RF GENERATOR [USED]
grandbirdnet Used - $6,000.00 0 Dec/04/20 Dec/06/20
Description: AMAT 0190-14368 YASKAWA ELECTRIC SMC2000-8DM, USED
allsportstuff Used - $21.00 0 Dec/11/20 Dec/16/20
Description: AMAT 1140-90164 HITEK POWER , USED
efi6 Used - $21.00 0 Dec/12/20 Dec/17/20
Description: AMAT 1140-90164 HITEK POWER , USED
vestigeclothinguk Used - $23.00 0 Dec/19/20 Dec/24/20
Description: AMAT 1140-90164 HITEK POWER , USED
funmie2006 Used - $37.00 0 Dec/27/20 Jan/01/21
Description: AMAT 1140-90164 HITEK POWER , USED
grandbirdnet Used - $6,800.00 0 Dec/28/20 Jan/06/21
Description: AMAT 0660-00602 CARD VME 6U 68040 CONFIG PC , USED
dy-global Used - $129.95 2 Jan/05/21 Jul/24/23
Description: Lam RESEARCH Used 810-801237-001 REV.D STEPPER DRIVER INTERFACE PCB-I-E-677=6BX3
spsglobal Used - $2,500.00 0 Jan/18/21 Mar/16/21
Description: 320-0202// AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION [USED]
spsglobal Used - $1,500.00 0 Jan/18/21 Mar/07/22
Description: 323-0503// AMAT APPLIED 0190-15905 CABLE ASSY SQS(M) R/A TO SQS(M) R/A [USED]
spsglobal Used - $660.00 1 Jan/18/21 Jul/11/22
Description: 320-0403// AMAT APPLIED 0190-34521 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $10,000.00 0 Jan/18/21 Mar/19/21
Description: 114-0201// AMAT APPLIED 0920-01006 (TESTED) wGEN RF 13.56MHZ 1KW [USED]
techequipsales Used - $2,000.00 1 Jan/19/21 Jun/24/21
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
joymusic Used - $175.00 1 Jan/19/21 Aug/16/22
Description: Applied Materials 1350-00681 CAPACITANCE MANOMETER,Used
joymusic Used - $570.00 1 Jan/20/21 Sep/02/22
Description: 3 pcs Applied materials 1350-00681+1 pcs 1350-00683 CAPACITANCE MANOMETER Used
grandbirdnet Used - $4,500.00 0 Jan/21/21 Jan/27/21
Description: AMAT 0920-00128 APEX 3013 3156114-005 A RF GENERATOR, USED
vitalfluke Used - $34.00 0 Jan/22/21 Jan/27/21
Description: AMAT 1140-90164 HITEK POWER , USED
dd4655 Used - $32.00 0 Jan/22/21 Jan/27/21
Description: AMAT 1140-90164 HITEK POWER , USED
shabfashion Used - $34.00 0 Jan/29/21 Feb/03/21
Description: AMAT 1140-90164 HITEK POWER USED
joymusic Used - $1,699.00 0 Jan/31/21 Dec/31/21
Description: AMAT 0190-75057 Used
joymusic Used - $1,499.00 1 Jan/31/21 Apr/12/21
Description: AMAT PCB 0100-20100 Used
joymusic Used - $750.00 1 Jan/31/21 Mar/15/21
Description: Applied Materials 0010-47822 Used
joymusic Used - $2,150.00 0 Jan/31/21 Dec/31/21
Description: AMAT 0010-52595 0041-50046 Used
grandbirdnet Used - $5,800.00 1 Jan/31/21 May/04/23
Description: AMAT 0920-00128 APEX 3013 3156114-005 A RF GENERATOR, USED
grandbirdnet Used - $6,800.00 1 Jan/31/21 Feb/01/21
Description: BROOKS 002-5383-02 Wafer Robot armset NOVELLUS 22-112341-00, USED
grandbirdnet Used - $800.00 0 Feb/01/21 Nov/07/22
Description: AMAT 0090-00417 ASSY MOTORIZED HEATER LIFT, USED
grandbirdnet Used - $2,500.00 0 Feb/01/21 Dec/28/22
Description: NOVELLUS 27-161246-00 OVERTEMP CHANNEL CONTROL BOX, USED
joymusic Used - $1,450.00 0 Feb/04/21 Jan/04/22
Description: applied Materials Controller 0190-14928 Used
joymusic Used - $1,450.00 1 Feb/04/21 Aug/04/21
Description: applied Materials 0060-01805 Used
banyanteam Used - $325.00 1 Feb/04/21 Oct/04/21
Description: MKS 127AA-000.1B Used Baratron Pressure Transducer For Novellus 27-125905-00
banyanteam Used - $650.00 2 Feb/04/21 Jun/14/22
Description: Novellus 02-125046-00 Used HCM APVD Control Module 27-119743-00
salter49craft Used - $32.00 0 Feb/05/21 Feb/08/21
Description: AMAT 1140-90164 HITEK POWER USED
0115haywood8 Used - $34.00 0 Feb/05/21 Feb/10/21
Description: AMAT 1140-90164 HITEK POWER USED
andreappleyar_1 Used - $34.00 0 Feb/14/21 Feb/19/21
Description: AMAT 1140-90164 HITEK POWER USED
daviddextermvr Used - $2.70 0 Feb/20/21 Mar/02/21
Description: AMAT 1140-90164 HITEK POWER USED
mrsobut Used - $2.50 0 Feb/20/21 Mar/02/21
Description: AMAT 1140-90164 HITEK POWER USED
varogon Used - $2.90 0 Feb/20/21 Mar/02/21
Description: AMAT 1140-90164 HITEK POWER USED
spsglobal Used - $10,000.00 0 Feb/22/21 Jun/24/21
Description: 108-0101// AMAT APPLIED 0190-76028 TESTED OEM-12B3-02 GEN, RF 1250W [USED]
spsglobal Used - $1,000.00 2 Feb/24/21 Feb/24/21
Description: 111-0102// AMAT APPLIED 0090-09244 (#1) COIL, MAGNET [USED]
equipplus Used - $499.00 0 Feb/25/21 Feb/25/23
Description: LAM Research 853-064887-402 Rev B RF Source,vendor Code 1033341,Used,US^7086
onexlounge Used - $2.90 0 Feb/26/21 Mar/08/21
Description: AMAT 1140-90164 HITEK POWER USED
banyanteam Used - $142.50 3 Mar/02/21 Mar/10/22
Description: Applied Materials 0010-00561 USED Emission Detector AMAT 8300 Etch
banyanteam Used - $110.00 1 Mar/03/21 Mar/31/22
Description: Applied Materials 0015-00087 Used AMAT Damper & Bearing Assembly Endine ADA 505
nece29 Used - $2.40 0 Mar/05/21 Mar/15/21
Description: AMAT 1140-90164 HITEK POWER USED
bloodalley Used - $2.40 0 Mar/06/21 Mar/16/21
Description: AMAT 1140-90164 HITEK POWER USED
adcamdarts Used - $2.90 0 Mar/06/21 Mar/16/21
Description: AMAT 1140-90164 HITEK POWER USED
betiebsleiter Used - $2.90 0 Mar/07/21 Mar/17/21
Description: AMAT 1140-90164 HITEK POWER USED
schnabullek Used - $34.00 0 Mar/07/21 Mar/17/21
Description: AMAT 1140-90164 HITEK POWER USED
spsglobal Used - $800.00 0 Mar/08/21 Apr/08/21
Description: 321-0203// AMAT APPLIED 0870-01002 DRIVE 5-PHASE STEPPER MOTOR [USED]
techequipsales Used - $1,750.00 0 Mar/08/21 Apr/20/23
Description: LAM 2300 KIY03X 853-002336-002 AC Enclosure *used working
techequipsales Used - $2,000.00 0 Mar/08/21 Apr/20/23
Description: LAM 716-040607-001 ESC Electrostatic Chuck *used working
equipplus Used - $429.00 3 Mar/09/21 Dec/21/22
Description: Lam Research 810-225420-002 Rev A Pcba,Lonworks,6Port RS232,NODE,Used,US^7099
spsglobal Used - $10.00 0 Mar/10/21 Mar/15/22
Description: 324-0101// AMAT APPLIED 0020-20479 STUD CRYO/GATE PROCESS CHAMBER [USED]
equipplus Used - $229.00 2 Mar/11/21 Mar/30/21
Description: Lam Research 605-230312-007 Rev B Board,TRUST,P.M.D MC55320CP 3.1G,Used,US^7106
spsglobal Used - $840.00 0 Mar/12/21 Sep/22/21
Description: 146-0401// AMAT APPLIED 0190-10630 PURCHASE SPEC, 75 FT ION GAUGE [USED]
mimamodparis Used - $2.90 0 Mar/12/21 Mar/22/21
Description: AMAT 1140-90164 HITEK POWER USED
mtrshh Used - $32.00 0 Mar/12/21 Mar/22/21
Description: AMAT 1140-90164 HITEK POWER USED
!pueppchen* Used - $1.90 0 Mar/13/21 Mar/23/21
Description: AMAT 1140-90164 HITEK POWER USED
spsglobal Used - $460.00 0 Mar/15/21 Oct/05/21
Description: 146-0501// AMAT APPLIED 0190-21559 PURCH SPEC 30FT NUDE ION GAUGE CABLE [USED]
spsglobal Used - $800.00 0 Mar/15/21 Apr/07/21
Description: 321-0203// AMAT APPLIED 0870-01003 UD2115A DRVER 2-PHASE STEPPER MOTOR [USED]
spsglobal Used - $2,160.00 0 Mar/15/21 May/08/23
Description: 326-0401 AMAT APPLIED 0190-09484 TEMP CONTROLLER KIT PER CHAMBER [USED]
spsglobal Used - $100.00 2 Mar/18/21 Aug/17/23
Description: 143-0402// LAM CABLE 853-075948-281 [USED]
spsglobal Used - $10.00 0 Mar/18/21 Mar/02/22
Description: 343-0302// AMAT APPLIED 3690-03481 SCR CAP FLT HD 10-32X1/4L SLOT 82DEG [USED]
0754rockss Used - $1.00 0 Mar/19/21 May/05/21
Description: 1x Used Lam 853-800087-406 special offer 90day warranty
sirwingnuts3 Used - $2.90 0 Mar/19/21 Mar/29/21
Description: AMAT 1140-90164 HITEK POWER USED
nataliebinder1 Used - $2.40 0 Mar/19/21 Mar/29/21
Description: AMAT 1140-90164 HITEK POWER USED
sohamaus Used - $32.00 0 Mar/19/21 Mar/29/21
Description: AMAT 1140-90164 HITEK POWER USED
spsglobal Used - $1,900.00 3 Mar/23/21 Mar/25/21
Description: 350-0102// AMAT APPLIED 0010-30091 HV MODULE ASSY USED
equipplus Used - $109.00 1 Mar/23/21 Mar/13/22
Description: Lam 771-001032-014 Rev C Proteus Industries 98008SA10F3P2,1002600,Used,US^7124
0754rockss Used - $1.00 0 Mar/24/21 Mar/24/22
Description: Used SPELLMAN ESC5PN25X3717 Model: X3717 AMAT 0190-23905 Fast DHL
guentero_1 Used - $5.00 0 Mar/25/21 Apr/04/21
Description: AMAT 1140-90164 HITEK POWER USED
equipplus Used - $899.00 2 Mar/25/21 Jan/03/22
Description: LAM Research 810-072687-414 Rev A Node1 Interlock Control bd,Used,US^7129
fahri_t Used - $5.00 0 Mar/26/21 Apr/05/21
Description: AMAT 1140-90164 HITEK POWER USED
ulhe1 Used - $32.00 0 Mar/26/21 Apr/05/21
Description: AMAT 1140-90164 HITEK POWER USED
umsab Used - $1,500.00 0 Mar/27/21 Apr/06/21
Description: AMAT 1140-90164 HITEK POWER USED
equipplus Used - $599.00 2 Mar/28/21 Apr/15/22
Description: LAM Research 810-068158-015 Rev A Robot Interface Board,Used,US^7133
equipplus Used - $379.00 1 Mar/28/21 Oct/06/21
Description: LAM Research 810-810193-103 Rev C Motherboard VTM board,Used,US^7130
equipplus Used - $189.00 2 Mar/28/21 Apr/17/23
Description: LAM Research 810-801130-005 Rev B Assy TC & RTD Board,Used,US^7136
equipplus Used - $199.00 2 Mar/28/21 Dec/27/22
Description: LAM Research 810-001489-016 Rev A Rocker Valve Interface Board,Used,Mal^7134
xl-t_com Used - $80.00 0 Mar/29/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-09580 - CLIP, MECHANISM GUARD, USED
xl-t_com Used - $320.00 0 Mar/29/21 Oct/19/21
Description: APPLIED MATERIALS - 0100-09153 - PCB,GAS PANEL INTERFACE W/O STANDOFFS (used)
spsglobal Used - $200.00 1 Mar/30/21 Jul/11/22
Description: 349-0501// AMAT APPLIED 0020-22351 HOUSING POSITION D [USED]
spsglobal Used - $8,000.00 0 Apr/01/21 May/24/22
Description: 175-0201// AMAT APPLIED 0190-39484 MKS AX7700 PARAGON F ASTEX [USED]
spsglobal Used - $8,000.00 0 Apr/01/21 Jun/10/21
Description: 175-0201// AMAT APPLIED 0190-52331 MKS AX7710MTS-02 PARAGON F ASTEX [USED]
spsglobal Used - $7,000.00 0 Apr/02/21 Jun/06/21
Description: 168-0201// AMAT APPLIED 0010-76322 ASSY., COOL DOWN CHAMBER, NON-ENP [USED]
rwh6683 Used - $1,500.00 0 Apr/02/21 Apr/12/21
Description: AMAT 1140-90164 HITEK POWER USED
brandoncc2005 Used - $1,000.00 0 Apr/03/21 Apr/13/21
Description: AMAT 1140-90164 HITEK POWER USED
efegoeksad Used - $2.90 0 Apr/04/21 Apr/14/21
Description: AMAT 1140-90164 HITEK POWER USED
spsglobal Used - $3,500.00 1 Apr/04/21 Jan/11/22
Description: 320-0302// AMAT APPLIED 0100-76004 PCB ASSY, CENTERFINDER CONTROL [USED]
equipplus Used - $569.00 1 Apr/04/21 Sep/18/21
Description: LAM Research 810-073479-005 Rev A Jetstream Gas Box MB Board,Used,US^7141
spsglobal Used - $5,000.00 0 Apr/05/21 Feb/15/22
Description: 305-0301// AMAT APPLIED 0010-13927 PEDESTAL LIFT ASSEMBLY PRECLEAN 2K [USED]
equipplus Used - $1,299.00 0 Apr/06/21 Oct/18/23
Description: LAM Research 810-107813-107 Rev C 365 Min ESC PWR SPLY Board,Used,US^7147
spsglobal Used - $40.00 0 Apr/07/21 May/28/21
Description: 326-0401// AMAT APPLIED 0150-00458 CABLE ASSY VACUUM RELAY FILTER TO HARNES USED
spsglobal Used - $80.00 3 Apr/07/21 Jun/28/21
Description: 347-0402// AMAT APPLIED 0020-13959 CAP, 8 HTHU HEATER [USED]
abcatlegacyparts Used - $149.99 27 Apr/11/21 Mar/11/24
Description: One (1) OEM Part (AMAT) 0100-00011 PWB, CHOPPER DRIVE, Used
nannycat1868 Used - $149.99 2 Apr/11/21 Jan/11/23
Description: AMAT 0100-00014 PWB OPTO DETECTOR, USED
grandbirdnet Used - $5,900.00 0 Apr/12/21 Nov/10/21
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030, USED
galacticmarketplace Used - $350.00 2 Apr/14/21 Apr/14/21
Description: AMAT Applied Materials Wafer Base 200mm Load 0021-77141 E2 SHP01 7-1/4" Used
pneumatplus10 Used - $199.00 0 Apr/14/21 Aug/14/22
Description: LAM Research 810-800082-311 Rev A VME Breakout Board,Used,US^7156
pneumatplus10 Used - $199.00 1 Apr/14/21 Jul/29/21
Description: LAM Research 810-800082-043 Rev A VME Breakout Board,Used,Mal^7154
pneumatplus10 Used - $799.00 0 Apr/14/21 Nov/14/22
Description: LAM Research 810-800256-005 Rev F Node Board,Type 3,Used,Mal^7159
pneumatplus10 Used - $1,189.00 0 Apr/14/21 Jan/19/22
Description: LAM Research 810-800256-207 Rev E Node Board,Control Type 3,Used,US^7158
veleda777 Used - $0.13 0 Apr/17/21 Apr/27/21
Description: AMAT 1140-90164 HITEK POWER , USED
equipplus Used - $159.00 0 Apr/20/21 May/18/23
Description: LAM Research 839-292977-004 Rev B 1034306 Bellows Lifter,Used,US^7178
banyanteam Used - $1,650.00 1 Apr/22/21 Jul/08/21
Description: Novellus 02-157567-00 Used Assembly Platform Cont. Dual Ether Rev B
spsglobal Used - $60.00 0 Apr/26/21 Apr/26/21
Description: 326-0401// AMAT APPLIED 0140-20467 HARNESS ASSY, RF INTERLOCK [USED]
0754rockss Used - $1.00 0 Apr/27/21 Oct/27/21
Description: Used AMAT 0190-52249 Applied Materials RF unit
spsglobal Used - $2,500.00 0 May/03/21 Aug/03/22
Description: 320-0202// AMAT APPLIED 0100-02134 PCB ASSEMBLY, GAS PANEL DISTRIBUTION [USED]
pneumatplus10 Used - $1,199.00 0 May/04/21 May/18/23
Description: Lam Research 853-151282-001 Rev A,Cascade Controls Assy 1034118 ,Used,US^95664
expertsurplus Used - $250.00 1 May/11/21 Dec/01/23
Description: AMAT, 0050-21455 WELDMENT GAS LINE N2 COH TIN, Used
spsglobal Used - $12,000.00 0 May/12/21 Jul/21/21
Description: 114-0201// AMAT APPLIED 0190-24488 3155083-505 HFV8000 RF GEN, TESTED [USED]
katiil3 Used - $499.00 0 May/12/21 Oct/23/21
Description: Applied Materials AMAT 0021-40239 REV 001 Used Ti Disk Shutter TWAS Plate
banyanteam Used - $695.00 0 May/12/21 Apr/20/23
Description: Novellus Type 15-112301-00 Used Dark Space Ring Shield Refurbished Thermal Coat
spsglobal Used - $3,500.00 0 May/14/21 May/30/21
Description: 303-0301// AMAT APPLIED 0190-70060 wMAGNET DRIVE ASSY 1100-0051-00 [USED]
spsglobal Used - $250.00 0 May/14/21 Dec/22/21
Description: 322-0402// AMAT APPLIED 3310-01055 GAUGE CONVECTRON TUBE 1/4 FVCR [USED]
techequipsales Used - $150.00 0 May/18/21 Jan/26/24
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-10847 70 SCCM WF6 *used working
techequipsales Used - $150.00 0 May/18/21 Jan/26/24
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-05297 500 SCCM NF3 *used working
semitech888 Used - $80.00 0 May/19/21 Jun/04/21
Description: [PROMOTION] USED AMAT 0040-84652
semitech888 Used - $80.00 0 May/19/21 Jun/04/21
Description: [PROMOTION] USED SLIT DOOR VALVE AMAT 0040-84390
dgold32 Used - $249.99 1 May/19/21 Jan/14/22
Description: [Used] NOVELLUS / 27-252827-00 / TT2301R2-1-NES-NOVELLUS2, Teach Pendant
m*.s* Used - $2,500.00 0 May/24/21 May/24/21
Description: AMAT 1140-90164 HITEK POWER USED
merinstern Used - $2,037.45 0 May/28/21 Jun/07/21
Description: AMAT 1140-90164 HITEK POWER USED
0754rockss Used - $1,500.00 0 May/28/21 Aug/23/21
Description: Applied Materials Controller VERITY SD1024D-2-S 0190-19763 REV001 Used
spsglobal Used - $6,000.00 1 Jun/09/21 Jun/09/21
Description: 168-0201// AMAT APPLIED 0010-76322 ASSY., COOL DOWN CHAMBER, NON-ENP [USED]
prism_electronics10 Used - $200.00 0 Jun/10/21 Jun/10/21
Description: AMAT Applied Materials 0190-35168 Fluid Flow Switch Assy Used
pneumatplus10 Used - $399.00 2 Jun/13/21 Sep/22/21
Description: LAM Research 790-225059-005 Rev A Metal Bellows Prime Mover,Used,US^95689
pneumatplus10 Used - $319.00 1 Jun/16/21 Mar/16/22
Description: LAM Research 790-073306-009 Metal Bellows Prime Mover,Used,US^95690
sdlindustrialclub Used - $1,500.00 0 Jun/16/21 Dec/29/21
Description: used CTI laser galvanometer 6230H 355nm / Connector PN:183333 with field len
sdlindustrialclub Used - $1,000.00 0 Jun/16/21 Dec/29/21
Description: used CTI laser galvanome lens 6230H 355nm Connector PN:183333 with field lens
radwelloverstock Used - $103.13 0 Jun/16/21 Dec/28/21
Description: ARTESYN TECHNOLOGIES CTI-1000 / CTI1000 (USED)
printerpartsandmore Used - $100.00 0 Jun/16/21 Oct/13/21
Description: (1) KEITHLEY CTI175 ( Used)
furnacesource Used - $400.00 1 Jun/16/21 Jan/06/22
Description: CTI-Cryogenics 8300 Helium Compressor, Part # 8052000, Used
furnacesource Used - $400.00 2 Jun/16/21 Nov/10/21
Description: CTI-Cryogenics Model SC Air Cooled Compressor, Part # 8032224, Used
magnumpihonhi Used - $144.49 1 Jun/16/21 Sep/04/21
Description: USED CTI-CRYOGENICS 8044051 Cryo-Pump Purge Gas Heater FREE SHIPPING
anacapaequipment Used - $2,850.00 0 Jun/16/21 Feb/04/23
Description: CTI-Cryogenics On-board Water Pump 8120937G001 w/ Controller Module USED (8981)R
banyanteam Used - $85.00 8 Jun/16/21 May/15/22
Description: CTI Cryogenics 8112463-G050 Used Power Cable Cryo Pump 9 Pin M 10 Pin F 5 Ft
industrialsurplusstuff2021 Used - $640.00 1 Jun/16/21 Jul/25/21
Description: AE Advanced Energy MDX 1.5K 3152240-007 DC Magnetron Power Supply Untested Used
pcmml1707 Used - $110.00 0 Jun/16/21 Dec/09/21
Description: 1731 - ADVANCED MICRO CONTROLS AMCI PLC SERIES ENCODER  (used tested)
single_pole Used - $385.52 0 Jun/16/21 Jun/19/21
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
levmucciacciar0 Used - $350.00 5 Jun/16/21 Oct/13/21
Description: AMAT Applied Materials 0100-00546 Circuit Board PCB Endura 300mm Used
single_pole Used - $380.96 0 Jun/19/21 Jul/18/21
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
spsglobal Used - $130.00 0 Jun/21/21 Sep/05/22
Description: 320-0403// AMAT APPLIED 0100-01133 MAGNET CURRENT SENSE CARD [USED]
spsglobal Used - $6,000.00 2 Jun/21/21 Jul/04/21
Description: 113-0601// AMAT APPLIED 0190-15666 OZONE CONTROLLER, API-TELEDYNE [USED]
levmucciacciar0 Used - $400.00 0 Jun/23/21 Jul/11/23
Description: AMAT Applied Materials 0100-90831 Scan Clock PCB Card 0120-93033 XR80 Used
expertsurplus Used - $225.00 0 Jun/23/21 Jun/29/23
Description: AMAT, WELDMENT, 90DEG BULKHEAD FITTING, BESC D, 0050-43258, Used
smarkup Used - $905.98 5 Jun/24/21 Sep/24/22
Description: Used & Tested ADVANCED ENERGY AE SEKIDENKO OR4000T Optical Fiber Thermometer
radwelloverstock Used - $3,405.00 0 Jun/24/21 Oct/08/21
Description: ADVANCED ENERGY 64000153 / 64000153 (USED)
spsglobal Used - $450.00 0 Jul/03/21 Jul/20/21
Description: 321-0401// AMAT APPLIED 0190-75047 DRIVE, HARD DISK, 2.1 GB, 3.5 SCSI [USED]
spsglobal Used - $10,000.00 0 Jul/03/21 Jul/26/21
Description: 328-0301// AMAT APPLIED 0010-13627 ASSY HIGH EFFICIENCY RF MATCH TESTED [USED]
spsglobal Used - $800.00 0 Jul/03/21 Jul/20/21
Description: 321-0203// AMAT APPLIED 0870-01002 (#1) DRIVE 5-PHASE STEPPER MOTOR [USED]
spsglobal Used - $7,000.00 0 Jul/03/21 Aug/17/21
Description: 320-0202// AMAT APPLIED 0190-76005 SPEC CNTL DWG, INTELLIGENT MOTOR CONTROL USED
spsglobal Used - $10.00 0 Jul/03/21 Sep/08/21
Description: 321-0301// AMAT APPLIED 3690-02568 SCR CAP SKT HD 2-56X1/2L HEX SKT SST SLV USED
spsglobal Used - $300.00 0 Jul/03/21 Mar/30/23
Description: 130-0101// AMAT APPLIED 0010-30092 ASS'Y RF PEAK DETECTOR BOX, METCH [USED]
spsglobal Used - $20.00 0 Jul/03/21 Oct/27/22
Description: 142-0602// AMAT APPLIED 0150-20653 CABLE ASSY SMIF-ARM/5500 INTER [USED]
maxisemi1349 Used - $200.00 1 Jul/10/21 Nov/03/21
Description: 0020-10402 PUMPING PLATE, 200MM, used "as is"
equipplus Used - $159.00 1 Jul/10/21 May/30/23
Description: Applied Materials 0040-96158 Rev004 300mm SLIT Valve Door,Used,US^7283
1mxz-4612 Used - $288.00 0 Jul/12/21 Mar/12/22
Description: 1pc used 685-042168-004 Lam Research
equipplus Used - $199.00 0 Jul/14/21 Jun/01/23
Description: Lam Research 765-430126-006 Lift Pin Bellows,Used,US&7289B1,7371B2
single_pole Used - $380.04 0 Jul/19/21 Aug/17/21
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
equipplus Used - $2,199.00 1 Jul/28/21 Jul/23/21
Description: Advanced Energy AE 660-078399R205 RF Generator Paramount 3013,Used,CN^7303
zhenxia-2011 Used - $228.85 0 Jul/28/21 Jan/29/24
Description: 1PC Used 685-042168-004 Lam Research Controller
equipplus Used - $2,599.00 1 Jul/28/21 Jul/26/21
Description: Applied Materials 0040-54162 Rev008,301390,0190-17400 Rev03,AMAT,Used,US^7308
dy-global Used - $799.90 1 Aug/02/21 Mar/16/23
Description: LAM RESEARCH Used 853-800087-405 REV.A XP POWER X9-3P3P3P2L-12 SEM-I-912=9A37
dy-global Used - $799.90 0 Aug/02/21 Mar/16/23
Description: LAM RESEARCH Used 853-800087-405 REV.B XP POWER X9-3P3P3P2L-12 SEM-I-911=9A37
dy-global Used - $899.90 3 Aug/02/21 Jul/11/22
Description: LAM RESEARCH Used 853-800087-404 REV.D,2300 MAGNETEK MG2-1F-3FE SEM-I-913=9A37
equipplus Used - $2,999.00 1 Aug/03/21 Oct/02/21
Description: Applied Materials 0040-54162 Rev008,301390,AMAT,Used,US^7309
expertsurplus Used - $1,500.00 1 Aug/03/21 Jul/18/22
Description: Festo, Amat Module, Model 0010-77557, Used
expertsurplus Used - $2,500.00 1 Aug/04/21 Nov/15/21
Description: AE Advanced Energy, Magnetron Power Supply, MDX 3152258-002A, Used
powereletronics Used - $1,100.00 1 Aug/04/21 Aug/05/21
Description: CTI Cryogenics CPC Module Controller PN 8113169G016 . Used Working Condition
powereletronics Used - $1,500.00 1 Aug/07/21 Aug/08/21
Description: CTI Cryogenics CPC Module Controller PN 8113169G016 . Used Working Condition
katiil3 Used - $149.00 0 Aug/11/21 Oct/23/21
Description: AMAT Applied Materials GAS PANEL BD. 0100-76258 Used
anacapaequipment Used - $6,000.00 1 Aug/14/21 Aug/23/21
Description: CTI Cryogenics 9600 Cryo Compressor w/ Power Cable USED (9106)R
single_pole Used - $378.62 0 Aug/18/21 Sep/15/21
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
equipplus Used - $23.90 2 Aug/18/21 Sep/15/22
Description: Advanced Energy AE 2302194-C Enhanced Passive display Board,MDX 5K,Used,US^7362
usedeqsales Used - $911.18 0 Aug/22/21 Jan/10/22
Description: Nikon 4S018-726 Backplane Interface Board PCB LC-MTR-X4 NSR-S205C Used Working
katiil3 Used - $22,999.00 0 Aug/26/21 Aug/26/21
Description: KEINOS MKS Instruments C11002-08 RF Plasma Generator AMAT 0190-47369 Used
equipplus Used - $129.00 0 Aug/26/21 Feb/24/23
Description: LAM Research 853-251625-004 RevA,Haydon Ametek E21HAN-7.5-A05 Motor,Used,US^7376
spsglobal Used - $8,000.00 0 Aug/27/21 Apr/05/22
Description: 104-0201// AMAT APPLIED 3870-02693 VALVE GATE 8 PNEU ACTR CONFLA [USED]
spsglobal Used - $10,000.00 0 Aug/27/21 Jun/30/22
Description: 000-0000// CTI CRYOGENICS 8135901G001R 9600 COMPRESSOR [USED]
spsglobal Used - $1,500.00 1 Aug/27/21 May/12/22
Description: 170-0301// CTI-CRYOGENICS 8124063G001 CTI-CRYOGENICS 3PH MTR CONTROL [USED]
grandbirdnet Used - $1,500.00 0 Sep/07/21 Jun/15/23
Description: AMAT 0100-35212 CHAMBER A/C TRAY IPS. 5200 MCC ASSY, USED
pic_insit Used - $160.00 1 Sep/07/21 Sep/28/21
Description: AMAT P/N 3030-07346, Aera FC-D980C MFC 200 SCCM CL2, Used, Stock #137
expertsurplus Used - $150.00 0 Sep/07/21 Jun/15/23
Description: AMAT, Gas Line No.3 Preclean Chamber Reactive PR, 0190-20015, Used
katiil3 Used - $119.00 0 Sep/07/21 Oct/23/21
Description: STEC SEC-4500M Mass Flow Controller AMAT 3030-02330 10 SLM Used
plccenter Used - $8,010.00 0 Sep/07/21 Jan/25/23
Description: ADVANCED ENERGY 3152353-114D / 3152353114D (USED TESTED CLEANED)
xl-t_com Used - $150.00 0 Sep/10/21 Oct/19/21
Description: APPLIED MATERIALS - 0020-28906 - HUB DC BIAS MODIFIED SHUTTER COMPATIBLE (USED)
katiil3 Used - $249.00 0 Sep/10/21 Oct/23/21
Description: AMAT Applied Materials 0100-09126 Remote Wiring Distribution PCB Used
spsglobal Used - $120.00 0 Sep/13/21 Jun/29/23
Description: 126-0403// AMAT APPLIED 0680-01521 CB MAG THERM 3P 240VAC 60A BOLT-ON RI [USED]
spsglobal Used - $120.00 0 Sep/13/21 Nov/29/21
Description: 126-0403// AMAT APPLIED 0680-01215 CB MAG THERM 3P 240VAC 30A BOLT-ON QO [USED]
spsglobal Used - $80.00 0 Sep/13/21 Jun/29/23
Description: 126-0402// AMAT APPLIED 0680-01209 CB MAG THERM 3P 240VAC 15A BOLT-ON TY [USED]
spsglobal Used - $50.00 0 Sep/13/21 Jun/29/23
Description: 126-0401// AMAT APPLIED 0680-01214 CB MAG THERM 2P 240VAC 30A BOLT-ON QO [USED]
spsglobal Used - $60.00 0 Sep/13/21 Jun/24/22
Description: 126-0401// AMAT APPLIED 0680-01208 CB MAG THERM 2P 240VAC 15A BOLT-ON QO [USED]
spsglobal Used - $800.00 0 Sep/13/21 Nov/10/21
Description: 321-0303// AMAT APPLIED 0870-01003 UD2115A DRVER 2-PHASE STEPPER MOTOR [USED]
spsglobal Used - $320.00 0 Sep/13/21 Feb/07/22
Description: 126-0202// AMAT APPLIED 3870-01256 VALVE BLWS PNEU 1-1/2IN NW-40B ON BOTH P USED
spsglobal Used - $550.00 0 Sep/13/21 Oct/31/22
Description: 322-0102// AMAT APPLIED 0190-36147 APPLIED MATRIALS COMPONENTS [USED]
pneumatplus10 Used - $209.00 1 Sep/13/21 Oct/18/23
Description: LAM RESEARCH 853-335366-001 Controller (Part),Used,UK*95822
katiil3 Used - $199.00 0 Sep/15/21 Sep/19/21
Description: Applied materials AMAT 0020-21028 Blade 8'' Transfer Frog Leg Used
single_pole Used - $388.23 0 Sep/15/21 Oct/15/21
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
sdlindustrialclub Used - $1,799.00 0 Sep/15/21 Jan/15/22
Description: used Lam Research 832-038915-001 RF Match Network Poly Etch Autotuner sold As-Is
katiil3 Used - $1,199.00 0 Sep/16/21 Oct/23/21
Description: Advanced Energy AE R27-368450-00 3155162-037 Navigator RF Match Used
katiil3 Used - $99.00 0 Sep/18/21 Oct/23/21
Description: AMAT Applied Materials 0100-00008 TC GAUGE PWB Card Rev. K Used
pipopp_4 Used - $2,000.00 0 Sep/18/21 Sep/24/21
Description: AMAT 1140-90164 HITEK POWER USED
plccenter Used - $900.00 0 Sep/21/21 Sep/21/21
Description: ADVANCED ENERGY 3152352-108B / 3152352108B (USED TESTED CLEANED)
powereletronics Used - $1,800.00 1 Sep/28/21 Sep/30/21
Description: CTI Cryogenics CPC Module Controller P/N 8113245G001 Used Working Condition.
pneumatplus10 Used - $199.00 0 Oct/03/21 Aug/25/22
Description: Lam Research Model 853-263959-002 Rev A Part,Used,^95851
katiil3 Used - $1,899.00 0 Oct/07/21 Oct/23/21
Description: CTI-Cryogenics 8116250G003R On-Board P300 Cryopump 8113212G001R Module Used
katiil3 Used - $249.00 0 Oct/12/21 Oct/23/21
Description: Applied Materials AMAT 0020-41539 PLATE ROTARY UNION MOUNT 6P Used
equipplus Used - $139.00 1 Oct/12/21 Mar/07/23
Description: Novellus 02-440286-00 Rev C,549875010003,1034431,Used,US*7493
techequipsales Used - $1,750.00 1 Oct/15/21 Sep/08/22
Description: Advanced Energy MDX-500 3152261-012A DC Power Supply *used tested working
techequipsales Used - $1,575.00 0 Oct/15/21 Sep/08/22
Description: Advanced Energy MDX-500 3152261-012A DC Power Supply *used working*
storefarm Used - $638.00 0 Oct/15/21 Sep/08/22
Description: [Used] APPLIED / 0100-40004 / PERSONALITY BD. Rev.P4, 0110-40004
single_pole Used - $381.73 0 Oct/15/21 Nov/13/21
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
grandbirdnet Used - $1,500.00 0 Oct/17/21 Jan/26/22
Description: AMAT 0100-09251 Seriplex PCB SPX-MUXADIO01, USED
jabedow Used - $590.00 1 Oct/20/21 Feb/18/22
Description: 0040-13509, Quartz, Bell Jar, ZCoat, Preclean 300mm used
equipplus Used - $5,899.00 1 Oct/21/21 Dec/20/21
Description: Applied Materials 0040-54162 Rev008,301390,AMAT,Used,US^7309B2(b)
spsglobal Used - $15,000.00 0 Oct/25/21 Aug/16/22
Description: 301-0301// AMAT APPLIED 0010-13623 ASSY, VECTRA IMP RF MATCH .015UF CAP REV USED
spsglobal Used - $15,000.00 0 Oct/25/21 Nov/14/21
Description: 301-0201// AMAT APPLIED 0010-13622 ASSY, VECTRA IMP RF MATCH .01UF CAP REV USED
spsglobal Used - $20.00 0 Oct/25/21 Jul/02/23
Description: 351-0401// AMAT APPLIED 0680-01201 CB K-FRAME PLUG NUT LINE & SIDE [USED]
spsglobal Used - $20.00 0 Oct/25/21 Feb/10/22
Description: 351-0401// AMAT APPLIED 0680-01202 CB K-FRAME PLUG NUT LOAD SIDE [USED]
sdlindustrialclub Used - $899.00 0 Nov/03/21 Jan/03/22
Description: Used advanced energy gencal RF power meter 3152288-0001 B
plccenter Used - $1,110.00 0 Nov/03/21 Aug/28/23
Description: ADVANCED ENERGY 3152352-108B / 3152352108B (USED TESTED CLEANED)
spsglobal Used - $330.00 0 Nov/09/21 Nov/09/21
Description: 126-0201// AMAT APPLIED 3870-01212 VALVE PNEU NW 40 6LG [USED]
spsglobal Used - $1,140.00 0 Nov/09/21 Nov/09/21
Description: 322-0303// AMAT APPLIED 0010-09301 THROTTLE VALVE ASSEMBLY,TEOS, DOWNSTREAM USED
spsglobal Used - $330.00 0 Nov/09/21 Nov/09/21
Description: 126-0201// AMAT APPLIED 3870-01212 VALVE PNEU NW 40 6LG [USED]
spsglobal Used - $1,420.00 0 Nov/09/21 Nov/09/21
Description: 130-0701// AMAT APPLIED 0010-70058 (#3) STOR ELEV ASSY, 29 POSN [USED]
spsglobal Used - $330.00 0 Nov/09/21 Nov/09/21
Description: 347-0203// AMAT APPLIED 0010-09021 ASSY, I/O VALVE [USED]
katiil3 Used - $499.00 0 Nov/09/21 Mar/09/22
Description: AMAT 0021-03637 FACEPLATE SILANE, OEM USED, Producer 200mm
katiil3 Used - $449.00 0 Nov/09/21 Mar/09/22
Description: AMAT Applied Materials 0090-91229 Cryo Pump Auto N2 Purge Module ( used )
katiil3 Used - $499.00 0 Nov/09/21 Mar/09/22
Description: Applied Materials AMAT 0021-40239 REV 001 Used Ti Disk Shutter TWAS Plate
katiil3 Used - $1,419.00 0 Nov/09/21 Mar/09/22
Description: AE Advanced Energy/ AMAT 0190-24495 DC Generator 3152412-223 Pinnacle 20kW Used
katiil3 Used - $1,899.00 0 Nov/09/21 Mar/09/22
Description: CTI-Cryogenics 8116250G003R On-Board P300 Cryopump 8113212G001R Module Used
katiil3 Used - $1,899.00 2 Nov/09/21 Jul/09/22
Description: Nikon 4S587-338 Linear Motor Controller SPA432D 4S013-374-2 Used good
katiil3 Used - $899.00 0 Nov/09/21 Jan/04/22
Description: Applied materials/ AMAT 0021-34728 BLOCKER,PLATE,SICONI,300MM Used good
katiil3 Used - $1,199.00 0 Nov/09/21 Mar/09/22
Description: Advanced Energy AE R27-368450-00 3155162-037 Navigator RF Match Used
katiil3 Used - $199.00 1 Nov/10/21 Jan/10/23
Description: Applied materials 0040-45853 w/ SMC MHZL2-20C-X3074 Used good
katiil3 Used - $89.00 0 Nov/10/21 Feb/20/24
Description: Applied materials 0190-14285 EPI 300MM Interlock Module DIP-428-015 Used
katiil3 Used - $99.00 0 Nov/10/21 Apr/23/23
Description: AMAT Applied Materials 0100-00008 TC GAUGE PWB Card Rev. K Used
spalding_fasteners Used - $3,000.00 0 Nov/11/21 Nov/21/21
Description: AMAT 1140-90164 HITEK POWER USED
dy-global Used - $899.90 0 Nov/13/21 Aug/25/22
Description: NIKON Used 4S019-525 X7 MTT-GATE REV.A PCB-I-E-1721=9G23
single_pole Used - $377.65 0 Nov/13/21 Dec/13/21
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
usedeqsales Used - $254.17 0 Nov/15/21 Nov/19/21
Description: AMAT Applied Materials 0100-09224 Expanded RS232 Interconnect PCB P5000 Used
iluvltd Used - $2,000.00 0 Nov/18/21 Nov/28/21
Description: AMAT 1140-90164 HITEK POWER USED
retrostarlondon Used - $3,000.00 0 Nov/19/21 Nov/29/21
Description: AMAT 1140-90164 HITEK POWER USED
whybee-adventure Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: AMAT 1140-90164 HITEK POWER USED
tiswas Used - $3,000.00 0 Nov/20/21 Nov/30/21
Description: AMAT 1140-90164 HITEK POWER USED
hehapr Used - $1,500.00 0 Nov/20/21 Nov/26/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
liufen-20162 Used - $226.80 0 Nov/24/21 Jan/24/23
Description: 1PC Used 685-042168-004 Lam Research Controller
hehapr Used - $1,500.00 0 Nov/26/21 Dec/03/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
grandbirdnet Used - $1,200.00 0 Nov/29/21 Jun/29/23
Description: AMAT 0190-22226 POWERWARE RACKMOUNT UPS , USED
grandbirdnet Used - $2,500.00 0 Nov/29/21 Aug/30/23
Description: AMAT 0090-05528 TRANSFORMER ASSEMBLY, 120VAC , PRODUCER S , USED
zindchau15 Used - $3,490.00 0 Dec/01/21 Jan/31/22
Description: APPLIED MATERIALS 0041-13866 ALUMINIUM SICONI SHOWERHEAD USED CLEANED
spsglobal Used - $500.00 0 Dec/03/21 Jan/13/22
Description: 126-0402// AMAT APPLIED 0680-01219 CB MAG 3P 240VAC 50A LOAD SIDE ACPT R [USED]
spsglobal Used - $330.00 0 Dec/03/21 Mar/16/23
Description: 130-0101// AMAT APPLIED 0100-20191 PCB ASSY 486PC P2 DISTRIBUTION [USED]
spsglobal Used - $8,500.00 0 Dec/03/21 Mar/06/22
Description: 130-0201// AMAT APPLIED 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16 USED
spsglobal Used - $1,860.00 0 Dec/03/21 Mar/16/23
Description: 318-0102// AMAT APPLIED 0010-02258 ASSY HALOGEN LAMP, LOW TEMP ESC / BESC [USED]
spsglobal Used - $850.00 0 Dec/03/21 Mar/16/23
Description: 317-0101// AMAT APPLIED 0020-40066 BLANK CRYO PUMP ROUGHING [USED]
spsglobal Used - $30.00 0 Dec/03/21 Feb/24/22
Description: 142-0502// AMAT APPLIED 0150-00696 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $120.00 0 Dec/03/21 Mar/16/23
Description: 142-0301// AMAT APPLIED 0140-00761 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $360.00 0 Dec/03/21 Mar/16/23
Description: 142-0203// AMAT APPLIED 0140-00726 H/A LAMP INTEGRATION LTESC [USED]
spsglobal Used - $5,200.00 0 Dec/03/21 Mar/16/23
Description: 129-0301// AMAT APPLIED 0010-01388 0100-00496 LTESC CONTROL BOX ASSY [USED]
spsglobal Used - $2,700.00 0 Dec/03/21 Mar/16/23
Description: 331-0401// AMAT APPLIED 0040-20300 ADAPTER, CRYO PUMP, EXT P/C [USED]
spsglobal Used - $6,500.00 0 Dec/03/21 Mar/13/23
Description: 331-0201// AMAT APPLIED 0010-02406 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $2,400.00 0 Dec/03/21 Mar/16/23
Description: 136-0401// AMAT APPLIED 0010-76005 ASSY BLADE ROBOT 8 [USED]
spsglobal Used - $240.00 0 Dec/03/21 Mar/16/23
Description: 130-0102// AMAT APPLIED 0100-00275 PCB ASSY, LT/ESC/AI/INTLK BREAK-OUT [USED]
spsglobal Used - $1,440.00 0 Dec/03/21 Mar/16/23
Description: 130-0101// AMAT APPLIED 0100-20322 PCB ASSY CHAMBER BLOWOUT/WATER FLOW INTC USED
spsglobal Used - $600.00 0 Dec/03/21 Dec/21/23
Description: 141-0101// AMAT APPLIED 0140-35847 HARNESS,5200 CHAMBER,SHO MXP [USED]
hehapr Used - $1,500.00 0 Dec/03/21 Dec/10/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
spsglobal Used - $100.00 2 Dec/06/21 Mar/21/23
Description: 343-0201// AMAT APPLIED 0040-23558 CLAMP, RF MATCH MOUNT [USED]
spsglobal Used - $240.00 2 Dec/07/21 Nov/11/22
Description: 346-0403// AMAT APPLIED 0040-23559 BRACKET, RF MATCH MOUNT [USED]
spsglobal Used - $3,000.00 0 Dec/07/21 Jun/19/22
Description: 303-0401// AMAT APPLIED 0190-09419 DRIVE SINGLE PHASE, PHASETRONICS [USED]
spsglobal Used - $5,000.00 0 Dec/07/21 May/19/22
Description: 320-0202// AMAT APPLIED 0190-76005 SPEC CNTL DWG, INTELLIGENT MOTOR [USED]
spsglobal Used - $1,100.00 0 Dec/07/21 May/04/22
Description: 321-0203// AMAT APPLIED 0870-01002 (#1) DRIVE 5-PHASE STEPPER MOTOR [USED]
spsglobal Used - $800.00 0 Dec/07/21 Aug/09/22
Description: 321-0202// AMAT APPLIED 0870-01028 CSD5814N-T DRVR 5-PHASE STEPPER MOTOR [USED]
spsglobal Used - $100.00 1 Dec/07/21 May/19/23
Description: 347-0202// AMAT APPLIED 0040-23543 CLAMP,HEATER,1.574 SHAFT,PNEUMATIC/MOTO USED
spsglobal Used - $1,100.00 0 Dec/07/21 Mar/21/22
Description: 321-0203// AMAT APPLIED 0870-01002 DRIVE 5-PHASE STEPPER MOTOR [USED]
spsglobal Used - $8,000.00 0 Dec/08/21 Dec/27/21
Description: 328-0301// AMAT APPLIED 0010-13627 ASSY, HIGH EFFICIENCY RF MATCH-BIAS [USED]
spsglobal Used - $120.00 0 Dec/09/21 Jan/14/22
Description: 178-0201// AMAT APPLIED 0680-01218 CB MAG THERM 3P 240VAC 40A BOL [USED]
spsglobal Used - $450.00 0 Dec/09/21 Jan/14/22
Description: 178-0201// AMAT APPLIED 0680-01199 CBMAG 3P 240VAC 30A LOAD SIDE ACCEPTS RI USED
hehapr Used - $900.00 0 Dec/10/21 Dec/17/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
aggiesbags Used - $13.00 0 Dec/12/21 Dec/19/21
Description: AMAT 1140-90164 HITEK POWER , USED
bestgifts786 Used - $13.00 0 Dec/12/21 Dec/19/21
Description: AMAT 1140-90164 HITEK POWER , USED
equipplus Used - $159.00 0 Dec/12/21 Oct/12/23
Description: Lot of 3 Lam Research 790-213441-911 Rev D,no Motor,Used,US&7625
single_pole Used - $378.62 0 Dec/13/21 Jan/11/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
spsglobal Used - $5,000.00 0 Dec/14/21 May/15/22
Description: 322-0401// AMAT APPLIED 0242-09033 KIT, CO H.O.T. PACK, 484NM [USED]
spsglobal Used - $300.00 0 Dec/14/21 Apr/04/22
Description: 321-0303// AMAT APPLIED 1400-01046 SNSR SMOKE DETECTOR AMPLIFIER CPC-2 [USED]
spsglobal Used - $350.00 3 Dec/14/21 Mar/02/22
Description: 326-0403// AMAT APPLIED 0620-01044 CABLE ASSY CONVECTRON CONTROL 9 [USED]
spsglobal Used - $150.00 1 Dec/14/21 Jan/12/22
Description: 343-0303// AMAT APPLIED 0190-13175 LIGHT PEN SST 5V 20MA W/PHONE JACK [USED]
spsglobal Used - $60.00 0 Dec/15/21 Mar/28/24
Description: 178-0401// AMAT APPLIED 0680-01165 CB MAG THERM 1P 120VAC 20A QUI [USED]
spsglobal Used - $60.00 0 Dec/15/21 Aug/22/22
Description: 178-0401// AMAT APPLIED 0680-01105 CB MAG 1P 120/240VAC 15A BOLT-ON QUIC [USED]
rtxparts Used - $550.00 0 Dec/17/21 Feb/21/22
Description: Applied Materials / HiTek Power 0090-91382 (ITL) High Voltage Resistor AMAT Used
hehapr Used - $900.00 0 Dec/17/21 Dec/24/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
grandbirdnet Used - $360.00 0 Dec/19/21 Sep/20/22
Description: AMAT 0090-00961 CABLE ASSY PRESS TRANSDUCER -14.7 - 15, USED
hehapr Used - $900.00 0 Dec/24/21 Dec/31/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
techequipsales Used - $2,750.00 1 Dec/27/21 Dec/30/21
Description: LAM Research 839-800327-315 ESC 300mm CER BI IMB BIG PIN *used working
hehapr Used - $900.00 0 Dec/31/21 Jan/07/22
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
spsglobal Used - $120.00 0 Jan/02/22 Jan/06/22
Description: 126-0403// AMAT APPLIED 0680-01215 CB MAG THERM 3P 240VAC 30A BOLT-ON QO [USED]
spsglobal Used - $450.00 0 Jan/03/22 Jan/04/22
Description: 318-0101// AMAT APPLIED 0190-35463 MOTOR, 5 PHASE STEPPER W/ CABLE DXZ [USED]
spsglobal Used - $15,000.00 0 Jan/03/22 Oct/10/22
Description: 301-0201// AMAT APPLIED 0010-13622 ASSY, VECTRA IMP RF MATCH .01UF CAP [USED]
spsglobal Used - $30.00 0 Jan/08/22 Jun/30/22
Description: 342-0201// AMAT APPLIED 0021-21229 BRACKET HI EFF PRECLEAN RF MATCH MOUNT [USED]
spsglobal Used - $1,500.00 0 Jan/08/22 Jun/30/22
Description: 321-0501// AMAT APPLIED 0010-30064 ASSEMBLY, H.O.T. ANALYZER CARTRIDGE, 387 USED
hehapr Used - $900.00 0 Jan/08/22 Jan/14/22
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
single_pole Used - $378.53 0 Jan/11/22 Feb/10/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
equipplus Used - $249.00 1 Jan/12/22 Oct/12/23
Description: LAM Research 605-230312-007 Rev B Board,TRUST,P.M.D MC55320CP 3.1G,Used,US*7678
katiil3 Used - $1,899.00 1 Jan/14/22 Feb/14/23
Description: Nikon 4S587-338 Linear Motor Controller SPA432D 4S013-374-2 Used
hehapr Used - $900.00 0 Jan/14/22 Jan/21/22
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
grandbirdnet Used - $450.00 0 Jan/16/22 Jun/01/23
Description: AMAT 0020-47330 CLAMP, DRIVE, 6-PORT SPINDLE, LK REFLEXION , USED
equipplus Used - $149.00 1 Jan/16/22 Jun/02/23
Description: LAM Research 810-099175-013 Rev B VIOP Phase III,Used,US&7694
techequipsales Used - $750.00 1 Jan/17/22 Jan/18/22
Description: Lam Research 853-017340-001 Amplifier *used
equipplus Used - $399.00 0 Jan/17/22 Jan/15/24
Description: LAM Research 810-234640-213 Rev B VIOP Board,Used,US*7695
excessdealer Used - $3,500.00 1 Jan/18/22 Jan/26/22
Description: LAM RESEARCH 853-025872-105 2300 COMPUTER KIMBALL ELECTRONICS *USED*
spsglobal Used - $4,600.00 0 Jan/19/22 Feb/17/22
Description: 141-0401// AMAT APPLIED 0150-08775 ASSY, FIBER OPTIC CABLE, EYED OES/IEP, W USED
excessdealer Used - $499.00 1 Jan/25/22 Jul/21/22
Description: [Used] NOVELLUS / 02-266868-00 / WTS-HV SIOC 0, Rev.A
apex1088 Used - $40.00 0 Jan/25/22 Aug/31/23
Description: Applied Materials 0900-20044 SMC NJY3223Y 4-Way Double Solenoid Valve 24VDC Used
bobsgoodies2 Used - $975.00 0 Jan/25/22 Mar/31/22
Description: AMAT Applied Materials 0020-22584 BOX, RESISTIVITY METER,DOT TWO [USED]
excessdealer Used - $300.00 1 Jan/27/22 Mar/29/23
Description: Lam Research Used 853-263359-001 REV F
excessdealer Used - $44.99 1 Jan/28/22 May/16/22
Description: Lam Research P/N 853-170803-001 USED UNTESTED AS IS
israbuy Used - $2,500.00 0 Jan/28/22 Mar/02/22
Description: Sumitomo ADE1 H Control Unit 4S587-598 M28557 Nikon NSR-S307E Used Working
israbuy Used - $177.96 0 Jan/28/22 Mar/02/22
Description: Nikon 4S018-646 Case I/F Interface Board PCB Used Working
israbuy Used - $2,000.00 0 Jan/28/22 Mar/02/22
Description: Nikon 4S587-172 Switch Box TC-SW NSR-S306C DUV Scanning System Used Working
vintageandstyle Used - $3,490.00 0 Jan/31/22 May/08/24
Description: APPLIED MATERIALS 0041-13866 ALUMINIUM SICONI SHOWERHEAD USED CLEANED
militarymight Used - $689.99 0 Jan/31/22 Feb/28/22
Description: LAM RESEARCH Used 853-800087-405 REV.A XP POWER X9-3P3P3P2L-12 SEM-I-912=9A37
techequipsales Used - $3,500.00 1 Feb/01/22 Feb/11/22
Description: AE Advanced Energy 3152012-000 E MDX Magnetron Drive *used working
techequipsales Used - $3,500.00 1 Feb/01/22 Feb/11/22
Description: AE Advanced Energy 3152011-020 C MDX Magnetron Drive *used working
equipplus Used - $309.00 0 Feb/02/22 Aug/12/23
Description: LAM Research 810-802205-007 Rev K Hoist Controller Board,Used,US*7714
excessdealer Used - $500.00 0 Feb/03/22 Jan/30/24
Description: LAM RESEARCH Used 853-053304-102 ( LOT OF 3)
equipplus Used - $139.00 1 Feb/03/22 Feb/08/22
Description: LAM Research 810-800081-022 Rev B P2MB,VME,ETCH Board Board,Used,US&7715
dy-global Used - $1,999.90 0 Feb/05/22 Mar/07/22
Description: NIKON Used 4S019-798 X7PL-ION D-NET-COM 4S008-175-B PCB-I-E-1860=9G34
dy-global Used - $1,699.90 0 Feb/05/22 Mar/07/22
Description: NIKON Used 4S019-663 HSL-A REV.B PCB-I-E-1706=9G23
grandbirdnet Used - $1,800.00 0 Feb/06/22 Mar/10/22
Description: AMAT 0150-77143 CBL ASSY PLATEN ENCODER, UMBILICAL 125 F, USED
grandbirdnet Used - $1,800.00 0 Feb/06/22 Mar/10/22
Description: AMAT 0150-77156 CBL ASSY, COVER SWITCH, CNTRLR MNFRM 125, USED
spsglobal Used - $450.00 0 Feb/08/22 Jun/28/22
Description: 145-0501// AMAT APPLIED 0150-20676 CBL ASSY CHAMBER E ORIENTER UMBILICAL [USED]
grandbirdnet Used - $6,500.00 1 Feb/08/22 Mar/08/22
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030, USED
dgold32 Used - $799.99 1 Feb/09/22 Feb/09/22
Description: LAM RESEARCH 839-205836-003 D ESC Electrostatic Chuck , USED 715-205836-003 C
swisschard Used - $7,000.00 1 Feb/09/22 Feb/10/22
Description: CTI CRYOGENICS 8135901G001R 9600 COMPRESSOR [USED]
excessdealer Used - $99.00 1 Feb/10/22 Nov/30/22
Description: Lam RESEARCH Used FAB 710-099175-006 VIOP
single_pole Used - $375.48 0 Feb/10/22 Mar/11/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
sh_475803 Used - $620.00 1 Feb/12/22 Feb/13/22
Description: AMAT 0190-09533 Slit Valve Anodized ( Used )
techequipsales Used - $2,000.00 1 Feb/13/22 Mar/11/22
Description: Novellus 19-00128-00 27-152454-00 CPU PCB *used working
sh_475803 Used - $620.00 1 Feb/13/22 Feb/14/22
Description: AMAT 0190-09533 Slit Valve Anodized ( Used )
sh_475803 Used - $620.00 1 Feb/14/22 Feb/14/22
Description: AMAT 0190-09533 Slit Valve Anodized ( Used )
sh_475803 Used - $620.00 0 Feb/14/22 Feb/14/22
Description: AMAT 0190-09533 Slit Valve Anodized ( Used )
sh_475803 Used - $590.00 0 Feb/14/22 Jun/01/23
Description: AMAT 0190-09533 Slit Valve Anodized ( Used )
grandbirdnet Used - $7,900.00 0 Feb/18/22 May/27/22
Description: AMAT 0190-07961 PWRSP 20KW 480VAC ENI DNET, USED
rtxparts Used - $550.00 0 Feb/21/22 Aug/21/22
Description: Applied Materials / HiTek Power 0090-91382 (ITL) High Voltage Resistor AMAT Used
spsglobal Used - $400.00 0 Feb/22/22 Jun/29/23
Description: 350-0103// AMAT APPLIED 1270-01608 SW PRESS DIFF 2-12MM H2O SPDT [USED]
spsglobal Used - $40.00 0 Feb/22/22 May/29/22
Description: 344-0203// AMAT APPLIED 0021-37393 APPLIED MATRIALS COMPONENTS [USED]
militarymight Used - $1,999.99 0 Feb/24/22 Dec/14/22
Description: Nikon 4S064-631 IU-IOP2 Controller NSR Series Used Working
spsglobal Used - $220.00 0 Feb/25/22 Feb/28/22
Description: 149-0701// AMAT APPLIED 0150-35431 CABLE ASSY,VDS INTERCONNECT USED
youngauctioneer Used - $139.95 1 Mar/03/22 Apr/04/22
Description: USED CTI-Cryogenics 8112444G002 Power Junction/Splitter Box w/ Cable O3-2
toro.industrial.surplus Used - $7,000.00 2 Mar/05/22 Mar/05/22
Description: CTI CRYOGENICS 8135900G001R 9600 COMPRESSOR [USED]
zoro Used - $760.01 0 Mar/08/22 Mar/30/22
Description: AMAT Applied Materials 0100-90025 24V 1A Power Supply PCB Card Issue Y XR80 Used
bobsgoodies2 Used - $235.00 1 Mar/08/22 Mar/09/22
Description: VEXTA A3723-9215 used in AMAT 0090-20004 Assembly Indexer
usedeqsales Used - $2,508.18 0 Mar/08/22 Jul/17/23
Description: Nikon 4S008-036 Analog to Digital Converter Board PCB EP-X4 NSR-S205C Used
katiil3 Used - $1,899.00 1 Mar/10/22 Mar/10/23
Description: CTI-Cryogenics 8116250G003R On-Board P300 Cryopump 8113212G001R Module Used
katiil3 Used - $1,419.00 1 Mar/10/22 Apr/23/23
Description: AE Advanced Energy/ AMAT 0190-24495 DC Generator 3152412-223 Pinnacle 20kW Used
media-xpert Used - $177.96 1 Mar/10/22 Oct/13/22
Description: Nikon 4S018-646 Case I/F Interface Board PCB Used Working
media-xpert Used - $2,500.00 1 Mar/10/22 Sep/12/22
Description: Sumitomo ADE1 H Control Unit 4S587-598 M28557 Nikon NSR-S307E Used Working
single_pole Used - $380.99 0 Mar/11/22 Apr/10/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
spsglobal Used - $2,500.00 0 Mar/20/22 Apr/21/22
Description: 129-0401// AMAT APPLIED 0100-11001 w PWB, ANALOG OUTPUT [USED]
spsglobal Used - $800.00 0 Mar/21/22 Aug/16/22
Description: 322-0103// AMAT APPLIED 0190-35463 (#2) MOTOR, 5 PHASE STEPPER W/ CABLE [USED]
spsglobal Used - $800.00 0 Mar/21/22 May/06/22
Description: 321-0303// AMAT APPLIED 0870-01003 DRVER 2-PHASE STEPPER MOTOR [USED]
storefarm Used - $3,300.00 0 Mar/21/22 Nov/24/22
Description: [Used] AE Advanced Energy / 3156113-111 A, APEX3013 / RF GENERATOR, F/R A
ylzone Used - $1,486.85 0 Mar/21/22 Mar/21/23
Description: 1PCS USED 100% TEST AP1315SM 2PW TW8 TW8 AMAT 0051-73969
katiil3 Used - $1,199.00 0 Mar/21/22 Jul/28/22
Description: Nikon 4S018-693 FPIF-IMAC VME PCB Card Used working.
katiil3 Used - $199.00 4 Mar/22/22 Jan/22/23
Description: Nikon 4S019-061 Interface Control Card PCB AVDRVX4VE Used
shujuan_66 Used - $796.00 0 Mar/26/22 Dec/03/23
Description: APPLIED MATERIALS 1350-00681 Used Good Condition Fast Delivery Free Shipping
zoro Used - $486.85 1 Mar/31/22 Jan/10/24
Description: AMAT Applied Materials 0100-90025 24V 1A Power Supply PCB Card Issue Y XR80 Used
spsglobal Used - $13,000.00 1 Apr/05/22 Aug/20/23
Description: 301-0101// AMAT 0010-70264 ASSY HP ROBOT DRIVER UPPER/LOWER [USED]
radwelloverstock Used - $1,507.50 0 Apr/08/22 Oct/06/22
Description: ADVANCED ENERGY 2012-086 / 2012086 (USED)
media-xpert Used - $1,200.00 2 Apr/12/22 Jan/14/24
Description: NIKON 4S018-380 STIFMEMX2 BLADE - Never Used
pcexchange_sales Used - $649.99 0 Apr/13/22 Aug/01/23
Description: Applied Materials 0100-20038 PCB ASSEMBLY RELAY CONTROL BOARD Used
katiil3 Used - $499.00 0 Apr/14/22 Sep/22/22
Description: Aera FC-7810CD Mass Flow Controller 15000 SCCM Novellus 22-146271-00 Used
katiil3 Used - $999.00 1 Apr/15/22 Jan/01/24
Description: Advanced Energy AE SEKIDENKO OR4000T optical fiber Thermometer 955-4012-00 Used
shadow15b Used - $250.00 1 Apr/18/22 Jun/24/22
Description: AMAT Applied Materials 0100-00939 0100-00941 USED Board Loop Controller
ylzone Used - $1,455.99 0 Apr/19/22 Mar/20/23
Description: 1PCS USED 100% TEST Applied Materials BARATRON P/N 1350-00681
spsglobal Used - $1,000.00 0 Apr/19/22 Oct/12/23
Description: 130-0101// AMAT APPLIED 0100-20321 ASSY, PCB DC BIAS INTERFACE [USED]
spsglobal Used - $280.00 0 Apr/19/22 Jul/21/22
Description: 341-0101// AMAT APPLIED 0020-31558 PLATE, RF BOX, ESC [USED]
spsglobal Used - $90.00 0 Apr/20/22 Oct/12/23
Description: 346-0302// AMAT APPLIED 0020-20655 BUSS BAR UPPER 8" [USED]
single_pole Used - $374.48 0 Apr/21/22 May/20/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
equipplus Used - $139.00 0 Apr/25/22 Feb/24/23
Description: Applied Material AMAT 0150-32853 Rev 02 Cable Assy,300535-2118-0009,Used,CN&7839
equipplus Used - $259.00 0 Apr/25/22 Feb/24/23
Description: Lam Research 839-338171-002 Rev B,1001656-21-15-0003,Used,US&7838
visionsemi Used - $20,000.00 0 Apr/25/22 Feb/28/23
Description: APPLIED MATERIALS 300MM AMAT 0010-42030 HEATER PEDESTAL 0041-06180 USED
cosplity Used - $900.00 0 Apr/30/22 Jan/30/23
Description: AMAT 0190-77526 SERVOMOTOR, PC SWEEP/WAFER EXCHANGE, USED
spsglobal Used - $8,400.00 1 May/01/22 May/01/22
Description: 999-9999// AMAT APPLIED 0090-76133 ASSY,ELECT,SBC SYNERGY 68040 CPU WITH 16 USED
spsglobal Used - $8,400.00 0 May/01/22 May/01/22
Description: 999-9999// AMAT APPLIED 0090-76133 (DELIVERY 21 DAYS) 68040 CPU USED
dy-global Used - $6,999.90 0 May/02/22 May/02/24
Description: NIKON Used 4T072-414 SEM-I-1040=9B42
spsglobal Used - $300.00 0 May/03/22 Aug/11/22
Description: 320-0401// AMAT APPLIED 0100-09041 (#1) PCB ASSY, HEAT EXCHNGR INTERFACE [USED]
spsglobal Used - $560.00 0 May/03/22 Mar/15/24
Description: 348-0302// AMAT APPLIED 0040-60925 PLUG, UNIVERSAL SHIPPING, ALL PRODUCER [USED]
pneumatplus10 Used - $279.00 0 May/04/22 Apr/10/23
Description: Lam Research 685-069171-002 Rev C Spectrometer,Used,US&96071
madisonsales248 Used - $799.00 0 May/06/22 May/03/23
Description: Nikon 4S082-748 Cognex Power Supply Used
storefarm Used - $3,450.00 0 May/07/22 Nov/24/22
Description: [Used] CTI-CRYOGENICS / 8107040 MOD.350CP / HIGH VACUUM PUMP, CRYO-TORR 8F
storefarm Used - $1,498.00 0 May/08/22 Feb/10/23
Description: [Used] Advanced Energy / 3051848-20 P / Power Supply
ylzone Used - $1,599.78 0 May/10/22 Nov/22/22
Description: 1PCS USED 100% TEST MKS 872B-29942 AMAT 1350-00734
ylzone Used - $867.00 0 May/12/22 Jun/09/22
Description: 1PCS USED 100% TEST AMAT 0090-76031 0020-75733
storefarm Used - $1,498.00 0 May/13/22 Feb/28/23
Description: [Used] Advanced Energy / 3051849-20 G / Power Supply, TSPT#04 E143 Error Code
storefarm Used - $1,498.00 1 May/13/22 Feb/09/23
Description: [Used] Advanced Energy / 3051848-20 P / Power Supply, TSPT#04 E157 Error Code
excessdealer Used - $99.00 2 May/14/22 Jun/07/22
Description: Lam Research 810-802901-305 Rev C MB,Node 1,PM,Common Pcb Board,Used,Mal^7101
equipplus Used - $249.00 1 May/17/22 May/22/22
Description: Lam Research 685-069171-100 Rev E Spectrometer,1010101 5500640128,Used,US&7881
spsglobal Used - $80.00 0 May/17/22 Aug/10/22
Description: 142-0301// AMAT APPLIED 0620-01164 CABLE ASSY 332CG 6"LG + 2.75" [USED]
spsglobal Used - $250.00 10 May/17/22 May/18/22
Description: 322-0402// AMAT APPLIED 3310-01055 GAUGE CONVECTRON TUBE 1/4 FVCR [USED]
spsglobal Used - $6,000.00 0 May/17/22 Dec/20/22
Description: 324-0501// AMAT APPLIED 0190-11913 RF MATCH ASSY 300MM TXZ COMDEL [USED]
grandbirdnet Used - $400.00 1 May/18/22 Apr/12/24
Description: AMAT 0190-24677 servo controllers Copley Controls accelnet 800-1537 12A, USED
cosplity Used - $5,900.00 0 May/20/22 Aug/20/22
Description: 0020-23674 FRAME ASSY USED TO WALKING BEAM(0010-17352)
cosplity Used - $800.00 0 May/20/22 Aug/20/22
Description: AMAT 0100-20064 PCB ASSY,SBC I/O BREAKOUT, USED
cosplity Used - $2,000.00 0 May/20/22 Aug/20/22
Description: AMAT 0660-00602 CARD VME 6U 68040 CONFIG PC, USED AS IS
plccenter Used - $240.00 0 May/20/22 Feb/15/23
Description: APPLIED MATERIALS 0110-09244 / 011009244 (USED TESTED CLEANED)
usraj-9fiaknj2 Used - $11,995.00 1 May/20/22 Jul/06/22
Description: AMAT, Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
single_pole Used - $367.19 0 May/20/22 Jun/19/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
myplcmall Used - $2,323.52 5 May/21/22 Feb/19/23
Description: 1PCS 100% TEST Applied Materials BARATRON P/N 1350-00681 USED
cosplity Used - $1,600.00 0 May/22/22 Aug/22/22
Description: AMAT 1080-01206 MOTOR SGM SERVO 200W 10:1 [USED]
cosplity Used - $3,800.00 0 May/23/22 Aug/23/22
Description: AMAT 0010-77463 FLYWHEEL ASSY 200MM 45 DEGRE [USED]
cosplity Used - $7,500.00 0 May/25/22 Sep/25/22
Description: AMAT 0010-77680 ASSY, ELECTRONIC BOX, SRD, LOWER , USED
grandbirdnet Used - $300.00 0 May/26/22 May/30/22
Description: AMAT 0090-77074 CBL,ASSY,MOD.SWITCH A, USED
techshop7777 Used - $1,100.00 0 Jun/10/22 Jun/01/23
Description: LAM RESEARCH ESC 300mm ELECTROSTATIC CHUCK 839-800327-312 / Barely Used
excessdealer Used - $99.00 1 Jun/16/22 Nov/30/22
Description: Lam Research 810-802901-305 Rev C MB,Node 1,PM,Common Pcb Board,Used,Mal^7101
baojicrafts Used - $1,089.36 2 Jun/16/22 Aug/30/22
Description: 1PCS USED SST-EDN-1 DeviceNet Scanner AMAT 0190-29030
single_pole Used - $364.80 0 Jun/19/22 Jul/18/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
dy-global Used - $1,999.90 0 Jun/19/22 Aug/25/22
Description: NIKON Used 4S065-590 MSDA083D1A 4S008-203-X3LV-LNK SEM-I-1060=9B49
waste-not-recycling Used - $399.00 0 Jun/24/22 Aug/08/22
Description: Applied Materials AMAT 0100-00008 Rev J TC Gauge PWB Assy Used
spsglobal Used - $1,420.00 0 Jun/27/22 Jun/27/22
Description: 149-0401// AMAT APPLIED 0150-20166 CABLE ASSY, TURBO PUMP TO CONTROLLER 75F USED
shadow15b Used - $250.00 1 Jun/28/22 Jul/15/22
Description: AMAT Applied Materials 0100-00939 0100-00941 USED Board Loop Controller
waste-not-recycling Used - $260.00 0 Jul/01/22 Aug/08/22
Description: AMAT Applied Materials ENDPT. INTERFACE/SMOOTHER PCB ASSY. 0100-00195 Rev B,Used
waste-not-recycling Used - $2,900.82 0 Jul/01/22 Aug/08/22
Description: Applied Materials (AMAT) 0010-00135 POWER SUPPLY, 60V, Model 8300 C, Rev C Used
storefarm Used - $2,869.30 1 Jul/04/22 Dec/12/23
Description: [Used] AE, Advanced Energy / NAVIGATOR-5513, 3155169-001D / RF MATCH
waste-not-recycling Used - $199.99 1 Jul/05/22 Jul/06/22
Description: AMAT Applied Materials OPTO Detect Assy 0100-00014 Rev H, Used
equipplus Used - $349.00 0 Jul/05/22 Sep/15/22
Description: Lam Research Novellus 15-359732-00 Rev B Plate,1034583,Used,US&7989
waste-not-recycling Used - $495.00 0 Jul/07/22 Aug/08/22
Description: AMAT Applied Materials SYNC DETECT II BD ASSY. 0100-00206 Rev B, Used
spsglobal Used - $300.00 0 Jul/08/22 Oct/19/23
Description: 177-0404// AMAT APPLIED 0051-01471 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $3,000.00 0 Jul/08/22 Aug/24/22
Description: 319-0401// AMAT APPLIED 0010-07061 (#1) SS-BNVCR4-C SS-DLV51-1 SS-BNVCR4 [USED]
spsglobal Used - $300.00 1 Jul/08/22 Jul/20/22
Description: 351-0501// AMAT APPLIED 0190-23497 TS HEATED MANOMETER ISO VALVE ASSY [USED]
spsglobal Used - $1,500.00 0 Jul/08/22 Sep/20/22
Description: 321-0201// AMAT APPLIED 4060-00924 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $2,000.00 0 Jul/11/22 Aug/11/22
Description: 129-0401// AMAT APPLIED 0100-09054 ANALOG INPUT PCB [USED]
spsglobal Used - $200.00 0 Jul/11/22 Jun/18/23
Description: 143-0303// AMAT APPLIED 0140-13401 HARNESS ASSY [2ND SOURCE USED]
spsglobal Used - $500.00 0 Jul/11/22 Apr/01/24
Description: 126-0501// AMAT APPLIED 0680-01142 JT3250T CB FRAME ONLY INTERCHG TRIP [USED]
pneumatplus10 Used - $299.00 1 Jul/13/22 Oct/17/23
Description: Lam Research Novellus 16-271392-00 Rev G Shower Lead,Used,US &96128
earth_enterprises Used - $999.95 0 Jul/14/22 Oct/12/23
Description: AMAT Applied Materials 0010-09263 Pneumatic Manifold 0010-09263, Rev. No B Used
earth_enterprises Used - $195.00 0 Jul/14/22 Oct/12/23
Description: AMAT Applied Materials ION TC AC Module 8300M, 0010-00017 Rev. D, Used
single_pole Used - $372.88 0 Jul/18/22 Aug/17/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
anacapaequipment Used - $7,500.00 1 Jul/19/22 Jul/21/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
anacapaequipment Used - $7,500.00 1 Jul/19/22 Jul/21/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
spsglobal Used - $25,000.00 0 Jul/27/22 Apr/17/23
Description: 000-0000// AMAT APPLIED 0040-81158 CHAMBER CONDUCTOR ETCH 300MM DPSII [USED]
brauning_finds Used - $249.40 1 Jul/28/22 Jun/21/23
Description: Novellus Solenoid Valve Manifold Assy 60-053274-00, 12x Humphrey HA040E1, Used
spsglobal Used - $1,200.00 0 Jul/29/22 Aug/29/22
Description: 320-0402// AMAT APPLIED 0100-35012 wASSY,PCB CENTERFINDER RECEIVER BD [USED]
spsglobal Used - $1,050.00 0 Jul/29/22 Aug/29/22
Description: 320-0402// AMAT APPLIED 0100-35011 CENTER FINDER LED ASSY [USED]
anacapaequipment Used - $500.00 1 Aug/02/22 Jan/04/23
Description: Lam Research 810-015987-001 Dip Auto Tune TCP PCB Board USED (9650)R
anacapaequipment Used - $900.00 1 Aug/02/22 Nov/07/22
Description: Lam Research 810-017003-004 REV. 2 Dip High Frequency TCP USED (9651)R
equipplus Used - $199.00 1 Aug/02/22 May/11/23
Description: LAM Research 839-802506-002 Rev A Weldment Exhaust Manifold,used,US&8036
waste-not-recycling Used - $260.00 0 Aug/08/22 Mar/02/23
Description: AMAT Applied Materials ENDPT. INTERFACE/SMOOTHER PCB ASSY. 0100-00195 Rev B,Used
waste-not-recycling Used - $399.00 0 Aug/08/22 Mar/02/23
Description: Applied Materials AMAT 0100-00008 Rev J TC Gauge PWB Assy Used
waste-not-recycling Used - $2,100.82 0 Aug/08/22 Mar/02/23
Description: Applied Materials (AMAT) 0010-00135 POWER SUPPLY, 60V, Model 8300 C, Rev C Used
waste-not-recycling Used - $295.00 0 Aug/08/22 Mar/26/23
Description: AMAT Applied Materials SYNC DETECT II BD ASSY. 0100-00206 Rev B, Used
surplusautomationequipment Used - $699.99 0 Aug/11/22 Sep/08/22
Description: Advanced Energy 3052000-00-A AESZ Inverter ColdPlate Assembly USED
katiil3 Used - $249.00 1 Aug/12/22 Mar/12/23
Description: Applied Materials AMAT 0021-77866 CLAMP DRIVE SPINDLE Used
single_pole Used - $365.19 0 Aug/17/22 Sep/15/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
allenbradley-auto Used - $2,800.00 0 Aug/21/22 Apr/20/23
Description: 1pcs USED 0010-09035 AMAT Butterfly Valve Assembly Fast ship DHL/FedEX
spsglobal Used - $15,000.00 0 Aug/25/22 Oct/10/22
Description: 301-0301// AMAT APPLIED 0010-13623 ASSY, VECTRA IMP RF MATCH .015UF CAP REV USED
plccenter Used - $1,260.00 0 Aug/26/22 May/08/23
Description: APPLIED MATERIALS 0190-31750 / 019031750 (USED TESTED CLEANED)
cosplity Used - $3,900.00 0 Aug/29/22 Sep/08/22
Description: AMAT 1040-01153 METER PRESS 0-30 PSI DHI RPM3 G0030 S/N 1822 , USED AS IS
cosplity Used - $2,900.00 0 Aug/29/22 Sep/08/22
Description: AMAT 3200-01071 PCB ASSY, HALF RACK 1/8 (USED TO BOTTOM RACK)
equipplus Used - $589.00 1 Aug/30/22 Oct/11/22
Description: Lam Research 810-013872-002 Rev H Lonworks,Node,80DI96008A024AI BD,Used,US&8093
equipplus Used - $559.00 1 Aug/30/22 Nov/22/22
Description: Lam Research 810-013872-002 Rev K Lonworks,Node,80DI96D0 8A024AI BD,Used,US&8092
equipplus Used - $569.00 7 Aug/30/22 Mar/29/23
Description: Lam Research 810-013872-002 Rev J Lonworks,Node,80DI96D0 8A024AI BD,Used,US&8091
cosplity Used - $3,900.00 0 Aug/30/22 Oct/25/22
Description: AMAT 1040-01152 REFERENCE PRESSURE MONITER DHI RPM3 A0100 , USED AS IS
plccenter Used - $660.00 2 Sep/08/22 Nov/16/23
Description: ADVANCED ENERGY ATX-600 / ATX600 (USED TESTED CLEANED)
equipplus Used - $679.00 2 Sep/08/22 Jan/02/23
Description: Lam Research 810-031325-106 Rev B 16 IGS Motherboard,DGF,Used,US&8109
grandbirdnet Used - $25,000.00 1 Sep/14/22 Oct/11/22
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
grandbirdnet Used - $5,500.00 1 Sep/15/22 Nov/12/22
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
single_pole Used - $345.98 0 Sep/15/22 Oct/15/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
grandbirdnet Used - $1,900.00 1 Sep/16/22 Jun/12/23
Description: AMAT 0190-12126 HEATER, POLYIMIDE, FLAT, LID ASSY, 300MM, USED
grandbirdnet Used - $600.00 0 Sep/16/22 Mar/08/23
Description: LAM RESEARCH 839-033318-001 TOP LINER , USED CLEANED
grandbirdnet Used - $4,500.00 0 Sep/20/22 Dec/05/22
Description: AMAT 0040-79029 CHAMBER LID W/ 0040-77828, USED
grandbirdnet Used - $950.00 3 Sep/28/22 Nov/20/22
Description: AMAT 1080-00126 SERVOPACK YASKAWA SGDH-01AE-N3Y900 W/ JUSP-NS310, USED
grandbirdnet Used - $400.00 0 Sep/28/22 Oct/19/23
Description: AMAT 3030-13160 UFC-8565C O2 30SCCM, USED
grandbirdnet Used - $450.00 5 Sep/28/22 Nov/20/22
Description: AMAT 0190-13840 SERVOPACK SGDF-A2CSY39, USED
grandbirdnet Used - $500.00 0 Sep/29/22 Oct/12/23
Description: AMAT 0090-00412 STEPPER MOTOR SANYO DENKI 103H7851-72B2 , USED
grandbirdnet Used - $400.00 0 Sep/29/22 Sep/10/23
Description: AMAT 1080-00322 SANYO DENKI D5-1-40174-1 PM DRIVER PMDPC1C3P50-A1,USED
grandbirdnet Used - $7,500.00 0 Sep/29/22 Dec/18/22
Description: AMAT 0090-04683 GE FANUC EMBEDDED SYSTEMS VMICPCI-7326, USED
grandbirdnet Used - $300.00 0 Sep/29/22 Oct/19/22
Description: AMAT 0190-15097 LIGHT TWR DNET SPLTR, USED
grandbirdnet Used - $300.00 0 Sep/29/22 Oct/19/22
Description: AMAT 0190-15371 DISTRIDUTION PCB MT DNET HUB, USED
pneumatplus10 Used - $220.00 6 Sep/29/22 May/24/23
Description: Lam Research Assy 810-802799-009 Rev A LON-RS485/RS232 Board,Used,NA&96223
cosplity Used - $3,500.00 0 Sep/30/22 Jan/30/23
Description: AMAT 0190-25889 SBC P1.0GHZ, 512MB RAM, 100GB HD 3U CPCI , USED AS IS
grandbirdnet Used - $400.00 0 Sep/30/22 Oct/05/22
Description: AMAT 0010-28779 MOTORIZED TILT ASSY(CASE ONLY), USED
pneumatplus10 Used - $220.00 0 Oct/02/22 Mar/26/23
Description: Lam Research 810-802799-111 Rev A NODE BD LON-RS485/RS232 ,Used,US*96227
grandbirdnet Used - $900.00 0 Oct/03/22 Oct/19/22
Description: AMAT 0190-28703 PNEUMATIC VALVE, USED
grandbirdnet Used - $1,500.00 0 Oct/03/22 Oct/05/22
Description: AMAT 0190-10801 SENSOR BOX, USED
grandbirdnet Used - $950.00 1 Oct/04/22 Dec/26/22
Description: AMAT 0190-06402 MANIFOLD, GAS PANEL PNUEMATICS PROD SE, USED
grandbirdnet Used - $900.00 1 Oct/05/22 Nov/22/23
Description: AMAT 0020-49269 PEDESTAL, 30% EXTENDED AREA 300MM PCXT, USED
h-textile Used - $1,278.15 0 Oct/08/22 Feb/03/23
Description: 1PCS USED Applied Materials CAPACITANCE MANOMETER, P/N 1350-00681
pyreneescollections Used - $13.62 0 Oct/11/22 Oct/11/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
pyreneescollections Used - $6.90 0 Oct/11/22 Oct/11/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
pyreneescollections Used - $16.69 0 Oct/11/22 Oct/11/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
grandbirdnet Used - $1,500.00 0 Oct/11/22 Mar/16/23
Description: AMAT 0190-05111 VIDEO AND CONTROL SWITCH SPECIFICATION, USED
grandbirdnet Used - $700.00 0 Oct/13/22 Nov/08/23
Description: AMAT 0150-07713 CABLE ASSY, OZONE GEN POWER, ETERNA OZON, USED
yuyan-shop Used - $1,200.00 0 Oct/14/22 Nov/23/22
Description: 1PCS Used Good 1080-01809 AMAT 0190-75696 by DHL or Fedex #T5643 YS
single_pole Used - $361.83 0 Oct/15/22 Nov/13/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
techequipsales Used - $2,300.00 1 Oct/18/22 Oct/18/22
Description: AE Advanced Energy MDX-500 3152261-012 A DC Power Supply *used test working
jabedow Used - $800.00 1 Oct/20/22 Nov/12/22
Description: Applied Materials 0020-52962, 0190-15759, 0195-00340, Pc Sweep Motor Assy, Used
qinyanl2017 Used - $1,400.00 0 Oct/21/22 Mar/19/23
Description: 1PC Used Applied Materials BARATRON P/N 1350-00681
filateliadesimoni Used - $13.62 0 Oct/22/22 Oct/22/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
filateliadesimoni Used - $6.90 0 Oct/22/22 Oct/22/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
filateliadesimoni Used - $16.69 0 Oct/22/22 Oct/22/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
recortitos Used - $13.62 0 Oct/24/22 Oct/24/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
recortitos Used - $6.90 0 Oct/24/22 Oct/24/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
recortitos Used - $16.69 0 Oct/24/22 Oct/24/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
jabedow Used - $375.00 1 Oct/27/22 Nov/09/22
Description: Sanyo Denki, P50B07040HCS00M, Servomotor, BL Super, P5, AMAT, 0090-04495, used
eisale1535 Used - $3,645.00 1 Oct/27/22 Nov/01/22
Description: AMAT 0010-23716 ASSEMBLY PMAX PYROMETER RTP , USED
cosplity Used - $250.00 0 Oct/27/22 Feb/27/23
Description: 0090-20036 MOTOR MODIFIED ORIENTER , USED AS IS
strongpoints Used - $1,635.90 0 Oct/28/22 May/30/23
Description: 1PCS USED Applied Materials CAPACITANCE MANOMETER, P/N 1350-00681 1350 00681
napoleon155 Used - $13.62 0 Oct/29/22 Oct/29/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
napoleon155 Used - $6.90 0 Oct/29/22 Oct/29/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
napoleon155 Used - $16.69 0 Oct/29/22 Oct/29/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
spsglobal Used - $1,900.00 1 Nov/02/22 Nov/04/22
Description: 148-0101 AMAT APPLIED 0150-35210 HARNESS ASSY CHAMBR A-B- C-D [USED]
mainminzz Used - $1,399.84 0 Nov/08/22 Apr/03/23
Description: 1PC Applied Materials BARATRON P/N 1350-00681 Used
lookbester Used - $1,399.97 0 Nov/08/22 Apr/03/23
Description: Used 1PC Applied Materials BARATRON P/N 1350-00681
yuanwlseller Used - $1,399.81 0 Nov/08/22 Apr/03/23
Description: One Applied Materials BARATRON P/N 1350-00681 Used
keymei2015 Used - $1,399.92 0 Nov/08/22 Apr/03/23
Description: 1PC Used Applied Materials BARATRON P/N 1350-00681
safe-yqing Used - $1,399.83 0 Nov/08/22 Apr/03/23
Description: Used 1PC Applied Materials BARATRON P/N 1350-00681
industrybestmen Used - $1,399.95 0 Nov/08/22 Apr/03/23
Description: One Used Applied Materials BARATRON P/N 1350-00681
rongx-world Used - $1,399.89 0 Nov/08/22 Apr/03/23
Description: One Applied Materials BARATRON P/N 1350-00681 Used
xuemarket00 Used - $1,399.78 0 Nov/08/22 Apr/03/23
Description: 1PC Applied Materials BARATRON P/N 1350-00681 Used
pliuw-enjoy Used - $1,399.87 0 Nov/08/22 Apr/03/23
Description: 1PC Used Applied Materials BARATRON P/N 1350-00681
equipplus Used - $219.00 1 Nov/09/22 Dec/19/22
Description: Lam Research 685-069171-002 Rev C Spectrometer,1010161,640028,used,US&8206
spsglobal Used - $1,000.00 0 Nov/11/22 Nov/16/22
Description: 349-0501 AMAT APPLIED 0015-02149 MODIFIED PART, DC POWER SUPPLY, 18 CORD [USED]
dy-global Used - $1,499.90 1 Nov/13/22 Apr/13/23
Description: LAM RESEARCH Used 853-203016-172 REV.C ,810-028295-150 REV.172 SEM-I-1094=9G21
single_pole Used - $350.99 0 Nov/13/22 Dec/13/22
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
equipplus Used - $429.00 1 Nov/14/22 Nov/15/22
Description: LAM Research 810-800256-005 Rev F Node Board,Type 3,Used,Mal&7159
spsglobal Used - $500.00 0 Nov/15/22 Feb/15/23
Description: 126-0501 AMAT APPLIED 0680-01183 KT3350T CB MAG THERM 3P 600VAC 400AFRAME [USED]
spsglobal Used - $500.00 1 Nov/15/22 May/08/23
Description: 126-0501 AMAT APPLIED 0680-01183 KT3400T 0680-01180 CB MAG THERM 3P 600V [USED]
grandbirdnet Used - $5,500.00 1 Nov/16/22 Jan/11/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
europlantsvivai Used - $1.62 0 Nov/19/22 Nov/19/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
europlantsvivai Used - $1.58 0 Nov/19/22 Nov/19/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
europlantsvivai Used - $2.03 0 Nov/19/22 Nov/19/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
katiil3 Used - $249.00 0 Nov/20/22 Apr/23/23
Description: AMAT Applied Materials 0100-09126 Remote Wiring Distribution PCB Used.
spsglobal Used - $1,000.00 0 Nov/24/22 Jun/21/23
Description: 130-0101 AMAT APPLIED 0190-04098 5.X FACTORY INTERFACE I/O DISTRIBUTION B [USED]
spsglobal Used - $3,500.00 0 Nov/24/22 Mar/20/23
Description: 010-0202 AMAT APPLIED 0190-19021 ADVANCED 3156113-012 A APEX 3013 GENERATOR USED
spsglobal Used - $3,500.00 0 Nov/24/22 Mar/28/23
Description: 346-0501 AMAT APPLIED 0010-76004 ASSY BLADE ROBOT 6" [USED]
spsglobal Used - $900.00 0 Nov/25/22 Oct/19/23
Description: 342-0302 AMAT APPLIED 0190-09755 TC PROBE,CHUCK ASP [USED]
spsglobal Used - $250.00 1 Nov/25/22 Dec/16/22
Description: 322-0402 AMAT APPLIED 3310-01055 GAUGE CONVECTRON TUBE 1/4 FVCR [USED]
chengaoshop Used - $850.00 3 Nov/25/22 Nov/30/22
Description: 1pc USED SST-EDN-1 Scanner AMAT 0190-29030 rev04 TESTED By DHL or FedEx #V0JU CH
voltapaginanet Used - $13.62 0 Nov/29/22 Nov/30/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
voltapaginanet Used - $10.58 0 Nov/29/22 Nov/30/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
voltapaginanet Used - $12.03 0 Nov/29/22 Nov/30/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
grandbirdnet Used - $4,500.00 0 Nov/30/22 Dec/01/22
Description: AMAT 0190-07312 AMPLIFIER, MEGASONIC, 200 MM SYSTEM, NRTL COMPLIANT , USED
equipplus Used - $239.00 5 Nov/30/22 Mar/30/23
Description: Lam Research 810-802799-010 Rev B LON-RS485/RS232 Board,used,US&8251
chengaoshop Used - $850.00 3 Dec/01/22 Dec/19/22
Description: 1pc USED SST-EDN-1 Scanner AMAT 0190-29030 rev04 TESTED By DHL or FedEx #V0JU CH
equipplus Used - $789.00 1 Dec/02/22 May/18/23
Description: Lam Research 810-135227-010 Rev A Board,853-242455-001 Rev B,used,US&8255
equipplus Used - $389.00 0 Dec/04/22 Dec/29/22
Description: Lam Research 810-013872-106 Rev B Note Type 26 Board,used,US&8254
spsglobal Used - $130.00 0 Dec/05/22 Jan/31/23
Description: 322-0502 AMAT APPLIED 0015-09042 (#1) RESISTOR CERAMIC MOD [USED]
079gabriel2005 Used - $13.62 0 Dec/05/22 Dec/05/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
079gabriel2005 Used - $6.90 0 Dec/05/22 Dec/05/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
079gabriel2005 Used - $16.69 0 Dec/05/22 Dec/05/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
fma16000 Used - $13.62 0 Dec/07/22 Dec/07/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
fma16000 Used - $6.90 0 Dec/07/22 Dec/07/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
fma16000 Used - $16.69 0 Dec/07/22 Dec/07/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
grandbirdnet Used - $49,000.00 0 Dec/11/22 Dec/27/22
Description: AMAT 0190-27392 KAWASAKI ROBOT 30C61D-B007 w/ Controller, USED
single_pole Used - $354.85 0 Dec/13/22 Jan/05/23
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
up_store.japan Used - $14,990.00 0 Dec/15/22 Mar/01/23
Description: AE Advanced Energy RFG2000-2V 3155053-007 USED
giftwearonline Used - $13.62 0 Dec/18/22 Dec/18/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
giftwearonline Used - $6.90 0 Dec/18/22 Dec/18/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
giftwearonline Used - $16.69 0 Dec/18/22 Dec/18/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
giftwearonline Used - $1.87 0 Dec/18/22 Dec/18/22
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
giftwearonline Used - $1.89 0 Dec/18/22 Dec/18/22
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
giftwearonline Used - $2.90 0 Dec/18/22 Dec/18/22
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
napo12 Used - $13.62 0 Dec/19/22 Dec/19/22
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
napo12 Used - $6.90 0 Dec/19/22 Dec/19/22
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
napo12 Used - $16.69 0 Dec/19/22 Dec/19/22
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
equipplus Used - $149.00 0 Dec/20/22 Oct/11/23
Description: Lam Research 684-139074-003 Rev A RF Match Cable,Tru-13479-04,Used,US*8288
auto*fan Used - $849.72 0 Dec/25/22 Mar/09/23
Description: 1PCS Used AMAT 0190-60305 BRAD SST-EDN-1 Remote Scanner Tested
indpro* Used - $832.81 0 Dec/25/22 Dec/24/23
Description: 1PCS Used AMAT 0190-60305 BRAD SST-EDN-1 Remote Scanner Tested
grandbirdnet Used - $6,800.00 0 Dec/28/22 Apr/27/23
Description: AMAT 0190-07312 AMPLIFIER, MEGASONIC, 200 MM SYSTEM, NRTL COMPLIANT , USED
equipplus Used - $269.00 0 Jan/01/23 Jul/06/23
Description: Lam Research 853-146625-013 Rev A RF Power Cable,used,US*8311
dy-global Used - $1,499.90 0 Jan/09/23 Nov/03/23
Description: ADVANCED ENERGY Used PLFIII M/N 3155143-101B SEM-I-1174=9A4A
single_pole Used - $356.76 0 Jan/10/23 Feb/08/23
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
spsglobal Used - $800.00 0 Jan/11/23 Oct/16/23
Description: 144-0401 AMAT APPLIED 0190-13625 PURCHASE SPEC. CTI OBIS HE 8 FLEXLINE FE [USED]
spsglobal Used - $200.00 0 Jan/11/23 Mar/01/23
Description: 142-0503 AMAT APPLIED 0620-01707 CABLE ASSY CONVECTRON GAUGE 3FT DNET [USED]
spsglobal Used - $1,200.00 0 Jan/11/23 Jun/29/23
Description: 318-0101 AMAT APPLIED 0240-22486 KIT WATER FL SW 5TH CH POS D [USED]
spsglobal Used - $7,000.00 1 Jan/11/23 Mar/27/24
Description: 304-0201 AMAT APPLIED 0010-28071 APPLIED MATRIALS COMPONENTS [USED]
spsglobal Used - $7,000.00 0 Jan/11/23 Jun/29/23
Description: 151-0101 AMAT APPLIED 0240-22012 ASSY HOIST LIFTER [USED]
spsglobal Used - $1,000.00 0 Jan/11/23 Jun/29/23
Description: 141-0402 AMAT APPLIED 0150-19922 APPLIED MATRIALS COMPONENTS [USED]
onestopbuys Used - $1.87 0 Jan/12/23 Jan/12/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
onestopbuys Used - $1.89 0 Jan/12/23 Jan/12/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
onestopbuys Used - $2.90 0 Jan/12/23 Jan/12/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
grandbirdnet Used - $700.00 0 Jan/12/23 Mar/02/23
Description: LAM RESEARCH 810-345203-002 UPPER CHAMBER ADIO PCB, USED
mppatrick Used - $13.62 0 Jan/14/23 Jan/14/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
mppatrick Used - $6.90 0 Jan/14/23 Jan/14/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
mppatrick Used - $16.69 0 Jan/14/23 Jan/14/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
mppatrick Used - $2.90 0 Jan/14/23 Jan/14/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
grandbirdnet Used - $5,500.00 1 Jan/14/23 Feb/03/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
grandbirdnet Used - $900.00 3 Jan/15/23 Jan/10/24
Description: LAM RESEARCH 810-099175-012 PCB, VIOP PHASE III ASSY REV. C, USED
grandbirdnet Used - $900.00 0 Jan/15/23 Jun/15/23
Description: LAM RESEARCH 810-802902-030 NODE 2 MB, PM, HE REV A, USED
grandbirdnet Used - $5,500.00 1 Jan/17/23 Sep/03/23
Description: LAM RESEARCH 855-243028-005 RF MATCH COMET 20091157-006, USED
pneumatplus10 Used - $1,299.00 1 Jan/17/23 Oct/11/23
Description: Lam Research 853-277490-106 Rev A Module,103341,Used,US*96330
techequipsales Used - $500.00 0 Jan/25/23 May/10/23
Description: AE Advanced Energy MDX 5022-001-B Controller *used working
equipplus Used - $259.00 0 Jan/25/23 Feb/25/23
Description: Lam Research 839-338171-002 Rev B,1001656-30-29-0001,Used,US*8349
spsglobal Used - $110.00 1 Jan/26/23 Mar/16/23
Description: 323-0401 AMAT APPLIED 0090-09152 ELECTRICAL ASSY, ESC H/V INTER [USED]
spsglobal Used - $15,000.00 0 Jan/31/23 Mar/01/23
Description: 301-0201 AMAT APPLIED 0010-13623 ASSY, VECTRA IMP RF MATCH .015UF CAP REV [USED]
cosplity Used - $650.00 0 Jan/31/23 May/31/23
Description: AMAT 0190-12138 PV2 SERVO DRIVER FOR 400W MOTOR DNET , USED
cosplity Used - $950.00 0 Feb/01/23 May/31/23
Description: AMAT 0190-51712 S5 AMP 200V 100W DNET CPY EXT, USED
anacapaequipment Used - $2,400.00 0 Feb/04/23 Dec/18/23
Description: CTI-Cryogenics On-board Water Pump 8120937G001 w/ Controller Module USED (8981)R
best_deal2002 Used - $89.99 0 Feb/05/23 Mar/23/23
Description: Advanced Energy APEX 208V BUS FILTER PCB, 2304082 - A ( Used )
best_deal2002 Used - $30.00 0 Feb/05/23 Mar/05/23
Description: ADVANCED ENERGY Inverter Bypass RFG 3K VR2 C5 2305132-C PCB ( Used )
cosplity Used - $900.00 0 Feb/06/23 Apr/06/23
Description: AMAT 0190-10187 HEAD SWEEP SERVO MOTOR, 300MM REFLEXION, USED
apex1088 Used - $220.00 0 Feb/08/23 Jun/29/23
Description: Applied Materials HDP100 0190-48891 Dual Filament Hot Ion Gauge Used
automationandroboticsunlimited Used - $700.00 3 Feb/09/23 Jun/10/23
Description: NIKON 4B024-677 OPTICAL SENSOR ASSEMBLY FOR NSR-204B USED
automationandroboticsunlimited Used - $640.00 2 Feb/09/23 Jun/10/23
Description: NIKON 4B024-677 OPTICAL SENSOR ASSEMBLY FOR NSR-204B MISSING SIDE ADJ USED
automationandroboticsunlimited Used - $2,500.00 1 Feb/09/23 Dec/02/23
Description: YASKAWA NIKON SPA325B 4S587-180 LINEAR MOTOR CONTROLLER USED
global-audio-store Used - $13.62 0 Feb/09/23 Feb/09/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
global-audio-store Used - $16.69 0 Feb/09/23 Feb/09/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
biz2020a6-3 Used - $733.00 0 Feb/10/23 Jun/02/23
Description: 1 pcs Used Lam Research Power Module PN 853-015686-005 #A6-3
biz2020a6-3 Used - $277.36 0 Feb/10/23 Sep/07/23
Description: 1pc used 685-042168-004 Lam Research Controller #A6-3
zartons Used - $13.62 0 Feb/10/23 Feb/10/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
zartons Used - $6.90 0 Feb/10/23 Feb/10/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
zartons Used - $16.69 0 Feb/10/23 Feb/10/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
single_pole Used - $350.99 0 Feb/14/23 Mar/15/23
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
grandbirdnet Used - $4,500.00 0 Feb/16/23 Jun/01/23
Description: NOVELLUS 27-447822-00 RF MATCH BOX COMET 20065316, USED
grandbirdnet Used - $6,000.00 1 Feb/16/23 Jul/24/23
Description: LAM RESEARCH 832-157607-005 RF MATCH REV D COMET 20085699, USED
grandbirdnet Used - $6,000.00 0 Feb/16/23 Mar/01/23
Description: LAM RESEARCH 832-210822-001 RF MATCH COMET 20079333, USED
grandbirdnet Used - $4,500.00 1 Feb/16/23 Aug/14/23
Description: AMAT 0190-28484 RF MATCH ASSY DPS II 3155126-020 B, USED
grandbirdnet Used - $900.00 8 Feb/17/23 Apr/05/23
Description: AMAT 0870-00018 Servo Amplifier PV2A015SM61P50, USED
grandbirdnet Used - $25,000.00 0 Feb/18/23 May/29/23
Description: NOVELLUS 27-268762-00 ASTRON RPS MKS AX7670-21 , USED
grandbirdnet Used - $9,900.00 0 Feb/18/23 Apr/16/23
Description: NOVELLUS 27-261291-00 MKS ASTRONex RPS FI80133, USED
grandbirdnet Used - $4,500.00 0 Feb/18/23 Oct/19/23
Description: NIKON 4S025-779 NSR BOARD RCB-XMC578/NIK1, USED
grandbirdnet Used - $12,000.00 0 Feb/19/23 Nov/24/23
Description: NOVELLUS 27-368284-00 AE RF GENERATOR 3156330-017-A, USED
grandbirdnet Used - $8,500.00 0 Feb/20/23 Mar/16/23
Description: AMAT 0010-42900 MATCHING UNIT FOR CVD-CO/METAL ALS 300MM, USED
grandbirdnet Used - $4,500.00 0 Feb/20/23 Mar/16/23
Description: NIKON 4S015-627 BOARD NSR AVAL DATA NK1110 ACX-134N-ALGFP, USED
eleganthairextensions Used - $13.62 0 Feb/26/23 Feb/27/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
eleganthairextensions Used - $16.69 0 Feb/26/23 Feb/27/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
avoneverywhere Used - $1.87 0 Feb/26/23 Feb/27/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
avoneverywhere Used - $1.89 0 Feb/26/23 Feb/27/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
eleganthairextensions Used - $6.90 0 Feb/26/23 Feb/26/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
avoneverywhere Used - $2.90 0 Feb/26/23 Feb/27/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
powersell007 Used - $399.00 0 Mar/01/23 Dec/01/23
Description: APPLIED MATERIALS 0022-51065 TRANSFERABLE SHUTTER DIA 291MM (USED, COPPER) AMAT
bjsb4 Used - $2,599.99 1 Mar/01/23 Mar/01/23
Description: CTI-CRYOGENICS 8116074G002 8F CRYOPUMP, USED
ledamoiseau Used - $13.62 0 Mar/05/23 Mar/06/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
ledamoiseau Used - $6.90 0 Mar/05/23 Mar/06/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
ledamoiseau Used - $16.69 0 Mar/05/23 Mar/06/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
ledamoiseau Used - $1.87 0 Mar/05/23 Mar/05/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
ledamoiseau Used - $1.89 0 Mar/05/23 Mar/05/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
ledamoiseau Used - $2.90 0 Mar/05/23 Mar/05/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
grandbirdnet Used - $450.00 0 Mar/07/23 Nov/15/23
Description: AMAT 0090-77083 PP PRESSURE TRANSDUCER, USED
hjtec53040044 Used - $3,000.00 1 Mar/08/23 Jan/17/24
Description: Lower Spindle Novellus C2 Sequel 02-110772-00 Used
grandbirdnet Used - $3,300.00 0 Mar/12/23 Jun/29/23
Description: AMAT 0190-28291 6PORT ROTARY UNION, USED
papyrus10 Used - $13.62 0 Mar/12/23 Mar/13/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
papyrus10 Used - $1.87 0 Mar/12/23 Mar/13/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
papyrus10 Used - $1.89 0 Mar/12/23 Mar/13/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
papyrus10 Used - $6.90 0 Mar/12/23 Mar/13/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
papyrus10 Used - $16.69 0 Mar/12/23 Mar/13/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
papyrus10 Used - $2.90 0 Mar/12/23 Mar/13/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
man7183 Used - $13.62 0 Mar/13/23 Mar/13/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
single_pole Used - $403.75 0 Mar/15/23 Apr/14/23
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
equipplus Used - $229.00 1 Mar/15/23 Apr/16/23
Description: Lam Research 839-338171-002 Rev B,1001656-21-15-0003,Used,US*7838
qinyanl2017 Used - $1,400.02 0 Mar/19/23 Jul/10/23
Description: 1PC Used Applied Materials BARATRON P/N 1350-00681
punki64 Used - $13.62 0 Mar/20/23 Mar/20/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
punki64 Used - $6.90 0 Mar/20/23 Mar/20/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
punki64 Used - $16.69 0 Mar/20/23 Mar/20/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
ylzone Used - $1,367.90 0 Mar/21/23 Jun/22/23
Description: 1PCS USED - AP1315SM 2PW TW8 TW8 AMAT 0051-73969
biz2020a6-3 Used - $495.97 0 Mar/23/23 Jan/17/24
Description: 800-1514A AMAT 0190-31257 REV 05 Used with 90days warranty Free DHL or EMS #A
best_deal2002 Used - $75.00 0 Mar/23/23 Apr/08/23
Description: Advanced Energy APEX 208V BUS FILTER PCB, 2304082 - A ( Used )
grandbirdnet Used - $2,000.00 0 Mar/23/23 Mar/27/23
Description: AMAT 4060-00720 MANIFOLD ASSY VV5Q11-16-DAM00041, USED
grandbirdnet Used - $200.00 0 Mar/25/23 Aug/31/23
Description: AMAT 0150-32322 AC INPUT POWER, USED
ghis6969 Used - $13.62 0 Mar/25/23 Mar/25/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
en.avant.les.histoires Used - $15,000.00 0 Mar/25/23 Mar/25/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
en.avant.les.histoires Used - $3,300.00 0 Mar/25/23 Mar/25/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
en.avant.les.histoires Used - $3,424.00 0 Mar/25/23 Mar/25/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
rodagon88 Used - $13.62 0 Apr/01/23 Apr/01/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
rodagon88 Used - $6.90 0 Apr/01/23 Apr/01/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
rodagon88 Used - $16.69 0 Apr/01/23 Apr/01/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
cosplity Used - $2,900.00 0 Apr/02/23 Jun/01/23
Description: AMAT 3200-01071 PCB ASSY, HALF RACK 1/8 (USED TO BOTTOM RACK)
excessdealer Used - $300.00 1 Apr/02/23 Apr/03/23
Description: Lam Research Used 853-263359-001 REV F
bo19531 Used - $6.11 0 Apr/02/23 Apr/03/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
bo19531 Used - $6.13 0 Apr/02/23 Apr/03/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
bo19531 Used - $3.01 0 Apr/02/23 Apr/03/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
bo19531 Used - $5.62 0 Apr/02/23 Apr/03/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
bo19531 Used - $1.58 0 Apr/02/23 Apr/03/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
bo19531 Used - $6.03 0 Apr/02/23 Apr/03/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
yuanwlseller Used - $1,399.80 0 Apr/03/23 Jul/13/23
Description: Used One Applied Materials BARATRON P/N 1350-00681
mainminzz Used - $1,235.80 0 Apr/03/23 Jul/18/23
Description: 1PC Applied Materials BARATRON P/N 1350-00681 Used
keymei2015 Used - $1,399.91 0 Apr/03/23 Jul/13/23
Description: 1PC Used Applied Materials BARATRON P/N 1350-00681
rongx-world Used - $1,399.88 0 Apr/03/23 Jul/13/23
Description: Used One Applied Materials BARATRON P/N 1350-00681
xuemarket00 Used - $1,399.77 0 Apr/03/23 Jul/04/23
Description: 1PC Applied Materials BARATRON P/N 1350-00681 Used
industrybestmen Used - $1,399.94 0 Apr/03/23 Jul/05/23
Description: Used 1PC Applied Materials BARATRON P/N 1350-00681
pliuw-enjoy Used - $1,399.86 0 Apr/03/23 Jul/10/23
Description: 1PC Used Applied Materials BARATRON P/N 1350-00681
safe-yqing Used - $1,236.16 0 Apr/03/23 Jul/25/23
Description: One Used Applied Materials BARATRON P/N 1350-00681
lookbester Used - $1,235.08 0 Apr/03/23 Jul/18/23
Description: One Used Applied Materials BARATRON P/N 1350-00681
labedge Used - $295.00 0 Apr/08/23 Apr/18/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
automationandroboticsunlimited Used - $600.00 1 Apr/09/23 Jun/10/23
Description: NIKON 4S018-705 RELAY BOARD PCB RL-LøHSP NSR-S204B USED
single_pole Used - $399.08 0 Apr/14/23 May/13/23
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
dgold32 Used - $349.99 1 Apr/16/23 Apr/23/23
Description: Lam Research 853-266935-005 Rev A Control Module 1046879 Used
labedge Used - $295.00 0 Apr/19/23 Apr/29/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
a68liujia_85 Used - $700.58 0 Apr/23/23 Jul/03/23
Description: vellus Type 15-112301-00 Used Dark Space Ring Shield Refurbished Thermal Coat
cosplity Used - $3,500.00 0 Apr/25/23 Aug/24/23
Description: AMAT 0190-25889 SBC P1.0GHZ, 512MB RAM, 100GB HD 3U CPCI , USED AS IS
labedge Used - $295.00 0 Apr/29/23 May/09/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
grandbirdnet Used - $5,500.00 0 May/01/23 Jun/29/23
Description: AMAT 9090-00473 GLASSMAN HIGH VOLTAGE PS/ER06N25.0YZ4 POWER SUPPLY , USED
grandbirdnet Used - $3,500.00 0 May/01/23 Jun/29/23
Description: AMAT 0190-05611 CARD CONTROLLER CPCI 166MHZ PENTIUM 3U 3 , USED
grandbirdnet Used - $19,000.00 0 May/01/23 Aug/30/23
Description: AMAT 0010-26898 ASSEMBLY, CATHODE, 300MM ENABLER , USED
keymei2015 Used - $929.80 0 May/06/23 Dec/08/23
Description: 1PCS Used HORIBA LF-F20M-A-EVD1 TEPO 0.1G/MIN
best_deal2002 Used - $100.00 1 May/07/23 Sep/27/23
Description: ADVANCED ENERGY PCB, RFG PHASE CONTROL 1310018C ( USED )
spsglobal Used - $40.00 0 May/08/23 May/11/23
Description: 141-0703// AMAT APPLIED 0150-09667 CABLE ASSY,MICROWAVE INTLK,UPP USED
plccenter Used - $1,260.00 0 May/08/23 Feb/06/24
Description: APPLIED MATERIALS 0190-31750 / 019031750 (USED TESTED CLEANED)
single_pole Used - $405.50 0 May/13/23 Jun/12/23
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
labedge Used - $221.25 0 May/15/23 May/24/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
techequipsales Used - $1,500.00 1 May/18/23 May/18/23
Description: Novellus Regan Solutions ECC1-1 27-034079-01 ESC Power Supply *used working
johanmcleo_0 Used - $750.00 1 May/22/23 May/23/23
Description: CTI CRYOGENICS ROUGHING VALVE, 60-80 PSI 8112579G004 used
lyd*shop* Used - $839.37 0 May/23/23 Dec/21/23
Description: 1pc used LF-F40M-A-EVD1 TEOS 1.5G/MIN AMAT 3030-17701
autozubehor* Used - $839.37 0 May/23/23 Dec/21/23
Description: 1pc used LF-F40M-A-EVD1 TEOS 1.5G/MIN AMAT 3030-17701
indpro* Used - $839.37 0 May/23/23 Dec/24/23
Description: 1pc used LF-F40M-A-EVD1 TEOS 1.5G/MIN AMAT 3030-17701
auto*fan Used - $830.44 0 May/23/23 Dec/22/23
Description: 1pc used LF-F40M-A-EVD1 TEOS 1.5G/MIN AMAT 3030-17701
wj8.kuu Used - $758.96 0 May/23/23 Apr/11/24
Description: for 1pcs used LF-F40M-A-EVD1 TEOS 1.5G/MIN AMAT 3030-17701 @fu8
aincoln0 Used - $13.62 0 May/28/23 May/28/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
ssarah712 Used - $1.87 0 May/28/23 May/29/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
ssarah712 Used - $1.89 0 May/28/23 May/29/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
aincoln0 Used - $6.90 0 May/28/23 May/28/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
aincoln0 Used - $16.69 0 May/28/23 May/28/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
ssarah712 Used - $2.90 0 May/28/23 May/29/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
grandbirdnet Used - $7,500.00 1 May/28/23 Sep/06/23
Description: AMAT 0190-07312 AMPLIFIER, MEGASONIC, 200 MM SYSTEM, NRTL COMPLIANT , USED
labedge Used - $165.94 0 May/31/23 Jun/10/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
generalbonaparte Used - $13.62 0 Jun/04/23 Jun/04/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
generalbonaparte Used - $7.28 0 Jun/04/23 Jun/04/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
generalbonaparte Used - $7.30 0 Jun/04/23 Jun/04/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
generalbonaparte Used - $5.66 0 Jun/04/23 Jun/04/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
generalbonaparte Used - $8.75 0 Jun/04/23 Jun/04/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
generalbonaparte Used - $3.54 0 Jun/04/23 Jun/04/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
hhulo Used - $13.62 0 Jun/11/23 Jun/11/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
labedge Used - $124.46 0 Jun/11/23 Jun/21/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
hhulo Used - $6.90 0 Jun/11/23 Jun/11/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
hhulo Used - $16.69 0 Jun/11/23 Jun/11/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
semikorea Used - $34,000.00 0 Jun/11/23 Jun/12/23
Description: Applied Materials Heater, 300mm Hi Temp, 0010-27431, Used
single_pole Used - $406.74 0 Jun/12/23 Jul/11/23
Description: Advanced Energy 955-4003-01 Optical Fiber Thermometer USED
waste-not-recycling Used - $295.00 0 Jun/12/23 Jan/01/24
Description: AMAT Applied Materials SYNC DETECT II BD ASSY. 0100-00206 Rev B, Used
lliizzz Used - $34,000.00 0 Jun/20/23 Dec/28/23
Description: Applied Materials Heater, 300mm Hi Temp, 0010-27431, Used
yiannisshop Used - $1,777.00 1 Jun/22/23 Jun/24/23
Description: AMAT 0190-35634 MODEL1014-03 HTR 1~208V 50/60HZ NEW NO BOX FOR PRICE OF USED
outletmp3 Used - $13.62 0 Jun/24/23 Jun/24/23
Description: AMAT Applied Materials 0010-70264 ASSY HP ROBOT DRIVE SET USED WITH NEW MOTORS
outletmp3 Used - $6.90 0 Jun/24/23 Jun/24/23
Description: Advanced Energy RFG 1250 5027-003-B 208V RF Generator w/ Cables USED (9633)R
outletmp3 Used - $16.69 0 Jun/24/23 Jun/24/23
Description: Advanced Energy RFDS 660-024637-006 208V RF Generator w/ Cables USED (9632)R
outletmp3 Used - $1.87 0 Jun/24/23 Jun/24/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
outletmp3 Used - $1.89 0 Jun/24/23 Jun/24/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
outletmp3 Used - $2.90 0 Jun/24/23 Jun/24/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
labedge Used - $93.35 0 Jun/24/23 Jul/04/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
plccenter Used - $2,250.00 0 Jun/28/23 Nov/08/23
Description: CTI CRYOGENICS 8107810G001R / 8107810G001R (USED TESTED CLEANED)
plccenter Used - $2,220.00 0 Jun/28/23 Apr/01/24
Description: ADVANCED ENERGY 3152254-001C / 3152254001C (USED TESTED CLEANED)
abcatlegacyparts Used - $170.00 0 Jun/29/23 Apr/11/24
Description: One (1) used OEM Part (AMAT) 0100-00011 PWB, CHOPPER DRIVE
xuemarket00 Used - $1,235.20 0 Jul/05/23 Nov/06/23
Description: 1PC Applied Materials BARATRON P/N 1350-00681 Used
industrybestmen Used - $1,234.48 0 Jul/06/23 Oct/19/23
Description: Used 1PC Applied Materials BARATRON P/N 1350-00681
labedge Used - $70.01 0 Jul/08/23 Jul/18/23
Description: Large Lot Of Regulators Could Be Used With CTI Cryogenics Cryo-Torr Vacuum Pump
onestopbuy2014 Used - $1.87 0 Jul/08/23 Jul/08/23
Description: AMAT 0190-11367 FACTORY INTERFACE ROBOT REV 002 KAWASAKI NS410B-A604, USED
onestopbuy2014 Used - $1.89 0 Jul/08/23 Jul/08/23
Description: CTI-CRYOGENICS 8112898G005 VACUUM PUMP 8F CRYOPUMP, USED
qinyanl2017 Used - $1,236.76 0 Jul/11/23 Nov/10/23
Description: 1PC Used Applied Materials BARATRON P/N 1350-00681
pliuw-enjoy Used - $1,236.04 0 Jul/11/23 Nov/10/23
Description: 1PC Used Applied Materials BARATRON P/N 1350-00681
techequipsales Used - $2,000.00 1 Jul/11/23 Mar/19/24
Description: AE Advanced Energy Cesar 405 Power Generator 64000034 40MHz *used working