[click to login]
WSEMI


TAGS > used working

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
usedeqsales
[view on eBay]
Used 1
in stock
$505.55
Description: Lam Research 853-020936-001 Upper Seal Plate Assembly 4420 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$151.56
Description: Lam Research 853-025901-001-1-C136 EMO Assembly 4420 Etcher Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$303.12
Description: ASML 4022.471.4105 Interface Board PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$303.12
Description: ASML 4022.471.7196 Interface VME Card PCB Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$303.12
Description: ASML PCB 4022.471.6817 Interface Board PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$607.12
Description: Nikon 4S013-408 STGSTCRMEPX4 Connector Board PCB Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$455.59
Description: Nikon 4S018-715- OPDMTHX4 Connector Board PCB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$178.57
Description: AMAT Applied Materials 0040-75563 Load Lock Roughing Manifold Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$254.07
Description: AMAT Applied Materials 0100-20350 Operator Panel Bulkhead 300mm Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$840.13
Description: Novellus Systems 02-359080-00 Robot Linear Track Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$251.14
Description: Tylan FC-2900M Mass Flow Controller 2900 Series AMAT 0227-42246 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$751.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. F No Motors Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,251.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. E Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$1,251.57
Description: Novellus Systems 02-259457-00 C3 Vector Spindle Assembly Rev. F Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$1,251.57
Description: Novellus Systems 02-259457-00 C3 Vector Spindle Assembly Rev. G Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$751.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. K No Motors Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$751.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. D No Motors Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$751.57
Description: Novellus 02-259457-00 C3 Vector Spindle Assembly Rev. J No Motors Used Working
grandbirdnet
[view on eBay]
Used 2
in stock
$50.00
Description: AMAT 0140-77181 CABLE, LOWER PNEUMATICS, USED WORKING
usedeqsales
[view on eBay]
Used 1
in stock
$608.14
Description: Lam Research 810-069751-103 Node Board Type 27 PCB 710-069751-002 Used Working
usedeqsales
[view on eBay]
Used 13
in stock
$408.14
Description: Lam Research 810-802901-305 MB Node 1 PM Common PCB 710-802901-305 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$308.14
Description: Lam Research 810-800156-101 Water Detector PCB 710-800156-101 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$502.08
Description: Novellus 02-281532-00 RF Match Source Aluminum Coil Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$257.15
Description: Clean Sciences 15-262478-00 PED Blankoff Novellus C3 Altus Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$807.15
Description: NANOmetrics 7300-013555 CE-Chuck Full Contact AMAT 3820-00003 Used Working
usedeqsales
[view on eBay]
Used 11
in stock
$203.58
Description: Novellus Systems 10-363935-00 RF Plasma Tube Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$157.15
Description: AMAT Applied Materials 0050-75257 Chamber to Cryo Vacuum Line Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$203.58
Description: AMAT Applied Materials 9091-01393 Loop Controller PXP41W Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$161.15
Description: AMAT Applied Materials 0150-35642 C/A M/F EXH Umbilical 32' Cable Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$225.58
Description: AMAT Applied Materials 0010-01087 Anneal Distribution PCB Panel Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$226.08
Description: AMAT Applied Materials 0100-00569 VME DC Power Distribution PCB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$252.58
Description: AMAT Applied Materials 0020-10014 Lift Ring P5200 Precision 5200 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$155.16
Description: AMAT Applied Materials 0224-43876 Vacuum Tube 2 Inch NW40 Lot of 3 Used Working
usedeqsales
[view on eBay]
Used 7
in stock
$155.16
Description: AMAT Applied Materials 0040-36024 Vacuum Tube 5 Inch NW40 Lot of 2 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$156.16
Description: Millipore SureView DR Display Module AMAT 0940-00021 Lot of 10 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$253.08
Description: AMAT Applied Materials 0050-60347 90° High Vacuum Elbow ISO80 4VCR Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$407.16
Description: AMAT Applied Materials 0100-00925 I/O Processor Sensor PCB Card Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$707.16
Description: AMAT Applied Materials 0100-01735 EVR Gap Servo PCB Card Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$131.08
Description: Sigma Koki NXE2/A Laser Mirror Precision Assembly Nikon POS2-Y-RB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$162.16
Description: AMAT Applied Materials 0100-00438 Interlock Personality Board PCB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$126.09
Description: Aera FC-D981SBC Mass Flow Controller AMAT 3030-08675 10 SLM N2 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$126.09
Description: Tylan General FC-2902MEP-T Mass Flow Controller AMAT 3030-04074 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,003.17
Description: Deublin 957-600 Pneumatic Motor AMAT Applied Materials 0190-01272 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$203.17
Description: AMAT Applied Materials 0100-09040 7 Relay SSR AC Control Board PCB Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,804.17
Description: AMAT Applied Materials 0040-61813 200mm Chamber Centura RTP Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$305.17
Description: Proteus Industries 9812SA19P3 Flow Meter AMAT 0190-09338 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$305.17
Description: AMAT Applied Materials 0100-00579 System AC Distribution Board PCB Used Working
usedeqsales
[view on eBay]
Used 5
in stock
$709.17
Description: AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$259.17
Description: Lam Research 716-330190-081 Focus Ring 8" ESC Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$610.11
Description: AMAT Applied Materials 0100-00505 Vacuum Control Feedback PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$612.11
Description: AMAT Applied Materials 0100-00504 Vacuum Control Feedback PCB Card Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$609.17
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. E Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$353.18
Description: Tylan FC-2952MEP5-T Mass Flow Controller AMAT 3030-04111 50SCCM CF4 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$512.13
Description: AMAT Applied Materials 0130-76117 Gen Rack Indicator PAC Card Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,003.13
Description: AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,504.13
Description: Novellus Systems 26-166313-00 Interface Gamma 03-166313-00 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$1,502.14
Description: AMAT Applied Materials 9090-00846 Beamline Power Distribution Unit Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$705.15
Description: AMAT Applied Materials 0090-91694 Controller PCB Module Quantum Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0200-00674 8” Dep Ring AMAT Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$454.14
Description: AMAT Applied Materials 9090-01166 Battery Pack PX32K Quantum X Used Working
usedeqsales
[view on eBay]
Used 14
in stock
$1,504.14
Description: AMAT Applied Materials 9090-00835 Power Distribution Box Quantum X Used Working
usedeqsales
[view on eBay]
Used 6
in stock
$2,006.14
Description: AMAT Applied Materials 0020-26374 Clamp Middle Shield 300MM Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0021-12763 Inner Shield 8in Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$2,005.14
Description: AMAT Applied Materials 0200-00673 8” Cover Ring Endura 200mm Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$705.15
Description: AMAT Applied Materials 0100-01491 Beam Align PCB Rev C Quantum X Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$603.18
Description: Lam Research 716-021492-001 Director Process Gas Used Working
usedeqsales
[view on eBay]
Used 13
in stock
$252.16
Description: AMAT Applied Materials 0110-01717 Circuit Board PCB Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$506.15
Description: AMAT Applied Materials 0020-52929 Graphite G3 Holder Used Working
usedeqsales
[view on eBay]
Used 8
in stock
$604.18
Description: AMAT Applied Materials 0021-15676 Desposition Ring Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$604.18
Description: AMAT Applied Materials 0021-04312 Throttle Valve Flat Top Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$604.18
Description: Lam Research 718-098592-001 Locking Ring VAT 65 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$302.09
Description: AMAT Applied Materials 0021-03076 IPS SI Roof Support Ring Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,752.59
Description: Lam Research 852-030038-601 Lower Reaction Chamber TCP LAM 9600SE Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,205.18
Description: Novellus Systems 02-169180-01 Linear Track 15-165469-01 Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$610.18
Description: AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-70497 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$610.18
Description: AMAT Applied Materials 0010-98078 2-D PSD Sensor PCB 0020-53481 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$210.18
Description: Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00178 Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$210.18
Description: Swagelok SS-BNV51-2C High-Purity Bellows Valve AMAT 9150-00180 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$411.18
Description: AMAT Applied Materials 0020-23278 8” SST Upper Shield 101% TIN Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$712.18
Description: AMAT Applied Materials 0100-71267 8 Channel PCM Card PCB AKT Used Working
usedeqsales
[view on eBay]
Used 15
in stock
$705.15
Description: AMAT Applied Materials 0100-90650 Wheel Current PCB Card Quantum X Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$502.19
Description: AMAT Applied Materials 0100-90302 DAQ MICRO PCB Card 0120-92751 Used Working
usedeqsales
[view on eBay]
Used 2
in stock
$702.19
Description: AMAT Applied Materials 0100-90899 Operator Prompt PCB 0120-92833 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$402.19
Description: AMAT Applied Materials 0100-90480 DAQ EXPANSION PCB Card 0120-92848 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,002.19
Description: AMAT Applied Materials 0100-91025 Vendor Interface A MAG PCB Card Used Working
usedeqsales
[view on eBay]
Used 3
in stock
$253.19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev. 1 Used Working
usedeqsales
[view on eBay]
Used 5
in stock
$253.19
Description: AMAT Applied Materials 46-406121-01 Backplane AMP Board PCB Rev A Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$403.19
Description: AMAT Applied Materials 0021-11486 BESC 300mm Shutter Disk Used Working
techequipsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: Novellus 02-057891-00 ARSU ADAC Board 8886800 *used working, 90 day warranty*
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: LAM Research 715-803261-004 ESC Electron Static Chuck *used working*
usedeqsales
[view on eBay]
Used 14
in stock
$705.15
Description: AMAT Applied Materials 0100-01877 Focus PSU Interface PCB Card Used Working
techequipsales
[view on eBay]
Used 1
in stock
$3,500.00
Description: LAM 832-038915-201 RF Match LAM 2300 KIY03X Process Chamber *used working
techequipsales
[view on eBay]
Used 2
in stock
$3,000.00
Description: AMAT Applied Materials 0010-11491 001 Heater *used working, clean surface*
techequipsales
[view on eBay]
Used 2
in stock
$2,750.00
Description: AMAT Applied Materials 0010-11491 001 Heater *used working, small ding*
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: AMAT Applied Materials 0010-05254 0040-32148 Heater Assy *used working*
techequipsales
[view on eBay]
Used 3
in stock
$3,000.00
Description: AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ *used working*
techequipsales
[view on eBay]
Used 2
in stock
$2,750.00
Description: AMAT Applied Materials 0010-11491 002 Heater *used working, small ding*
techequipsales
[view on eBay]
Used 3
in stock
$3,000.00
Description: AMAT Applied Materials 0010-11491 002 Heater *used working, clean surface*
shanghai*book
[view on eBay]
Used 3
in stock
$1,145.66
Description: 🔥1Pcs Used LFU20-Z07-3A-X2 AMAT 0190-33704 Via DHL or Fedex
techequipsales
[view on eBay]
Used 1
in stock
$1,000.00
Description: LAM 715-037370-001 Assembly *used working
techequipsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: LAM Research 715-102001-040 B ESC Electro Static Chuck *used working
katiil3
[view on eBay]
Used 1
in stock
$1,199.00
Description: Advanced Energy AE R27-368450-00 3155162-037 Navigator RF Match Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$9,901.22
Description: Nikon SPA451B W X Linear Motor Controller 4S588-463 Used Working
media-xpert
[view on eBay]
Used 1
in stock
$2,000.00
Description: Nikon 4S587-172 Switch Box TC-SW NSR-S306C DUV Scanning System Used Working
katiil3
[view on eBay]
Used 2
in stock
$1,999.00
Description: Nikon 4S018-714-1 Interface PCB Card STIFMEMX4A 4S018-771 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$2,508.18
Description: Nikon 4S013-500 Processor Interface Board PCB BLIFX4B1 Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$8,010.17
Description: Nikon 4S013-487 Interface Control Board PCB IFIOPIF3 Used Working
lokinb
[view on eBay]
Used 1
in stock
$220.00
Description: ADVANCED ENERGY GENCAL POWER METER Used Working, No power Supply (C6B5)
techequipsales
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT Applied Materials 0019-09340 G 5000 CVD Susceptor Lift *used working
techequipsales
[view on eBay]
Used 1
in stock
$1,000.00
Description: HNL 0190-09315 A Magnetron Waveguide Assembly AMAT P5000 CVD *used working
techequipsales
[view on eBay]
Used 1
in stock
$2,000.00
Description: HNL 0190-09315 A Magnetron Waveguide Assembly AMAT P5000 CVD *used working
usedeqsales
[view on eBay]
Used 13
in stock
$503.18
Description: Nikon 4S005-344 Interface Board PCB AF-SENSOR21 NSR System Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$1,406.18
Description: Nikon 4S013-202 Interface Encoder Board PCB IM-ENCD1 NSR-S202A Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$406.18
Description: Nikon 4S013-211 Interface Board PCB NSR-S202A Used Working
usedeqsales
[view on eBay]
Used 8
in stock
$2,006.17
Description: Nikon 4S013-510 Interface PCB Card SPAIFX4B NSR-S307E DUV Used Working
usedeqsales
[view on eBay]
Used 1
in stock
$803.18
Description: Nikon 4S007-664 Relay Sensor Board PCB FIAAF-SENSOR-D NSR-S205C Used Working
usedeqsales
[view on eBay]
Used 4
in stock
$503.18
Description: Nikon 4S013-189 LINK-RZ Interface Board PCB NSR System Used Working
techequipsales
[view on eBay]
Used 1
in stock
$3,000.00
Description: Daihen SGP-15B 1140-01216 Microwave Power Generator AMAT *used working

This tag has been viewed 0 times

Most recent views:

United States of America Thursday, May/09/2024 at 9:57 am CST
United States of America Thursday, May/09/2024 at 9:47 am CST
United States of America Thursday, May/09/2024 at 9:37 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 9:23 am CST
India Thursday, May/09/2024 at 9:22 am CST
United States of America Thursday, May/09/2024 at 9:20 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 9:07 am CST
Singapore Thursday, May/09/2024 at 9:03 am CST
Taiwan (Province of China) Thursday, May/09/2024 at 8:51 am CST
France Thursday, May/09/2024 at 8:42 am CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
usedeqsales Used - $702.12 1 Feb/29/12 Nov/06/13
Description: Lam Research 4420 Endpoint Detector 853-001983-005-H-PCBELE Used Working
usedeqsales Used - $808.12 2 Aug/20/12 Jul/08/21
Description: Lam Research 810-17031-2 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
usedeqsales Used - $808.12 0 Aug/20/12 Sep/16/22
Description: Lam Research 810-17031-4 ADIO A0 PCB Rev. 2 Rainbow 4420 Used Working
usedeqsales Used - $52.56 1 May/22/12 Feb/26/13
Description: Lam Research Protector Drive Gap Assembly 853-011168-001-C Used Working
usedeqsales Used - $309.12 1 Sep/19/12 Jun/12/15
Description: Tylan Lam Research 4420 Manometer 853-017653-001-A-MDFT CMLA-11S02 Used Working
usedeqsales Used - $403.12 1 Mar/13/12 Feb/14/20
Description: Lam Research 4420 RF Switch Box 853-017163-001-D-3710 Used Working
usedeqsales Used - $803.12 0 Mar/14/12 Jan/20/14
Description: Lam Research 4420 DIP High Frequency Board 810-017003-004 Rev. B Used Working
usedeqsales Used - $282.18 1 Mar/14/12 Oct/06/13
Description: Lam Research 4420 RF Sense Box Autotune 853-025944-001-1-C221 Used Working
usedeqsales Used - $603.12 1 Mar/14/12 Feb/02/13
Description: Lam Research 4420 Transformer Assembly 853-025902-001-4-C474 Used Working
usedeqsales Used - $1,003.12 0 Mar/14/12 Jun/03/22
Description: Lam Research 853-013610-001-D 4420 Solenoid Tray Assembly Used Working
usedeqsales Used - $504.12 30 Apr/11/12 Jun/07/22
Description: MKS Instruments AS01391-22 Control PCB Card AMAT 0190-26786 Used Working
usedeqsales Used - $357.12 0 Jul/10/12 May/05/15
Description: Powertec Power Relay Board 070-25134-006 Rev. T Used Working
usedeqsales Used - $3,509.07 1 Dec/05/07 Nov/09/12
Description: Equipe PRE-301B PRI Automation 300mm Prealigner used working surplus
usedeqsales Used - $202.06 1 Apr/13/12 Dec/07/12
Description: Westinghouse Circuit Breaker MC3800F 0680-01431 Used Working
usedeqsales Used - $203.56 1 Jul/20/12 Dec/07/12
Description: Pro-Face Operator Panel GP37W2-BG41-24V Used Working
usedeqsales Used - $203.56 1 Jul/19/12 Dec/06/12
Description: Allen Bradley PLC Controller SLC500 1746-A7 Used Working
usedeqsales Used - $252.56 1 May/24/12 Dec/06/12
Description: Cosel DC Power Supply MMB75U-1 Lot of 5 Used Working
usedeqsales Used - $504.06 1 Aug/23/12 Dec/15/12
Description: Thermo Electron Corp. Cryotiger Cold End T2118-01-290-14 Used Working
usedeqsales Used - $1,009.12 1 Sep/10/12 Dec/18/12
Description: Asyst 300FL, S2.1, HAMA ROX Load Port 9700-8106-01 300mm Used, Working
sparepartssolution Used - $250.00 0 May/09/12 Dec/19/12
Description: (AA01) AMAT APPLIED MATERIALS 3870-01213 PENUMATIC VALVE USED WORKING
usedeqsales Used - $809.12 1 Sep/18/12 Jul/09/14
Description: Lam Research Transfer Arm 15-024611-00 Rev. C Used Working
usedeqsales Used - $104.56 1 Sep/07/12 Jan/06/13
Description: Baldor Motor and Gear Head GP7304 Used Working
usedeqsales Used - $216.38 1 Sep/11/12 Jan/07/13
Description: Jennings Capacitor CMV1-4000-0605 Used Working
usedeqsales Used - $502.12 1 Oct/25/12 Jan/07/13
Description: MKS Baratron Pressure Transducer 852B-13384 Lot of 12 Used Working
usedeqsales Used - $216.38 1 Sep/11/12 Jan/08/13
Description: Jennings Capacitor CMV1-4000-0305 Used Working
usedeqsales Used - $424.98 3 Jul/20/12 Jan/08/13
Description: Socapel AC Servo Drive STI-10-310 Used Working
usedeqsales Used - $283.58 1 May/16/12 Jan/09/13
Description: Watlow Anafaze Temperature Controller 88-30500-550 Rev. A Used Working
usedeqsales Used - $505.12 1 May/24/12 Jan/09/13
Description: Applied Materials AMAT XR80 Cooled Tube 0040-96386 Used Working
usedeqsales Used - $705.68 1 Aug/10/12 Jan/13/13
Description: Lam 4420 Edge Sensor Assembly 853-012500-002-E-3117 Used Working
usedeqsales Used - $318.58 1 May/15/12 Jan/10/13
Description: MKS Pressure Gauge LDM-B12PA2CC1 1040-01218 LDM-B12PA2CC3 Lot of 6 Used Working
sparepartssolution Used - $999.99 1 Jun/13/12 Jan/14/13
Description: (AJ01) SGDS-08A12A SGMAS-08ACA21 YASKAWA SERVOPACK SERVO MOTOR USED WORKING
sparepartssolution Used - $899.99 1 Aug/24/12 Jan/19/13
Description: (AC03) TYLAN GENERAL AC-2S06 AC206 796-006238-002 ADAPTORR USED WORKING
usedeqsales Used - $104.56 1 Sep/05/12 Jan/19/13
Description: Mitsubishi Contactor SD-N50 Lot of 4 Used Working
sparepartssolution NEW - $99.99 0 Sep/04/12 Sep/02/15
Description: (127-0602) AMAT APPLIED MATERIALS 0020-20716 FINGER USED WORKING
sparepartssolution Used - $799.99 1 Aug/29/12 Jun/25/14
Description: (127-0205) AMAT APPLIED MATERIALS 0010-21336 SHUTTER BAR ASSEMBLY USED WORKING
usedeqsales Used - $1,108.11 0 Aug/30/11 Aug/09/22
Description: Nikon 4S085-431 Carrier Module Board 4S019-327 PCB Card NSR-S307E Used Working
usedeqsales Used - $656.12 3 Jun/25/12 Apr/04/23
Description: VAT 26332-KA11-1001 Right Angle Isolation Valve Lot of 9 Used Working
usedeqsales Used - $407.12 3 Jul/25/12 Jul/09/13
Description: TEL Unity 2 AC Pow FAIL PCB 1D81-000065-1 Used Working
usedeqsales Used - $1,205.12 4 May/02/12 Jul/12/13
Description: Applied Materials AMAT Mirra Mesa Pneumatic Box 0010-77682 Used Working
usedeqsales Used - $5,000.56 2 Jan/16/12 Jan/26/13
Description: Daihen Advanced Microwave Generator System ATM-30A 0190-03117 Used Working
sparepartssolution Used - $999.99 0 Jan/30/13 Sep/02/15
Description: (HA01) AMAT APPLIED MATERIALS 0020-20691 COOLDOWN CH PEDESTAL ASSY USED WORKING
sparepartssolution Used - $1,499.99 1 Jan/30/13 Jun/03/13
Description: (126-0105) AMAT APPLIED MATERIALS 0010-09874 0010-09871 VDS CONTROL USED WORKING
sparepartssolution Used - $459.99 0 Jan/30/13 Aug/27/13
Description: (126-0103) AMAT APPLIED MATERIALS 1080-01133 MOTOR DRIVER USED WORKING
sparepartssolution Used - $19.99 1 Jan/30/13 Mar/26/13
Description: (126-0103) AMAT APPLIED MATERIALS 0720-01597 CONNECTOR USED WORKING
sparepartssolution Used - $299.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0090-70000 MTR-BRK-ENCDR ASSY USED WORKING
sparepartssolution Used - $149.99 1 Jan/30/13 Feb/22/13
Description: (126-0103) AMAT APPLIED MATERIALS 0050-21983 GAS LINE USED WORKING
sparepartssolution Used - $199.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0040-20056 ADAPTOR ELBOW .25VCR USED WORKING
sparepartssolution Used - $249.99 1 Jan/30/13 Mar/31/15
Description: (126-0103) AMAT APPLIED MATERIALS 0020-23635 LIFT HOOP 6 101% W/B USED WORKING
sparepartssolution Used - $499.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0020-21690 PLATE CCD VACUUM SEAL USED WORKING
sparepartssolution Used - $299.99 1 Jan/30/13 Feb/19/13
Description: (126-0103) AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT USED WORKING
sparepartssolution Used - $999.99 0 Jan/30/13 Sep/02/15
Description: (126-0103) AMAT APPLIED MATERIALS 0010-05574 P5000 ZA SLIT VALVE USED WORKING
sparepartssolution Used - $5,999.99 0 Jan/30/13 May/13/14
Description: (117-0101) AMAT APPLIED MATERIALS 0010-76152 HTHU 8inch HEATER USED WORKING
sparepartssolution Used - $199.99 0 Jan/30/13 Oct/17/13
Description: (116-0201) AMAT APPLIED MATERIALS 0030-20006 SOURCE COVER USED WORKING
sparepartssolution Used - $4,599.99 0 Jan/30/13 Aug/03/13
Description: (116-0201) AMAT APPLIED MATERIALS 0010-70441 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Jan/30/13 Apr/11/13
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13629 MOTORIZED LIFT ASSY USED WORKING
sparepartssolution Used - $49.99 3 Sep/21/12 Jan/30/13
Description: (118-0101) NUPRO SS-BNV51-C PENUMATIC VALVE USED WORKING
sparepartssolution Used - $49.99 5 Sep/21/12 Jan/30/13
Description: (118-0101) NUPRO SS-BNVCR4-C PENUMATIC VALVE USED WORKING
sparepartssolution Used - $9,999.99 0 Feb/01/13 Jun/24/14
Description: (HA01) AMAT APPLIED MATERIALS 0010-21844 G12 DURA SOURCE MAGNET USED WORKING
usedeqsales Used - $205.12 1 May/31/12 Jan/31/13
Description: MKS Pressure Transducer 131882-G3 Lot of 3 Used Working
usedeqsales Used - $204.12 1 Apr/09/12 Jan/31/13
Description: Carlo Gavazzi Contactor RJ1P23V50E Lot of 4 Used Working
usedeqsales Used - $753.12 1 Mar/21/12 Jan/31/13
Description: SMC Cylinder Actuator NCDQ1B32-G0069-100 Lot of 11 Used Working
esolutions1 Used - $199.00 1 Feb/03/13 Nov/03/14
Description: Nor-Cal Valve Actuator AMAT 0190-25722 Used Working
usedeqsales Used - $5,002.13 0 Feb/08/13 Jun/03/13
Description: Applied Materials AMAT Quantum Beamline Flight Tube 9010-00940 Used Working
sparepartssolution Used - $299.99 1 Feb/20/13 Feb/22/13
Description: (126-0103) AMAT APPLIED MATERIALS 0010-20511 ASSY, DC BAIS SHORT USED WORKING
usedeqsales Used - $1,003.13 0 Mar/12/13 Oct/22/13
Description: AMAT 0010-99090 Quantum Leap 3 Process Assembly 0020-81401 Used Working
usedeqsales Used - $803.13 1 Mar/12/13 Jul/22/13
Description: AMAT 0020-87074 XR80 Cylinder Drive Assembly 0010-92377 Used, Working
used1eqsales Used - $703.13 2 Mar/18/13 Aug/22/13
Description: AMAT Applied Materials 0020-31347 P5000 Lamp Module Assembly Used Working
semiguy2596 NEW - $150.00 0 Mar/18/13 Mar/21/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $0.99 0 Mar/21/13 Mar/28/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $150.00 0 Mar/23/13 Apr/03/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
usedeqsales Used - $503.13 1 Mar/26/13 Jun/18/13
Description: Millipore CMHT-11S02 Manometer 685-008451-001 REV.D LAM 4420 Used Working
usedeqsales Used - $303.13 1 Mar/27/13 Oct/02/18
Description: Mykrolis CMHT110232E Manometer 853-011053-002 LAM 4420 Used Working
usedeqsales Used - $403.13 0 Mar/28/13 Sep/24/13
Description: LAM Research 715-130080-008 200mm End Effector REV. F LAM 4500 Used Working
semiguy2596 NEW - $0.99 1 Apr/03/13 Apr/10/13
Description: NO RESERVE!!(127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
semiguy2596 NEW - $0.99 0 Apr/03/13 Apr/10/13
Description: (127-0204) AMAT APPLIED MATERIALS 0190-35221 ATM SWITCH USED WORKING
usedeqsales Used - $404.13 0 Apr/03/13 May/05/15
Description: Mass-Vac 355084 MV Multi-Trap Vacuum AMAT 0190-34121 Used Working
usedeqsales Used - $654.13 1 Apr/04/13 Sep/06/13
Description: MDC 996035 Manual Operated Angle Valve AMAT 3870-01352 Lot of 4 Used Working
usedeqsales Used - $1,804.13 0 Apr/05/13 Apr/30/15
Description: Horiba STEC LF-310A-EVD Liquid Mass Flow Meter AMAT 3030-08009 Used Working
usedeqsales Used - $2,104.13 0 Apr/05/13 Feb/20/15
Description: Horiba STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-09966 Used Working
sparepartssolution Used - $999.99 0 Apr/16/13 Apr/23/14
Description: (AC06) NOVELLUS 02-034833-00 SESIOC WTS 0 USED WORKING
kinneycorp Used - $365.87 0 Apr/25/13 May/05/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales Used - $804.13 1 Apr/25/13 Sep/04/13
Description: Fujikin 038819 Diaphragm Valve AMAT 3870-01766 Lot of 17 Used working
sparepartssolution Used - $1,399.99 0 Apr/29/13 Apr/20/15
Description: (127-0201) AMAT APPLIED MATERIALS 1210-02865 RES DUMMY LOAD 1.5K USED WORKING
sparepartssolution Used - $2,799.99 1 May/01/13 Jul/01/13
Description: (117-0501) AMAT APPLIED MATERIALS 0010-70254 STANDARD HEATER USED WORKING
usedeqsales Used - $8,005.13 0 May/02/13 Apr/30/15
Description: MKS AX7685-20 Remote Plasma Source ASTRONex AMAT 0190-34542 Rev. B Used Working
usedeqsales Used - $504.12 1 Apr/10/12 May/01/13
Description: Oriental Motor Stepping Motor A3723-9215 C5347-9212M Lot of 10 Used Working
usedeqsales Used - $5,505.13 0 May/03/13 May/23/14
Description: MKS FI80131 Remote Plasma Source ASTRONex AMAT 0920-00131 Rev C Used Working
usedeqsales Used - $505.13 4 May/03/13 Dec/11/14
Description: Novellus 03-032706-00 DLCM Interlock Board Used Working
used1eqsales Used - $1,205.13 1 May/06/13 Oct/13/15
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis Rev. C Used Working
usedeqsales Used - $505.13 2 May/08/13 Jan/31/14
Description: Novellus 03-034719-00 Left Loadlock Interface Rev G PCB Used Working
usedeqsales Used - $105.13 1 May/09/13 Jun/26/13
Description: Leica 86 11101553/0208 BZ:2 Lamp House AMAT 0060-21446 Rev. A Used Working
usedeqsales Used - $305.13 1 May/09/13 May/21/13
Description: Deublin 957-600 Air Rotary Union AMAT 0190-01272 used working
kinneycorp Used - $355.77 0 May/16/13 May/26/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales Used - $605.13 5 May/17/13 Jun/22/13
Description: Applied Materials 50001344-01 ConnectPort TS 16 AMAT 0190-27952 Used Working
used1eqsales Used - $2,005.13 1 May/29/13 Apr/22/16
Description: AMAT Applied Materials 0190-01769 Endura Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 1 May/29/13 Apr/22/16
Description: AMAT Applied Materials 0190-05576 Endura Mainframe Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 1 May/29/13 May/23/17
Description: AMAT Applied Materials 0190-02032 Endura RF Cable Rev. 006 Used Working
sparepartssolution Used - $2,999.99 0 Jun/08/13 Apr/20/15
Description: (127-0203) AMAT APPLIED MATERIALS 0010-76600 BLADE ASSY 8 THIN META USED WORKING
sparepartssolution Used - $999.99 0 Jun/08/13 Sep/02/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-22545 SPECIFICATION, 5.X FI USED WORKING
sparepartssolution Used - $499.99 0 Jun/08/13 Sep/02/15
Description: (125-0501) AMAT APPLIED MATERIALS 0190-22543 SPECIFICATION, 5.X FI USED WORKING
usedeqsales Used - $506.13 2 Jun/10/13 May/05/15
Description: Schneider Electric ATV32HU40N4 Variable Speed Drive AMAT 0190-34535 Used Working
sparepartssolution Used - $399.99 0 Jun/13/13 Sep/02/15
Description: (128-0201) AMAT APPLIED MATERIALS 3870-01331 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $299.99 0 Jun/13/13 Sep/02/15
Description: (118-0201) AMAT APPLIED MATERIALS 3870-01919 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $299.99 0 Jun/13/13 Sep/02/15
Description: (118-0201) AMAT APPLIED MATERIALS 3870-01244 NOR-CAL VALVE USED WORKING
usedeqsales Used - $306.13 2 Jun/17/13 Oct/12/13
Description: MDC KAV-100 Manual Angle Valve AMAT 3870-02742 Lot of 2 Used Working
sparepartssolution Used - $2,999.99 0 Jun/19/13 Mar/06/14
Description: (112-0301) AMAT APPLIED MATERIALS 0010-20351 5/6 PVD Degas USED WORKING
usedeqsales Used - $300.13 0 Jun/19/13 May/04/15
Description: Yaskawa SGDH-01AE-N3Y929 Servo Drive AMAT 0190-41094 Used Working
usedeqsales Used - $306.13 1 Jun/21/13 Oct/05/14
Description: MDC KAV-150-P-10 Pneumatic Angle Valve AMAT 3870-02890 Lot of 2 Used Working
usedeqsales Used - $122.45 3 Jun/26/13 May/18/14
Description: SMC VV5Q11-ULB990135 Pneumatic Manifold AMAT 4060-01172 Used Working
usedeqsales Used - $153.07 1 Jun/28/13 Dec/29/14
Description: Granville-Phillips 20360140 Ion Gauge Cable AMAT 0190-21223 Used Working
sparepartssolution Used - $2,799.99 0 Jul/05/13 Jul/02/14
Description: (117-0501) AMAT APPLIED MATERIALS 0010-70254 STANDARD HEATER USED WORKING
kinneycorp Used - $299.73 0 Jul/18/13 Jul/28/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales Used - $507.13 1 Jul/11/13 Oct/09/19
Description: Novellus Systems 03-283968-00 Interface FE/LL C3 Vector Used Working
usedeqsales Used - $307.13 0 Jul/15/13 Dec/15/20
Description: AMAT Applied Materials 0190-22570 Transponder Reader TLG-I1-AMAT-R1 Used Working
usedeqsales Used - $407.13 1 Jul/19/13 Apr/03/14
Description: Norgren VM10AMATACP161 Pneumatic Manifold AMAT 0190-24983 Used Working
usedeqsales Used - $307.13 1 Jul/22/13 Sep/29/14
Description: Exactus IFM8-915 8 Channel HUB Pyro/Em AMAT 0190-15988 Used Working
usedeqsales Used - $407.13 0 Jul/23/13 May/05/15
Description: AMAT Applied Materials 0270-70685 Centura Endura LLK Assembly Stand Used Working
usedeqsales Used - $657.13 1 Jul/25/13 Sep/27/16
Description: LAM Research 853-001983-010 Endpoint Detector 4420 Rev. T Used Working
usedeqsales Used - $307.13 0 Jul/25/13 May/18/23
Description: AMAT Applied Materials 0270-04365 EVR Extraction Tool Used Working
kinneycorp Used - $240.68 0 Aug/01/13 Aug/11/13
Description: 0-20Kv 0-16mA PSU Power Supply. AMAT Applied Materials 0090-91806 Used working.
usedeqsales Used - $608.13 1 Aug/01/13 Aug/02/13
Description: Stober P821SPZ0070MT Precision Gearhead AMAT 3970-00029 Lot of 6 Used Working
usedeqsales Used - $605.13 2 Aug/02/13 Dec/05/17
Description: AMAT Applied Materials 0190-27952 ConnectPort TS 16 50001344-01 Used Working
usedeqsales Used - $308.13 5 Aug/02/13 Jan/21/14
Description: Sensor Technics SQ01568 Transducer AMAT 0090-00963 Lot of 4 Used Working
usedeqsales Used - $508.13 0 Aug/02/13 May/04/15
Description: AMAT Applied Materials 0090-06586 Rack Tool Control Server Rev. 01 Used Working
usedeqsales Used - $408.13 0 Aug/05/13 May/05/15
Description: NANOmetrics 3200-016471 Power Pack Assembly AMAT 0500-00243 Used Working
usedeqsales Used - $308.13 0 Aug/06/13 May/05/15
Description: SMC SS5V1-DUO02365 Pneumatic Manifold AMAT 0190-38051 Used Working
usedeqsales Used - $308.13 0 Aug/06/13 May/05/15
Description: AMAT Applied Materials 0190-27313 Capacitance/Pirani Gauge Used Working
usedeqsales Used - $408.13 1 Aug/07/13 Oct/02/13
Description: Control Instruments SNT476 Sensor AMAT 0140-04933 Lot of 4 Used Working
usedeqsales Used - $708.13 1 Aug/07/13 Aug/10/13
Description: Oriental Motor K0043-D Brushless DC Motor Driver AMAT 1080-01190 Used Working
usedeqsales Used - $2,008.13 0 Aug/07/13 Oct/09/14
Description: AMAT Applied Materials 9090-00945 ITL MAG PSU Assembly XR80 Used Working
usedeqsales Used - $1,006.10 3 Aug/09/13 Mar/08/17
Description: Brooks Automation WTM-511-2-FWS02-V1-CU Wet Robot AMAT 0190-08246 Used Working
usedeqsales Used - $2,006.10 0 Aug/09/13 Aug/19/14
Description: Brooks Automation WTM-511-2-FWS02-V1-CU Wet Robot AMAT 0195-02883 Used Working
usedeqsales Used - $352.10 1 Aug/14/13 Oct/17/18
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
usedeqsales Used - $258.13 0 Aug/30/13 May/04/15
Description: MDC KAV-150-P Pneumatic Angle Valve AMAT 3870-01141 Used Working
usedeqsales Used - $43.65 1 Sep/05/13 May/14/14
Description: MKS LPV1-50-AK-CNVS Isolation Pneumatic Angle Valve AMAT 3870-03372 Used Working
usedeqsales Used - $509.13 0 Sep/05/13 May/04/15
Description: AMAT Applied Materials 0010-09933 HV Module ESC Assembly Used Working
usedeqsales Used - $359.13 0 Sep/09/13 Mar/28/22
Description: AMAT Applied Materials 9090-00273 DeviceNet I/O Block DIP294-2 Used Working
usedeqsales Used - $409.13 0 Sep/09/13 May/05/15
Description: SMC US447 24 Port Manifold Valve AMAT 0190-02046 Used Working
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: SMC VV5Q11-13-DAN00058 Pneumatic Manifold AMAT 0190-33070 Used Working
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: SMC US15677 Pneumatic Manifold AMAT 4060-00301 Used Working
usedeqsales Used - $359.13 0 Sep/10/13 May/05/15
Description: SMC IN587-23 Pneumatic Manifold AMAT 0190-37883 Used Working
usedeqsales Used - $309.13 0 Sep/10/13 May/05/15
Description: SMC VV5Q11-16-DAP00260 Pneumatic Manifold AMAT 4060-00870 Used Working
usedeqsales Used - $359.13 3 Sep/12/13 Mar/23/15
Description: Kollmorgen 1000-0121-01 Preliminary Magnet Drive AMAT 0190-03554 Used Working
jygdiy1976 NEW - $399.00 0 Sep/18/13 Jul/04/16
Description: Applied Materials AMAT 0100-01366 Vibration Monitor Board Used Working XR80
usedeqsales Used - $3,510.10 0 Sep/26/13 May/01/15
Description: Asyst UTX-F5500-16 Transfer Robot AMAT 0190-14191 Used Working
usedeqsales Used - $454.57 6 Sep/30/13 Dec/16/13
Description: VAT 10846-XE28-AXI1 Gate Valve AMAT 0190-37618 Used Working
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/19/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13235 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/19/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-70441 MOTORIZED LIFT ASSEMBY USED WORKING
sparepartssolution Used - $3,999.99 0 Sep/30/13 Sep/01/14
Description: (116-0201) AMAT APPLIED MATERIALS 0010-13629 MOTORIZED LIFT ASSEMBY USED WORKING
usedeqsales Used - $4,510.13 0 Oct/01/13 Apr/30/15
Description: Kawasaki C61C-B002 Robot Controller AMAT 0190-06225 Used Working
usedeqsales Used - $510.13 1 Oct/01/13 Nov/05/13
Description: Nor-Cal 021010-1 Gate Valve AMAT 3870-03322 Used Working
usedeqsales Used - $1,510.13 4 Oct/03/13 May/01/15
Description: Daihen ATP-15B Microwave Power Generator AMAT 0190-35783 Used Working
usedeqsales Used - $455.07 1 Oct/04/13 Dec/02/13
Description: VAT 10846-XE28-ANL1 Gate Valve AMAT 0190-37618 Used Working
usedeqsales Used - $5,510.13 1 Oct/08/13 Nov/21/13
Description: ASTRONi MKS AX7670-19 Remote Plasma Source Rev. F AMAT 0190-26744 Used Working
usedeqsales Used - $3,157.09 1 Oct/08/13 Nov/07/13
Description: ASTRONi MKS AX7670-19 Remote Plasma Source Rev. E AMAT 0190-26744 Used Working
usedeqsales Used - $4,086.08 1 Oct/08/13 Dec/18/13
Description: ASTRONi MKS AX7670-72 Remote Plasma Source Rev. A AMAT 0190-40690 Used Working
usedeqsales Used - $310.13 2 Oct/10/13 Mar/30/15
Description: AMAT Applied Materials 0100-90863 Hi-Standard Gas Interlock XR80 Used Working
usedeqsales Used - $310.13 2 Oct/10/13 Oct/14/14
Description: Applied Materials AMAT 0110-99011 Hi Standard Gas Interlock XR80 Used Working
usedeqsales Used - $3,306.08 1 Oct/11/13 Feb/27/15
Description: MKS Instruments AX7685-20 Remote Plasma Source AMAT 0190-34542 Used Working
usedeqsales Used - $1,010.13 0 Oct/16/13 Jan/07/14
Description: Astex TS90 3-Stub Manual Microwave Tuner AMAT 0190-09246 Used Working
usedeqsales Used - $510.13 0 Oct/16/13 May/04/15
Description: Comdel 0190-14090 Electrostatic Chuck Power Supply AMAT Used Working
usedeqsales Used - $410.13 0 Oct/18/13 Oct/16/14
Description: CTI-Cryogenics 0190-19393 IS Controller On-Board AMAT Used Working
usedeqsales Used - $3,510.13 0 Oct/18/13 Apr/30/15
Description: Bay Voltex LT-HRE-1650-9650-WC-DC Thermo Chiller AMAT 0190-36058 Used Working
usedeqsales NEW - $310.13 5 Oct/18/13 Apr/03/14
Description: TRU RG-271/U RF Cable 50 Foot AMAT 0620-00517 Lot of 2 Used Working
usedeqsales Used - $410.13 2 Oct/17/13 Jan/20/14
Description: CTI-Cryogenics 0190-11422 IS Controller On-Board AMAT Used Working
usedeqsales Used - $310.13 0 Oct/21/13 May/23/14
Description: TRU RG-217U RF Cable 50 Foot AMAT 0620-00516 Lot of 2 Used Working
usedeqsales Used - $2,255.07 1 Oct/21/13 Dec/07/13
Description: AE Advanced Energy 3152439-402 DC Power Supply AMAT 0190-28821 Used Working
usedeqsales Used - $310.13 24 Oct/25/13 Feb/20/15
Description: SMC NVV5J3-UIB980146 24-Port Pneumatic Manifold AMAT 0190-09487 Used Working
usedeqsales Used - $310.13 0 Oct/25/13 May/05/15
Description: SMC VV5Q11-14-DAK00810 28-Port Pneumatic Manifold AMAT 4060-00680 Used Working
usedeqsales Used - $1,855.07 0 Oct/25/13 Mar/05/14
Description: Kawasaki 320032-B011 Interface Robot Track AMAT 0190-17959 Used Working
usedeqsales Used - $410.13 2 Oct/25/13 Jul/08/14
Description: Lambda LIS-3I-12 Regulated Power Supply AMAT 1140-01046 Lot of 2 Used Working
usedeqsales Used - $310.13 1 Oct/28/13 Aug/13/14
Description: SMC NCDQ2B69-UIA981030 Pneumatic Cylinder AMAT 0010-70822 Lot of 3 Used Working
usedeqsales Used - $1,510.13 1 Oct/28/13 Dec/03/14
Description: Rexroth R055701426 Linear Slide Actuator System AMAT 3760-00274 Used Working
usedeqsales Used - $310.13 0 Oct/28/13 May/05/15
Description: SMC VV5Q11-14-DAK00786 24-Port Pneumatic Manifold AMAT 4060-00681 Used Working
usedeqsales Used - $2,511.11 0 Oct/31/13 May/01/15
Description: Daihen RMN-40A RF Dome Automatic Matching Unit AMAT 1110-01068 Used Working
usedeqsales Used - $310.13 0 Oct/31/13 May/05/15
Description: VAT 26432-KH11-BJI1 Pneumatic Angle Valve AMAT 3870-05916 Lot of 2 Used Working
usedeqsales Used - $510.13 0 Oct/31/13 May/04/15
Description: MKS Instruments 1036564-001 Exhaust Throttle Valve AMAT 3870-06353 Used Working
sagatron-inc Used - $270.00 1 Oct/31/13 Mar/20/15
Description: AMAT Applied Materials 0010-09933 HV Module ESC Assembly Used Working
usedeqsales Used - $1,011.13 2 Nov/01/13 Oct/01/14
Description: VAT 0340X-CA24-BXR1 Pneumatic Slit Valve AMAT 0190-34901 Used Working
sparepartssolution Used - $6,000.00 0 Nov/01/13 Nov/05/13
Description: AMAT APPLIED MATERIALS 0190-13203 HFV8000 3155083-002A GENERATOR USED WORKING
usedeqsales Used - $311.13 2 Nov/04/13 Sep/29/14
Description: Exactus IFM8-915 8 Serial Port Channel Hub AMAT 0190-19384 Used Working
usedeqsales Used - $2,457.79 1 Nov/06/13 Nov/12/13
Description: Yaskawa XU-BCD2081D Robot Controller AMAT 0190-14741 Used Working
usedeqsales Used - $411.13 0 Nov/12/13 May/05/15
Description: AMAT Applied Materials 0190-33337 Heater Jacket Gemini Used Working
usedeqsales Used - $3,511.13 0 Nov/14/13 Apr/30/15
Description: Yaskawa XU-ACP4870 300mm Prealigner AMAT 0190-12263 Used Working
usedeqsales Used - $2,255.57 0 Nov/13/13 Dec/18/13
Description: DCG-200Z ENI DC24M-Z141300110A DC Plasma Generator AMAT 0190-07964 Used Working
usedeqsales Used - $361.13 0 Nov/22/13 May/05/15
Description: AMAT Applied Materials 0190-76252 DeviceNet I/O Block DIP294 Used Working
usedeqsales Used - $910.13 3 Nov/25/13 May/21/14
Description: MKS-Astex F120661 Ignition Upgrade Kit AMAT 0190-04581 Used Working
usedeqsales Used - $2,011.13 2 Nov/26/13 Apr/07/17
Description: Kawasaki 320032-B011 Interface Robot Track AMAT 0190-17959 Rev. 001 Used Working
usedeqsales Used - $1,012.13 2 Dec/02/13 Dec/09/14
Description: Animatics SM3410-APPMAT1 AC Servo Motor SmartMotor AMAT 1080-00125 Used Working
usedeqsales Used - $2,706.08 1 Dec/04/13 Dec/18/13
Description: ASTRONi MKS AX7670-19 Remote Plasma Source Rev. E AMAT 0190-26744 Used Working
usedeqsales Used - $411.13 6 Dec/05/13 Feb/19/14
Description: InUSA gFFOZ Full Flow Ozone Sensor AMAT 3030-06231 Used Working
usedeqsales Used - $412.13 0 Dec/06/13 Dec/06/13
Description: MKS Instruments 253B-14461 Exhaust Throttle Valve AMAT 3870-02937 Used Working
usedeqsales Used - $512.13 1 Dec/06/13 Apr/03/14
Description: ETO ABX-X348 SSD Driver Board Rev. F AMAT 0190-01292 Used Working
usedeqsales Used - $252.13 0 Dec/09/13 May/04/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller PI-980 AMAT 0190-27879 Used Working
usedeqsales Refurbished - $1,512.13 10 Dec/09/13 May/01/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-08120 20 SLM N2O Used Working
usedeqsales Used - $2,812.13 1 Dec/09/13 Jan/07/14
Description: PRI Automation ESC-218BT-FWS Robot Controller AMAT 0190-08247 Used Working
usedeqsales Used - $2,012.13 1 Dec/10/13 Feb/23/15
Description: Delatech 814-01-034 Spec Multinozzle Inlet Assembly AMAT 0190-18291 Used Working
usedeqsales Used - $412.13 3 Dec/12/13 Jan/28/14
Description: Stober P821SPZ0070MT Precision Gearhead AMAT 3970-00029 Used Working
usedeqsales Used - $312.13 3 Dec/26/13 Apr/18/14
Description: AMAT Applied Materials 10762200 RF Cable 50 FT 0620-02885 Lot of 3 Used Working
usedeqsales Used - $312.13 0 Dec/26/13 Feb/20/14
Description: TRU RG-217U RF Cable 50 Foot AMAT 0620-00517 Lot of 2 Used Working
usedeqsales Used - $612.13 1 Dec/31/13 Dec/31/13
Description: AMAT Applied Materials 0100-20100 Analog I/O PCB Used Working
usedeqsales Used - $601.14 1 Jan/03/14 Jun/22/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
usedeqsales Used - $601.14 1 Jan/03/14 Nov/14/14
Description: AMAT Applied Materials 0100-00396 Analog AI/O PCB Used Working
usedeqsales Used - $351.14 1 Jan/03/14 Mar/24/23
Description: Kinetics KM405618 Gas Flow Box AMAT 0240-97138 Millipore FC2900 Used Working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: Horiba STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-06043 Used Working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-14336 Used Working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-10059 Used Working
usedeqsales Used - $501.14 1 Jan/07/14 May/09/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-12648 Used Working
usedeqsales Used - $251.14 0 Jan/07/14 May/01/15
Description: STEC LF-A40M-A-EVD Liquid Mass Flow Meter AMAT 3030-11068 Used Working
usedeqsales Used - $501.14 1 Jan/07/14 Jan/28/14
Description: STEC LF-410A-EVD Liquid Mass Flow Meter AMAT 3030-11633 Used Working
usedeqsales Used - $501.14 2 Jan/07/14 Mar/20/15
Description: STEC LF-310A-EVD Liquid Mass Flow Meter AMAT 3030-05744 Used Working
usedeqsales Used - $3,001.14 2 Jan/13/14 Aug/21/17
Description: Newport 15-3701-1425-26 300mm Wafer Transfer Robot AMAT 0190-19123 Used Working
usedeqsales Used - $3,001.14 4 Jan/13/14 Dec/06/17
Description: Newport 15-3702-1425-26 300mm Wafer Transfer Robot AMAT 0190-22250 Used Working
usedeqsales Used - $3,501.14 0 Jan/14/14 May/01/15
Description: AE Advanced Energy 3155000-000 RF Match Navigator AMAT 0190-30887 Used Working
usedeqsales Used - $10,000.60 1 Jan/20/14 Oct/12/15
Description: Lam Research 685-495112-900 AC Power Box RPM A6-L9 Used Working
usedeqsales Used - $351.14 2 Jan/27/14 Apr/07/14
Description: Mitsubishi HC-UFS30MW8-S2-W AC Servo Motor AMAT 0190-09809 Used Working
usedeqsales Used - $612.13 1 Jan/31/14 Aug/11/14
Description: AMAT Applied Materials 0100-20100 Analog I/O PCB Used Working
usedeqsales Used - $7,002.13 0 Jan/31/14 Apr/30/15
Description: Alcatel ATH 1600 M Vacuum Turbopump P25621B8 AMAT 3620-00272 Used Working
usedeqsales Used - $196.39 0 Feb/05/14 Apr/06/15
Description: AMAT Applied Materials 0190-14178 MAG-LEV Machine Controller Cable Used Working
usedeqsales Used - $35.75 4 Feb/05/14 Mar/18/14
Description: AMAT Applied Materials 3400-00434 40FT Flexline Cryo Hose Used Working
usedeqsales Used - $2,002.14 0 Feb/05/14 May/01/15
Description: ASTeX ARX-X491 Microwave Control Module AMAT 0190-00398 Used Working
usedeqsales Used - $602.14 0 Feb/05/14 May/04/15
Description: Arcom 7041-64423-004-101 Rack Mounted Industrial PC Used Working
usedeqsales Used - $502.14 0 Feb/06/14 Nov/16/20
Description: Hitachi K8-022604-23 Gas Flow Control Box AMAT 0190-33364 Used Working
usedeqsales Used - $452.14 5 Feb/20/14 May/30/14
Description: TRU RG-217U RF Cable 32m AMAT 0620-00516 Lot of 2 Used Working
usedeqsales Used - $802.14 0 Feb/21/14 May/04/15
Description: Spellman ESC5PN25X4250 Compact Power Supply X4250 AMAT 0190-37102 Used Working
usedeqsales Used - $3,502.14 1 Feb/21/14 Dec/12/14
Description: AE Advanced Energy 3155132-001 RF Match AMAT 0190-27576 Used Working
usedeqsales Used - $1,007.12 0 Feb/24/14 Jan/10/19
Description: AMAT Applied Materials 9090-00785 High Voltage Module 3X2H XR80 Used Working
usedeqsales Used - $502.14 0 Feb/24/14 May/05/15
Description: TeNTA AS00720-04 PCI Power Board AMAT 0190-07502 Used Working
usedeqsales Used - $3,002.14 0 Feb/27/14 May/01/15
Description: AMAT Applied Materials 0195-08438 Disk Brush Driver Enclosure Used Working
usedeqsales Used - $402.14 0 Feb/28/14 Jan/22/18
Description: DIP Inc. 15049105 PCB Card CDN491 AMAT 0660-01879 Used Working
usedeqsales Used - $602.14 1 Feb/28/14 Dec/07/17
Description: AMAT Applied Materials 0100-00581 300mm Gas Interlock Card Used Working
usedeqsales Used - $602.14 1 Feb/28/14 Jan/21/18
Description: DIP Inc 15049602 Network PCB Card CDN496 AMAT 0660-01880 Used Working
usedeqsales Used - $253.14 0 Mar/12/14 May/04/15
Description: Cybex 500-103 PC Expander Plus AMAT 0650-01088 Used Working
usedeqsales Used - $503.14 2 Mar/07/14 Jul/06/18
Description: Novellus 03-034720-00 DCCM-S Right Loadlock Interface PCB Rev. A Used Working
usedeqsales Used - $1,003.14 0 Mar/13/14 Apr/17/14
Description: HVA 21251-1003Z-001 Pneumatic Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $303.14 0 Mar/14/14 May/04/15
Description: AMAT Applied Materials 3310-00139 Capacitance Pirani Gauge Used Working
usedeqsales Used - $411.13 6 Mar/14/14 Jul/26/14
Description: InUSA gFFOZ Full Flow Ozone Sensor AMAT 3030-06231 Used Working
usedeqsales Used - $3,503.14 0 Mar/27/14 May/01/15
Description: AE Advanced Energy 3155301-007 RF Match Navigator AMAT 0190-42448 Used Working
usedeqsales Used - $1,003.14 1 Mar/26/14 Apr/01/14
Description: NSK M-RS0810FN544 Megatorque Motor AMAT 0190-14344 Used Working
usedeqsales Used - $2,503.14 1 Mar/27/14 Apr/16/14
Description: Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. G Used Working
usedeqsales Used - $2,503.14 1 Mar/28/14 Apr/16/14
Description: Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. J Used Working
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: STEC SEC-7440M Mass Flow Controller AMAT 3030-02716 Used Working
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: Unit Instruments 1660-100929 Mass Flow Controller AMAT 0227-10753 Used Working
usedeqsales Used - $10,003.10 0 Mar/28/14 Apr/30/15
Description: ETO 80-S09-UW RF Generator Rack A AMAT 0190-18181 0290-76193 Used Working
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: Unit Instruments UFC-8160 Mass Flow Controller AMAT 3030-03237 Used Working
usedeqsales Used - $503.14 1 Mar/28/14 May/09/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-01517 Used Working
usedeqsales Used - $503.14 0 Mar/28/14 Oct/17/14
Description: Horiba STEC SEC-4400M Mass Flow Controller AMAT 0227-04814 Used Working
usedeqsales Used - $10,003.10 0 Mar/28/14 Apr/30/15
Description: ETO 80-S09-UW RF Generator Rack D AMAT 0190-18181 0290-76193 Used Working
usedeqsales Used - $503.14 1 Mar/28/14 Apr/17/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-16742 Used Working
usedeqsales Used - $10,003.10 0 Mar/28/14 Apr/30/15
Description: ETO 80-S09-UW RF Generator Rack B AMAT 0190-18181 0290-76193 Used Working
usedeqsales Used - $253.14 0 Mar/28/14 May/04/15
Description: Tylan FC-2902MEP5-T Mass Flow Controller AMAT 3030-04260 Used Working
usedeqsales Used - $4,503.14 1 Mar/31/14 Mar/31/14
Description: Kawasaki 30C61E-B003 Master Robot Controller AMAT 0190-12697 Used Working
usedeqsales Used - $125.78 0 Mar/31/14 Dec/10/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04702 Used Working
usedeqsales Used - $654.14 1 Apr/01/14 Oct/14/14
Description: MKS Instruments 683B-29016 Exhaust Throttle Valve AMAT 0190-32100 Used Working
usedeqsales Used - $3,504.14 0 Apr/01/14 Apr/04/14
Description: Neslab 327099991701 Heat Exchanger Chiller AMAT 0190-76118 Used Working
usedeqsales Used - $504.14 13 Apr/03/14 Apr/03/14
Description: Novellus 11362100 Spindle Housing Assembly AMAT 0020-79039 Used Working
usedeqsales Used - $1,004.14 0 Apr/04/14 Apr/10/14
Description: AMAT Applied Materials 0010-18129 Sidematch Centura Rev. D Used Working
usedeqsales Used - $354.14 0 Apr/07/14 May/05/15
Description: Copley Controls 800-1514A Servo Amplifier AMAT 0190-31257 Used Working
usedeqsales Used - $504.14 0 Apr/07/14 May/05/15
Description: VAT 26432-KA11-BJI1 Pneumatic Angle Valve AMAT 3870-04912 Lot of 3 Used Working
usedeqsales Used - $126.04 0 Apr/08/14 Dec/08/14
Description: Aera FC-7800CD Mass Flow Controller AMAT 3030-00313 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/01/15
Description: Aera FC-7810CD Mass Flow Controller AMAT 3030-01857 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Celerity UFC-1661 Mass Flow Controller AMAT 3030-15049 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Celerity UFC-1660 Mass Flow Controller AMAT 3030-12920 Used Working
usedeqsales Used - $254.14 0 Apr/08/14 May/04/15
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-09320 Used Working
usedeqsales Used - $2,504.14 0 Apr/08/14 May/01/15
Description: Daihen ATM-30A Microwave Generator AMAT 0190-28437 Used Working
usedeqsales Used - $2,204.14 2 Apr/09/14 Jan/14/15
Description: Daihen RMN-50M2 RF Match AMAT 0190-10906 Used Working
usedeqsales Used - $2,204.14 0 Apr/11/14 May/01/15
Description: Daihen RMN-50N6 Dual Frequency RF Match Box AMAT 0190-25187 Used Working
usedeqsales Used - $3,509.13 5 Apr/14/14 May/01/15
Description: IPUP A100L Alcatel A100 Dry Vacuum Pump AMAT 0190-01042 Used Working
usedeqsales Used - $3,504.14 1 Apr/15/14 Apr/17/14
Description: NSK RZ0810FN507 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $3,504.14 3 Apr/15/14 Apr/17/14
Description: NSK RS0810FN544 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $2,004.14 0 Apr/16/14 May/01/15
Description: Daihen AMN-30H RF Match Box AMAT 0190-15323 Used Working
usedeqsales Used - $1,004.14 1 Apr/16/14 Apr/17/14
Description: NSK RS0810FN544 Megatorque Motor AMAT 0180-00036 Used Working
usedeqsales Used - $1,004.14 0 Apr/16/14 May/01/15
Description: VAT 0750X-UA24-AIP2 ATM L-Motion Actuator AMAT 0190-31117 Used Working
usedeqsales Used - $2,004.14 0 Apr/16/14 May/01/15
Description: Comet 20045812 RF Match Box AMAT 0190-38179 Used Working
usedeqsales Used - $7,504.14 1 Apr/18/14 Apr/18/14
Description: Kawasaki 3NS411B-F003 Wafer Handling Robot AMAT 0190-16621 Used Working
usedeqsales Used - $5,504.14 1 Apr/21/14 Jul/30/14
Description: HiTek Power HiVolt A1007990 High Voltage Stack AMAT 0090-90388 Used Working
usedeqsales Used - $604.14 0 Apr/21/14 May/04/15
Description: Spellman X3208 High Voltage Power Supply Rev. B11 AMAT 0190-17029 Used Working
keykorea Used - $3,500.00 0 Apr/22/14 Oct/29/14
Description: AMAT 0100-77017 ASSY, LOWER PNEUM CNTRLR, USED WORKING
keykorea Used - $100.00 0 Apr/22/14 Dec/18/14
Description: AMAT 0140-77182 CABLE, LOWER PNEUMATICS, USED WORKING
usedeqsales Used - $3,004.14 2 Apr/22/14 May/06/14
Description: Daihen RMN-100B1 RF Automatic Matching AMAT 0190-71954 Used Working
usedeqsales Used - $2,004.14 1 Apr/22/14 Aug/15/14
Description: Beckhoff C6340-0020 Industrial PC AMAT 0190-29169 Used Working
usedeqsales Used - $3,504.15 1 Apr/23/14 Apr/24/14
Description: Yaskawa XU-RCM6841 Robot AMAT 0190-14738 Used Working
usedeqsales Used - $502.14 4 Apr/24/14 Jul/26/14
Description: Horiba STEC LF-A40M-A-EVD Liquid Mass Flow Meter AMAT 3030-11066 Used Working
usedeqsales Used - $254.14 0 Apr/24/14 May/01/15
Description: Aera FC-7810CD Mass Flow Controller AMAT 3030-08167 Used Working
usedeqsales Used - $254.14 0 Apr/24/14 May/04/15
Description: Unit Instruments UFC-1660 Mass Flow Controller AMAT 3030-04368 Used Working
usedeqsales Used - $254.14 0 Apr/24/14 May/04/15
Description: Unit Instruments UFC-1660 Mass Flow Controller AMAT 3030-04479 Used Working
usedeqsales Used - $304.14 0 Apr/24/14 May/04/15
Description: SMC VV5Q11-ULB970109 16-Port Pneumatic Manifold AMAT 4060-01110 Used Working
usedeqsales Used - $604.14 0 Apr/24/14 May/04/15
Description: Spellman X3208 High Voltage Power Supply Rev. B4 AMAT 0190-17029 Used Working
usedeqsales Used - $604.14 0 Apr/24/14 May/04/15
Description: Spellman X3862 High Voltage Power Supply Rev. B11 AMAT 0190-26332 Used Working
usedeqsales Used - $201.66 1 Apr/24/14 Sep/04/14
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-07514 Used Working
usedeqsales Used - $504.14 1 Apr/24/14 May/28/14
Description: Verity Instruments 1006340 Spectrometer SD1024D-2-S AMAT 0190-19763 Used Working
usedeqsales Used - $654.14 2 Apr/28/14 Apr/11/15
Description: HVA 21251-1003Z-001 Pneumatic Gate Valve AMAT 3870-04276 Used Working
usedeqsales Used - $1,404.14 2 Apr/28/14 May/01/15
Description: Mitsubishi HC-UFS30MW8-S2-W AC Servo Motor AMAT 0190-09809 Used Working
sparepartssolution Used - $500.00 0 Apr/29/14 Sep/02/15
Description: (101-0201) AMAT APPLIED MATERIALS 3870-01212 NOR-CAL VALVE USED WORKING
sparepartssolution Used - $360.00 0 Apr/29/14 Apr/20/15
Description: (101-0201) AMAT APPLIED MATERIALS 3870-01455 NOR-CAL VALVE USED WORKING
usedeqsales Used - $304.14 0 Apr/29/14 May/04/15
Description: SMC US15471 32-Port Pneumatic Manifold AMAT 4060-00247 Used Working
usedeqsales Used - $604.14 0 Apr/29/14 May/04/15
Description: GEMU 1435000Z10001-0 Intelligent Positioner Module AMAT 0520-90086 Used Working
usedeqsales Used - $404.14 0 Apr/29/14 May/05/15
Description: SMC PF2D540-19-1 Digital Flow Switch AMAT 1350-00178 Used Working
usedeqsales Used - $404.14 2 Apr/29/14 Dec/11/14
Description: MKS Instruments 153D-3-80-2 Exhaust Throttle Valve AMAT 3870-01840 Used Working
sparepartssolution Used - $600.00 1 May/01/14 May/12/14
Description: (103-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A-15359 GAUGE USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A1TAB S BARATRO USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01067 MKS 627A.1TBD BARATRON USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01054 MKS 624A13TBC BARATRON USED WORKING
sparepartssolution Used - $600.00 0 May/01/14 Nov/03/14
Description: (101-0301) AMAT APPLIED MATERIALS 1350-01026 MKS 627A01TBC GAUGE USED WORKING
sparepartssolution NEW - $600.00 0 May/01/14 Sep/02/15
Description: (101-0301) AMAT APPLIED MATERIALS 0225-33295 122BA-00010BB GAUGE USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Oct/13/14
Description: (101-0202) AMAT APPLIED MATERIALS 0190-01186 SENSOR,HX/FCW FLOWSWI USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Sep/02/15
Description: (101-0202) AMAT APPLIED MATERIALS 0190-00544 SENSOR,HX/FCW FLOWSWI USED WORKING
sparepartssolution Used - $300.00 0 May/01/14 Sep/02/15
Description: (101-0202) AMAT APPLIED MATERIALS 0010-02509 ASSY, FCW VALVE W/FLO USED WORKING
usedeqsales Used - $1,005.14 0 May/01/14 May/01/15
Description: AMAT Applied Materials 0150-17462 Watlow Regal N2 Heater Used Working
usedeqsales Used - $1,005.14 0 May/01/14 May/01/15
Description: AMAT Applied Materials 0150-17461 Watlow Regal N2 Heater Used Working
usedeqsales Used - $405.14 2 May/02/14 May/09/14
Description: Levitronix 100-10001 Pump Motor BSM-3.2 AMAT 3620-99071 Used Working
usedeqsales Used - $255.14 0 May/16/14 May/04/15
Description: Aera FC-PA7810C-BA Mass Flow Controller AMAT 3030-16525 Used Working
usedeqsales Used - $255.14 0 May/16/14 May/04/15
Description: Aera FC-PA7810C-BA Mass Flow Controller AMAT 3030-13508 Used Working
usedeqsales Used - $126.28 0 May/16/14 Dec/10/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04795 Used Working
usedeqsales Used - $505.14 0 May/16/14 Jul/18/14
Description: STEC SEC-4400M Mass Flow Controller AMAT 3030-04800 Used Working
usedeqsales Used - $255.14 0 May/19/14 May/04/15
Description: Celerity UFC-3165 Mass Flow Controller AMAT 0190-15026 Used Working
usedeqsales Used - $202.06 0 May/19/14 Oct/06/14
Description: Celerity UFC-3165 Mass Flow Controller AMAT 0190-24671 Used Working
usedeqsales Used - $505.14 1 May/19/14 Mar/23/15
Description: Horiba STEC IV-2410AV Injection Valve AMAT 3870-04383 Used Working
usedeqsales Used - $505.14 1 May/19/14 Aug/08/14
Description: Horiba STEC SEC-4400M Mass Flow Controller AMAT 3030-12517 Used Working
usedeqsales Used - $255.14 0 May/19/14 May/04/15
Description: Celerity UFC-8565C Mass Flow Controller AMAT 0190-16327 Used Working
usedeqsales Used - $255.14 0 May/19/14 May/04/15
Description: Celerity UFC-8565 Mass Flow Controller AMAT 0190-12576 Used Working
usedeqsales Used - $3,505.14 0 May/19/14 Feb/11/16
Description: Novellus 02-034310-00 Module Controller P100 Rev. K Used Working
usedeqsales Used - $511.09 9 May/19/14 Feb/21/19
Description: AMAT Applied Materials 9090-00093 daq MkII type H Power Supply XR80 Used Working
usedeqsales Used - $705.14 0 May/20/14 May/04/15
Description: AMAT Applied Materials 0090-90967 daq MKII type H Power Supply XR80 Used Working
usedeqsales Used - $305.14 0 May/20/14 May/05/15
Description: AMAT Applied Materials 0120-00415 G2/G3 Protection And Delatch PCB Used Working
usedeqsales Used - $3,505.14 1 May/20/14 May/25/14
Description: NSK RS0810FN544 Megatorque Motor And Driver AMAT 0190-14344 Used Working
usedeqsales Used - $505.14 1 May/20/14 May/21/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15461 Used Working
usedeqsales Used - $505.14 1 May/20/14 May/21/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $255.14 0 May/20/14 May/04/15
Description: Aera FC-PA7820C-6BM Mass Flow Controller AMAT 3030-15732 Used Working
usedeqsales Used - $405.14 0 May/20/14 May/05/15
Description: MKS Instruments Type 683 Throttle Control Valve AMAT 3870-03835 Used Working
usedeqsales Used - $305.14 0 May/21/14 Sep/02/14
Description: Granville-Phillips 010863-60 Ion Gauge Cable AMAT 0190-21305 Used Working
usedeqsales Used - $1,010.13 1 May/22/14 May/01/15
Description: VAT 10846-XE28-ANL1 Gate Valve AMAT 0190-37618 Copper Exposed Used Working
usedeqsales Used - $2,503.14 1 May/22/14 Mar/04/18
Description: Novellus 02-252432-00 C3 Vector Spindle Assembly Rev. G Used Working
usedeqsales Used - $152.57 2 May/23/14 Dec/17/14
Description: TRU 10762200 10m RF Cable AMAT 0620-03165 Used Working
usedeqsales Used - $305.14 3 May/27/14 Jul/13/14
Description: TRU 0620-02935 24m RF Coax Cable AMAT Applied Materials Used Working
usedeqsales Used - $605.14 1 May/28/14 May/28/14
Description: AMAT Applied Materials 322095 Pedestal Lift 0010-13927 Endura Used Working
usedeqsales Used - $3,504.14 0 May/29/14 May/30/14
Description: Neslab 327099991701 Heat Exchanger Chiller AMAT 0190-76118 Used Working
used1eqsales Used - $377.14 1 Jul/30/14 May/02/17
Description: Festo Air Regulator Assembly 3800-00296 AMAT Quantum X used working
used1eqsales Used - $1,753.57 1 Jul/30/14 Jan/23/15
Description: AMAT Quantum X 9090-01385 62 Series Res & Voltage Strek Rev. B used working
usedeqsales Used - $3,507.14 0 Jul/29/14 May/01/15
Description: DCG-200Z ENI DC22M-Z041300111A DC Plasma Generator AMAT 0190-07961 Used Working
usedeqsales Used - $2,007.14 1 Jul/29/14 Aug/05/14
Description: OMS Oregon Micro System SPC0005 PCB Card VMEX AMAT 0190-76005 Used Working
used1eqsales Used - $903.21 3 Jul/31/14 Mar/27/15
Description: Motorola VME162PA 344SE VME CPU PCB 9240-06323 AMAT Quantum X used working
used1eqsales Used - $507.14 0 Jul/31/14 May/26/17
Description: AMAT Quantum X 9090-00879 Gas Interlock Chassis Module Rev. B used working
used1eqsales Used - $1,128.21 0 Jul/31/14 Mar/31/15
Description: HiTek 1140-00166 Power Supply A1028760 AMAT Quantum X used working
used1eqsales Used - $1,378.93 1 Jul/31/14 Apr/21/15
Description: HiTek 9090-00801 Power Supply A1031250 AMAT Quantum X used working
usedeqsales Used - $507.14 1 Aug/01/14 Aug/02/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $258.14 0 Aug/01/14 May/04/15
Description: Horiba STEC SEC-7440M Mass Flow Controller AMAT 3030-08510 Used Working
usedeqsales Used - $127.04 0 Aug/01/14 Dec/09/14
Description: Horiba STEC SEC-7440M Mass Flow Controller AMAT 3030-05153 Used Working
usedeqsales Used - $507.14 1 Aug/04/14 Aug/06/14
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $2,507.14 1 Aug/04/14 Aug/14/14
Description: Brooks Automation ESC-201B Pre-aligner Controller AMAT 0520-90033 Used Working
usedeqsales Used - $1,508.14 6 Aug/05/14 Nov/25/14
Description: AE Advanced Energy 1110-00059 RF Match FixedMatch 3155094-007 AMAT Used Working
oautomation Used - $1,899.99 0 Aug/05/14 Aug/06/14
Description: NSK RS0810FN544 Megatorque Motor AMAT 0190-14344 Used Working
usedeqsales Used - $2,508.14 1 Aug/06/14 Aug/07/14
Description: GE Fanuc VME-7645-130L05 SBC Single Board Computer AMAT 0190-19549 Used Working
usedeqsales Used - $408.14 0 Aug/06/14 May/05/15
Description: Glentek 11564022 Amplifier PCB Card SMA8515HP11-3U AMAT 0540-00091 Used Working
usedeqsales Used - $407.13 1 Aug/06/14 Apr/01/15
Description: MKS Instruments 253B-23957 Throttle Control Valve AMAT 3870-03328 Used Working
usedeqsales Used - $508.14 0 Aug/06/14 May/04/15
Description: MKS Instruments 649A21T11CAFR-S Pressure Controller AMAT 0010-02513 Used Working
usedeqsales Used - $308.14 0 Aug/06/14 May/05/15
Description: Inficon 0190-19964 Foreline Heated Extended Bellows AMAT Used Working
usedeqsales Used - $3,208.14 1 Aug/07/14 Sep/18/14
Description: Hamar Laser L-730 Leveling Laser Alignment System AMAT 0242-72362 Used Working
usedeqsales Used - $3,508.14 0 Aug/07/14 Feb/11/15
Description: Kawasaki 3NS001S-L003 300mm NF Prealigner AMAT 0190-14786 Used Working
usedeqsales Used - $408.14 0 Aug/07/14 May/05/15
Description: Nor-Cal 3870-00859 Adaptive Pressure Controller Intellisys AMAT Used Working
usedeqsales Used - $3,506.11 0 Aug/15/14 May/01/15
Description: AMAT Applied Materials 0010-28724 RF Match Vectra IMP Source 300mm Used Working
usedeqsales Used - $3,808.14 0 Aug/15/14 Dec/23/20
Description: AMAT Applied Materials 6-0000-4663-PC Transfer Robot 0195-02883 Used Working
used1eqsales Used - $754.07 1 Aug/14/14 Jan/23/15
Description: AMAT 0100-01109 Load Circuit Board Rev. B AMAT Quantum X used working
used1eqsales Used - $1,508.14 1 Aug/14/14 Sep/15/14
Description: AMAT 9090-00785 High Voltage Module AMAT Quantum Leap 3 used working
used1eqsales Used - $678.66 0 Aug/14/14 Dec/12/14
Description: AMAT 9010-00504 0020-81401 Electron Assembly AMAT Quantum Leap 3 used working
rfsurplus123 Used - $500.00 1 Aug/13/14 Sep/13/14
Description: Applied Materials AMAT ESC Remote Box Assembly 0010-14507 Used Working
usedeqsales Scrap, for parts - $3,508.14 0 Aug/15/14 May/01/15
Description: DCG-200Z ENI DC21M-Z041300110A DC Plasma Generator AMAT 0190-08279 Used Working
usedeqsales Used - $7,008.14 0 Aug/15/14 Apr/30/15
Description: ATH 1600 M Alcatel P65621B2 Turbomolecular Pump AMAT 3620-00192 Used Working
usedeqsales Used - $180.00 0 Aug/14/14 Apr/15/15
Description: Celerity UFC-3101 Mass Flow Controller AMAT 3030-06292 Used Working
used1eqsales Used - $903.66 0 Aug/15/14 Aug/19/15
Description: AMAT 9090-00742 Beamline Monitoring Module Rev. B Quantum Leap 3 used working
used1eqsales Used - $6,008.14 0 Aug/19/14 May/26/17
Description: AMAT 0010-22568 Chamber Source Rev 001 AMAT Endura 300mm used working
usedeqsales Used - $808.14 2 Aug/20/14 Jan/21/20
Description: Lam Research 810-017075-003 Gas Panel Interlock PCB Rainbow 4420 Used Working
usedeqsales Used - $3,505.14 1 Aug/21/14 Oct/19/14
Description: Novellus 02-406848-00 MC3R Module Controller Assembly 75-322679-00 Used Working
usedeqsales Used - $2,008.14 2 Aug/22/14 Nov/17/14
Description: Lam Research 832-038915-001 RF Match Network Poly Etch Autotuner Used Working
usedeqsales Used - $2,508.14 0 Aug/22/14 Jun/01/23
Description: Novellus 02-324753-00 C3 Vector Spindle Assembly Rev. 2 Used Working
usedeqsales Used - $408.14 3 Aug/22/14 Mar/17/23
Description: Lam Research 853-015686-005 Power Module Rev. B Used Working
usedeqsales Used - $2,508.14 3 Aug/22/14 Feb/23/16
Description: AMAT Applied Materials 300750 RF Match Vectra IMP Source 0010-34895 Used Working
usedeqsales Used - $608.14 0 Aug/22/14 Jun/01/23
Description: Lam Research 810-800082-029 VME Breakout KIY045 PCB 710-800082-029 Used Working
usedeqsales Used - $808.14 3 Aug/22/14 Jul/02/22
Description: Lam Research 810-800081-013 P2 MB VME PCB 710-800081-013 Used Working
usedeqsales Used - $3,855.70 0 Aug/25/14 Oct/26/15
Description: CTI-Cryogenics 0190-25015 Cryopump On-Board IS-8F AMAT Used Working
usedeqsales Used - $408.14 1 Aug/25/14 Jun/01/23
Description: Lam Research 810-802901-300 MB Node 1 PM Common PCB 710-802901-300 Used Working
usedeqsales Used - $408.14 1 Aug/26/14 Feb/05/18
Description: Lam Research 810-802901-307 MB Node 1 PM Common PCB 710-802901-307 Used Working
usedeqsales Used - $708.14 1 Aug/26/14 Jan/17/17
Description: Lam Research 810-800256-005 Node Board Type 3 PCB 800256-005 Used Working
usedeqsales Used - $1,508.14 0 Aug/26/14 Apr/17/15
Description: HiTek Power A1031250 High Voltage Power Supply AMAT 9090-00801 Used Working
usedeqsales Used - $1,808.14 0 Aug/26/14 Apr/17/15
Description: HiTek Power A1030770 High Voltage Power Supply AMAT 9090-00801 Used Working
usedeqsales Used - $2,008.14 0 Aug/26/14 May/01/15
Description: Comet 20045812 RF Match Box AMAT 0190-39689 Used Working
usedeqsales Used - $3,508.14 2 Aug/26/14 Feb/26/15
Description: AE Advanced Energy 3155031-020 RF Match Navigator AMAT 0190-01371 Used Working
usedeqsales Used - $3,508.14 1 Aug/26/14 Dec/05/14
Description: AE Advanced Energy 3155031-039 RF Match Navigator AMAT 0190-16215 Used Working
usedeqsales Used - $428.14 0 Aug/27/14 May/05/15
Description: MKS Instruments MFVA-27960 Mass Flow Verifier πMFV AMAT 0190-26370 Used Working
usedeqsales Used - $511.13 0 Aug/27/14 May/04/15
Description: MKS Instruments 640A-27996 Pressure Controller AMAT 1350-00654 Used Working
usedeqsales Used - $508.14 0 Aug/27/14 Sep/12/14
Description: Unit Instruments UFC-8161 Mass Flow Controller AMAT 3030-00171 Used Working
usedeqsales Used - $308.14 4 Aug/27/14 Mar/11/15
Description: Inficon 0190-26328 Ion Universal Gauge BPG400 AMAT Used Working
usedeqsales Used - $308.14 2 Aug/27/14 Oct/15/15
Description: Inficon 0190-26769 Ion Universal Gauge BPG400 AMAT Used Working
usedeqsales Used - $258.14 0 Aug/27/14 May/04/15
Description: Aera FCPIDN980C-ABA Mass Flow Controller AMAT 0190-27882 Used Working
usedeqsales Used - $808.14 6 Aug/27/14 Jul/28/18
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 1 810-17031-4 Used Working
usedeqsales Used - $808.14 0 Aug/27/14 Dec/13/19
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. 01 810-17031 Used Working
usedeqsales Used - $808.14 1 Aug/27/14 Dec/13/19
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. A 810-17031 Used Working
usedeqsales Used - $258.14 0 Aug/28/14 May/04/15
Description: STEC SEC-7330M Mass Flow Controller SEC-7330 AMAT 3030-10853 Used Working
used1eqsales Used - $629.07 1 Aug/28/14 Sep/23/16
Description: AMAT 9010-01382 Gas Module SiF4 AMAT Quantum X Gas Cabinet used working
usedeqsales Used - $4,006.51 0 Aug/29/14 Sep/08/14
Description: Turbovac 361C Leybold Oerlikon 85675 AMAT 0150-14879 Turbo Pump Used Working
usedeqsales Used - $508.14 0 Aug/29/14 May/04/15
Description: Horiba STEC IV-2410AV-03 Injection Valve AMAT 3030-36238 Used Working
usedeqsales Used - $4,508.14 1 Aug/29/14 Sep/01/14
Description: Yaskawa XU-RCM6841 Wafer Handling Robot AMAT 0190-14738 Used Working
usedeqsales Used - $1,908.14 0 Sep/02/14 Apr/30/15
Description: ACT 1300M Alcatel Turbopump Controller 112123 AMAT 3620-00527 Used Working
usedeqsales Used - $7,504.14 1 Sep/02/14 Nov/06/14
Description: CTI-Cryogenics 8135900G001 Cryo-Compressor 9600 AMAT 3620-01389 Used Working
usedeqsales Used - $612.11 0 Sep/02/14 May/04/15
Description: SWEP B25Hx16/1P-SC-S Heat Exchanger Element AMAT 3380-00069 Used Working
used1eqsales Used - $2,254.11 1 Sep/02/14 Dec/16/14
Description: AMAT 9010-02164 Flight Tube Assembly Rev C Issue E used working
visionsemi Used - $2,950.00 2 Sep/02/14 Nov/13/14
Description: AMAT Applied Materials Endura RF Match Vectra IMP Source 0010-34895 Used Working
used1eqsales Used - $2,509.14 1 Sep/02/14 May/26/17
Description: AMAT 0010-24210 Centura 4.0 FI Controller Rev 001 CP3308-S000535 used working
usedeqsales Used - $258.14 0 Sep/04/14 May/04/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 0190-19036 Used Working
usedeqsales Used - $407.14 0 Sep/04/14 Sep/16/14
Description: MKS Instruments 683B-26787 Throttle Control Valve AMAT 0190-23764 Used Working
usedeqsales Used - $258.14 0 Sep/04/14 May/04/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 0190-19042 Used Working
usedeqsales Used - $258.14 0 Sep/04/14 May/04/15
Description: Horiba STEC SEC-Z11DWM Mass Flow Controller AMAT 3030-15245 Used Working
usedeqsales Used - $609.14 4 Sep/04/14 Dec/02/14
Description: SMC 0190-35197 20-Port Pneumatic PJ2 Manifold G AMAT Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-01929 RF Match HE BESC Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 Nov/13/14
Description: AMAT Applied Materials 0010-19086 RF Match Vectra IMP Source Used Working
usedeqsales Used - $2,509.14 0 Sep/05/14 May/01/15
Description: AMAT Applied Materials 0010-36162 Simple Cathode RF Match Used Working
usedeqsales Used - $1,009.14 0 Sep/05/14 Dec/04/14
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $1,009.14 0 Sep/05/14 Dec/04/14
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 002 Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02456 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02455 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $2,009.14 0 Sep/08/14 May/01/15
Description: Leybold 400036V0024 Turbomolecular Pump Cable AMAT 9150-05306 Used Working
usedeqsales Used - $2,009.14 0 Sep/08/14 May/01/15
Description: Leybold 400036V0010 Turbomolecular Pump Cable AMAT 9150-05305 Used Working
usedeqsales Used - $1,209.14 0 Sep/08/14 May/01/15
Description: Celerity 9010-02214 Autoclean Gas Panel AMAT Quantum X Used Working
used1eqsales Used - $1,129.11 1 Sep/08/14 Apr/08/15
Description: AMAT 0010-12411 Centura 4.0 FI Controller Rev 001 CP3308-S000361 used working
usedeqsales Used - $409.14 0 Sep/08/14 May/05/15
Description: AMAT Applied Materials 0150-76869 Centura Mainframe Cable Harness Used Working
usedeqsales Used - $409.14 0 Sep/08/14 May/05/15
Description: Celerity 9240-05462 Mass Flow Controller Gas Panel AMAT Quantum X Used Working
used1eqsales Used - $2,509.14 1 Sep/08/14 Dec/04/14
Description: AMAT 0010-27131 Centura 4.0 FI Controller Rev 001 CP3308-S000557 used working
usedeqsales Used - $509.14 1 Sep/09/14 Mar/12/15
Description: Celerity UFC-1662L Mass Flow Controller UFC-1662 AMAT 3030-12595 Used Working
usedeqsales Used - $1,209.14 0 Sep/09/14 May/01/15
Description: Celerity 9010-01379 Autoclean Gas Panel AMAT Quantum X Used Working
usedeqsales Used - $8,509.14 1 Sep/09/14 Mar/24/15
Description: SMC INR-498-001B Recirculating Thermo Chiller AMAT 0190-00404 Used Working
usedeqsales Used - $1,609.14 0 Sep/10/14 May/01/15
Description: AMAT Applied Materials 0190-38275 Lamp Driver Box Assembly Used Working
usedeqsales Used - $1,209.14 0 Sep/10/14 May/01/15
Description: Control Concepts 3096-1007 SCR Power Controller AMAT 0190-14927 Used Working
used1eqsales Used - $2,209.14 0 Sep/30/14 May/26/17
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $2,509.14 0 Sep/30/14 May/26/17
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $1,755.07 5 Oct/10/14 Jan/22/15
Description: Brooks 013089-098-20 Load Port Fixload V6 Novellus 04-255330-00 used working
usedeqsales Used - $1,512.14 0 Dec/04/14 May/01/15
Description: Square D 30299-083 Seriplex PCB Card SPX VME6U1V2 AMAT 0190-01405 Used Working
usedeqsales Used - $612.14 0 Dec/04/14 May/01/15
Description: Granville-Phillips 332134 IGC PCB Card AMAT 0660-01647 Used Working
usedeqsales Used - $2,512.14 0 Dec/04/14 Dec/15/14
Description: RadiSys PFS-003-02 Single Board Computer SBC 486 AMAT 0190-00318 Used Working
usedeqsales Used - $1,012.14 0 Dec/04/14 May/01/15
Description: GE Fanuc VMICPCI-7325-259 SBC Single Board Computer AMAT 0090-04405 Used Working
usedeqsales Used - $2,512.14 1 Dec/04/14 Dec/15/14
Description: AMAT Applied Materials 0100-00793 VGA Video Controller PCB Card Used Working
usedeqsales Used - $612.14 0 Dec/04/14 Mar/03/15
Description: AMAT Applied Materials 0100-35054 DCVD Chamber Interface PCB Card Used Working
used1eqsales Used - $337.57 3 Dec/04/14 Feb/05/15
Description: AMAT Gap Servo 0100-00991 PCB AMAT Quantum X Beamline Control Rack used working
usedeqsales Used - $512.14 0 Dec/04/14 Mar/06/15
Description: AMAT Applied Materials 0100-00979 Stepper Controller PCB Card Used Working
usedeqsales Used - $512.14 0 Dec/04/14 May/01/15
Description: AMAT Applied Materials 0100-01717 Gas Exhaust Safety Relay PCB Used Working
usedeqsales Used - $512.14 0 Dec/04/14 Apr/25/17
Description: AMAT Applied Materials 0100-00003 Stepper Drive PCB Card Used Working
usedeqsales Used - $212.14 0 Dec/05/14 May/01/15
Description: AMAT Applied Materials 0100-20191 486PC P2 Distribution PCB Board Used Working
used1eqsales Used - $1,002.57 1 Dec/05/14 Oct/28/15
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev B Quantum X Gas Box used working
used1eqsales Used - $1,002.57 1 Dec/05/14 Sep/27/16
Description: AMAT 9090-01131 Gas Panel Control Assembly Rev A Quantum X Gas Box used working
usedeqsales Used - $2,512.14 2 Dec/05/14 Mar/12/15
Description: AMAT Applied Materials 0010-22225 RF Match Reactive Preclean Used Working
used1eqsales Used - $455.46 0 Dec/05/14 Apr/09/15
Description: AMAT 9090-00976 Arc Control DSP PCB Revision B Quantum X Gas Box used working
usedeqsales Used - $5,258.50 0 Dec/05/14 Dec/30/14
Description: CTI-Cryogenics 3620-01471 Cryo-Compressor With GFI 9600 AMAT Used Working
usedeqsales Used - $2,012.14 0 Dec/05/14 May/01/15
Description: Yaskawa XU-ACL4240 Linear Robot Track AMAT 0190-31509 Used Working
usedeqsales Used - $1,112.14 0 Dec/05/14 Dec/10/14
Description: Malema MFC-8000-T2104-052-P-001 Flow Controller AMAT 0190-14383 Used Working
usedeqsales Used - $1,012.14 0 Dec/08/14 Dec/16/20
Description: Novellus 02-834310-00 Module Controller P100/16M Concept II Altus Used Working
usedeqsales Used - $2,512.14 1 Dec/08/14 Jan/25/15
Description: Animatics CDP2407-1 Novellus 27-050014-01 Concept II Altus Used Working
usedeqsales Used - $1,212.14 1 Dec/09/14 Jan/09/15
Description: AE Advanced Energy 3155094-007 RF Match FixedMatch AMAT 1110-00059 Used Working
usedeqsales Used - $1,012.14 0 Dec/09/14 Dec/10/14
Description: Deublin 20003-100 4-Port Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $2,012.14 0 Dec/09/14 May/11/15
Description: Kensington 15-4000-0001-00 Servo Controller M4000 AMAT 0190-23563 Used Working
usedeqsales Used - $3,512.14 2 Dec/10/14 Feb/10/15
Description: PRI Automation ESC-212B-S293 Controller AMAT 0520-00022 Used Working
usedeqsales Used - $2,012.14 0 Dec/10/14 May/01/15
Description: Kensington 25-4021-0015-05 Servo Controller 4000D AMAT 0190-23562 Used Working
usedeqsales Used - $2,512.14 0 Dec/10/14 May/01/15
Description: AMAT Applied Materials 0010-39207 RF Match eMAX Module Used Working
usedeqsales Used - $2,512.14 1 Dec/10/14 Mar/13/15
Description: AMAT Applied Materials 0010-15877 RF Match PVD Biased Electrode Used Working
usedeqsales Used - $3,512.14 0 Dec/10/14 Apr/30/15
Description: Yaskawa XU-CN1112A Robot Controller AMAT 0190-23876 Used Working
usedeqsales Used - $1,012.14 0 Dec/11/14 Dec/15/14
Description: Deublin 2003-100 4-Port Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $1,012.14 1 Dec/11/14 May/01/15
Description: Deublin 971-600 Rotary Union AMAT 0190-01973 Used Working
usedeqsales Used - $2,512.14 0 Dec/11/14 Dec/18/14
Description: Daihen ATM-30A Microwave Generator AMAT 0190-02248 Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 May/01/15
Description: AMAT Applied Materials 0010-33724 High Efficiency RF Match Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 Jan/11/15
Description: AMAT Applied Materials 0010-21748 RF Match MFG Module Rev. 004 Used Working
usedeqsales Used - $2,512.14 0 Dec/12/14 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match MFG Module Rev. 005 Used Working
usedeqsales Used - $508.14 1 Aug/12/14 Nov/21/14
Description: Supermicro 0240-47845 IAPC System Computer AMAT Applied Materials Used Working
usedeqsales Used - $311.14 1 Nov/19/14 Nov/20/14
Description: AMAT Applied Materials 0010-06834 Chamber GFCI Driver RCM470LY-13 Used Working
usedeqsales Used - $611.14 1 Nov/19/14 Nov/19/14
Description: AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Used Working
usedeqsales Used - $1,010.14 5 Oct/31/14 Nov/05/14
Description: AMAT Applied Materials 0010-12375 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $510.14 4 Oct/30/14 Nov/01/14
Description: AMAT Applied Materials CESC HV Module Assembly 0010-04926 Used Working
usedeqsales Used - $612.14 0 Dec/17/14 May/01/15
Description: AMAT Applied Materials 0190-76273 PVD Degas Driver P1183-208/208 Used Working
usedeqsales Used - $1,012.14 0 Dec/17/14 May/01/15
Description: SMC XLFC-160D-X890 High Vacuum Angle Valve AMAT 3870-04164 Used Working
usedeqsales Used - $11,012.10 0 Dec/18/14 Dec/31/14
Description: GHW-50 ENI GHW50A-13DF3H0-005 Genesis RF Generator AMAT 0190-15319 Used Working
usedeqsales Used - $1,012.14 0 Dec/18/14 May/01/15
Description: Supermicro CSE-111 SuperChassis Server AMAT 0090-06587 Lot of 5 Used Working
usedeqsales Used - $3,003.13 1 Dec/03/14 Dec/18/14
Description: ENI MWD-25LD-02 RF Matching Network TEL Tokyo Electron Unity II Used Working
used1eqsales Used - $375.14 0 Dec/18/14 May/26/17
Description: Lam Research 839-021113-002 Silicon Electrode cleaned used working
usedeqsales Used - $2,512.14 0 Dec/22/14 May/01/15
Description: AMAT Applied Materials 0010-01929 RF Match H.E. BESC Rev. 002 Used Working
usedeqsales Used - $2,512.14 1 Dec/22/14 Dec/24/14
Description: AMAT Applied Materials 0010-20524 RF Match PVD Modified Used Working
used1eqsales Used - $612.14 1 Dec/23/14 Apr/30/15
Description: AMAT 0010-25624 300mm Slit Valve Assy 0010-26749 AMAT Endura used working
usedeqsales Used - $1,512.14 4 Dec/23/14 Dec/25/14
Description: Deublin 20004-715 6-Port Rotary Union AMAT 0190-28291 Used Working
usedeqsales Used - $1,512.14 4 Dec/23/14 Dec/25/14
Description: Deublin 20003-100 4-Port Rotary Union AMAT 0760-01037 Used Working
used1eqsales Used - $185.46 1 Dec/23/14 Jun/14/15
Description: AMAT 0040-50505 Pneumatic Linear Actuator Rev 002 AMAT Endura used working
usedeqsales Used - $512.14 0 Dec/26/14 May/01/15
Description: Thornton 742-A01 DOT Two Resistivity Controller AMAT 0020-22584 Used Working
used1eqsales Used - $3,812.14 0 Dec/26/14 Feb/16/15
Description: AMAT 0010-14560 Dome Temp Control Revision 005 AMAT Centura used working
usedeqsales Used - $802.12 0 Dec/29/14 Feb/02/15
Description: AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used Working
usedeqsales Used - $712.13 0 Dec/29/14 May/01/15
Description: Animatics SM3410-APPMAT1 AC Servo Motor SmartMotor AMAT 1080-00125 Used Working
usedeqsales Used - $762.14 3 Dec/30/14 Jan/05/15
Description: Edwards P035Y003B031-3 Turbo Pump Cable 20m P035P AMAT 0620-02695 Used Working
usedeqsales Used - $1,012.14 2 Dec/30/14 Dec/31/14
Description: Deublin 20004-715 6-Port Rotary Union AMAT 0190-28291 Used Working
usedeqsales Used - $412.14 0 Dec/31/14 May/01/15
Description: Nordson 1075689A Phase Control Board AMAT 0190-34285 Used Working
usedeqsales Used - $2,512.14 0 Dec/31/14 May/01/15
Description: AMAT Applied Materials 0010-09750 RF Match CVD Automatch Rev. K Used Working
usedeqsales Used - $3,512.14 1 Dec/31/14 Jan/01/15
Description: AMAT Applied Materials 0010-13623 RF Match Vectra IMP Source Used Working
usedeqsales Used - $3,512.14 0 Dec/31/14 Apr/30/15
Description: MKS FI20160-2 Microwave Power Supply SmartPower AMAT 0920-01110 Used Working
usedeqsales Used - $3,512.14 0 Jan/02/15 Apr/30/15
Description: Yaskawa XU-ACP4861 Wafer Prealigner AMAT 0190-19918 Used Working
usedeqsales Used - $1,001.14 0 Jan/05/15 May/01/15
Description: AMAT Applied Materials 0020-26089 Spin Chuck Used Working
usedeqsales Used - $1,001.15 0 Jan/05/15 May/01/15
Description: Deublin 20212-200 Rotary Union 300mm AMAT 0190-13851 Used Working
usedeqsales Used - $751.15 0 Jan/05/15 Jan/15/15
Description: Edwards P035Y003B031-3 Turbo Pump Cable 20m P035P AMAT 0620-02695 Used Working
usedeqsales Used - $401.15 0 Jan/07/15 May/01/15
Description: Edwards A528444410 im Interface Module AMAT 3620-01425 Used Working
usedeqsales Used - $501.15 1 Jan/07/15 Mar/13/15
Description: Alcatel A214834 1m Turbo Pump Cable ATH1600M AMAT 0620-00127 Used Working
usedeqsales Used - $501.15 1 Jan/07/15 Mar/18/15
Description: Alcatel A214834A 1m Turbo Pump Cable ATH1600M AMAT 0620-00127 Used Working
usedeqsales Used - $5,511.14 1 Nov/18/14 Jan/08/15
Description: MKS Instruments AX7670-02 Plasma Source ASTRONi AMAT 0920-00092 Used Working
used1eqsales Used - $5,612.14 1 Dec/26/14 Jan/08/15
Description: MKS AX7670-(19)64 Plasma Source Astroni Rev C AMAT Centura used working
usedeqsales Used - $2,501.15 0 Jan/12/15 Jan/15/15
Description: AMAT Applied Materials 0010-12112 PVD RF Match Used Working
usedeqsales Used - $1,009.14 0 Jan/13/15 Mar/24/15
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 002 Used Working
usedeqsales Used - $1,001.15 0 Jan/13/15 Feb/12/15
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $2,501.15 0 Jan/14/15 Jan/15/15
Description: AMAT Applied Materials 0010-13602 RF Match PVD High EFF Rev. E4 Used Working
usedeqsales Used - $2,501.15 1 Jan/14/15 Apr/14/15
Description: AMAT Applied Materials 0010-13602 RF Match PVD High EFF Rev. P2 Used Working
usedeqsales Used - $251.15 0 Jan/14/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-14768 Ar Used Working
usedeqsales Used - $401.15 0 Jan/14/15 May/05/15
Description: MKS Instruments 253A-11203 Throttle Valve AMAT 3870-01463 Used Working
usedeqsales Used - $251.15 0 Jan/15/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-25568 Ar Used Working
usedeqsales Used - $251.15 0 Jan/15/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 3030-14765 Ar Used Working
usedeqsales Used - $251.15 0 Jan/15/15 May/01/15
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24839 O2 Used Working
usedeqsales Used - $251.14 0 Jan/15/15 May/01/15
Description: Unit Instruments UFM-1660 Mass Flow Controller AMAT 3030-01966 He Used Working
usedeqsales Used - $1,012.14 1 Dec/01/14 Jan/17/15
Description: AMAT Applied Materials 0100-20173 Stepper Controller PCB Card Used Working
usedeqsales Used - $1,251.15 1 Jan/20/15 Mar/04/15
Description: Novellus 02-252369-00 HDSIOC 1 Vector Controller Used Working
usedeqsales Used - $1,001.15 1 Jan/20/15 Jan/26/15
Description: Novellus 15-034104-00 Temperature Plate Used Working
usedeqsales Used - $2,001.15 1 Jan/23/15 Jan/23/15
Description: Asyst 9700-6197-01 200mm SMIF Indexer 220 EP AMAT 0500-00245 Used Working
usedeqsales Used - $601.15 0 Jan/23/15 May/04/15
Description: Fuji Electric SGa404A Earth Leakage Circuit Breaker AMAT 0680-01402 Used Working
usedeqsales Used - $401.15 1 Jan/27/15 Mar/25/15
Description: MKS Instruments 253B-26278 Exhaust Throttle Valve AMAT 3870-04517 Used Working
usedeqsales Used - $401.15 1 Jan/27/15 Jan/27/15
Description: Control Instruments SNT476 Sensor Assembly AMAT 0090-35042 Lot of 4 Used Working
usedeqsales Used - $502.15 0 Feb/02/15 May/05/15
Description: MKS Instruments AS01391-21 Control PCB Card AMAT 0190-24115 Used Working
usedeqsales Used - $602.15 0 Feb/02/15 May/04/15
Description: Shinko SBX93-100097-14 Single Board Computer SBC AMAT 0190-32697 Used Working
usedeqsales Used - $2,502.15 1 Feb/02/15 Mar/12/15
Description: AMAT Applied Materials 0010-02747 DPS Enhanced RF Match Used Working
usedeqsales Used - $1,502.15 0 Feb/03/15 Feb/04/15
Description: AE Advanced Energy 3155094-003 RF Match FixedMatch AMAT 0090-01419 Used Working
usedeqsales Used - $802.15 1 Feb/04/15 Feb/23/15
Description: Xantrex XHR 600-2 DC Power Supply AMATS AMAT 1140-00152 Used Working
usedeqsales Used - $3,306.68 1 Feb/05/15 Feb/26/15
Description: MKS Instruments AX7670-02 Plasma Source ASTRONi AMAT 0920-00092 Used Working
usedeqsales Used - $1,002.15 0 Feb/06/15 May/01/15
Description: Comdel FP2241R2 LF Fixed Match AMAT 0190-01369 Used Working
usedeqsales Used - $1,002.15 1 Feb/06/15 Mar/11/15
Description: NSK RS0810FN544 Megatorque Motor AMAT 1080-00036 Used Working
usedeqsales Used - $3,002.15 0 Feb/06/15 May/01/15
Description: Nor-Cal 0190-19133 Throttling Pendulum Gate Valve AMAT Used Working
usedeqsales Used - $1,004.12 0 Feb/06/15 May/01/15
Description: VAT 10846-XE28-ANL1 Gate Valve AMAT 3870-03969 Copper Exposed Used Working
usedeqsales Used - $302.15 0 Feb/09/15 May/04/15
Description: Edwards D02187000 Active Pirani Gauge AMAT 3310-01198 Used Working
usedeqsales Used - $2,011.10 0 Feb/09/15 May/01/15
Description: Kaiser Systems 1100891 Power Supply S1-1-1000 AMAT 1140-01156 Used Working
usedeqsales Used - $150.15 0 Feb/09/15 Apr/30/15
Description: Yaskawa SGDH-08AE-SY905 Servo Drive Servopack AMAT 0190-08005 Used Working
usedeqsales Used - $2,502.15 1 Feb/09/15 Mar/12/15
Description: AMAT Applied Materials 0010-02747 DPS Enhanced RF Match Rev. 03 Used Working
usedeqsales Used - $650.11 0 Feb/09/15 May/04/15
Description: Delta Tau 603603-103 Main CPCI 96 I/O AMAT 0190-15384 Used Working
usedeqsales Used - $2,502.15 0 Feb/10/15 May/01/15
Description: AMAT Applied Materials 0010-19589 300mm SIP Encore II CU RF Match Used Working
usedeqsales Used - $3,502.15 0 Feb/11/15 Mar/05/15
Description: Edwards SCU-A2203PV Turbomolecular Pump Control AMAT 3620-00093 Used Working
usedeqsales Used - $4,202.15 1 Feb/11/15 Mar/29/15
Description: AE Advanced Energy 3155086-001D RF Match Dome AMAT 1110-01043 Used Working
usedeqsales Used - $2,502.15 0 Feb/11/15 May/01/15
Description: AMAT Applied Materials 0010-30012 Robot Drive Assembly P5000 Used Working
usedeqsales Used - $702.15 0 Feb/13/15 May/04/15
Description: AMAT Applied Materials 0090-90445 daq MkII type H Power Supply XR80 Used Working
usedeqsales Used - $3,502.15 0 Feb/13/15 May/01/15
Description: Kawasaki 3NS001S-L003 Robot Prealigner 300mm NF AMAT 0190-14786 Used Working
usedeqsales Used - $502.15 0 Feb/17/15 May/05/15
Description: MKS Instruments 640A12TW1V12--S Pressure Controller AMAT 3920-01278 Used Working
usedeqsales Used - $1,202.15 2 Feb/17/15 Jun/22/15
Description: Digital Dynamics Vector HDSIOC 0 Controller Novellus 02-252395-00 used working
usedeqsales Used - $1,202.15 2 Feb/18/15 Jan/04/16
Description: Digital Dynamics Vector HDSIOC 0 Controller Novellus 02-252397-00 Used Working
usedeqsales Used - $1,002.15 0 Feb/18/15 May/01/15
Description: ETO ABX-X299 12V Power Supply PCB Board AMAT 0190-01954 Used Working
usedeqsales Used - $4,502.15 0 Feb/19/15 Apr/30/15
Description: TDK S2091-86-001 Load Port TAS3000 AMAT 5075-98008 Used Working
usedeqsales Used - $4,502.15 0 Feb/19/15 Apr/30/15
Description: TDK S2091-86-001 Load Port TAS3000 AMAT 0190-16691 Used Working
usedeqsales Used - $3,502.15 0 Feb/20/15 May/01/15
Description: MKS FI20160-2 Microwave Power Supply AMAT 0920-01110 Rev. G Used Working
usedeqsales Used - $3,502.15 0 Feb/20/15 May/01/15
Description: PRI 6-0002-0705-SP Wet Robot AMAT 0195-02882 Used Working
usedeqsales Used - $3,502.15 0 Feb/20/15 Feb/24/15
Description: Brooks Automation 6-0002-0675-SPR Robot AMAT 0520-00021 Used Working
usedeqsales Used - $2,502.15 0 Feb/24/15 May/01/15
Description: Comet 20062678 High Impedance HF RF Filter Assembly AMAT 0190-41422 Used Working
usedeqsales Used - $502.15 0 Feb/24/15 May/05/15
Description: Nor-Cal 021010-1 Gate Valve AMAT 3870-03322 Used Working
usedeqsales Used - $7,502.15 0 Feb/24/15 Feb/26/15
Description: Kawasaki 3NS411B-G003 Factory Interface Robot AMAT 0190-27572 Used Working
usedeqsales Used - $2,502.15 0 Feb/25/15 May/01/15
Description: GMS General Micro System V06-05-E SBC PCB AMAT 0100-09002 Used Working
usedeqsales Used - $20,002.20 0 Feb/25/15 Apr/30/15
Description: DBM-2406-V2 Brooks 6-0002-1072-SP Dual Arm Robot AMAT 0520-00046 Used Working
usedeqsales Used - $142.15 0 Feb/26/15 Apr/30/15
Description: Celerity AASGDD0W1 Mass Flow Controller AMAT 0190-33091 Used Working
usedeqsales Used - $142.15 0 Feb/27/15 Apr/30/15
Description: Celerity AATGDD0W1 Mass Flow Controller AMAT 0190-33087 Used Working
usedeqsales Used - $142.15 0 Feb/27/15 Apr/30/15
Description: Celerity AAVGDD0W1 Mass Flow Controller AMAT 0190-33088 Used Working
usedeqsales Used - $142.15 0 Feb/27/15 Apr/30/15
Description: Celerity AAPGDD0W1 Mass Flow Controller AMAT 0190-33089 Used Working
usedeqsales Used - $1,002.15 0 Feb/27/15 Mar/04/15
Description: NSK ELA-B014CFH-03 Servo Drive ELA AMAT 0190-11706 Used Working
usedeqsales Used - $252.15 0 Feb/27/15 May/04/15
Description: STEC LF-310A-EVD Liquid Mass Flow Meter AMAT 3030-07719 Used Working
usedeqsales Used - $253.15 1 Mar/02/15 Mar/03/15
Description: Horiba STEC SEC-Z512 MGX Mass Flow Controller AMAT 3030-15725 Used Working
usedeqsales Used - $133.15 0 Mar/02/15 Mar/25/15
Description: Horiba STEC SEC-Z512 MGX Mass Flow Controller AMAT 3030-16281 Used Working
usedeqsales Used - $133.15 0 Mar/02/15 Apr/30/15
Description: Brooks Instrument 6256SCZVCLDC Mass Flow Controller AMAT 3030-11270 Used Working
usedeqsales Used - $512.11 1 Dec/30/11 Feb/23/15
Description: AMAT Applied Materials 0100-90771 Spin Scan Error Board Used Working
usedeqsales Used - $2,503.15 0 Mar/03/15 May/01/15
Description: Sinfonia Technology SELOP12F25-S70007 Load Port AMAT 0190-33715 Used Working
usedeqsales Used - $2,503.15 0 Mar/03/15 May/01/15
Description: Sinfonia Technology SELOP12F25-57A0401 Load Port AMAT 0190-44437 Used Working
usedeqsales Used - $503.15 0 Mar/04/15 May/05/15
Description: AMAT Applied Materials 0041-40414 Gate Valve Adapter Endura 2 Used Working
usedeqsales Used - $703.15 0 Mar/04/15 May/04/15
Description: AMAT Applied Materials 3930-00168 OCD/DUV 9010B Controller PCB Used Working
used1eqsales Used - $200.00 0 Mar/05/15 May/26/17
Description: AMAT 0020-28668 Poppet Valve 301178-2710 Rev 1 Lot of 4 used working
usedeqsales Used - $2,503.15 0 Mar/05/15 May/01/15
Description: AMAT Applied Materials 0010-09417 CVD RF Match Used Working
usedeqsales Used - $3,503.15 0 Mar/05/15 May/01/15
Description: AE Advanced Energy 3155031-014 RF Match Rev. D AMAT 0190-18116 Used Working
usedeqsales Used - $703.15 1 Mar/09/15 Mar/09/15
Description: VAT 10846-XE24-1009 Gate Valve AMAT 3870-01708 Used Working
usedeqsales Used - $303.15 0 Mar/10/15 May/04/15
Description: AMAT Applied Materials 0190-03392 RF Cable 2.5m Used Working
usedeqsales Used - $503.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-03393 RF Cable 5.5m Used Working
usedeqsales Used - $8,003.15 2 Mar/10/15 Mar/14/15
Description: AMAT Applied Materials 9240-00879 Direct Drive Motor 9500 XR Series Used Working
used1eqsales Used - $271.42 1 Mar/10/15 Mar/27/15
Description: AMAT 0100-01735 EVR Gap Servo PCB Assy AMAT Quantum X used working
usedeqsales Used - $2,003.15 0 Mar/10/15 May/01/15
Description: VAT 92548-PE21-1001 Pendulum Gate Valve AMAt 3870-02632 Used Working
usedeqsales Used - $403.15 1 Mar/10/15 Apr/06/15
Description: AMAT Applied Materials 0190-01769 RF Cable 75 Foot 300mm Preclean Used Working
usedeqsales Used - $403.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-02032 RF Cable 75 Foot 300mm Preclean Used Working
usedeqsales Used - $403.15 0 Mar/10/15 May/05/15
Description: AMAT Applied Materials 0190-05576 RF Cable 75 Foot Cable Used Working
usedeqsales Used - $12,003.20 0 Mar/11/15 Mar/26/15
Description: AE Advanced Energy 3155086-102 RF Match Dome F/R B AMAT 1110-01063 Used Working
usedeqsales Used - $1,353.15 0 Mar/12/15 Mar/13/15
Description: AMAT Applied Materials 0010-77681 Pnematic Manifold Box Rev. P04 Used Working
used1eqsales Used - $1,203.15 0 Mar/12/15 May/26/17
Description: AMAT 0200-76058 Quartz Pedestal Large Pin Diameter 300mm cleaned used working
used1eqsales Used - $353.15 0 Mar/12/15 May/26/17
Description: AMAT 0041-38981 RF Ground Shield Source 300mm RF PVD used working
used1eqsales Used - $401.57 0 Mar/12/15 Dec/07/15
Description: AMAT 0021-11486 004 Shutter Pentagon cleaned used working
used1eqsales Used - $803.15 3 Mar/13/15 Mar/14/15
Description: AMAT 0010-19899 Assy Waist Fixed Wing Upper used working
used1eqsales Used - $803.15 1 Mar/13/15 Mar/14/15
Description: AMAT 0010-19900 Assy Waist Fixed Wing Lower used working
usedeqsales Used - $802.12 0 Mar/13/15 Apr/07/20
Description: AMAT Applied Materials 9090-00668 Scan Optimization Module XR80 Used Working
usedeqsales Used - $301.12 3 Apr/26/12 Mar/11/15
Description: VAT 16546-PA21-AAE1 Pendulum Valve Actuator Used Working
usedeqsales Used - $1,003.15 1 Mar/16/15 Mar/24/15
Description: Deublin 995-100 Rotary Union AMAT 0760-01034 Used Working
usedeqsales Used - $253.15 0 Mar/16/15 May/04/15
Description: MDC 997330 Pneumatic Isolation Valve AMAT 0190-40017 Used Working
usedeqsales Used - $253.15 0 Mar/17/15 May/04/15
Description: AMAT Applied Materials 0150-51306 Heather Block Controller Cable Used Working
usedeqsales Used - $353.15 1 Mar/17/15 Mar/24/15
Description: MKS Instruments L2-40-SP1 Vacuum Isolation Valve AMAT 0190-76185 Used Working
usedeqsales Used - $1,003.15 0 Mar/18/15 May/01/15
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA Reflexion Rev. 001 Used Working
usedeqsales Used - $303.15 1 Mar/18/15 Mar/19/15
Description: AMAT Applied Materials 0190-05111 Control Video Switch Used Working
usedeqsales Used - $1,003.15 6 Mar/18/15 Mar/24/15
Description: Deublin 20004-715 Rotary Union AMAT 0190-28291 6-Port Used Working
usedeqsales Used - $1,803.15 0 Mar/19/15 Mar/25/15
Description: AMAT Applied Materials 0010-00957 Orienter Laser Optics Used Working
usedeqsales Used - $303.15 0 Mar/19/15 May/04/15
Description: MOXA 6650-8-AMAT 8-Port Secure Device Server NPort AMAT 0190-38062 Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 Mar/25/15
Description: AMAT Applied Materials 0010-30687 RF Match H.E. MXP Etch Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-52036 RF Match Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match RPC PVD 300mm Rev. 007 Used Working
usedeqsales Used - $2,503.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0010-21748 RF Match RPC Rev. 08 Copper Used Working
usedeqsales Used - $303.15 0 Mar/19/15 May/04/15
Description: Granville-Phillips 354001-YG-T Micro-Ion Module AMAT 3310-01255 Used Working
usedeqsales Used - $203.15 2 Mar/19/15 Apr/08/15
Description: Answer Engineering 112-B2873 Rotary Actuator AMAT 0520-00068 Used Working
usedeqsales Used - $2,003.15 0 Mar/19/15 May/01/15
Description: AMAT Applied Materials 0040-47487 System Facility Interface Used Working
usedeqsales Used - $3,003.15 1 Mar/19/15 Mar/23/15
Description: NSK ELC-JG014VF3-01 300MM XP Robot Controller AMAT 0190-19536 Used Working
usedeqsales Used - $6,003.15 0 Mar/19/15 Apr/30/15
Description: Kawasaki 30C61E-B019 Master Robot Controller AMAT 0190-25867 Used Working
used1eqsales Used - $250.00 0 Mar/19/15 May/26/17
Description: A&N Corporation 1539-S-N Vacuum Pump Actuator Valve AMAT 0190-08958 used working
usedeqsales Used - $3,503.15 0 Mar/19/15 May/01/15
Description: AE Advanced Energy 3155031-036 Bias RF Match AMAT 0190-11225 Used Working
usedeqsales Used - $4,503.15 0 Mar/20/15 Apr/30/15
Description: AE Advanced Energy 3155086-002 Dome RF Network AMAT 1110-01046 Used Working
usedeqsales Used - $6,003.15 0 Mar/20/15 Apr/30/15
Description: Kawasaki 30C61D-B027 Master Robot Controller WET AMAT 0190-34966 Used Working
usedeqsales Used - $1,503.15 0 Mar/20/15 May/01/15
Description: AMAT Applied Materials 0010-02850 Side Local Match Assembly Used Working
usedeqsales Used - $3,503.15 1 Mar/20/15 Mar/31/15
Description: AE Advanced Energy 3155126-009 RF Match Z'Scan AMAT 0190-23623 Used Working
usedeqsales Used - $253.15 1 Mar/20/15 Mar/22/15
Description: MDC 990428 Roughing Angle Valve AMAT 3870-01334 Used Working
instechlab Used - $500.00 0 Mar/20/15 Apr/19/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $403.15 1 Mar/23/15 Apr/06/15
Description: AMAT Applied Materials 0190-01458 RF Cable 55 Foot Used Working
usedeqsales Used - $253.15 1 Mar/23/15 Mar/24/15
Description: MDC AV-100M-P-1039 Roughing Angle Valve AMAT 3870-01335 Used Working
usedeqsales Used - $7,503.15 0 Mar/23/15 Apr/30/15
Description: Kawasaki 3NS411B-F006 Factory Interface Robot AMAT 0190-34967 Used Working
usedeqsales Used - $2,503.15 0 Mar/24/15 May/01/15
Description: AMAT Applied Materials 0010-52034 RF Match Used Working
usedeqsales Used - $7,503.15 0 Mar/24/15 Apr/30/15
Description: Kawasaki 3NS411B-F003 Factory Interface Robot AMAT 0190-16621 Used Working
usedeqsales Used - $3,503.15 0 Mar/24/15 May/01/15
Description: AE Advanced Energy 3155126-041 RF Match Z'Scan AMAT 0190-33738 Used Working
usedeqsales Used - $3,503.15 0 Mar/24/15 May/01/15
Description: Kawasaki 3NS001S-L003 Robot Prealigner AMAT 0190-14786 Copper Used Working
usedeqsales Used - $2,503.15 0 Mar/24/15 May/01/15
Description: AMAT Applied Materials 0010-42741 RF Match Used Working
usedeqsales Used - $3,003.15 0 Mar/24/15 May/01/15
Description: NSK ELC-JG014VF3-01 2-Axis Driver 300mm XP Robot AMAT 0190-19536 Used Working
usedeqsales Used - $1,003.15 2 Mar/24/15 Mar/24/15
Description: Deublin 20003-100 Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $3,003.15 0 Mar/24/15 Apr/09/18
Description: Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Copper Used Working
usedeqsales Used - $6,503.15 1 Mar/24/15 Mar/24/15
Description: AMAT Applied Materials 0010-42053 Robot SBR 350mm NEON Used Working
usedeqsales Used - $503.15 0 Mar/25/15 May/05/15
Description: Parker 0190-24755 Pneumatic Cylinder Series P1D AMAT Used Working
usedeqsales Used - $1,003.15 0 Mar/25/15 May/01/15
Description: Deublin 20003-100 Rotary Union AMAT 0760-01037 Used Working
usedeqsales Used - $503.15 0 Mar/25/15 Mar/26/15
Description: AMAT Applied Materials 0190-09459 Fiber Optic Cable 20 Foot Used Working
usedeqsales Used - $453.15 0 Mar/25/15 May/05/15
Description: SMC IN587-07-C 32-Port Pneumatic Manifold AMAT 4060-00895 Used Working
usedeqsales Used - $10,003.20 2 Mar/25/15 Mar/26/15
Description: AMAT Applied Materials 0010-17530 Dual Blade Robot 300mm Endura Used Working
usedeqsales Used - $2,803.15 1 Mar/26/15 Apr/20/15
Description: NSK ELA-B014CG2-04 2-AXIS Servo Driver 300mm Robot AMAT 0190-25916 Used Working
usedeqsales Used - $1,203.15 0 Mar/26/15 May/04/15
Description: Control Concepts 3096-1021 SCR Power Controller AMAT 0190-14925 Used Working
usedeqsales Used - $2,803.15 0 Mar/26/15 May/01/15
Description: NSK ELA-B014CG3-04 2-AXIS Servo Driver VHP + AMAT 0190-25031 Used Working
usedeqsales Used - $12,504.20 1 Apr/06/15 Apr/06/15
Description: AMAT Applied Materials 0010-19040 Robot 300mm Single Blade VHP Used Working
usedeqsales Used - $3,204.15 0 Apr/06/15 Apr/10/15
Description: NSK ELA-B014CF3-02 2-Axis Servo Driver AMAT 0190-02472 Used Working
usedeqsales Used - $2,504.15 0 Apr/06/15 May/01/15
Description: Sinfornia Technology SELOP12F25-S7A0110 Load Port AMAT 0190-37428 Used Working
usedeqsales Used - $3,204.15 0 Apr/06/15 Apr/10/15
Description: NSK ELA-B014CFD-03 2-Axis Servo Driver AMAT 0190-02472 Used Working
usedeqsales Used - $2,504.15 1 Apr/06/15 Apr/08/15
Description: AMAT Applied Materials 0010-20524 RF Match PVD Phase IV 200mm Used Working
usedeqsales Used - $4,504.15 0 Apr/07/15 Apr/30/15
Description: AE Advanced Energy 3155086-102 Dome RF Network AMAT 1110-01063 Used Working
usedeqsales Used - $1,204.15 0 Apr/07/15 May/04/15
Description: Deublin 20003-100 Rotory Union AMAT 0760-01037 Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Aera FC-7820C Mass Flow Controller AMAT 3030-12500 40 SLM NH3 Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-12502 15 SLM Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Aera FC-7710CD Mass Flow Controller AMAT 3030-01594 10 SLM Used Working
usedeqsales Used - $504.15 0 Apr/08/15 May/05/15
Description: Stober P721SPZ0100MT Precision Gearhead ServoFit AMAT 3970-00030 Used Working
usedeqsales Used - $1,204.15 0 Apr/09/15 May/04/15
Description: NSK M-RS0810FN544 Megatorque Motor AMAT 0190-14344 Used Working
usedeqsales Used - $804.15 0 Apr/09/15 May/04/15
Description: Spellman X2784 Power Supply Rev. 04 AMAT 0190-07247 Used Working
usedeqsales Used - $16,004.20 0 Apr/09/15 Apr/30/15
Description: ETO 80-509-UW RF Generator Rack A AMAT 0190-18181 Used Working
usedeqsales Used - $254.15 0 Apr/10/15 May/04/15
Description: Celerity AALGD40W1 Mass Flow Controller AMAT 0190-19974 34 SCCM N2 Used Working
usedeqsales Used - $252.14 0 Apr/13/15 May/04/15
Description: Tylan FC-2961MEP5 Mass Flow Controller AMAT 0225-16006 Used Working
usedeqsales Used - $1,809.13 0 Apr/13/15 Apr/30/15
Description: Tylan FC-2961MEP5 Mass Flow Controller AMAT 0225-16006 Lot of 4 Used Working
usedeqsales Used - $509.13 0 Apr/13/15 May/04/15
Description: Tylan FC-2951MEP5 Mass Flow Controller AMAT 3030-07210 Lot of 2 Used Working
usedeqsales Used - $854.15 1 Apr/14/15 Oct/28/15
Description: Novellus 02-169180-02 Linear Track T730-042E59 Copper Exposed Used Working
usedeqsales Used - $2,504.15 1 Apr/14/15 Sep/07/15
Description: Novellus 61-306478-00 XPCR Spindle Assembly YSC-02D04B02 Used Working
usedeqsales Used - $3,504.15 0 Apr/16/15 May/01/15
Description: TDK-Lambda 00452240 EMAG Power Supply 452-240 AMAT 0190-38846 Used Working
instechlab Used - $500.00 0 Apr/19/15 May/19/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $3,204.15 0 Apr/20/15 Apr/30/15
Description: NSK ELA-B014CFC-03 2-Axis Servo Driver AMAT 0190-03543 Used Working
usedeqsales Used - $454.15 0 Apr/22/15 Feb/15/21
Description: AMAT Applied Materials 9090-01165 Battery Backup PX32K Quantum X Used Working
usedeqsales Used - $504.15 1 Apr/23/15 Apr/24/15
Description: AMAT Applied Materials 0010-77680 SRD Lower Electronic Box SMC Used Working
usedeqsales Used - $902.12 4 Feb/29/12 Feb/16/18
Description: LAM Research 853-012200-002-G-230S Inner Gate Valve Drive 4420 Used Working
usedeqsales Used - $304.15 2 Apr/28/15 Apr/30/15
Description: AMAT Applied Materials 0110-18062 Connector Board PCB Assembly Used Working
used1eqsales Used - $317.32 1 Apr/29/15 Jul/15/15
Description: AMAT Gap Servo 0100-00991 PCB AMAT Quantum X Beamline Control Rack used working
used1eqsales Used - $675.14 0 Apr/29/15 Apr/30/15
Description: AMAT Gap Servo 0100-00991 PCB AMAT Quantum X Beamline Control Rack used working
used1eqsales Used - $1,252.14 6 Apr/30/15 Mar/04/17
Description: AMAT 9010-01378 Celerity Gas Card BF3 Quantum X Gas Box used working
used1eqsales Used - $1,128.21 1 Apr/30/15 May/29/15
Description: HiTek 1140-00166 Power Supply A1028760 AMAT Quantum X used working
usedeqsales Used - $3,509.13 2 May/01/15 Jun/13/16
Description: IPUP A100L Alcatel A100 Dry Vacuum Pump AMAT 0190-01042 Used Working
abelet Used - $899.00 2 May/01/15 May/18/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum X used working
used1eqsales Used - $3,005.15 0 May/11/15 Dec/10/16
Description: AMAT 0180-76109 300mm Mainframe AC Centura Panel Missing used working
used1eqsales Used - $3,005.15 0 May/11/15 Dec/10/16
Description: AMAT 0180-76109 300mm Mainframe AC AMAT Centura used working
usedeqsales Used - $408.12 1 May/13/15 Apr/23/18
Description: Watlow D880-0000-1000 Temperature Controller D8 AMAT 0190-32384 Used Working
usedeqsales Used - $505.15 0 May/13/15 Nov/15/16
Description: AMAT Applied Materials 9090-00314 daq MkII type H Used Working
usedeqsales Used - $605.15 1 May/14/15 Mar/22/22
Description: Lam Research 810-017004-001 Solenoid Interlock Board Rev. G Used Working
usedeqsales Used - $305.15 1 May/15/15 Oct/19/16
Description: Nor-Cal 3870-01455 Pneumatic Angle Isolation Valve AMAT Used Working
usedeqsales Used - $305.15 0 May/15/15 Nov/17/15
Description: Nor-Cal 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
usedeqsales Used - $1,805.15 1 May/15/15 Apr/19/18
Description: Lam 4400, Lower Elc Assy 715-011630-001 Rev. E Used Working
usedeqsales Used - $405.15 4 May/18/15 Aug/09/16
Description: AMAT Applied Materials 0100-09162 Manometer Select Assembly Rev. A Used Working
usedeqsales Used - $305.15 6 May/18/15 Mar/25/18
Description: AMAT Applied Materials 0020-09911 Sputter Carrier Used Working
usedeqsales Used - $705.15 1 May/18/15 Dec/22/16
Description: AMAT Applied Materials 0100-91055 Gap Servo PCB Card Used Working
usedeqsales Used - $605.15 0 May/18/15 Jul/28/21
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. F Used Working
usedeqsales Used - $205.15 0 May/18/15 Nov/14/15
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. E Used Working
usedeqsales Used - $605.15 0 May/18/15 Sep/16/21
Description: AMAT Applied Materials 0100-09099 Chamber Interconnect PCB Rev. G Used Working
abelet Used - $899.00 5 May/18/15 May/19/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum X used working
usedeqsales Used - $1,805.15 1 May/19/15 Apr/14/20
Description: AMAT Applied Materials 0010-09181 DC Power Supply Precision 5000 Used Working
usedeqsales Used - $105.15 1 May/19/15 Jan/17/16
Description: BEI 924-01008-1350 Encoder Motor Lam 765-008372-001 Used Working
abelet Used - $899.00 5 May/19/15 Jul/01/15
Description: Motorola 01-W3528F CPU PCB VME162PA344SE AMAT 9240-06323 Quantum X used working
instechlab Used - $300.00 0 May/19/15 Jun/18/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
used1eqsales Used - $805.15 1 May/21/15 May/26/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
usedeqsales Used - $155.15 2 May/22/15 May/06/17
Description: COMPACT Q86-951-F1 Cylinder Assembly AMAT 0190-09306 Used Working
used1eqsales Used - $752.57 0 May/27/15 Sep/30/16
Description: AMAT Endura 300mm 0170-76126 Main AC Unit & 0190-06937 Transformer used working
used1eqsales Used - $1,005.15 1 May/27/15 Nov/01/15
Description: Lam Research 853-031436-206 Assy Coil Kiyo Ceramic used working
used1eqsales Used - $753.07 0 Jun/03/15 Nov/30/15
Description: Novellus 04-341742-00 Pedestal Kit Welded Flat Preheat 2130 used working
usedeqsales Used - $6,006.15 0 Jun/04/15 Jun/25/15
Description: Kawaski 30C61E-B014 Robot Controller CMP AT Front AMAT 0190-17941 Used Working
used1eqsales Used - $1,606.15 0 Jun/04/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
usedeqsales Used - $7,506.15 0 Jun/04/15 Jun/11/15
Description: Kawasaki 3NS411B-F003 Factory Interface Robot AMAT 0190-16621 Used Working
usedeqsales Used - $7,506.15 0 Jun/04/15 Jun/11/15
Description: Kawasaki 3NS411B-F006 Factory Interface Robot AMAT 0190-34967 Used Working
usedeqsales Used - $7,506.15 0 Jun/05/15 Jun/11/15
Description: Kawasaki 3NS411B-F004 Factory Interface Robot AMAT 0190-29005 Used Working
used1eqsales Used - $1,706.15 0 Jun/05/15 May/26/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Bell Jar 300mm cleaned once used working
used1eqsales Used - $806.15 0 Jun/05/15 Jun/10/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
usedeqsales Used - $7,506.15 0 Jun/05/15 Jun/11/15
Description: Kawasaki 3NS411B-F003 Factory Interface Robot AMAT 0190-16621 Used Working
used1eqsales Used - $506.15 0 Jun/09/15 May/26/17
Description: AMAT 0020-52929 Graphite G3 Holder used working
used1eqsales Used - $1,206.15 1 Jun/10/15 Jun/23/15
Description: Brooks 6-0002-0705-SP Robot WTM-511-2-FWS02-V1 AMAT 0190-08245 used working
used1eqsales Used - $3,506.15 1 Jun/11/15 Sep/25/15
Description: Brooks ESC-218BT-FWS Wet Robot Controller AMAT 0190-08247 Rev 001 used working
usedeqsales Used - $856.15 4 Jun/15/15 Mar/01/16
Description: HVA 21251-1003Z-001 Pneumatic 10 inch Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $2,006.15 1 Jun/17/15 Oct/29/15
Description: STL Transformer 1360-90103 AMAT Applied Materials XR80 Transformer Used Working
used1eqsales Used - $1,503.15 1 Apr/02/15 Jun/18/15
Description: AMAT 0010-17868 Hercules Lift 250 lbs Capacity used working
usedeqsales Used - $3,502.15 1 Feb/18/15 Jun/17/15
Description: AE Advanced Energy 3150273-004 Mercury 10013 RF Match 27-256558-00 Used Working
instechlab Used - $300.00 0 Jun/18/15 Jul/18/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $3,506.15 0 Jun/23/15 Nov/28/16
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 300mm Endura Used Working
used1eqsales Used - $406.15 0 Jun/25/15 Nov/22/15
Description: Festo 13015611 Megasonic Box 300mm AMAT 0010-05311 Used Working
usedeqsales Used - $306.15 1 Jun/30/15 Jul/03/15
Description: NSK FHT11 Handy Terminal AMAT 0650-01068 CMPTR Used Working
usedeqsales Used - $207.15 0 Jul/01/15 Oct/13/23
Description: AMAT Applied Materials 0270-05028 Wafer Calibration Tool LCF Used Working
usedeqsales Used - $705.01 2 Jul/06/15 Oct/28/15
Description: MKS Instruments 20704A Process Sense AMAT 0190-14960 Used Working
usedeqsales Used - $107.15 0 Jul/07/15 Nov/04/15
Description: AMAT Applied Materials 0020-28668 SWILL Poppet Valve 0020-28669 Used Working
usedeqsales Used - $4,007.15 0 Jul/08/15 Jul/22/15
Description: MDX-L6 AE Advanced Energy 2280 000-D Power Supply AMAT 0190-70085 Used Working
usedeqsales Used - $207.15 1 Jul/08/15 Nov/20/17
Description: AMAT Applied Materials 0040-34330 Insert External Standard 300MM Used Working
usedeqsales Used - $257.15 2 Jul/08/15 Jul/09/15
Description: AMAT Applied Materials 0015-09077 Throttle Valve Assembly Used Working
usedeqsales Used - $257.15 0 Jul/08/15 Apr/27/21
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24835 600cc He Used Working
usedeqsales Used - $807.15 1 Jul/09/15 Apr/10/18
Description: AMAT Applied Materials 0100-35053 Mainframe Interlocks PCB Card Used Working
usedeqsales Used - $257.15 1 Jul/09/15 Jun/17/17
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24834 1L H2 Used Working
usedeqsales Used - $257.15 1 Jul/09/15 Apr/06/21
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24838 50cc Ar Used Working
usedeqsales Used - $1,507.15 0 Jul/10/15 Nov/28/16
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 Used Working
usedeqsales Used - $3,407.15 0 Jul/10/15 Dec/07/15
Description: TDK TAS300 Load Port AMAT Applied Materials 0190-17837 No Cover Used Working
usedeqsales Used - $307.15 0 Jul/13/15 Jul/13/15
Description: AMAT Applied Materials 0015-09077 Throttle Valve Driver PK245-02AA Used Working
usedeqsales Used - $157.15 0 Jul/15/15 Apr/02/23
Description: MDC 311032 Pneumatic Angle Valve AV-153-P AMAT 0040-13040 Used Working
instechlab Used - $300.00 0 Jul/18/15 Aug/17/15
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $307.15 1 Jul/20/15 Mar/15/17
Description: AMAT Applied Materials 0050-90658 ISO160 F to ISO160 K Flange Used Working
usedeqsales Used - $1,007.15 0 Jul/29/15 Dec/08/20
Description: AMAT Applied Materials 0010-02146 HDP-CVD RPSC Applicator 5200 CVD Used Working
usedeqsales Used - $128.57 0 Aug/03/15 Dec/01/15
Description: MKS Instruments 640A13TW2M52T Pressure Controller AMAT 0190-19522 Used Working
usedeqsales Used - $807.15 1 Aug/04/15 Oct/04/18
Description: AMAT Applied Materials 0041-12192 Reflector Plate Used Working
usedeqsales Used - $807.15 1 Aug/04/15 Sep/13/15
Description: Novellus 15-290999-00 300mm Shuttle 15-297103-00 Used Working
usedeqsales Used - $1,507.15 1 Aug/11/15 Dec/03/15
Description: HiTek A1028760 Power Supply AMAT 1140-00161 Used Working
usedeqsales Used - $307.15 1 Aug/12/15 Jun/07/17
Description: Norgren VM10AMATACP161 16-Port Pneumatic Manifold AMAT 0190-24983 Used Working
usedeqsales Used - $157.15 1 Aug/12/15 Aug/30/17
Description: MKS Instruments CDN127-7 Peripheral Device Adapter AMAT 0190-19018 Used Working
usedeqsales Used - $157.15 1 Aug/17/15 Oct/12/15
Description: MKS Instruments AS00107-04 MicroNode DeviceNet AMAT 0190-19919 Used Working
instechlab Used - $200.00 0 Aug/17/15 Mar/29/16
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
used1eqsales Used - $5,008.15 0 Aug/18/15 Nov/16/15
Description: AMAT 9090-01158 PSU Chassis Revision A Quantum X 300mm used working
used1eqsales Used - $1,508.15 5 Aug/18/15 Jun/20/16
Description: HiTek 1140-00166 Power Supply A1028760 AMAT Quantum X used working
used1eqsales Used - $1,009.15 5 Aug/18/15 Sep/08/16
Description: Xantrex XHR 7.5-80 Programmable DC Power Supply AMAT 1140-00154 used working
used1eqsales Used - $754.07 2 Aug/19/15 Dec/02/15
Description: AMAT 9090-01408 Arc Control DSP PCB Revision A Quantum X 300 mm used working
used1eqsales Used - $908.15 1 Aug/19/15 Dec/15/15
Description: AMAT 9090-00681 G2 Series Protection Resistor Quantum X Gas Box used working
usedeqsales Used - $1,508.15 0 Aug/21/15 Aug/31/23
Description: AMAT Applied Materials 0150-09200 RF Cable 55 Foot 16.7m Used Working
usedeqsales Used - $604.89 0 Aug/25/15 Dec/05/18
Description: Novellus 02-169194-00 Robot Linear Track UDK5214NW RM26A3S Used Working
usedeqsales Used - $403.12 0 Aug/26/15 Nov/24/15
Description: Applied Materials AMAT XR80 0100-94006 Rev. A Spin Controller Board Used Working
usedeqsales Used - $602.11 0 Aug/26/15 Jan/10/19
Description: Applied Materials AMAT 0100-90890 Spin/Scan INTLK Board Used Working XR80
usedeqsales Used - $1,754.07 0 Aug/26/15 Nov/24/15
Description: AMAT Applied Materials 0240-61428 Quantum Leap Heat Exchanger Used Working
usedeqsales Used - $2,504.07 0 Sep/02/15 Nov/01/15
Description: Lam Research 852-014681-583 RF Generator Cart OEM-650A Used Working
used1eqsales Used - $2,009.15 0 Sep/02/15 Nov/01/15
Description: Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X used working
usedeqsales Used - $508.15 0 Sep/08/15 Dec/07/15
Description: Lam Research 685-017705-005 AC Control Panel 210-017705-005 Used Working
usedeqsales Used - $258.15 2 Sep/08/15 Oct/20/21
Description: Lam Research 853-017805-045 RF Cable 45 Foot 13 Meter Used Working
usedeqsales Used - $1,754.57 0 Sep/09/15 Dec/08/15
Description: Brooks Automation 6-002-0705-SP Wet Robot AMAT 0190-08245 Used Working
used1eqsales Used - $504.57 0 Sep/21/15 Nov/20/15
Description: AMAT 9090-00979 Electrode Assembly 3X2H Rev. D AMAT Quantum X used working
used1eqsales Used - $3,509.15 1 Sep/21/15 Apr/14/16
Description: AMAT 0010-14528 Magnetic Source 1 PVD CPI-VMO Endura 404663 300mm used working
eisale1535 Used - $3,850.00 0 Sep/21/15 Oct/09/16
Description: Yaskawa XU-CN1112A Robot Controller AMAT 0190-15107 Rev 1 Used Working
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Sep/24/15 May/26/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $310.15 0 Oct/05/15 Nov/04/15
Description: AMAT 0020-70497 2-D PSD Sensors and Bracket 0020-70383 used working
usedeqsales Used - $1,510.15 1 Oct/06/15 Nov/30/15
Description: RadiSys SYS-ZDT-100 System Controller PC Novellus 02-028034-00 Used Working
usedeqsales Used - $2,010.15 1 Oct/06/15 Jun/08/16
Description: AMAT Applied Materials 9090-00196 ITL XR80 Plasma Interface Module Used Working
usedeqsales Used - $510.15 0 Oct/07/15 Dec/06/15
Description: Horiba Stec IV-2410AV-03 Injection Valve AMAT 3030-10059 Used Working
usedeqsales Used - $260.15 0 Oct/07/15 Nov/11/20
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $260.15 1 Oct/07/15 Apr/02/21
Description: Horiba STEC LF-210A-EVD Liquid Mass Flow Meter AMAT 3030-10059 Used Working
used1eqsales Used - $310.15 0 Oct/08/15 Nov/07/15
Description: AMAT 0020-70497 2-D PSD Sensors 0020-53481 Lot of 3 AMAT Quantum X used working
used1eqsales Used - $1,510.15 0 Oct/15/15 May/26/17
Description: AMAT 0620-00516 RF Generator to RF Match Cable 149 ft. used working
used1eqsales Used - $810.15 0 Oct/15/15 May/26/17
Description: AMAT 0010-24405 Magnetic Source Rev. 004 0040-84886 used working
usedeqsales Used - $120.15 0 Oct/16/15 Nov/15/15
Description: Edwards C41317000 Right Angle Valve PV25SPKA AMAT 0151-90830 Used Working
used1eqsales Used - $1,010.15 3 Oct/21/15 Oct/21/15
Description: NSK SSB014FN532 Motor AMAT 0150-98944 Quantum X used working
used1eqsales Used - $1,604.06 1 Oct/21/15 Jan/20/16
Description: HiTek 9090-00801 Power Supply A1031250 A1030770 AMAT Quantum X used working
used1eqsales Used - $1,010.15 3 Oct/21/15 Oct/21/15
Description: NSK SSB014FN531 Motor AMAT 0150-98943 Quantum X used working
usedeqsales Used - $810.15 2 Oct/22/15 Aug/10/18
Description: Lam Research 810-017031-004 ADIO A0 PCB Card Rev. C Used Working
usedeqsales Used - $810.15 1 Oct/22/15 Jun/21/18
Description: Lam Research 810-017018-002 Gap Motor Controller Board PCB Rev. J Used Working
usedeqsales Used - $1,010.15 1 Oct/22/15 Feb/24/22
Description: GD California SYS68K/SIO-2 VME PCB Card Lam 810-017038-002 Rev. C Used Working
used1eqsales Used - $710.15 0 Oct/26/15 May/26/17
Description: AMAT 0100-01697 X Sensor PCB REV B Quantum X PRA Rack used working
usedeqsales Used - $810.15 1 Oct/26/15 Jul/08/21
Description: Lam Research 810-017031-003 ADIO A0 PCB Card Rev. 2 Used Working
used1eqsales Used - $5,010.15 0 Oct/27/15 Oct/28/15
Description: AMAT 9090-01406 PSU Chassis Rev B Quantum X 300mm used working
usedeqsales Used - $130.15 0 Oct/27/15 Nov/26/15
Description: Turck VB 804Z-* Junction Box AMAT 0090-03978 Lot of 3 Used Working
used1eqsales Used - $710.15 0 Oct/27/15 Jun/23/16
Description: AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working
used1eqsales Used - $710.15 0 Oct/27/15 May/26/17
Description: AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working
usedeqsales Used - $1,511.15 0 Nov/06/15 Jul/26/18
Description: Synergy Microsystems 0190-09667 SV21 PCB Card AMAT Precision 5000 Used Working
usedeqsales Used - $211.15 2 Nov/05/15 Nov/14/15
Description: AMAT Applied Materials 0620-02885 RF Cable 10762200 50 Foot Used Working
usedeqsales Used - $161.15 0 Nov/05/15 Dec/05/15
Description: AMAT Applied Materials 0150-13116 Centura Gas Panel PC Power Cable Used Working
usedeqsales Used - $161.15 1 Nov/05/15 Sep/27/17
Description: AMAT Applied Materials 0150-35880 Robot Control Cable Assembly Used Working
usedeqsales Used - $111.15 0 Nov/06/15 Dec/06/15
Description: AMAT Applied Materials 0150-35488 Monitor Cable Lot of 2 Used Working
usedeqsales Used - $161.15 0 Nov/06/15 Dec/17/19
Description: AMAT Applied Materials 0190-09267 Magnetron Control Cable 55' ASP Used Working
usedeqsales Used - $3,511.15 1 Nov/09/15 Mar/26/18
Description: AMAT Applied Materials 0010-70066 Heat Exchanger AMAT 0 Used Working
usedeqsales Used - $1,511.15 1 Nov/09/15 Jun/22/18
Description: AMAT Applied Materials 0010-09750 Phase II RF Match Precision 5000 Used Working
usedeqsales Used - $1,511.15 1 Nov/09/15 Jun/19/18
Description: AMAT Applied Materials 0010-09750 CVD RF Match Precision 5000 Used Working
usedeqsales Used - $511.15 1 Nov/10/15 Feb/09/19
Description: AMAT Applied Materials 0100-09115 Gas Panel Analog Board PCB P5000 Used Working
usedeqsales Used - $2,501.15 1 Nov/10/15 Jul/21/21
Description: Phasetronics P1038A Phase Angle Lamp Drive AMAT 0015-09091 P5000 Used Working
usedeqsales Used - $211.15 2 Nov/12/15 Mar/31/20
Description: AMAT Applied Materials 0100-09138 Robot Interconnect Board PCB Used Working
usedeqsales Used - $211.15 1 Nov/12/15 Aug/18/20
Description: AMAT Applied Materials 0100-09117 DIO Fuse Board PCB Precision 5000 Used Working
usedeqsales Used - $151.15 0 Nov/16/15 Jan/27/22
Description: AMAT Applied Materials 0100-09127 Loader Interconnect Board PCB Used Working
usedeqsales Used - $161.15 1 Nov/16/15 Dec/06/16
Description: SMC CRB80-180 Rotary Actuator AMAT 0020-09292 Precision 5000 Used Working
usedeqsales Used - $131.15 1 Nov/19/15 Dec/23/16
Description: SMC CRB80-180 Rotary Actuator AMAT 0020-09634 Precision 5000 Used Working
surplusseller13 Used - $2,895.45 1 Nov/19/15 Dec/03/15
Description: NSK ELA-B014CFD-03 Robot AC Servo Drive AMAT 0190-02472 Used Working
usedeqsales Used - $311.15 0 Nov/23/15 Mar/13/18
Description: Nor-Cal 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
usedeqsales Used - $1,811.15 0 Nov/25/15 Nov/30/15
Description: AMAT Applied Materials 0010-76061 HP ENP Transfer Robot Centura RTP Used Working
usedeqsales Used - $261.15 1 Nov/30/15 Dec/13/16
Description: Horiba STEC SEC-4400M Mass Flow Controller AMAT 3030-12516 Used Working
usedeqsales Used - $2,512.15 1 Dec/01/15 Nov/09/20
Description: Lam Research 660-095275-002 E2 4MHz RF Match Biased Used Working
usedeqsales Used - $3,512.15 0 Dec/01/15 Jul/19/17
Description: Lam Research 660-095275-003 4MHz RF Match Biased Used Working
usedeqsales Used - $242.15 0 Dec/02/15 Aug/31/21
Description: Watlow ME6A1JP2-TB Cartridge Heater Lam Research 678-061017-001 Used Working
usedeqsales Used - $912.15 2 Dec/07/15 Jun/07/16
Description: Advnace Hivolt 1140-90129 Power Supply AMAT 0090-91415ITL HiTek Used Working
used1eqsales Used - $912.15 2 Dec/29/15 Mar/29/18
Description: AMAT 0100-01439 FOLC Loop Controller PCB Quantum X used working
used1eqsales Used - $2,512.15 1 Dec/29/15 Dec/30/15
Description: Pep VM162/172 Single Board Computer w/ IP Module AMAT 0660-00602 used working
usedeqsales Used - $912.15 0 Dec/28/15 Aug/31/21
Description: Lam Research 715-011560-001 Quartz Filler Ring Used Working
usedeqsales Used - $912.15 0 Dec/28/15 Aug/31/21
Description: Lam Research 713-028647-149 Ring 713-28647-149 Used Working
usedeqsales Used - $612.15 0 Dec/28/15 Aug/31/21
Description: Lam Research 713-028318-001 Ceramic Ring 713-28318-1 Used Working
usedeqsales Used - $612.15 0 Dec/17/15 Apr/02/21
Description: Lam Research 810-057032-001 DC Servo Driver PCB Card 810-57032-001 Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-250080-001 150mm Upper Insulator Ring Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-011563-152 Wafer Clamp 716-11563-152 Used Working
usedeqsales Used - $1,512.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 715-011531-008 Anodized Aluminum Electrode Used Working
usedeqsales Used - $612.15 1 Dec/15/15 Mar/07/17
Description: Lam Research 716-011624-001 Lower Electrode Insulator Ring Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-018527-181 Ceramic Ring 716-18527-181 Used Working
usedeqsales Used - $912.00 0 Dec/15/15 Aug/31/21
Description: Lam Research 715-028771-001 Top SLD PL Ring Used Working
usedeqsales Used - $912.15 0 Dec/15/15 Aug/31/21
Description: Lam Research 716-030140-003 Ring Assembly Rev. E2 Used Working
used1eqsales Used - $484.86 3 Dec/30/15 Jan/12/16
Description: SBS 900-32-029 Single Board Computer AMAT 0190-15591 used working
used1eqsales Used - $457.16 2 Dec/30/15 Mar/29/18
Description: SBS 0390-1239B IP Carrier & Modules cPCI-100-BP AMAT 0190-07848 Used Working
used1eqsales Used - $512.15 0 Dec/30/15 Mar/29/18
Description: DIP 15049105 DeviceNet PCB CDN481 AMAT 0190-08860 Reflexion EFEM used working
used1eqsales Used - $512.15 0 Dec/30/15 May/28/16
Description: SST SST-DNP-CPCI-3U-1-NC DeviceNet Scanner PCB AMAT 0190-10156 used working
usedeqsales Used - $3,001.16 0 Jan/04/16 Nov/03/16
Description: Hirata HQPLP-2DHP Quarter Panel Load Port Transfer System HQPLP2001 Used Working
used1eqsales Used - $501.16 0 Jan/04/16 Dec/08/17
Description: Hitachi RYX-2 PCB Hitachi M-511E used working
used1eqsales Used - $851.16 0 Jan/04/16 Jun/02/16
Description: Nikon 4S003-056-1 MCR-DRV PCB 2S003-056-1 KAB11000/3801-0 used working
used1eqsales Used - $1,201.16 1 Jan/04/16 Jan/05/16
Description: Varian E11133001 Gas Card BF3 used working
used1eqsales Used - $1,201.16 1 Jan/04/16 Jan/05/16
Description: Varian E11116731 Gas Card Argon used working
used1eqsales Used - $501.16 0 Jan/04/16 May/26/17
Description: Nikon 4S007-787-2A Interface PCB AVIS-I/F2 Nikon NSR-S204B used working
used1eqsales Used - $812.15 1 Dec/22/15 Jan/04/16
Description: AMAT 9010-00701 X24C Transformer AMAT Quantum X used working
usedeqsales Used - $708.09 0 Jan/11/16 Jul/20/16
Description: AMAT Applied Materials 0100-00985 HP Gas Interlock PCB Card XR80 Used Working
used1eqsales Used - $1,501.16 0 Jan/12/16 Mar/29/18
Description: AMAT 0021-16783 Cover Ring Pentagon Kit Cleaned Cu Exposed Endura used working
usedeqsales Used - $151.16 0 Jan/12/16 Jun/10/18
Description: AMAT Applied Materials 0100-00567 Gas Box Distribution PCB Board Used Working
usedeqsales Used - $121.16 0 Jan/12/16 Oct/11/18
Description: Sanyo Denki PV2A015SMT1P50-01 Servo Drive AMAT 0190-12138 Used Working
usedeqsales Used - $1,501.16 1 Jan/21/16 May/29/16
Description: Xycom 70110-003 SRAM 512K PCB Card Lam Research 810-017033-003 Used Working
usedeqsales Used - $1,501.16 1 Jan/25/16 Mar/17/16
Description: AMAT Applied Materials 0100-00372 Seriplex I/O Expansion Card PCB Used Working
usedeqsales Used - $1,501.16 1 Jan/25/16 Jan/25/16
Description: RadiSys 067-02113-0004 PIII Motherboard AMAT 0190-11525 PCB Card Used Working
usedeqsales Used - $8,001.16 1 Jan/25/16 Jan/26/16
Description: Synergy V451 SBC PCB VME Card AMAT 0090-76133 Used Working
usedeqsales Used - $400.58 1 Jan/25/16 Apr/18/24
Description: AMAT Applied Materials 0910-00285 Anneal Interlock PCB Card Used Working
usedeqsales Used - $501.16 3 Jan/25/16 Apr/08/18
Description: SBS Technologies CPM1 PMC-Video PCB Card AMAT 0190-07657 Used Working
usedeqsales Used - $601.16 0 Jan/25/16 Feb/13/20
Description: SBS cPCI-100A-BP-S00002B IP-OCTAPLUS-232 PCB Card AMAT 0190-07848 Used Working
usedeqsales Used - $151.16 2 Jan/25/16 Jan/10/19
Description: AMAT Applied Materials 0100-02420 Opto Isolation PCB Board Used Working
usedeqsales Used - $451.16 1 Jan/25/16 Feb/01/17
Description: SBS Technologies CPR03/128-30000 SCB PCB Card AMAT 0190-12218 Used Working
usedeqsales Used - $401.16 0 Jan/25/16 Jun/13/17
Description: DIP DIP-254-025 DeviceNet CDN491 PCB Card AMAT 0190-08860 Used Working
usedeqsales Used - $301.16 0 Jan/26/16 Sep/08/17
Description: Crystalfontz SKD162-63255 Display PCB Assembly AMAT 0190-14415 Used Working
usedeqsales Used - $301.16 1 Jan/26/16 Feb/09/17
Description: Lambda Electronics PDC60-300 Powrer Supply PCB Card AMAT 0190-07661 Used Working
harryha64 Used - $1,200.00 0 Jan/26/16 Feb/25/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
used1eqsales Used - $1,001.16 0 Jan/29/16 May/26/17
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
used1eqsales Used - $702.16 1 Feb/01/16 Mar/23/16
Description: AMAT 0090-90966 daq Mkll Type B Power Supply PCB used working
used1eqsales Used - $15,002.20 0 Feb/02/16 Feb/04/16
Description: AMAT 0010-24076 Electrostatic Chuck ESC 300mm used working
usedeqsales Used - $652.16 1 Feb/05/16 Feb/14/17
Description: Lam Research 810-017003-004 DIP High Frequency PCB Board 4428b Used Working
usedeqsales Used - $202.16 4 Feb/09/16 Feb/11/16
Description: AMAT Applied Materials 0015-01864 Polishing Head Clamp Lot of 2 Used Working
usedeqsales Used - $2,002.16 1 Feb/19/16 May/10/16
Description: STL Transformer 0190-90864 30 kVA Transformer AMAT 0240-91347 ITL Used Working
used1eqsales Used - $252.16 0 Feb/18/16 Mar/28/18
Description: AMAT 0110-01717 Issue B Circuit Board used working
used1eqsales Used - $302.16 0 Feb/22/16 Dec/13/17
Description: AMAT 0100-00925 Process Sensor Issue A VME used working
used1eqsales Used - $480.86 0 Feb/22/16 Mar/15/16
Description: AMAT 0100-01439 Loop Control 0 LCVME-10X used working
used1eqsales Used - $1,202.16 0 Feb/23/16 Mar/29/18
Description: AMAT 9090-00785 Electrode Assembly Rev B AMAT Qauntum X used working
visionsemi Used - $60.00 0 Feb/23/16 Jan/18/17
Description: Sanyo Denki PV2A015SMT1P50-01 Super Servo BL Drive AMAT 0190-12138 Used Working
usedeqsales Used - $402.16 0 Feb/24/16 Jan/22/18
Description: DIP DIP-131-483 DeviceNet CDN491 PCB Card AMAT 0660-01879 Used Working
usedeqsales Used - $402.16 1 Feb/24/16 Dec/12/16
Description: SBS Greenspring cPCI-100-BP OCTAPLUS 232 PCB Card AMAT 0190-05410 Used Working
srctech1 Used - $1,200.00 0 Feb/26/16 Mar/27/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
usedeqsales Used - $803.16 0 Mar/07/16 Nov/07/19
Description: AMAT Applied Materials 0020-84586 300 Txz Slit Valve Lid Used Working
usedeqsales Used - $803.16 0 Mar/07/16 Apr/06/17
Description: HiTek Power 0090-91382 High Voltage Resistor AMAT Applied Materials Used Working
usedeqsales Used - $2,506.07 0 Mar/10/16 Jul/25/17
Description: Newport 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
usedeqsales Used - $403.16 1 Mar/10/16 Jun/06/18
Description: K-Tec Technology WKE-200 Current Transformer AMAT 1360-01227 Used Working
rfsurplus123 Used - $200.00 1 Mar/11/16 Jun/11/16
Description: Nor-Cal Products 3870-01455 Pneumatic Angle Isolation Valve AMAT Used Working
instechlab Used - $100.00 0 Mar/28/16 Apr/04/16
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
srctech1 Used - $1,200.00 0 Mar/27/16 Apr/05/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
srctech Used - $1,300.00 0 Apr/05/16 Nov/02/16
Description: AMAT 0100-35227 E CHUCK CONTROLLER BOARD USED WORKING
usedeqsales Used - $204.16 0 Apr/11/16 Jan/18/18
Description: AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working
surplusfreight555 Used - $125.00 0 Apr/14/16 May/14/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $3,504.16 0 Apr/15/16 Feb/12/20
Description: Kensington 15-3702-1425-25 Wafer Transfer Robot AMAT 0190-22248 Used Working
instechlab Used - $200.00 1 Apr/26/16 Jul/18/16
Description: Tylan Lam Research 4420 Manometer 853-017643-001 Cmla-11S02 Used Working
usedeqsales Used - $154.16 1 Apr/27/16 Jun/22/21
Description: Novellus Systems 03-320080-00 Backplane PCB Board Used Working
usedeqsales Used - $2,504.16 0 Apr/28/16 Mar/14/17
Description: AMAT Applied Materials 0010-21748 PVG RF Match 300mm Preclean/RPC Used Working
jinhyucle_0 Used - $5,000.00 0 May/03/16 May/04/16
Description: AMAT 0920-00139 Apex 3013 AE Advanced Energy RF Generator Used working 3156114-6
usedeqsales Used - $505.16 0 May/05/16 Jun/23/16
Description: AMAT Applied Materials 0020-84799 Source Turbo Extraction Plate Used Working
austin6032 Used - $70,000.00 0 May/05/16 Jun/04/16
Description: Endura XP Robot AMAT 0010-11364, 0010-35807 USED WORKING
jinhyucle_0 Used - $3,500.00 2 May/09/16 May/16/16
Description: AMAT 0920-00139 Apex 3013 AE Advanced Energy RF Generator Used working 3156114-6
used1eqsales Used - $655.16 0 May/10/16 Feb/15/18
Description: Edwards VAT B90002031 Pneumatic Gate Valve used working
usedeqsales Used - $428.07 1 May/12/16 Sep/02/16
Description: HVA 21251-1003Z-001 Pneumatic 10 Inch Gate Valve AMAT 3870-02881 Used Working
surplusfreight555 Used - $125.00 0 May/14/16 Jun/13/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $255.16 2 May/16/16 Jun/10/16
Description: CTI-Cryogenics 8080250K003 Maintenance Manifold AMAT 4060-90000 Used Working
usedeqsales Used - $155.16 0 May/16/16 Jun/01/18
Description: CTI-Cryogenics 8112099G001 On-Board Cryopump AMAT 0620-01201 Used Working
usedeqsales Used - $155.16 0 May/16/16 Aug/11/21
Description: Nor-Cal Products 3870-02286 Manual Angle Valve AMAT Used Working
usedeqsales Used - $1,005.16 3 May/26/16 Jul/16/21
Description: AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working
usedeqsales Used - $405.16 0 May/26/16 Jun/14/16
Description: McLean Engineering UES17H115S29 Fantray AMAT 0190-70066 Used Working
jinhyucle_0 Used - $4,500.00 1 Jun/01/16 Jul/28/16
Description: AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED
usedeqsales Used - $206.16 8 Jun/01/16 Jun/03/16
Description: AMAT Applied Materials 0015-01864 Ploshing Head Clamp Lot of 2 Used Working
usedeqsales Used - $756.16 5 Jun/02/16 Jun/15/16
Description: MKS Instruments 852B-13384 Baratron AMAT 1350-01200 Lot of 10 Used Working
usedeqsales Used - $756.16 2 Jun/02/16 Jun/15/16
Description: MKS Instruments 852B12PCJ2GC Baratron AMAT 1350-01200 Lot of 10 Used Working
usedeqsales Used - $206.16 3 Jun/02/16 Jul/14/16
Description: MKS Instruments 852B12PCJ2GC Baratron AMAT 1350-01200 Lot of 2 Used Working
usedeqsales Used - $206.16 4 Jun/02/16 Nov/09/16
Description: MKS Instruments 852B-13384 Baratron AMAT 1350-01200 Lot of 2 Used Working
usedeqsales Used - $256.16 1 Jun/03/16 Jul/25/16
Description: MKS Instruments 179A51CR3BM---S Mass-Flo Meter AMAT 3030-06040 Used Working
jinhyucle_0 Used - $350.00 0 Jun/06/16 Nov/18/16
Description: AMAT 0040-38036 BRACKET RF FLANGE PRODUCER 300MM/200MM, USED WORKING TESTED
usedeqsales Used - $3,506.16 0 Jun/07/16 Jan/13/21
Description: Newport 35-3700-1425-18 Wafer Transfer Robot AMAT 0190-22248 Used Working
usedeqsales Used - $1,506.16 1 Jun/07/16 Aug/03/16
Description: AMAT Applied Materials 0010-20331 Dual Degas Magnet Driver Used Working
usedeqsales Used - $5,005.15 1 Jun/09/16 Mar/27/17
Description: AMAT Applied Materials 0010-04662 300mm PVD Chamber Assembly Endura Used Working
surplusfreight555 Used - $125.00 0 Jun/13/16 Jul/13/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
used1eqsales Used - $3,506.16 0 Jun/16/16 Mar/22/18
Description: TEL T-3044SS Pre Aligner Loader Module used working
usedeqsales Used - $1,011.11 0 Jun/16/16 Jun/16/16
Description: Lam Research 810-017012-001 Heartbeat PCB Board Rev. D Used Working
usedeqsales Used - $1,256.07 0 Jun/21/16 Aug/24/16
Description: Advnace Hivolt 1140-90129 Power Supply AMAT 0090-91415ITL HiTek Used Working
used1eqsales Used - $756.16 1 Jun/28/16 Sep/20/17
Description: AMAT 0010-22226 Throttle Valve Assembly used working
used1eqsales Used - $706.16 0 Jun/28/16 Mar/26/18
Description: Novellus 02-168108-00 Sesioc Sioc Bath Module used working
used1eqsales Used - $706.16 0 Jun/28/16 Mar/26/18
Description: Novellus 02-168109-00 Sesioc Sioc Electrofill used working
used1eqsales Used - $1,006.16 1 Jul/01/16 Mar/29/18
Description: AMAT 0100-20346 Smoke & Water Leak Detector 0130-20346 Rev 006 used working
used1eqsales Used - $1,006.16 0 Jul/01/16 Dec/21/17
Description: AMAT 0100-00611 Smoke & Water Leak Detector 0130-00611 Rev 005 used working
used1eqsales Used - $507.16 0 Jul/05/16 Dec/18/17
Description: AMAT Applied Materials 0660-01865 Circuit Board CDN391 15039103 used working
used1eqsales Used - $507.16 0 Jul/06/16 May/31/17
Description: AMI 0190-76273 Power Supply P1183-208/208 AMAT Centura used working
usedeqsales Used - $407.16 0 Jul/11/16 Jul/18/16
Description: AMAT Applied Materials 0100-01884 Ave Voltage Control PCB Card Used Working
usedeqsales Used - $907.16 0 Jul/11/16 Apr/02/23
Description: AMAT Applied Materials 0100-90385 Contactor Drive PCB Card No Face Used Working
usedeqsales Used - $1,003.58 0 Jul/14/16 Aug/24/16
Description: AMAT Applied Materials 9090-00791 Power Supply Assembly Used Working
usedeqsales Used - $707.16 0 Jul/18/16 Apr/02/23
Description: AMAT Applied Materials 0100-01487 PSD Board GRIPPER IN LL PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/18/16 Sep/24/18
Description: AMAT Applied Materials 0100-00941 Gap Servo PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/18/16 May/18/22
Description: AMAT Applied Materials 0110-01139 PSD Board GRIPPER IN LL PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/19/16 May/18/22
Description: AMAT Applied Materials 0110-01139 PSD Board E-CHUCK PCB Card Used Working
usedeqsales Used - $707.16 7 Jul/19/16 Nov/03/17
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/19/16 May/18/22
Description: AMAT Applied Materials 0110-01139 PSD Board BEAM ALIGN PCB Card Used Working
usedeqsales Used - $407.16 0 Jul/18/16 May/18/22
Description: AMAT Applied Materials 0110-98079 I/O Processor Sensor PCB Card Used Working
usedeqsales Used - $707.16 1 Jul/19/16 Jun/05/17
Description: AMAT Applied Materials 0100-02146 EVR Gap Servo PCB Card Used Working
usedeqsales Used - $707.16 0 Jul/19/16 Feb/26/20
Description: AMAT Applied Materials 0100-01734 EVR Lateral Servo PCB Card Used Working
usedeqsales Used - $610.09 0 Jul/22/16 Apr/02/23
Description: AMAT Applied Materials 0090-91229 Cryo Pump Auto N2 Purge Module Used Working
usedeqsales Used - $807.16 1 Jul/26/16 Aug/04/16
Description: AMAT Applied Materials 0040-18213 Cold Plate Ultima 200mm HDPCVD Used Working
jabedow Used - $1,300.00 1 Jul/27/16 Aug/12/16
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
surplusfreight555 Used - $80.00 0 Aug/03/16 Sep/02/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $808.16 0 Aug/11/16 Jul/11/17
Description: AMAT Applied Materials 0035-18000 5000 Watt Heater Plate Used Working
happyglobalsolution Used - $70,000.00 0 Aug/11/16 Nov/04/16
Description: ENDURA XP ROBOT AMAT 0010-11364, 0010-35807 USED WORKING
used1eqsales Used - $358.16 1 Aug/12/16 Nov/01/16
Description: AMAT 0190-01769 RF Gen Rack Output Cable AMAT Endura used working
used1eqsales Used - $358.16 1 Aug/12/16 Jan/11/17
Description: AMAT 0190-05576 Power Supply Cable AMAT Endura used working
surplusseller13 Used - $195.45 0 Aug/12/16 Dec/06/17
Description: ABB EH 175 Contactor EH Series AMAT 1200-01400 150HP 120V Coil Used Working
allpart2016 Used - $250.00 0 Aug/16/16 Jun/05/18
Description: Mesa Power Systems 10651 Power Supply Card AMAT 0190-08875 Used Working
used1eqsales Used - $2,008.16 0 Aug/16/16 Mar/29/18
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis PXP41L used working
used1eqsales Used - $908.16 0 Aug/30/16 Oct/11/17
Description: AMAT 9090-00790 Resistor (Lot of 4) AMAT Quantum X used working
surplusfreight555 Used - $80.00 0 Sep/02/16 Oct/02/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $759.16 3 Sep/06/16 Jan/15/18
Description: MKS Instruments L2-40-SP1 Two Stage Inline Valven AMAT 0190-76185 Used Working
usedeqsales Used - $856.15 1 Sep/14/16 Sep/19/16
Description: HVA 21251-1003Z-001 Pneumatic 10 Inch Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $856.15 0 Sep/23/16 Sep/26/16
Description: HVA 21251-1003Z-001 Pneumatic 10 Inch Gate Valve AMAT 3870-02881 Used Working
usedeqsales Used - $1,009.16 1 Sep/30/16 Feb/23/22
Description: AMAT Applied Materials 9090-00979 Electrode Assembly 3X2H Used Working
surplusfreight555 Used - $80.00 0 Oct/02/16 Nov/01/16
Description: AMAT Applied Materials 0100-70028 Robot Interconnect Board PCB Used Working
usedeqsales Used - $309.16 0 Oct/04/16 Mar/16/23
Description: AMAT Applied Materials 0100-90708 Vacuum Control Interlock PCB Card Used Working
usedeqsales Used - $310.16 0 Oct/05/16 Jan/23/19
Description: AMAT Applied Materials 0100-00970 Spin Window PCB Card Used Working
usedeqsales Used - $510.16 16 Oct/05/16 Jan/23/19
Description: AMAT Applied Materials 0090-90967 Power Supply daq MkII type H Used Working
usedeqsales Used - $705.15 0 Oct/05/16 Jan/23/19
Description: AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Used Working
jabedow Used - $600.00 1 Oct/09/16 Mar/10/17
Description: AMAT 0020-79039 SPINDLE ASSY, 300MM USED WORKING
usedeqsales Used - $611.16 0 Nov/08/16 Apr/28/17
Description: Spellman X2784 Power Supply ESC1.5PN75X2784 Rev. C1 AMAT 0190-07247 Used Working
usedeqsales Used - $611.16 0 Nov/08/16 Apr/28/17
Description: Spellman X2784 Power Supply ESC1.5PN75X2784 Rev. D4 AMAT 0190-07247 Used Working
usedeqsales Used - $2,011.16 1 Nov/09/16 Feb/14/17
Description: HiTek Power 1140-00166 High Voltage Power Supply A1028760 AMAT Used Working
usedeqsales Used - $811.16 0 Nov/09/16 Dec/30/16
Description: AMAT Applied Materials 9090-01382 G2 Series Protection Resistor Used Working
usedeqsales Used - $1,012.16 1 Dec/14/16 Aug/21/21
Description: AMAT Applied Materials 0100-00093 Stepper Drive PCB VME Card Used Working
usedeqsales Used - $812.16 0 Dec/15/16 Apr/13/23
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
usedeqsales Used - $812.16 1 Dec/15/16 Aug/16/19
Description: AMAT Applied Materials 0100-20004 Chamber Interconnect Board PCB Used Working
usedeqsales Used - $812.16 0 Dec/15/16 Dec/08/20
Description: AMAT Applied Materials 0100-76012 HDP Chamber Interface PCB Card Used Working
usedeqsales Used - $362.16 20 Dec/15/16 Jan/13/20
Description: AMAT Applied Materials 0100-00003 Stepper Drive PCB VME Card Used Working
usedeqsales Used - $1,012.16 0 Dec/15/16 Jun/14/17
Description: AMAT Applied Materials 0120-20067 Stepper Controller PCB VME Card Used Working
usedeqsales Used - $912.16 1 Dec/15/16 Jan/20/17
Description: AMAT Applied Materials 0100-20100 Analog Input/Output PCB VME Card Used Working
usedeqsales Used - $912.16 0 Dec/15/16 Dec/15/16
Description: AMAT Applied Materials 0100-35065 Serial Isolator PCB Card Used Working
usedeqsales Used - $1,212.16 0 Dec/16/16 Nov/03/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $505.15 4 Dec/22/16 Feb/21/19
Description: AMAT Applied Materials 9090-00314 Power Supply daq MkII type H Used Working
usedeqsales Used - $151.17 0 Jan/03/17 Jul/20/20
Description: Leybold 85931-000-3M Heater Cable AMAT Applied Materials 0620-01188 Used Working
usedeqsales Used - $151.17 0 Jan/03/17 Jul/20/20
Description: Leybold 85932-000-3M Cooler Cable AMAT Applied Materials 0620-01186 Used Working
usedeqsales Used - $801.17 2 Jan/06/17 Jan/29/19
Description: AMAT Applied Materials 0021-20838 Pedestal LG OD Preclean 300MM Used Working
uam_126 Used - $400.00 0 Jan/07/17 Jan/10/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
uam_126 Used - $400.00 0 Jan/20/17 Feb/22/17
Description: AMAT Applied Materials 0100-76124 Digital I/O PCB Board Used Working
levmucciacciar0 Used - $1,000.00 0 Jan/29/17 Feb/21/17
Description: Shimadzu EI-D3603M Turbomolecular Pump Controller AMAT 0010-32353 Used Working
visionsemi Used - $60.00 0 Feb/01/17 May/26/17
Description: Sanyo Denki PV2A015SMT1P50-01 Super Servo BL Drive AMAT 0190-12138 Used Working
usedeqsales Used - $601.17 0 Feb/06/17 Apr/07/17
Description: AMAT Applied Materials 0100-90890 Spin/Scan Interlock PCB Card XR80 Used Working
usedeqsales Used - $902.17 0 Feb/06/17 Jan/10/19
Description: AMAT Applied Materials 0100-90092 Arc Switchmode PCB Card XR80 Used Working
usedeqsales Used - $602.17 1 Feb/09/17 Dec/12/19
Description: AMAT Applied Materials 0040-02954 Mounting Spacer Ring DTCU Used Working
usedeqsales Used - $302.17 12 Feb/09/17 May/31/21
Description: Proteus Industries 91025006S24P2 Flow Meter AMAT 0090-76204 Used Working
usedeqsales Used - $512.17 1 Feb/09/17 Oct/20/17
Description: AMAT Applied Materials 0090-90967 Power Supply daq MkII type B Used Working
usedeqsales Used - $302.17 3 Feb/20/17 Jan/20/20
Description: AMAT Applied Materials 0100-00415 G2/G3 Protection and Delatch PCB Used Working
usedeqsales Used - $252.17 0 Feb/22/17 Dec/12/18
Description: UNIT Instruments UFC-8160 Mass Flow Controller AMAT 3030-09611 Used Working
usedeqsales Used - $352.17 2 Feb/22/17 Aug/01/17
Description: ASTeX ABX-X494 HV Filter Board AMAT Applied Materials 0190-01291 Used Working
nevadasurplus-com Used - $2,499.99 16 Feb/28/17 May/29/19
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $3,503.17 0 Mar/03/17 Nov/21/17
Description: TDK TAS300 300mm Wafer Load Port Type E4 AMAT 0190-17837 Used Working
usedeqsales Used - $203.17 1 Mar/08/17 Dec/07/18
Description: Pacific Scientific P2HNRXF-LSS-M1-02 Stepping Motor AMAT 0090-70008 Used Working
techequipsales Used - $200.00 2 Mar/27/17 Jul/07/17
Description: Applied Materials 0190-36511 Device Net I/O Block DIP294 (used working)  
usedeqsales Used - $307.15 1 Mar/29/17 Jun/11/18
Description: AMAT Applied Materials 0050-90658 ISO160 F to ISO160 K Flange Used Working
nevadasurplus-com Used - $99.99 1 Mar/31/17 Apr/07/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $404.17 0 Apr/05/17 Nov/30/22
Description: AMAT Applied Materials 0100-01492 Source Conditioning Board PCB Used Working
usedeqsales Used - $354.17 1 Apr/06/17 Jul/05/19
Description: AMAT Applied Materials 0100-20097 Water Leak Detector Board PCB Used Working
usedeqsales Used - $3,504.17 0 Apr/13/17 Nov/21/17
Description: TDK TAS300 300mm Wafer Load Port Type F1 AMAT 0190-11409 Used Working
usedeqsales Used - $204.17 1 Apr/18/17 May/01/20
Description: AMAT Applied Materials 0150-35488 Monitor Cable Reseller Lot of 2 Used Working
usedeqsales Used - $3,504.17 0 Apr/18/17 Mar/31/20
Description: AMAT Applied Materials 0010-33724 High Efficiency RF Bias Match Used Working
usedeqsales Used - $2,004.17 0 Apr/26/17 Oct/04/19
Description: AMAT Applied Materials 9090-00791 ITL 29Z Power Supply Assembly Used Working
usedeqsales Used - $3,804.17 0 Apr/26/17 Apr/09/18
Description: Brooks 6-0002-0705-SP Wafer Robot WTM511-2-FWS02-V1 AMAT 0190-08245 Used Working
nevadasurplus-com Used - $499.99 0 Apr/26/17 May/03/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $254.17 1 Apr/28/17 Dec/04/17
Description: AMAT Applied Materials 0090-91640 Power Supply Assembly WSR45UM44-C Used Working
usedeqsales Used - $1,962.92 0 Apr/28/17 Jun/15/23
Description: AMAT Applied Materials 0040-61814 200mm Chamber Centura RTP Used Working
usedeqsales Used - $1,204.17 1 Apr/28/17 Oct/13/17
Description: Celerity 9010-02276 ITL CO2 Gas Panel Assembly AMAT 9240-05618ITL Used Working
usedeqsales Used - $254.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00095 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $354.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00195 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $255.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00096 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $255.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00097 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $255.17 1 May/01/17 Sep/11/18
Description: AMAT Applied Materials 0150-00077 System I/O Digital Cable P5000 Used Working
usedeqsales Used - $705.17 1 May/04/17 Nov/09/17
Description: AMAT Applied Materials 0100-91055 Gap Servo PCB Card 0110-90824 Used Working
nevadasurplus-com Used - $499.99 0 May/04/17 May/11/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
nevadasurplus-com Used - $499.99 0 May/11/17 May/18/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $355.17 3 May/16/17 Jan/18/18
Description: Panasonic MQDB012AAD02 AC Servo Motor Drive AMAT 0190-15328 Used Working
usedeqsales Used - $305.17 1 May/16/17 Aug/11/17
Description: Yaskawa SGDH-04AE Y904 Servo Drive Servopack AMAT 0190-08039 Used Working
usedeqsales Used - $505.17 0 May/16/17 Sep/22/20
Description: DIP Incorporated 15039603 CDN396 Board PCB Card AMAT 0190-01270 Used Working
usedeqsales Used - $505.17 0 May/16/17 Mar/26/20
Description: MKS Instruments AS01391-21 CDN391R Board PCB Card AMAT 0190-24115 Used Working
nevadasurplus-com Used - $499.99 0 May/22/17 May/29/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
used1eqsales Used - $705.15 0 Jun/02/17 Mar/15/18
Description: AMAT 0100-01415 Vendor Interface A Mag PCB Quantum X Beamline Rack used working
used1eqsales Used - $705.15 2 Jun/02/17 Mar/29/18
Description: AMAT 0100-91015 Circuit Board Monitor Interface Rev E AMAT Quantum used working
used1eqsales Used - $2,005.13 0 Jun/02/17 Mar/29/18
Description: AMAT Applied Materials 0190-24298 Endura Cable Rev. 002 Used Working
used1eqsales Used - $2,005.13 0 Jun/02/17 Mar/29/18
Description: AMAT Applied Materials 0190-00576 Endura Main Frame Cable Rev. 003 Used Working
used1eqsales Used - $2,005.13 0 Jun/02/17 Mar/29/18
Description: AMAT Applied Materials 0190-28182 Endura RF Cable Rev. 03 Used Working
used1eqsales NEW - $803.13 0 Jun/01/17 Mar/16/18
Description: AMAT Applied Materials 0040-13509 300mm Preclean 21 OD Belljar Used Working
used1eqsales Used - $2,003.13 0 Jun/01/17 Mar/16/18
Description: AMAT Applied Materials 9010-01545 S2S Softdrive SAF305-045 Used Working
used1eqsales Used - $710.15 10 Jun/02/17 Dec/20/17
Description: AMAT 0100-00985 HP Gas Interlock PCB Rev B Quantum X used working
used1eqsales Used - $404.16 0 Jun/02/17 Mar/29/18
Description: Particle Measuring Systems 659510-100 FiberVac II AMAT 9090-01134 used working
used1eqsales Used - $2,503.14 0 Jun/05/17 Mar/29/18
Description: HiTek 0090-91806 Power Supply AMAT Quantum X PRA Rack used working
used1eqsales Used - $4,008.14 0 Jun/08/17 Mar/27/18
Description: AMAT 0010-22568 CPI-VMO Chamber Source 4 Rev 001 AMAT Endura 300mm used working
used1eqsales Used - $507.14 0 Jun/08/17 Mar/27/18
Description: AMAT Quantum X 9090-00879 Gas Interlock Chassis Module Rev. B used working
used1eqsales Used - $1,604.16 3 Jun/08/17 Oct/31/17
Description: CTI 0500-00277 On Board IS Controller AMAT Quantum X PRA Rack used working
used1eqsales Used - $1,512.14 0 Jun/08/17 Mar/27/18
Description: AMAT 9090-00846 Beamline Power Distribution Unit Rev B Quantum X used working
used1eqsales Used - $1,512.14 0 Jun/08/17 Mar/27/18
Description: AMAT 9090-00846 Beamline Power Distribution Unit Rev E Quantum X used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01490 Faraday Alignment PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01491 Beam Align PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01489 E-Chuck PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01488 Z Sensor PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01486 Y Sensor PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01485 X Sensor PCB AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01490 Faraday Alignment PCB Rev C AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01491 Beam Align PCB Rev C AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01489 E-Chuck PCB Rev A AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01488 Z Sensor PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Dec/20/17
Description: AMAT 0100-01487 Gripper IN LL PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01486 Y Sensor PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $705.15 0 Jun/08/17 Mar/27/18
Description: AMAT 0100-01485 X Sensor PCB Rev B AMAT Quantum X PRA Rack used working
used1eqsales Used - $5,005.15 0 Jun/08/17 Mar/27/18
Description: AMAT 9240-04939 Control Chassis Rev A Quantum X PRA Rack used working
used1eqsales Used - $1,001.16 0 Jun/09/17 Mar/27/18
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
used1eqsales Used - $2,509.14 0 Jun/09/17 Mar/27/18
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $2,209.14 0 Jun/09/17 Mar/27/18
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
used1eqsales Used - $990.15 0 Jun/09/17 Mar/26/18
Description: AMAT 0010-22876 Door Assembly 300mm MD SWLL 0040-03795-002 used working
used1eqsales Used - $2,001.13 0 Jun/13/17 Mar/21/18
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
used1eqsales Used - $2,001.13 0 Jun/13/17 Mar/21/18
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
used1eqsales Used - $5,000.00 0 Jun/12/17 Mar/21/18
Description: AMAT Applied Materials 9090-01095 Controller Chasis Rev. A Used Working
used1eqsales Used - $810.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-24405 Magnetic Source Rev. 004 0040-84886 used working
used1eqsales Used - $3,509.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0010-14528 Magnetic Source 3 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $3,509.15 0 Jun/12/17 Nov/20/17
Description: AMAT 0010-14528 Magnetic Source 2 CPI-VMO Endura 404663 300mm used working
used1eqsales Used - $506.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0020-52929 Graphite G3 Holder used working
used1eqsales Used - $710.15 0 Jun/12/17 Dec/20/17
Description: AMAT 0100-02195 SDS Gas Interlock PCB Rev A Quantum X used working
used1eqsales Used - $2,009.15 0 Jun/12/17 Mar/21/18
Description: Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X used working
used1eqsales Used - $1,706.15 0 Jun/12/17 Mar/21/18
Description: AMAT 0040-61317 Aluminum Oxide Coated Bell Jar 300mm cleaned once used working
used1eqsales Used - $1,606.15 1 Jun/12/17 Aug/29/17
Description: AMAT 0040-61317 Aluminum Oxide Coated Quartz Bell Jar 300mm used working
used1eqsales Used - $353.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0041-38981 RF Ground Shield Source 300mm RF PVD used working
used1eqsales Used - $1,203.15 0 Jun/12/17 Mar/22/18
Description: AMAT 0200-76058 Quartz Pedestal Large Pin Diameter 300mm cleaned used working
used1eqsales Used - $1,506.15 0 Jun/12/17 Mar/21/18
Description: Novellus 04-341742-00 Pedestal Kit Welded Flat Preheat 2130 used working
techequipsales NEW - $800.00 1 Jun/26/17 Jun/04/20
Description: Novellus 02-800470-06 Pin lift 5+blank Rev. E Assembly (used working)  
usedeqsales Used - $257.15 0 Aug/08/17 Apr/27/21
Description: Celerity UFC-8165 Mass Flow Controller AMAT 0190-24834 1L H2 Used Working
usedeqsales Used - $408.17 2 Aug/09/17 Oct/30/18
Description: AMAT Applied Materials 0190-01769 Coaxial RF Pot Cable 75' Used Working
katiil3 Used - $449.00 1 Aug/23/17 Feb/19/18
Description: MKS Instruments L2-40-SP1 Two Stage Inline Valven AMAT 0190-76185 Used Working
usedeqsales Used - $508.17 1 Aug/23/17 Jun/14/18
Description: AMAT Applied Materials 0100-20027 Contactor Interlock Board PCB Used Working
usedeqsales Used - $1,009.17 1 Sep/12/17 Oct/27/17
Description: AMAT Applied Materials 0010-29563 Mirra 300mm Titan Head Used Working
dnd_surplus Used - $900.00 0 Sep/19/17 Oct/19/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
dnd_surplus Used - $1,200.00 0 Sep/22/17 Oct/22/17
Description: AMAT Applied Materials 0100-00423, PCB ASSY, SERIPLEX I/O PCB Card Used Working
usedeqsales Used - $157.15 1 Oct/02/17 Dec/02/17
Description: MKS Instruments CDN127-7 Peripheral Device Adapter AMAT 0190-19018 Used Working
usedeqsales Used - $1,010.17 1 Oct/11/17 Jan/25/19
Description: AMAT Applied Materials 9090-00790 Resistor Quantum X Used Working
orapma12012 Used - $699.00 0 Oct/15/17 Oct/22/17
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
dnd_surplus Used - $900.00 0 Oct/19/17 Nov/18/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
dnd_surplus Used - $1,200.00 0 Oct/22/17 Oct/28/17
Description: AMAT Applied Materials 0100-00423, PCB ASSY, SERIPLEX I/O PCB Card Used Working
usedeqsales Used - $1,510.17 1 Oct/24/17 Jul/26/18
Description: Digital Dynamics VECTOR HDSIOC 0 Controller Novellus 02-252395-00 Used Working
orapma12012 Used - $299.00 0 Oct/24/17 Oct/31/17
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
dnd_surplus Used - $1,200.00 1 Oct/26/17 Oct/31/17
Description: AMAT Applied Materials 0100-00423, PCB ASSY, SERIPLEX I/O PCB Card Used Working
orapma12012 Used - $299.00 0 Nov/05/17 Nov/12/17
Description: AMAT Applied Materials 0190-00285 HDPCVD Interlock PCB Card Used Working
nevadasurplus-com Used - $1,199.99 0 Nov/07/17 Nov/14/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $807.12 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 9090-01161 Control Module XR80 Used Working
usedeqsales Used - $807.12 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 9090-00641 Control Module XR80 Implanter Used Working
usedeqsales Used - $1,812.09 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 9090-00098 Controller XR80 Implanter Used Working
usedeqsales Used - $1,512.09 0 Nov/10/17 Jan/10/19
Description: AMAT Applied Materials 0090-91694 ITL Controller XR80 Implanter Used Working
nevadasurplus-com Used - $1,199.99 0 Nov/15/17 Nov/22/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
dnd_surplus Used - $900.00 0 Nov/20/17 Dec/20/17
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
nevadasurplus-com Used - $799.99 0 Dec/11/17 Dec/18/17
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $262.17 0 Dec/20/17 Mar/03/22
Description: Aera FCPIDN980C-ABA Pressure Insensitive MFC MGMR AMAT 0190-27879 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jun/16/18
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-117958-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Apr/30/18
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-127300-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/09/18
Description: Aera FC-7800CD Mass Flow Controller MFC Novellus 22-145235-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/09/18
Description: Aera FC-7800CD Mass Flow Controller MFC Novellus 22-142083-00 Used Working
usedeqsales Used - $262.17 2 Dec/20/17 Aug/13/18
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-117954-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/24/19
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-127297-00 Used Working
usedeqsales Used - $262.17 1 Dec/20/17 Jan/24/19
Description: Aera FC-7810CD Mass Flow Controller MFC Novellus 22-252794-00 Used Working
usedeqsales Used - $1,006.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0020-23811 Coherent Plate 8" Used Working
usedeqsales Used - $301.18 0 Dec/20/17 Mar/03/22
Description: Lam Research 716-140069-001 Upper Ceramic Insulator Ring Used Working
usedeqsales Used - $1,006.18 0 Dec/20/17 Mar/03/22
Description: Lam Research 716-140118-001 Focus Ring ESC 8" Used Working
usedeqsales Used - $456.18 1 Dec/20/17 Dec/06/21
Description: AMAT Applied Materials 0020-24530 Upper Shield Used Working
usedeqsales Used - $506.18 0 Dec/20/17 Mar/03/22
Description: AMAT Applied Materials 0200-36541 Lid Liner Used Working
dnd_surplus Used - $900.00 0 Dec/21/17 Jan/20/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
nevadasurplus-com Used - $499.99 0 Dec/27/17 Jan/03/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
nevadasurplus-com Used - $499.99 0 Jan/03/18 Jan/10/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
nevadasurplus-com Used - $499.99 0 Jan/10/18 Jan/17/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
nevadasurplus-com Used - $499.99 0 Jan/17/18 Jan/24/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $204.16 1 Jan/18/18 Mar/03/22
Description: AMAT Applied Materials 0130-00537 SD Stepper Interface PCB Used Working
usedeqsales Used - $251.18 1 Jan/19/18 May/25/18
Description: Horiba STEC SEC-Z512MGX Mass Flow Controller MFC AMAT 3030-15725 Used Working
usedeqsales Used - $251.18 2 Jan/19/18 Jun/25/18
Description: Horiba STEC SEC-4400M Mass Flow Controller MFC AMAT 3030-12516 Used Working
dnd_surplus Used - $900.00 0 Jan/21/18 Feb/20/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
nevadasurplus-com Used - $499.99 0 Jan/24/18 Jan/31/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Jan/27/18
Description: Kawasaki 3NS411B-F004 Factory Interface Wafer Robot AMAT 0190-28740 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Jan/27/18
Description: Kawasaki 3NS411B-F004 Factory Interface Wafer Robot AMAT 0190-29005 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Feb/02/18
Description: Kawasaki 30C61E-B014 CMP AT Robot Master Controller AMAT 0190-17941 Used Working
usedeqsales Used - $7,501.18 1 Jan/25/18 Jan/29/18
Description: Kawasaki 30C61E-B019 CMP AT Robot Master Controller AMAT 0190-25867 Used Working
usedeqsales Used - $502.18 0 Feb/14/18 Mar/15/18
Description: AMAT Applied Materials 0190-05576 RF Cable 20M Endura Mainframe Used Working
jabedow Used - $1,100.00 0 Feb/20/18 Mar/22/18
Description: AMAT 0100-09172 Assembly, 8 Channel, Emission, Laser Endpoint, PCB, used working
usedeqsales Used - $3,502.18 1 Feb/22/18 Feb/27/18
Description: AMAT Applied Materials 0040-91179 300mm Heater Assembly 0020-83936 Used Working
dnd_surplus Used - $700.00 0 Feb/22/18 Mar/24/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $703.18 0 Mar/02/18 Aug/05/20
Description: AMAT Applied Materials 0100-91085 Guiding Tube PCB Card XR80 Used Working
usedeqsales Used - $303.18 2 Mar/12/18 Apr/08/21
Description: Nor-Cal Products 3870-01212 Pneumatic 3-Way Isolation Valve AMAT Used Working
usedeqsales Used - $1,512.13 0 Mar/15/18 Mar/17/21
Description: AMAT Applied Materials 0040-84444 Gripper Claw 300mm 0040-80144 Used Working
usedeqsales Used - $705.15 5 Mar/15/18 Oct/16/19
Description: AMAT Applied Materials 0100-90881 Vacuum Interlock PCB Card Used Working
usedeqsales Used - $705.15 1 Mar/15/18 Mar/03/22
Description: AMAT Applied Materials 0100-01415 Vendor Interface A Mag PCB Used Working
usedeqsales Used - $2,005.13 0 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 0190-24298 Endura RF Cable Rev. 002 Used Working
usedeqsales Used - $2,005.13 1 Mar/16/18 Oct/29/18
Description: AMAT Applied Materials 0190-00576 Endura Main Frame Cable Rev. 003 Used Working
usedeqsales Used - $2,005.13 1 Mar/16/18 Jun/06/18
Description: AMAT Applied Materials 0190-28182 Endura RF Cable Rev. 03 Used Working
usedeqsales Used - $705.15 1 Mar/16/18 Aug/05/20
Description: AMAT Applied Materials 0090-91085 Guiding Tube Circuit Board PCB Used Working
usedeqsales Used - $705.15 0 Mar/16/18 Mar/27/18
Description: AMAT Applied Materials 0100-91015 Monitor Interface Board Rev E Used Working
usedeqsales Used - $705.15 0 Mar/16/18 Aug/05/20
Description: AMAT Applied Materials 0100-01877 Focus PSU Interface PCB Card Used Working
usedeqsales Used - $3,508.13 0 Mar/16/18 May/21/18
Description: AMAT Applied Materials 9090-00945 Power Supply Quantum Used Working
usedeqsales NEW - $803.13 1 Mar/16/18 Feb/05/19
Description: AMAT Applied Materials 0040-13509 300mm Preclean 21 OD Belljar Used Working
usedeqsales Used - $320.46 2 Mar/16/18 Jan/02/23
Description: AMAT Applied Materials 0020-80664 Electrode 0190-90758 0020-80667 Used Working
usedeqsales Used - $404.16 0 Mar/16/18 Jan/09/19
Description: Particle Measuring Systems 659510-100 FiberVac II AMAT 9090-01134 Used Working
usedeqsales Used - $705.15 2 Mar/16/18 Mar/03/22
Description: AMAT Applied Materials 0100-00546 Circuit Board PCB Endura 300mm Used Working
usedeqsales Used - $2,004.14 2 Mar/16/18 Sep/24/21
Description: AMAT Applied Materials 0010-25151 ESIP Encore II TAN Controller Used Working
usedeqsales Used - $2,503.14 0 Mar/16/18 Jun/15/21
Description: HiTek 0090-91806 Power Supply AMAT Quantum X PRA Rack Used Working
usedeqsales Used - $3,003.12 0 Mar/19/18 Apr/27/21
Description: AMAT Applied Materials 0010-27504 Source Assembly Encore 2 Cu Used Working
usedeqsales NEW - $1,006.14 0 Mar/19/18 Mar/27/18
Description: AMAT Applied Materials 0021-21519 Gasket RF 300MM B101 Heater IMP Used Working
usedeqsales Used - $705.15 1 Mar/19/18 Aug/17/20
Description: AMAT Applied Materials 0100-01445 Circuit Board PCB 0120-00415 Used Working
usedeqsales NEW - $505.14 0 Mar/19/18 Mar/26/20
Description: AMAT Applied Materials 0240-03251 Mounting Chiller Lines Kit Endura Used Working
usedeqsales Used - $1,005.14 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0020-08465 Shield Clamp Ring 8in AL-Sprayed Used Working
usedeqsales NEW - $2,005.14 2 Mar/19/18 Nov/25/20
Description: Novellus 03-417236-00 PCA GEN II FE INTF PCB C3VCTR Vector 300mm Used Working
usedeqsales Used - $1,503.18 1 Mar/19/18 Jun/08/20
Description: AMAT 0010-76174 Dual Spring Loaded Throttle Valve 5000 CVD Rev. B Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01491 Beam Align Board PCB Quantum X Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Feb/15/21
Description: AMAT Applied Materials 0100-01489 E-Chuck PCB Quantum X PRA Used Working
usedeqsales Used - $705.15 0 Mar/19/18 Apr/25/24
Description: AMAT Applied Materials 0100-01489 E-Chuck PCB Rev. A Quantum X PRA Used Working
usedeqsales Used - $603.18 0 Mar/19/18 Mar/03/22
Description: AMAT Applied Materials 0010-76175 CVD Throttle Valve Used Working
usedeqsales Used - $300.00 2 Mar/19/18 Feb/13/20
Description: Lambda PDC60-300 Power Supply Board AMAT 0190-07661 Used Working
usedeqsales Used - $1,001.16 1 Mar/19/18 Nov/28/18
Description: Novellus 02-169180-01 C3 Vector LTM R L K VCTR 101079 Assy LAM used working
usedeqsales Used - $2,509.14 0 Mar/19/18 Jan/23/20
Description: Novellus 16-383780-00 C3 Showerhead Revision D used working
usedeqsales Used - $1,509.14 0 Mar/19/18 Mar/03/22
Description: Novellus Systems 16-383780-00 C3 Showerhead Revision D Small Dent Used Working
usedeqsales Used - $2,001.13 1 Mar/20/18 Sep/24/21
Description: AMAT Applied Materials 0010-31159 PVD Chamber 300mm Rev. 001 Used Working
usedeqsales Used - $2,000.00 0 Mar/20/18 Mar/03/22
Description: AMAT Applied Materials 9090-01095 Controller Chasis Rev. A Used Working
usedeqsales Used - $810.15 0 Mar/20/18 Nov/20/19
Description: AMAT Applied Materials 0010-24405 Magnetic Source 0040-84886 Used Working
usedeqsales Used - $2,008.16 0 Mar/20/18 Apr/12/21
Description: AMAT Applied Materials 9090-01162 Energy Control Chassis PXP41L Used Working
usedeqsales Used - $353.15 0 Mar/20/18 Mar/24/21
Description: AMAT Applied Materials 0041-38981 RF Ground Shield Source PVD Used Working
usedeqsales Used - $2,009.15 0 Mar/20/18 Mar/25/21
Description: Pyramid 0500-00300W X-Scan Controller 0120-01840 AMAT Quantum X Used Working
usedeqsales Used - $712.15 0 Mar/21/18 Nov/26/18
Description: DIP 15049105 DeviceNet PCB CDN481 AMAT 0190-08860 Reflexion EFEM Used Working
usedeqsales Used - $706.16 1 Mar/21/18 Aug/27/18
Description: Novellus 02-168109-00 Sesioc Sioc Electrofill used working
usedeqsales Used - $706.16 2 Mar/21/18 Mar/03/22
Description: Novellus Systems 02-168108-00 Sesioc Sioc Bath Module Used Working
usedeqsales Used - $3,503.18 1 Mar/23/18 May/27/21
Description: Comet 20033653 RF Match Lam Research 27-382473-00 Used Working
usedeqsales Used - $1,503.18 1 Mar/23/18 Mar/03/22
Description: Lam Research 02-376090-00 PED Assembly Machined Finish C3VCTR Used Working
dnd_surplus Used - $700.00 0 Mar/24/18 Apr/23/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
eisale1535 Used - $1,503.00 0 Mar/25/18 May/24/18
Description: Novellus 02-252432-00 G Vector Spindle Assembly Used Working
usedeqsales Used - $3,511.15 1 Mar/27/18 Nov/09/18
Description: AMAT Applied Materials 0010-70066 Heat Exchanger AMAT 0 Used Working
usedeqsales Used - $1,203.18 1 Mar/29/18 Apr/24/24
Description: Novellus Systems 02-169180-02 Linear Track Copper Cu Exposed Used Working
usedeqsales Used - $510.15 5 Mar/29/18 Apr/25/19
Description: AMAT Applied Materials 0100-01109 Local Ground Board PCB Quantum X Used Working
usedeqsales Used - $854.18 0 Apr/06/18 Jul/24/20
Description: LAM Research 716-011036-001 Alumina Orifice Filler Ring Used Working
usedeqsales Used - $1,004.18 0 Apr/09/18 Dec/04/20
Description: KoMiCo KP00-0200-02348K Si Lapping Type Insert Ring AMAT 0200-02348 Used Working
usedeqsales Used - $604.18 5 Apr/09/18 Mar/03/22
Description: Lam Research 718-098591-001 VAT 65 Pendulum Valve Gate Paddle Used Working
usedeqsales Used - $604.18 5 Apr/10/18 Nov/19/19
Description: AMAT Applied Materials 0021-04319 Throttle Valve Liner Used Working
usedeqsales Used - $204.18 0 Apr/12/18 Mar/03/22
Description: AMAT Applied Materials 0050-62007 Exhaust Purge Line RP300EPI Used Working
usedeqsales Used - $154.18 1 Apr/13/18 May/03/18
Description: Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
sammy_etek NEW - $5,725.00 2 Apr/19/18 May/14/19
Description: AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED
dnd_surplus Used - $700.00 0 Apr/24/18 May/24/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $804.18 0 Apr/24/18 Mar/03/22
Description: AMAT Materials 0040-99951 200mm Polyimide Pedestal 0020-34017 Used Working
nevadasurplus-com Used - $499.99 0 Apr/24/18 May/01/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $254.18 1 Apr/25/18 May/17/20
Description: Horiba STEC LF-310A-EVD Liquid Mass Flow Meter TEPO AMAT 3030-05745 Used Working
usedeqsales Used - $154.18 1 Apr/30/18 Jan/02/20
Description: Sensor Technics SQ01538 Pressure Sensor AMAT 0090-00960 RR PT-44 Used Working
usedeqsales Used - $154.18 1 Apr/30/18 Jan/02/20
Description: Sensor Technics SQ01567 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
usedeqsales Used - $6,004.18 1 Apr/30/18 May/03/18
Description: AMAT Applied Materials 0020-33806 Upper Chamber Assembly DPS + Poly Used Working
nevadasurplus-com Used - $499.99 0 May/01/18 May/08/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $605.18 1 May/03/18 Feb/22/21
Description: AMAT Applied Materials 0010-09120 BWCVD Motor Drive Assembly Used Working
usedeqsales Used - $605.18 0 May/03/18 Dec/03/19
Description: AMAT Applied Materials 0190-09731 BWCVD Motor Drive Assembly Used Working
usedeqsales Used - $1,205.18 4 May/03/18 May/23/18
Description: AMAT Applied Materials 0010-09035 BWCVD Throttle Valve Assembly Used Working
usedeqsales Used - $155.18 0 May/04/18 Nov/02/18
Description: Lam Research 853-017807-001 Lower Match RF Cable Used Working
usedeqsales Used - $505.18 0 May/08/18 Mar/11/20
Description: AMAT Applied Materials 0270-09227 Chamber Lid View Port 0021-02640 Used Working
usedeqsales Used - $405.18 0 May/09/18 Dec/17/20
Description: Jennings CSVF-500-0415 Adjustable RF Capacitor AMAT 0021-10751 Used Working
usedeqsales Used - $1,805.18 1 May/09/18 Sep/21/18
Description: Lam Research 810-017003-004 DIP High Frequency PCB Used Working
usedeqsales Used - $305.18 0 May/10/18 Mar/03/22
Description: AMAT Applied Materials 0021-39935 IPS Upper TV Port Liner Used Working
usedeqsales Used - $405.18 0 May/10/18 Dec/17/20
Description: AMAT Applied Materials 0021-10863 IPS RF Feedthru Base Used Working
nevadasurplus-com Used - $499.99 0 May/10/18 May/17/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $605.18 0 May/11/18 Mar/03/22
Description: AMAT Applied Materials 0020-26906 6" Low Knee Shield Used Working
usedeqsales Used - $405.18 0 May/14/18 Mar/03/22
Description: AMAT Applied Materials 0020-79376 Clamp Seal SST Lot of 5 Used Working
usedeqsales Used - $154.18 1 May/15/18 May/17/18
Description: Sensor Technics SQ97795 Pressure Sensor AMAT 0090-00962 Z2 PT-45 Used Working
usedeqsales Used - $1,205.18 0 May/16/18 Mar/03/22
Description: Novellus Systems 02-169180-02 Linear Track 15-265469-02 Used Working
usedeqsales Used - $1,205.18 1 May/16/18 Jul/19/22
Description: Novellus Systems 02-169180-02 Linear Track 15-265469-02 Rev. E Used Working
usedeqsales Used - $1,205.18 0 May/17/18 Mar/03/22
Description: Lam Research 02-169180-01 Linear Track 15-265469-01 Rev. F Used Working
nevadasurplus-com Used - $499.99 0 May/18/18 May/25/18
Description: TDK TAS300 Type F1 / AMAT 0190-16691 Wafer Load Port 0190-17837-001 Used Working
usedeqsales Used - $1,405.18 2 May/18/18 May/25/21
Description: AMAT Applied Materials 0041-32713 Shower Head Gen 2.1 Producer GT Used Working
usedeqsales Used - $405.18 1 May/18/18 Jul/07/18
Description: AMAT Applied Materials 0100-35059 Remote Distribution Board PCB Used Working
usedeqsales Used - $4,005.18 1 May/18/18 Dec/18/20
Description: AMAT Applied Materials 0020-02344 Lower Shield 300mm Non-Copper Used Working
dnd_surplus Used - $700.00 0 May/24/18 Jun/23/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
eisale1535 Used - $1,503.00 0 May/30/18 Nov/26/18
Description: Novellus 02-252432-00 G Vector Spindle Assembly Used Working
usedeqsales Used - $1,506.18 0 Jun/08/18 Jun/30/22
Description: AMAT Applied Materials Endura TiN Kit 0020-26289 0020-24530 Used Working
usedeqsales Used - $406.18 0 Jun/08/18 Mar/03/22
Description: AMAT Applied Materials 0020-22647 Upper Shield Used Working
usedeqsales Used - $506.18 0 Jun/08/18 Jun/30/22
Description: AMAT Applied Materials 0020-22892 Bucket Shield Used Working
usedeqsales Used - $406.18 1 Jun/08/18 Jul/23/21
Description: AMAT Applied Materials 0020-25059 Clamp Ring Used Working
usedeqsales Used - $403.16 0 Jun/20/18 Aug/26/20
Description: K-Tec Technology WKE-200 Current Transformer AMAT 1360-01227 Used Working
usedeqsales Used - $406.18 0 Jun/20/18 Mar/03/22
Description: MKS Instruments 01396-01 Interface Card PCB AMAT 0190-37895 Used Working
dnd_surplus Used - $700.00 0 Jun/23/18 Jul/23/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $2,006.18 1 Jun/22/18 Jan/03/19
Description: AMAT Applied Materials 0020-79039 Housing Spindle Assembly Used Working
usedeqsales Used - $1,006.18 0 Jun/22/18 Jun/30/22
Description: Lam Research 716-330892-507 Ceramic Focus Ring Base Used Working
usedeqsales Used - $307.18 0 Jul/02/18 Jun/30/22
Description: AMAT Applied Materials 0200-08584 Top Pocketed Cover Used Working
honeybunny1215 Scrap, for parts - $249.99 0 Jul/13/18 Jan/31/19
Description: Lam Research 810-495586-001 4520XL Interlock Board PCB Card Used Working
dnd_surplus Used - $500.00 0 Jul/23/18 Aug/22/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $1,508.18 0 Aug/03/18 Aug/13/18
Description: Lam Research 02-287782-00 15" Heater Pedestal PED Assembly Novellus Used Working
usedeqsales Used - $358.18 0 Aug/09/18 Jun/30/22
Description: MKS Instruments 100016886 Isolation Valve AMAT 3870-06568 HPS Used Working
usedeqsales Used - $358.18 1 Aug/13/18 Aug/19/21
Description: AMAT Applied Materials 0090-06791 Flow Meter Proteus 9B8006SA1E15P2 Used Working
usedeqsales Used - $158.18 0 Aug/16/18 Jun/30/22
Description: AMAT Applied Materials 3870-03867 Pneumatic N.C. Diaphragm Valve Used Working
usedeqsales Used - $1,508.18 1 Aug/20/18 Oct/31/19
Description: Lam Research 02-287782-00 15" Heater Pedestal PED Assembly Novellus Used Working
usedeqsales Used - $258.18 0 Aug/21/18 May/17/22
Description: Mesa Power Systems 10651 100 Watt UPC PCB Card AMAT 0190-08875 Used Working
dnd_surplus Used - $500.00 0 Aug/22/18 Sep/21/18
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $1,202.12 0 Sep/05/18 Aug/20/21
Description: Digital Dynamics 27-053660-00 sioc Power Supply Module Novellus Used Working
usedeqsales Used - $1,252.12 0 Sep/05/18 Mar/03/22
Description: Digital Dynamics 27-053659-00 sioc Controller Module Novellus Used Working
usedeqsales Used - $709.18 0 Sep/07/18 Sep/24/18
Description: AMAT Applied Materials 0100-00991 Gap Servo PCB Card XR80 Used Working
usedeqsales Used - $712.10 0 Sep/07/18 Apr/08/20
Description: AMAT Applied Materials 0100-90492 T.P.D.U. Monitor PCB Card XR80 Used Working
usedeqsales Used - $309.18 0 Sep/19/18 Nov/30/18
Description: Lam Research 810-000670-001 Analog Output PCB Card Used Working
dnd_surplus Used - $1,000.00 1 Sep/22/18 Oct/15/21
Description: AMAT Applied Materials 0100-35227 E Chuck Controller PCB Card Used Working
usedeqsales Used - $309.18 0 Sep/26/18 Mar/18/21
Description: AMAT Applied Materials 0040-80146 Gripper Claw Assembly Used Working
usedeqsales Used - $5,510.18 1 Oct/15/18 Oct/17/18
Description: Kawasaki 30C61E-B026 CMP Robot Controller AMAT 0190-34968 Used Working
usedeqsales Used - $352.10 30 Oct/17/18 Jul/05/21
Description: AMAT Applied Materials 0190-36511 DeviceNet I/O Block DIP294 Used Working
usedeqsales Used - $1,310.18 2 Oct/18/18 Oct/02/19
Description: HVA High Vacuum Apparatus 11211-1003R Gate Valve AMAT 0020-48595 Used Working
usedeqsales Used - $2,255.09 3 Oct/19/18 Jan/28/22
Description: NSK ELC-JG014VF3-01 300mm XP Robot Servo Drive ELC AMAT 0190-19536 Used Working
usedeqsales Used - $1,207.15 0 Oct/19/18 Mar/13/19
Description: AMAT Applied Materials 0041-12192 Reflector Plate Used Working
usedeqsales Used - $610.18 0 Nov/01/18 Aug/19/21
Description: Festo 200763 Megasonic PS Control Box 300H AMAT 0090-04970 Used Working
mont_cass Used - $139.99 0 Nov/04/18 Dec/04/18
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $1,611.18 1 Nov/06/18 Mar/07/19
Description: AMAT Applied Materials 0190-05576 RF Cable 65 Foot Endura Mainframe Used Working
usedeqsales Used - $6,511.18 2 Nov/07/18 Nov/12/18
Description: NSK ELA-B014CFD-03 Servo Drive ELA Series NSK-M-CLR AMAT 0190-02472 Used Working
usedeqsales Used - $411.18 0 Nov/09/18 Oct/02/23
Description: Inova Computers 11249 UPS Board PCB Card 1-ICP-UPS AMAT 0190-07905 Used Working
usedeqsales Used - $261.18 2 Nov/09/18 Jan/09/19
Description: Mesa Power Systems 10616 100 Watt UPC PCB Card AMAT 0190-07906 Used Working
usedeqsales Used - $811.18 1 Nov/16/18 Mar/11/19
Description: Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Used Working
usedeqsales Used - $502.14 0 Nov/26/18 Jan/08/20
Description: DIP DIP-420-230 DeviceNet PCB Card CDN491 15049105 AMAT 0660-01879 Used Working
usedeqsales Used - $512.15 0 Nov/26/18 Jan/09/20
Description: DIP DIP-254-025 DeviceNet PCB Card CDN481 15049105 AMAT 0190-08860 Used Working
usedeqsales Used - $1,511.18 1 Nov/29/18 Oct/12/20
Description: AMAT Applied Materials 0100-09196 E Chuck Controller PCB Card Used Working
mont_cass Used - $139.99 0 Dec/04/18 Jan/03/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $1,008.15 0 Dec/07/18 Mar/13/19
Description: Novellus 02-169194-00 Robot Linear Track UDK5214NW RM26A3S Used Working
eisale1535 Used - $1,503.00 0 Dec/09/18 Jun/09/20
Description: Novellus 02-252432-00 G Vector Spindle Assembly Used Working
mont_cass Used - $124.99 0 Jan/03/19 Feb/02/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $5,001.19 0 Jan/04/19 Dec/08/20
Description: AMAT Applied Materials 0010-14714 4-Port TP UPA 0100-01222 Copper Used Working
usedeqsales Used - $301.19 0 Jan/11/19 Dec/11/19
Description: Kawasaki 50979-2388LA1 Panel Robot Cable AMAT 0190-16259 Used Working
usedeqsales Used - $301.19 0 Jan/11/19 Dec/11/19
Description: Kawasaki 50979-2389LA1 EXT-EMG Robot Cable AMAT 0190-16260 Used Working
usedeqsales Used - $1,601.19 2 Jan/22/19 Aug/17/19
Description: AMAT Applied Materials 0100-01984 Wafer Orienter Board PCB Used Working
usedeqsales Used - $705.15 0 Jan/23/19 Mar/09/21
Description: AMAT Applied Materials 0100-01844 Charge Voltage PCB Card Quantum X Used Working
usedeqsales Used - $310.16 0 Jan/23/19 Mar/09/21
Description: AMAT Applied Materials 0100-00970 Spin Window PCB Card 0110-90443 Used Working
mont_cass Used - $109.99 0 Feb/04/19 Mar/06/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $702.19 0 Feb/12/19 May/03/21
Description: AMAT Applied Materials 0100-90940 Spin Scan Relay PCB Card Used Working
usedeqsales Used - $702.19 0 Feb/14/19 Nov/25/19
Description: AMAT Applied Materials 0100-91055 Gap Servo PCB Card 0120-93017 Used Working
usedeqsales Used - $602.19 1 Feb/18/19 Feb/02/22
Description: AMAT Applied Materials 0190-05647 Serial Module Board PCB Rev. 001 Used Working
usedeqsales Used - $403.19 1 Mar/15/19 Jan/24/21
Description: AMAT Applied Materials 0100-01945 Wisperscan Motion Interface PCB Used Working
usedeqsales Used - $811.18 1 Apr/04/19 Aug/17/20
Description: Vicor MP6-76542 Power Supply AMAT Applied Materials 1140-01379 Used Working
mont_cass Used - $50.00 0 Apr/07/19 Sep/30/19
Description: AMAT Applied Materials 0010-76097 Stand Alone VGA Monitor Base Used Working
usedeqsales Used - $1,505.19 1 May/20/19 May/20/19
Description: AMAT Applied Materials 0020-26383 Soup Bowl Dual Robot Used Working
usedeqsales Used - $10,005.20 0 Jun/10/19 Jun/10/19
Description: AMAT Materials 0010-05940 RH-3 Magnet RP Assembly Rev. 002 Used Working
liquiditech Used - $76.50 3 Jun/13/19 Mar/14/20
Description: AMAT Applied Materials 0090-00353 Buffer Interlock PCB Card Used Working
autoquip7 NEW - $5,725.00 2 Jun/24/19 Dec/29/21
Description: AMAT 0920-00149 RFG TRUMPF SSM 3000 EtherCAT USED WORKING TESTED
usedeqsales Used - $648.09 1 Aug/13/09 Apr/03/20
Description: Nikon 4S007-902-1 Interface Board PCB X2A-STGA/D NSR-S204B Used Working
techequipsales Used - $350.00 1 Sep/24/19 Sep/08/22
Description: Leybold 262-78189-51V1 Turbo Pump Cable AMAT 0620-02820 *Used Working*
techequipsales Used - $665.00 0 Sep/24/19 Sep/08/22
Description: Leybold 85964-001-20M Turbo Pump Cable AMAT 0620-02310 *Used Working*
usedeqsales Used - $4,506.19 1 Oct/07/19 Oct/08/19
Description: AMAT Applied Materials 0190-35076 DC Power Supply VAD610014 Used Working
usedeqsales Used - $2,504.19 0 Oct/07/19 Mar/16/23
Description: Novellus Systems 02-259457-00 Vector Spindle Camco 50RGS4H14-270 Used Working
usedeqsales Used - $604.19 0 Oct/09/19 Sep/17/20
Description: AMAT Applied Materials 0100-00156 Rev. B Isolation Amplifier Used Working
usedeqsales Used - $1,310.18 0 Oct/15/19 Oct/22/19
Description: HVA High Vacuum Apparatus 11211-1003R Gate Valve AMAT 0020-48595 Used Working
jabedow Used - $4,500.00 1 Nov/06/19 Nov/06/19
Description: AMAT Applied Materials 0190-35076 DC Power Supply VAD610014 Used Working
shanghai*book Used - $5,336.80 0 Nov/06/19 Dec/06/19
Description: 1pcs used working Lam III 810-099175-011 Via DHL or EMS
shanghai*book Used - $4,366.82 0 Nov/06/19 Dec/06/19
Description: 1PCS used working applied materials 0010-27786 REV 004 Via DHL or EMS
usedeqsales Used - $810.15 0 Dec/03/19 Mar/03/21
Description: AMAT Applied Materials 0010-24405 Magnetic Source 0040-84886 Used Working
usedeqsales Used - $1,450.59 0 Jan/18/19 Aug/25/22
Description: Denso 593682-2030 Servo Driver PZTDRIVER Nikon 4S587-754 NSR-S205C Used Working
usedeqsales Used - $2,901.19 0 Jan/18/19 Aug/21/20
Description: Denso 593682-2030 Servo Driver PZTDRIVER Nikon 4S587-754 NSR-S307E Used Working
techequipsales Used - $5,000.00 0 Apr/08/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 002 Heater *used working*
techequipsales Used - $2,000.00 1 Apr/09/20 Sep/15/20
Description: AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ *used working*
techequipsales Used - $4,000.00 0 Apr/09/20 Oct/12/20
Description: AMAT Applied Materials 0010-03346 Heater Assy 6” AMJ WXZ *used working*
techequipsales Used - $5,000.00 0 Apr/09/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 0040-49807 Heater *used working*
techequipsales Used - $950.00 1 May/02/20 Oct/26/22
Description: Novellus 02-033134-01 Heater *used working, 90 day warranty*
techequipsales Used - $4,000.00 0 May/03/20 Oct/12/20
Description: AMAT Applied Materials 0010-05254 0040-32148 Heater Assy *used working*
techequipsales Used - $3,000.00 0 May/07/20 Oct/27/21
Description: LAM Research 715-803261-001 B ESC Electron Static Chuck *used working*
techequipsales Used - $4,000.00 0 May/12/20 Oct/12/20
Description: AMAT Applied Materials 0010-11491 002 Heater *used working, clean surface*
vintage-audio-source Used - $399.95 1 May/20/20 Jan/06/21
Description: AMAT Applied Materials 0190-76273 Power Supply P1183-208/208 Used Working
techequipsales Used - $1,000.00 1 Jul/14/20 Dec/04/20
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
techequipsales Used - $2,000.00 1 Jul/14/20 Jan/18/21
Description: LAM Research 839-800327-385 ESC ASSY DZ CLG 2 FNSH 300MM *used working*
techequipsales Used - $1,500.00 1 Aug/13/20 Jul/03/22
Description: LAM 810-099175-009 VIOP Phase III Circuit Board *used working, 90-day warranty
techequipsales Used - $562.50 1 Aug/21/20 Nov/02/20
Description: Novellus 27-034079-01 ESC Power Supply Regan Solutions ecc1-1 *used working
techequipsales Used - $700.00 0 Aug/28/20 May/10/23
Description: LAM 715-119652-023 Mag 8 Robot Bottom Plate *used working
techequipsales Used - $350.00 0 Aug/28/20 May/10/23
Description: LAM 716-044111-001 Plate *used working
techequipsales Used - $1,500.00 0 Sep/10/20 Mar/07/24
Description: LAM 853-002336-002 B AC Enclosure LAM 2300 KIYO3X Process Chamber *used working
techequipsales Used - $2,000.00 1 Jan/19/21 Jun/24/21
Description: LAM Research 839-000066-703 Electrostatic Chuck 300mm *used working*
techequipsales Used - $1,750.00 0 Mar/08/21 Apr/20/23
Description: LAM 2300 KIY03X 853-002336-002 AC Enclosure *used working
techequipsales Used - $2,000.00 0 Mar/08/21 Apr/20/23
Description: LAM 716-040607-001 ESC Electrostatic Chuck *used working
techequipsales Used - $150.00 0 May/18/21 Jan/26/24
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-10847 70 SCCM WF6 *used working
techequipsales Used - $150.00 0 May/18/21 Jan/26/24
Description: Aera FC-D980C Mass Flow Controller AMAT 3030-05297 500 SCCM NF3 *used working
powereletronics Used - $1,100.00 1 Aug/04/21 Aug/05/21
Description: CTI Cryogenics CPC Module Controller PN 8113169G016 . Used Working Condition
powereletronics Used - $1,500.00 1 Aug/07/21 Aug/08/21
Description: CTI Cryogenics CPC Module Controller PN 8113169G016 . Used Working Condition
usedeqsales Used - $911.18 0 Aug/22/21 Jan/10/22
Description: Nikon 4S018-726 Backplane Interface Board PCB LC-MTR-X4 NSR-S205C Used Working
powereletronics Used - $1,800.00 1 Sep/28/21 Sep/30/21
Description: CTI Cryogenics CPC Module Controller P/N 8113245G001 Used Working Condition.
techequipsales Used - $1,575.00 0 Oct/15/21 Sep/08/22
Description: Advanced Energy MDX-500 3152261-012A DC Power Supply *used working*
hehapr Used - $1,500.00 0 Nov/20/21 Nov/26/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
hehapr Used - $1,500.00 0 Nov/26/21 Dec/03/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
hehapr Used - $1,500.00 0 Dec/03/21 Dec/10/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
hehapr Used - $900.00 0 Dec/10/21 Dec/17/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
hehapr Used - $900.00 0 Dec/17/21 Dec/24/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
hehapr Used - $900.00 0 Dec/24/21 Dec/31/21
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
techequipsales Used - $2,750.00 1 Dec/27/21 Dec/30/21
Description: LAM Research 839-800327-315 ESC 300mm CER BI IMB BIG PIN *used working
hehapr Used - $900.00 0 Dec/31/21 Jan/07/22
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
hehapr Used - $900.00 0 Jan/08/22 Jan/14/22
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
hehapr Used - $900.00 0 Jan/14/22 Jan/21/22
Description: AE Advanced Energy MDX 1.5K 3152164-016 DC Magnetron Power Supply *used working
israbuy Used - $2,500.00 0 Jan/28/22 Mar/02/22
Description: Sumitomo ADE1 H Control Unit 4S587-598 M28557 Nikon NSR-S307E Used Working
israbuy Used - $177.96 0 Jan/28/22 Mar/02/22
Description: Nikon 4S018-646 Case I/F Interface Board PCB Used Working
israbuy Used - $2,000.00 0 Jan/28/22 Mar/02/22
Description: Nikon 4S587-172 Switch Box TC-SW NSR-S306C DUV Scanning System Used Working
techequipsales Used - $3,500.00 1 Feb/01/22 Feb/11/22
Description: AE Advanced Energy 3152012-000 E MDX Magnetron Drive *used working
techequipsales Used - $3,500.00 1 Feb/01/22 Feb/11/22
Description: AE Advanced Energy 3152011-020 C MDX Magnetron Drive *used working
techequipsales Used - $2,000.00 1 Feb/13/22 Mar/11/22
Description: Novellus 19-00128-00 27-152454-00 CPU PCB *used working
militarymight Used - $1,999.99 0 Feb/24/22 Dec/14/22
Description: Nikon 4S064-631 IU-IOP2 Controller NSR Series Used Working
media-xpert Used - $177.96 1 Mar/10/22 Oct/13/22
Description: Nikon 4S018-646 Case I/F Interface Board PCB Used Working
media-xpert Used - $2,500.00 1 Mar/10/22 Sep/12/22
Description: Sumitomo ADE1 H Control Unit 4S587-598 M28557 Nikon NSR-S307E Used Working
katiil3 Used - $1,199.00 0 Mar/21/22 Jul/28/22
Description: Nikon 4S018-693 FPIF-IMAC VME PCB Card Used working.
giftwearonline Used - $2.90 0 Dec/18/22 Dec/18/22
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
onestopbuys Used - $2.90 0 Jan/12/23 Jan/12/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
mppatrick Used - $2.90 0 Jan/14/23 Jan/14/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
techequipsales Used - $500.00 0 Jan/25/23 May/10/23
Description: AE Advanced Energy MDX 5022-001-B Controller *used working
avoneverywhere Used - $2.90 0 Feb/26/23 Feb/27/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
ledamoiseau Used - $2.90 0 Mar/05/23 Mar/05/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
papyrus10 Used - $2.90 0 Mar/12/23 Mar/13/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
en.avant.les.histoires Used - $3,424.00 0 Mar/25/23 Mar/25/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
bo19531 Used - $3.01 0 Apr/02/23 Apr/03/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
techequipsales Used - $1,500.00 1 May/18/23 May/18/23
Description: Novellus Regan Solutions ECC1-1 27-034079-01 ESC Power Supply *used working
ssarah712 Used - $2.90 0 May/28/23 May/29/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
generalbonaparte Used - $3.54 0 Jun/04/23 Jun/04/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
outletmp3 Used - $2.90 0 Jun/24/23 Jun/24/23
Description: Denso 253200-0020 PZT Driver Nikon 4S586-274 NSR System Used Working
techequipsales Used - $2,000.00 1 Jul/11/23 Mar/19/24
Description: AE Advanced Energy Cesar 405 Power Generator 64000034 40MHz *used working