[click to login]
WSEMI


TAGS > ac box

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
farmoninc
[view on eBay]
NEW 1
in stock
$600.00
Description: AMAT 0010-20773 AC BOX ENCLOSURE ASSY
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0040-43073 Cover, CBI AC Box 200MM Radiance
farmoninc
[view on eBay]
NEW 1
in stock
$150.00
Description: AMAT 0150-22385 Cable AC Box To Wafer Detect 2 PCB
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: AMAT 0150-01903 CABLE ASSY, INTERFACE, AC BOX, MCA + I/L
farmoninc
[view on eBay]
NEW 1
in stock
$395.00
Description: AMAT 0140-02464 H/A, AC POWER, SECONDARY AC BOX TO FI, 300
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-42111 COVER AC BOX OZONATOR, 399717
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-42111 COVER AC BOX OZONATOR, 399716
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-42111 COVER AC BOX OZONATOR, 399715
farmoninc
[view on eBay]
NEW 1
in stock
$250.00
Description: AMAT 0020-42111 COVER AC BOX OZONATOR, 399718
grandbirdnet
[view on eBay]
Used 1
in stock
$1,500.00
Description: AMAT 0040-09733, 0150-09901 ENCLOSURE AC BOX OZONATOR, CABLE , USED
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-03331 Harness Assembly, Heater AC Box, 300mm ENDUR 402101
auctionrus
[view on eBay]
NEW 1
in stock
$315.00
Description: AMAT 0140-70062 HARNESS ASSEMBLY AC BOX. 407301
auctionrus
[view on eBay]
NEW 2
in stock
$150.00
Description: AMAT 0150-22385 Rev.P1, Cable, AC Box to Wafer Detect 2 PCB, Assembly. 413472
auctionrus
[view on eBay]
NEW 6
in stock
$250.00
Description: AMAT 0140-21628 H/R Smoke Sensor, System AC Box System AC 414143
auctionrus
[view on eBay]
Used 6
in stock
$175.00
Description: AMAT 0150-76824 Cable Assembly, MCA2 AC Box, HTESC, Harness, 414294
bornalliancecom
[view on eBay]
NEW 7
in stock
$595.00
Description: Applied Materials 0010-10045 Pump Stack Htr. AC Box, Assy. AMAT Etch
farmoninc
[view on eBay]
NEW 1
in stock
$725.00
Description: AMAT 0020-11229 Cover, CB Chamber AC Box Safety, 417761
farmoninc
[view on eBay]
NEW 5
in stock
$795.00
Description: AMAT 0010-09733 Rev.A, Assembly, Clear Cover, Remote AC Box. 418616
farmoninc
[view on eBay]
Used 2
in stock
$795.00
Description: AMAT 0020-37293, Bracket Mounting 5KVA XFMR, REM AC Box. 419524
j316gallery
[view on eBay]
Used 3
in stock
$237.38
Description: 8764 APPLIED MATERIALS SKIN FRONT AC BOX PRODUCER S 0021-08343
testeqe
[view on eBay]
NEW 6
in stock
$49.99
Description: NEW AMAT PN: 0150-14746 Cable Assy, CBL AC Box Adapter Producer SE Assembly
spsglobal
[view on eBay]
Used 1
in stock
$4,500.00
Description: 349-0401// AMAT APPLIED 0010-01922 ASSY, BIASED ELECTRODE AC BOX USED
farmoninc
[view on eBay]
NEW 1
in stock
$795.00
Description: AMAT 0010-09733, Clear Cover Remote AC Box Assembly, 417241
j316gallery
[view on eBay]
Used 1
in stock
$244.74
Description: 4976 APPLIED MATERIALS CHAMBER B BRACKET, AC BOX, PRODUCER SE (NEW) 0040-53415
ntsurplus302
[view on eBay]
Used 1
in stock
$2,300.00
Description: 4418 Applied Materials 0090-00011 AC Box Assy. 60HZ
ntsurplus302
[view on eBay]
Used 1
in stock
$2,300.00
Description: 4465 Applied Materials P/N: 0090-00011 AC Box Assy.
j316gallery
[view on eBay]
Used 1
in stock
$1,394.00
Description: 15074 APPLIED MATERIALS AC BOX ASSY 50-HZ, MODEL 8300D 0090-00012
spsglobal
[view on eBay]
Used 1
in stock
$3,500.00
Description: 127-0501// AMAT APPLIED 0010-02631 ASSY AC BOX AMAT 0 W/O NEUTRAL USED
adelrick123
[view on eBay]
Used 1
in stock
$185.00
Description: Amat 0010-35662 Assy AC BOX ,AC Dist 120vac DPS/R2
capitolareatech
[view on eBay]
Used 1
in stock
$1,795.95
Description: Applied Materials (AMAT) 0090-00011 AC BOX ASSEMBLY
capitolareatech
[view on eBay]
Used 1
in stock
$2,694.95
Description: Applied Materials (AMAT) 0010-70008 HEAT EXCHANGER AC BOX ASSY
spsglobal
[view on eBay]
Used 2
in stock
$580.00
Description: 115-0401// AMAT APPLIED 0010-21665 ASSY, AC BOX, ASYST SMIF, W/B LL [USED]
farmoninc
[view on eBay]
NEW 1
in stock
$595.00
Description: AMAT 0100-20263 PCB, MCA + RF AC Box Interlock, 327255
usedeqsales
[view on eBay]
Used 1
in stock
$608.21
Description: Novellus Systems 02-029392-00 Concept One Upper AC Box Assembly C1 Working Spare
j316gallery
[view on eBay]
Used 1
in stock
$4,940.70
Description: 26184 APPLIED MATERIALS ASSEMBLY, BIASED ELECTRODE, AC BOX 0010-04561
usedeqsales
[view on eBay]
Used 1
in stock
$1,209.21
Description: Novellus Systems 02-029392-00 Concept One Upper AC Box Assembly C1 New Surplus
capitolareatech
[view on eBay]
NEW 6
in stock
$89.95
Description: Applied Materials (AMAT) 0020-36345 CONNECTING STRAP 188A REMOTE AC BOX
farmoninc
[view on eBay]
NEW 1
in stock
$17,500.00
Description: AMAT 0010-04561 ASSEMBLY, BIASED ELECTRODE, AC BOX, 103990
spsglobal
[view on eBay]
Used 1
in stock
$2,500.00
Description: 320-0501// AMAT APPLIED 0010-75480 ASSY, AC BOX, AMAT-0,HEAT EXCHANGER [ASIS]
toro.industrial.surplus
[view on eBay]
Used 1
in stock
$1,500.00
Description: Applied Materials (AMAT) 0090-00011 AC BOX ASSEMBLY
cosplity
[view on eBay]
NEW 1
in stock
$900.00
Description: AMAT 0150-02894 CABLE ASSY POWER FROM AC BOX TO CLEANER
surplustechmart
[view on eBay]
Used 1
in stock
$3,150.00
Description: AMAT Applied Materials 0041-45919 CHAMBER ASSEMBLY MAINEFRAME AC BOX P4 3000MM
surplustechmart
[view on eBay]
Used 1
in stock
$202.50
Description: Applied Materials 0150-42110 CABLE ASSY 75FT EMO REMOTE AC BOX TO HEAT EXCH GERS
katiil3
[view on eBay]
Used 2
in stock
$1,749.30
Description: AMAT Applied Materials 0041-45919 CHAMBER ASSEMBLY MAINEFRAME AC BOX P4 300MM.
farmoninc
[view on eBay]
NEW 1
in stock
$350.00
Description: AMAT 0140-09199 Harness Assy, AC Box Interim, 119140

This tag has been viewed 6 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
usedeqsales Used - $3,002.12 0 Feb/22/12 Jan/13/16
Description: AMAT Applied Materials 0290-76088 P5000 Remote AC Box Rev.V working
capitolareatech NEW - $22.31 0 Jan/04/13 Sep/26/14
Description: AMAT 150-14746 CABLE,ASSY AC BOX ADAPTOR PRODICER SE; 0150-14746 REV 002
tdindustrial NEW - $149.00 1 Jan/09/13 Mar/16/15
Description: AMAT 0680-01215 AC Box Cable CB Mag Therm 3P 240VAC 30A Bolt-on QOB Type
esolutions1 NEW - $35.10 1 Feb/02/13 Nov/22/13
Description: Applied Materials 0680-01215 AC Box Cable CB Mag Therm 3P 240VAC 30A Bolt-on QO
wideerp01 NEW - $99.00 0 Sep/27/13 Oct/04/13
Description: APPLIED MATERIALS SKIN FRONT AC BOX ,PRODUCER 0021-08343
sparepartssolution Used - $2,500.00 0 Oct/10/13 Sep/02/15
Description: AMAT APPLIED MATERIALS 0010-02631 ASSY AC BOX AMAT 0 W/O NEUTRAL USED
sparepartssolution Used - $2,000.00 0 Oct/12/13 Oct/09/14
Description: AMAT APPLIED MATERIALS 0010-13650 AC BOX, HTESC, P1 USED
sparepartssolution Used - $3,800.00 0 Oct/12/13 Apr/17/14
Description: AMAT APPLIED MATERIALS 0010-12168 AC BOX 200MM SLT ESC ASSEMBLY USED
wideerp01 NEW - $99.00 0 Oct/23/13 Oct/30/13
Description: APPLIED MATERIALS SKIN FRONT AC BOX ,PRODUCER 0021-08343
farmoninc NEW - $250.00 1 Dec/04/13 Jan/23/14
Description: NEW AMAT 0100-20263 PCB, MCA RF AC BOX INTERLOCK
prism_electronics7 Used - $48.99 0 Mar/17/14 Jun/29/22
Description: Lam Research 853-044268-002 Cable Rev. D AC Box P4 1002685-0635
farmoninc Used - $1,100.00 1 Apr/14/14 May/27/15
Description: AMAT 0040-22818 AC BOX B101 WATER BOX
farmoninc NEW - $495.00 0 Apr/17/14 Jun/28/21
Description: NEW AMAT 0100-20263 PCB, MCA+ RF AC BOX INTERLOCK
fablogic NEW - $500.00 0 Jul/31/14 Aug/30/14
Description: Applied Materials 0010-10045 Pump Stack Htr. AC Box, Assy. (NEW) AMAT Etch
capitolareatech NEW - $59.44 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0150-01903 Cable Assembly, Interface, AC Box, MCA+ I
capitolareatech NEW - $105.95 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-02326 CABLE ASSY, EMO CONT TO AC BOX, MIRRA 30
capitolareatech NEW - $86.08 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0227-06543 75 FT OZONATOR TO REMOTE AC BOX
bornalliancecom NEW - $475.00 0 Dec/31/14 Jul/20/15
Description: Applied Materials 0010-10045 Pump Stack Htr. AC Box, Assy. (NEW) AMAT Etch
willi-camry NEW - $5,000.00 1 Oct/07/15 Dec/07/15
Description: Applied Materials 0010-03128 ASSY MCA+ AC BOX Applied Materials Amat
capitolareatech NEW - $86.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-06543 75 FT OZONATOR TO REMOTE AC BOX
capitolareatech NEW - $1,130.11 0 Feb/24/16 Mar/26/16
Description: AMAT 0010-10159 ASSY ONADA AC BOX
bobsgoodies2 NEW - $57.00 0 Apr/15/16 Mar/31/22
Description: APPLIED MATERIALS 0020-36345 Connecting Strap 188A Remote AC Box Copper Z Strap
gti-semi Used - $75,000.00 0 Jun/02/16 Nov/26/19
Description: AMAT Endura 5500 Main AC Box, Transformer, 480V, p/n 0290-76069
capitolareatech NEW - $1,130.11 0 Jul/08/16 Sep/15/16
Description: AMAT 0010-10159 ASSY ONADA AC BOX
capitolareatech NEW - $116.98 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-02327 CABLE ASSY, EMO ASSY TO AC BOX, MIRRA 30
capitolareatech NEW - $105.95 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-02326 CABLE ASSY, EMO CONT TO AC BOX, MIRRA 30
capitolareatech NEW - $59.44 0 Jul/08/16 Sep/15/16
Description: AMAT 0150-01903 Cable Assembly, Interface, AC Box, MCA+ I/L
capitolareatech NEW - $86.08 0 Jul/08/16 Sep/15/16
Description: AMAT 0227-06543 75 FT OZONATOR TO REMOTE AC BOX
be4049 Used - $7,700.00 0 Jul/08/16 Mar/17/20
Description: 0010-04561 ASSEMBLY BIASED ELECTRODE AC BOX W/ 0010-01831 APPLIED MATERIALS
capitolareatech NEW - $35.00 0 Jul/08/16 Sep/15/16
Description: AMAT 150-14746 CABLE,ASSY AC BOX ADAPTOR PRODICER SE; 0150-14746 REV 002
jtmtech Used - $3,000.00 0 Jul/18/16 Oct/13/21
Description: Lam Rainbow Remote AC Box 685-017705-550
testeqe NEW - $49.99 0 Jul/29/16 Jul/24/17
Description: NEW AMAT PN: 0150-14746 Cable Assy, CBL AC Box Adapter Producer SE Assembly
pohyh NEW - $250.00 0 Aug/02/16 Jul/19/18
Description: 4976 APPLIED MATERIAL CHAMBER B BRACKET, AC BOX, PRODUCER SE (NEW) 0040-53415
bornalliancecom Used - $895.00 0 Sep/05/16 Aug/31/21
Description: Applied Materials 0010-70094 AC Box , Assy P-5000
capitolareatech NEW - $35.00 0 Sep/19/16 Nov/18/16
Description: AMAT 150-14746 CABLE,ASSY AC BOX ADAPTOR PRODICER SE; 0150-14746 REV 002
farmoninc NEW - $715.50 0 Oct/14/16 May/07/18
Description: AMAT 0010-09733, Clear Cover Remote AC Box Assembly. 417241
pohyh Used - $3,339.17 1 Nov/22/16 Feb/04/21
Description: 5132 APPLIED MATERIAL AC BOX, HTESC, P1 0010-13650
capitolareatech NEW - $35.00 0 Dec/19/16 Jun/19/20
Description: AMAT 150-14746 CABLE,ASSY AC BOX ADAPTOR PRODICER SE; 0150-14746 REV 002
pohyh Used - $4,369.01 0 Feb/20/17 Feb/08/21
Description: 5144 APPLIED MATERIAL ENDURA AC BOX HTESC 0090-02958
testeqe NEW - $49.99 0 Jun/28/17 Aug/27/17
Description: NEW AMAT PN: 0150-14746 Cable Assy, CBL AC Box Adapter Producer SE Assembly
nri-industrial Used - $495.10 1 Sep/12/17 Mar/06/18
Description: APPLIED MATERIALS 0010-08671 MAINFRAME AC BOX D576980
sparesllc09 Used - $2,100.00 0 Sep/20/17 Jul/18/19
Description: 0040-07545 / 300MM REMOTE AC BOX /APPLIED MATERIALS
spsglobal Used - $4,000.00 0 Dec/27/17 Apr/23/18
Description: AMAT APPLIED MATERIALS 0010-22157 ASSY, AC BOX, BESC USED
asmtk Used - $8,000.00 0 Jan/18/18 Jun/14/18
Description: Applied Materials 0010-03128 ASSY, MCA+, AC BOX 2 AMAT
capitolareatech NEW - $29.95 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-38503 BUS BAR, GROUND 5 POS, AC BOX DPS CENTURA
prism_electronics5 Used - $212.50 1 Sep/19/18 Apr/20/21
Description: APPLIED MATERIALS AMAT 0040-23565 RF HEATER AC BOX COVER
sparesllc09 NEW - $3,950.00 0 Oct/05/18 Feb/10/20
Description: 0195-08340 / CHAMBER AC BOX, R2, PRODUCER GT / NEW / APPLIED MATERIALS
logansemi Used - $1,850.00 0 Oct/12/18 Mar/11/24
Description: LAM RAINBOW PLASMA ETCHER REMOTE AC BOX, MODEL 685-017705-003 REV D
tchaban88 Used - $250.00 0 Oct/14/18 Oct/21/18
Description: APPLIED MATERIALS AMAT 0150-17641 J40 (MC #1) - P15 AC BOX
tchaban88 Used - $250.00 0 Oct/14/18 Oct/19/18
Description: APPLIED MATERIALS AMAT 0150-17642 J40 MOTION CONTROL #2 - P16 AC BOX CABLE
prism_electronics5 Used - $127.50 0 Oct/17/18 Aug/03/22
Description: APPLIED MATERIALS AMAT 0150-01903 CABLE ASSY, INTERFACE, AC BOX, MCA + I/L
asmtk Used - $10,000.00 1 Feb/13/19 Feb/06/21
Description: Applied Materials 0010-03128 ASSY, MCA+, AC BOX 2 AMAT
cosplity NEW - $800.00 0 Apr/25/19 Aug/25/22
Description: AMAT 0140-14140 HARNESS ASSY, POWER, AC BOX TO PLATEN DRIVER BOX, REFLEXION LK
cosplity NEW - $450.00 0 May/05/19 Sep/05/22
Description: AMAT 0150-11659 CABLE ASSY POWER, AC BOX TO DC PSPL REFLEXION LK SM4 V2.0
cosplity NEW - $900.00 0 May/10/19 Sep/10/22
Description: AMAT 0150-14284 CABLE ASSY, 3 PHASE PWR, AC BOX TO HEAD
bt_store1 Used - $200.00 0 Jun/17/19 Jul/15/21
Description: AMAT 0150-07246 CABLE ASSEMBLY EMO REMOTE AC BOX TO GHEAT EXCHANGER
tchaban88 Used - $10.00 0 Jul/15/19 Jul/22/19
Description: APPLIED MATERIALS AMAT 0150-17642 J40 MOTION CONTROL #2 - P16 AC BOX CABLE
tchaban88 Used - $10.00 0 Jul/15/19 Jul/22/19
Description: APPLIED MATERIALS AMAT 0150-17641 J40 (MC #1) - P15 AC BOX
getspares.com_sparesllc09 Used - $1,650.98 0 Jul/18/19 Oct/05/21
Description: 0040-07545 / 300MM REMOTE AC BOX /APPLIED MATERIALS
powersell007 Used - $5,499.00 0 Jul/31/19 Sep/01/21
Description: APPLIED MATERIALS 0195-07108 CH AC BOX COMMON PRODUCER SE AMAT 0180-04521
spsglobal Used - $160.00 0 Sep/18/19 Oct/12/23
Description: 142-0501// AMAT APPLIED 0150-21567 CABLE ASSY, AC BOX, HTESC NEW
visionsemi NEW - $200.00 0 Oct/09/19 Jan/09/24
Description: APPLIED MATERIALS AMAT CABLE ASSEMBLY MF AC TO AUX AC BOX 300MM 0150-16767
gesemiconductor Used - $100.00 0 Nov/07/19 Feb/09/24
Description: Applied Materials 0020-05833 Panel Floor Rear AC Box Cover MCE
getspares.com_sparesllc09 Used - $3,200.00 1 Feb/10/20 Oct/16/22
Description: 0195-08340 / CHAMBER AC BOX, R2, PRODUCER GT / APPLIED MATERIALS AMAT
grandbirdnet NEW - $3,500.00 0 Jan/31/21 Mar/14/22
Description: AMAT 0240-02816 KIT B101/A101 REMOTE AC BOX REV1.2 300, NEW
getspares.com_sparesllc09 Used - $5,283.03 0 May/19/21 Apr/25/22
Description: 685-017705-620 / REMVOTE AC BOX LAM 9600 208VAC 175A 50-60HZ 3PHASE 5WIRE / LAM
bornalliancecom Used - $1,995.00 1 Sep/07/21 Jan/20/22
Description: Applied Materials 0010-70094 AC Box , Assy P-5000
dom0808 Used - $1,590.00 0 Nov/01/21 Feb/11/22
Description: Lam Research SFEM AC BOX 853-239784-010
spsglobal Used - $12,000.00 0 Dec/03/21 Mar/16/23
Description: 330-0201// AMAT APPLIED 0090-02957 (#1) 0090-01433 AC BOX, 200MM ENDURA [ASIS]
millionair668 Used - $500.00 0 Jan/02/22 Mar/18/22
Description: Lam Research Texmate AC Box Meter 518-207872-020
dom0808 Used - $1,590.00 0 Apr/04/22 Apr/10/22
Description: Lam Research SFEM AC BOX 853-239784-010
j316gallery Used - $250.00 0 Aug/04/22 Jan/04/23
Description: 28554 APPLIED MATERIALS CBL ASSY, 300MM ENDURA MAINFRAME AC BOX, 1.8M 0190-29609
j316gallery Used - $299.00 0 Oct/05/22 Jan/04/23
Description: 29953 APPLIED MATERIALS PCB,CVD AC BOX HTR DIST,300MM ENDURA2 (PARTS) 0100-02757
farmoninc Used - $450.00 0 Dec/07/22 May/16/24
Description: AMAT 0010-76543 Heat Exchanger AC BOX, 112117
jtechsemi Used - $15,000.00 1 Dec/28/22 Feb/23/23
Description: Applied Materials ENDURA 0010-03128 ASSY, MCA+, AC BOX 2 AMAT
jtechsemi Used - $15,000.00 0 Dec/28/22 Mar/21/23
Description: Applied Materials ENDURA 0010-22242 ASSY, MCA+ AC BOX AMAT
jtechsemi Used - $12,000.00 0 Dec/28/22 Apr/03/23
Description: Applied Materials ENDURA 0010-13650 AC BOX, HTESC, P1 AMAT
amat-sparescom Used - $1,995.00 1 Dec/31/22 Mar/06/23
Description: Applied Materials 0010-09142 AC Box, Assy. Expanded AMAT P-5000
j316gallery Used - $250.00 0 Jan/05/23 Dec/04/23
Description: 28554 APPLIED MATERIALS CBL ASSY, 300MM ENDURA MAINFRAME AC BOX, 1.8M 0190-29609
visionsemi Used - $4,000.00 0 Feb/27/23 Mar/02/23
Description: AMAT APPLIED MATERIALS 0241-03182 AC BOX CH 70A RICHMOND ETCH 0180-07847 REV 2
getspares.com_sparesllc09 Used - $2,655.57 1 Feb/28/23 Mar/15/23
Description: 0010-70008 / HEAT EXCHANGER AC BOX ASSY / APPLIED MATERIAL AMAT
amat-sparescom Used - $2,995.00 0 Mar/13/23 May/18/23
Description: Applied Materials 0010-09142 AC Box, Assy. Expanded AMAT P-5000
getspares.com_sparesllc09 Used - $2,655.57 0 Mar/19/23 Mar/21/23
Description: 0010-70008 / HEAT EXCHANGER AC BOX ASSY / APPLIED MATERIAL AMAT
getspares.com_sparesllc09 Used - $1,025.68 0 Mar/20/23 Nov/02/23
Description: 0246-03328 / KIT, INTEGRATION, AC BOX, COMMON , PRODUCER/ APPLIED MATERIALS AMAT
katiil3 Used - $1,999.00 0 Jun/21/23 May/29/24
Description: AMAT Applied Materials 0041-45919 CHAMBER ASSEMBLY MAINEFRAME AC BOX P4 300MM
techequipsales Used - $750.00 1 Jul/07/23 Aug/04/23
Description: AMAT Applied Materials 0010-14507 ESC Remote AC Box 300MM Assy 41423R01-PJ *new