[click to login]
WSEMI


TAGS > materials amat

When you purchase through eBay links on our site, we may earn an affiliate commission.
The following vendors have this item available.
mayraytan
[view on eBay]
Used 1
in stock
$325.00
Description: Applied Materials AMAT MKS Pressure Transducer, 52A13TCH2BA380, 1270-00556
fa-parts
[view on eBay]
Used 1
in stock
$200.00
Description: Applied Materials AMAT 0100-09020 REV C PCB ASSY, FUSE BOARD FREE SHIP
fa-parts
[view on eBay]
Used 3
in stock
$200.00
Description: Applied Materials AMAT 0100-09020 REV B PCB ASSY, FUSE BOARD FREE SHIP
hdsemispares
[view on eBay]
NEW 3
in stock
$800.00
Description: 300MM LIFT RING Applied Materials AMAT 0020-46322
mayraytan
[view on eBay]
Used 2
in stock
$525.00
Description: Applied Materials AMAT Gas Line, 0050-40520
farmoninc
[view on eBay]
Used 1
in stock
$750.00
Description: Applied Materials AMAT 0010-30659 Lid Lift Fixture Tetra II
farmoninc
[view on eBay]
Used 1
in stock
$150.00
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
mayraytan
[view on eBay]
Used 5
in stock
$175.00
Description: Applied Materials AMAT Kalrez Centering Ring, NW-40-CR-SK-8575, 3700-03947
todd1455
[view on eBay]
NEW 3
in stock
$15.99
Description: Applied Materials AMAT 3300-08028 FTG Coupling Body 1/4T Shutoff Ferrule New
lwltech
[view on eBay]
Used 1
in stock
$149.00
Description: Applied Materials AMAT Tube Weldment AFC ByPass 0050-09074
f2d-express
[view on eBay]
Used 1
in stock
$275.00
Description: Applied Materials AMAT Decel PSU Interface -- 0100-01697 -- Used
mayraytan
[view on eBay]
Used 2
in stock
$24.50
Description: Applied Materials AMAT INTERLINKBT DNet Connector, RSC 2RKC 57, 0720-06449
rdj1prop000
[view on eBay]
Used 1
in stock
$150.00
Description: APPLIED MATERIALS AMAT 0100-00012 VME COUNTER BOARD REV E
f2d-express
[view on eBay]
Used 3
in stock
$299.00
Description: Applied Materials AMAT Fittting Lead Through -- 3300-91061 -- New
f2d-express
[view on eBay]
Used 2
in stock
$95.00
Description: Applied Materials AMAT Gas Line -- 0050-41415 -- New
arizindo
[view on eBay]
Used 1
in stock
$195.00
Description: Applied Materials AMAT 0242-36152, 317722X2 w/ 0190-09760/0190-35512 -- New --
f2d-express
[view on eBay]
Used 24
in stock
$85.00
Description: Applied Materials AMAT LCF Windows Transfer Chamber -- 0190-02122 -- New
arizindo
[view on eBay]
Used 1
in stock
$75.00
Description: Applied Materials AMAT Bypass Line -- 0050-43257 -- New
f2d-express
[view on eBay]
Used 4
in stock
$30.00
Description: Applied Materials AMAT Fire Rock Watlow -- 1410-01318 -- New
tjtechseller
[view on eBay]
Used 3
in stock
$8,800.00
Description: 0040-18219 Applied Materials AMAT LASED, PEDESTAL, 200MM SNNF SML FLT W/WT
tjtechseller
[view on eBay]
NEW 6
in stock
$4,300.00
Description: P5000 ZA MK-II SLIT VALVE Applied Materials AMAT 0190-02855 02854 02853 02850
orcom2011
[view on eBay]
Used 1
in stock
$150.00
Description: APPLIED MATERIALS (AMAT) 0690-01585 CLAMP ROTATABLE BOLT RING ASSY NW63 ALUM
tjtechseller
[view on eBay]
Used 3
in stock
$950.00
Description: 0190-09471 Applied Materials AMAT CENTURA P5000 ASSY DCVD ENDPOINT DETECTOR
tjtechseller
[view on eBay]
Used 4
in stock
$900.00
Description: 0100-00639 Applied Materials AMAT Endura Centua 200MM SMIF LLK INTERLOCK PCB
tjtechseller
[view on eBay]
Used 3
in stock
$1,300.00
Description: 0100-76280 0040-24254 Applied Materials AMAT PCB ASSY SMIF INTERCONNECT BOARD
discount_computer_parts
[view on eBay]
NEW 1
in stock
$99.95
Description: Applied Materials AMAT MCE Supply / Return Chamber Hose 0255-00037 0190-35684 83
datacom2014
[view on eBay]
Used 1
in stock
$200.00
Description: APPLIED MATERIALS AMAT 0040-23287 002 REV 107080 CHAMBER SORBER BRACKET
arizindo
[view on eBay]
Used 1
in stock
$250.00
Description: Applied Materials AMAT Flexible Assy. Hose 50' -- 0010-36556 -- New
arizindo
[view on eBay]
Used 1
in stock
$250.00
Description: Applied Materials AMAT Flexible Assy. Hose -- 0010-30249 -- Used
arizindo
[view on eBay]
Used 5
in stock
$150.00
Description: Applied Materials AMAT Flexible Assy. Hose -- 0190-13219 -- New
arizindo
[view on eBay]
Used 4
in stock
$95.00
Description: Applied Materials AMAT Gasline, HP TxZ Transducer -- 0050-46663 -- New
tjtechseller
[view on eBay]
Used 1
in stock
$499.00
Description: 0190-24116 Applied Materials AMAT MKS CDN396R BOARD ASSY
discount_computer_parts
[view on eBay]
Used 1
in stock
$99.95
Description: Applied Materials AMAT 0270-76865 Cover
srctech
[view on eBay]
Used 1
in stock
$250.00
Description: Applied Materials AMAT PCB ASSY CHAMBER INTER 0100-09099
srctech
[view on eBay]
Used 1
in stock
$1,000.00
Description: Applied Materials AMAT ASSY. 0100-00075 REV.E w/ Replacement BD. 0100-00191
sfwish
[view on eBay]
Used 1
in stock
$79.97
Description: APPLIED MATERIALS AMAT 0270-35056 TOOL SCR DR HTR GUIDE PIN
surplusssam
[view on eBay]
NEW 2
in stock
$29.99
Description: NEW APPLIED MATERIALS AMAT 0150-02207 POWER CABLE
surplusssam
[view on eBay]
NEW 1
in stock
$14.99
Description: NEW APPLIED MATERIALS AMAT 0720-01471 CONN HSG CAP
surplusssam
[view on eBay]
NEW 1
in stock
$12.99
Description: NEW APPLIED MATERIALS AMAT 3320-01163 GSKT VCR 1/4"
surplusssam
[view on eBay]
NEW 1
in stock
$11.99
Description: NEW APPLIED MATERIALS AMAT 0720-01293 CONN PIN LIVE
surplusssam
[view on eBay]
NEW 1
in stock
$9.99
Description: NEW APPLIED MATERIALS AMAT 3700-01229 ORING ID 1.609
surplusssam
[view on eBay]
NEW 1
in stock
$24.99
Description: NEW APPLIED MATERIALS AMAT 3700-01543 ORING SEAL CTR
surplusssam
[view on eBay]
NEW 1
in stock
$29.99
Description: NEW APPLIED MATERIALS AMAT 3700-01087 SEAL CTR RING
surplusssam
[view on eBay]
NEW 1
in stock
$9.99
Description: NEW APPLIED MATERIALS AMAT 3880-01026 WSHR LKG SPLI
surplusssam
[view on eBay]
NEW 1
in stock
$12.99
Description: NEW APPLIED MATERIALS AMAT 3690-01075 SCR CAP SKT
surplusssam
[view on eBay]
NEW 1
in stock
$9.99
Description: NEW APPLIED MATERIALS AMAT 3880-01009 WSHR FLAT .68
surplusssam
[view on eBay]
NEW 1
in stock
$12.99
Description: NEW APPLIED MATERIALS AMAT 4020-00010 FLTR BREATHER
surplusssam
[view on eBay]
NEW 1
in stock
$29.99
Description: NEW APPLIED MATERIALS AMAT 0690-01038 HINGED CLAMP NW40
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$2,800.00
Description: Applied Materials (AMAT) 0010-24584 Viewport Lid Endura 2 PreClean Chamber
gigabitpartsolutions
[view on eBay]
Used 1
in stock
$328.90
Description: APPLIED MATERIALS (AMAT) 0090-00045 5000 ETCH A.C. WINDOW CONTROL UNIT
visionsemi
[view on eBay]
Used 1
in stock
$100.00
Description: APPLIED MATERIALS AMAT 0150-10314 CABLE ASSY SMC CYLINDER D-F79 NCDQ21JB50-50DC
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$45.00
Description: APPLIED MATERIALS (AMAT) 0242-27191 KIT, MKS FORELINE, SACVD, PRODUCER SE
f2d-express
[view on eBay]
Used 1
in stock
$375.00
Description: Applied Materials AMAT Facility Gas Back Plane -- 0100-65513 -- Used
lwltech
[view on eBay]
Used 1
in stock
$129.00
Description: Applied Materials AMAT CABLE 0150-00090
lwltech
[view on eBay]
Used 1
in stock
$129.00
Description: Applied Materials AMAT Tube Weldment 0040-00188
tjtechseller
[view on eBay]
Used 1
in stock
$1,000.00
Description: 0190-40086 Applied Materials AMAT Endura PCB wCARD,SBC W/MEZ,33 MHZ 16 MBYTE V
tjtechseller
[view on eBay]
Used 1
in stock
$1,000.00
Description: 0190-09368 Applied Materials AMAT Endura Centura PCB PCBA VME 7710 COLORCON USE
soldtou1
[view on eBay]
NEW 7
in stock
$99.99
Description: Applied Materials AMAT 0051-01138 0051 FC1 PTFE Tube Fitting Flare 301057
alvin1462
[view on eBay]
NEW 2
in stock
$722.00
Description: APPLIED MATERIALS AMAT 0200-02397 LINER, CERAMIC BOTTOM, PRODUCER SE NEW
alvin1462
[view on eBay]
NEW 1
in stock
$666.00
Description: APPLIED MATERIALS AMAT 0040-94904 HT SWLL COOLDOWN PEDESTAL, BLANK NEW
alvin1462
[view on eBay]
NEW 6
in stock
$666.00
Description: APPLIED MATERIALS AMAT 0090-06080 HARNESS ASSY , BUTTON PANE , NEW
alvin1462
[view on eBay]
NEW 3
in stock
$666.00
Description: APPLIED MATERIALS AMAT 0090-04288 HARNESS ASSY , BUTTON PANE , NEW
visionsemi
[view on eBay]
Used 1
in stock
$750.00
Description: APPLIED MATERIALS AMAT 0040-70590 CATHODE BASE WATER SUPPLY BLOCK
gigabitpartsolutions
[view on eBay]
Used 5
in stock
$137.50
Description: PCB Applied Materials (AMAT) 0100-00008 TC GAUGE PWB ASSY untested
visionsemi
[view on eBay]
NEW 1
in stock
$125.00
Description: APPLIED MATERIALS AMAT 0020-62786 0020-62784 SHIM FOR ENCORE 300MM
gigabitpartsolutions
[view on eBay]
NEW 2
in stock
$550.00
Description: Applied Materials (AMAT) 0020-32422 INSERT, INNER, NICKEL, HV CENTER, ESC
gigabitpartsolutions
[view on eBay]
NEW 2
in stock
$27.50
Description: OEM Part Applied Materials (AMAT) 0020-33377 SPRING CLIP WAFER GRIP P5000 MC ROB
gigabitpartsolutions
[view on eBay]
NEW 3
in stock
$104.50
Description: Applied Materials (AMAT) 0020-32962 INSERT,OUTER,NICKEL,HV CENTER,ESC
gigabitpartsolutions
[view on eBay]
NEW 2
in stock
$55.00
Description: Applied Materials (AMAT) 0040-09875 JACK, CONN BASE, RF PLATE, ESC
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$1,285.15
Description: Applied Materials (AMAT) 0021-10368 SUPPORT RING,TRECH N.G.,8" ESC
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$350.54
Description: Applied Materials (AMAT) 0020-32963 COVER,BACK,HV CENTER,ESC
visionsemi
[view on eBay]
Used 1
in stock
$150.00
Description: APPLIED MATERIALS AMAT HF RF MATCH HARNESS TUNE/LOAD POT PRECLEAN 0140-20408
dr.dantom
[view on eBay]
Used 1
in stock
$350.00
Description: Applied Materials AMAT 0270-20044 CDSL-C-226 Tool Calibration Orienter 6" B
orcom2011
[view on eBay]
Used 1
in stock
$100.00
Description: APPLIED MATERIALS AMAT 0140-08410 HARNESS ASSY, DNET TRANS TO CPCI PANEL M CABLE
srctech
[view on eBay]
Used 1
in stock
$200.00
Description: Applied Materials AMAT 0150-76116 REV A KTC
orcom2011
[view on eBay]
Used 1
in stock
$100.00
Description: APPLIED MATERIALS AMAT 0140-08412 HARNESS ASSY, DNET TRANS TO CPCI PANEL CABLE
catalystparts
[view on eBay]
Used 2
in stock
$1,150.00
Description: Applied Materials AMAT HT SWLL Cooldown Pedestal 0040-96230
catalystparts
[view on eBay]
NEW 2
in stock
$350.00
Description: Applied Materials AMAT 3870-04392 Valve Gate Kalrez **NEW**
catalystparts
[view on eBay]
Used 1
in stock
$600.00
Description: Applied Materials AMAT Chopper Drive Module 0100-00060
mayraytan
[view on eBay]
Used 1
in stock
$795.00
Description: Applied Materials AMAT Chemraz O-Ring, 3700-02300
j316gallery
[view on eBay]
Used 7
in stock
$760.27
Description: 8532 APPLIED MATERIALS AMAT DCVD END POINT DETECTOR 0190-09472
testeqe
[view on eBay]
NEW 1
in stock
$199.99
Description: NEW Applied Materials AMAT PN: 0040-41325 Retainer Spring Side RF Source 300
testeqe
[view on eBay]
NEW 1
in stock
$2,499.99
Description: NEW Applied Materials AMAT 0010-00813 Flatfinder Assembly 150 MM Stretch Endura
testeqe
[view on eBay]
NEW 1
in stock
$299.99
Description: NEW Applied Materials AMAT PN: 0041-07373 Cup, Bellows, Assy, Chamber Assembly
mayraytan
[view on eBay]
Used 5
in stock
$165.00
Description: Applied Materials AMAT RF Shield, Qty = 10, 3320-01103
gigabitpartsolutions
[view on eBay]
NEW 3
in stock
$49.50
Description: Valve PREDYNE EH3112-MM Applied Materials (AMAT) 0190-70044 Solenoid 24VDC
svsurplus
[view on eBay]
Used 12
in stock
$92.74
Description: APPLIED MATERIALS AMAT PN 0190-35198 Calibrated Optical Sensor Cable
svsurplus
[view on eBay]
Used 1
in stock
$92.74
Description: APPLIED MATERIALS AMAT PN 0190-35961 Calibrated Optical Sensor Cable
semiconusa
[view on eBay]
Used 1
in stock
$7,999.00
Description: Applied Materials AMAT 0010-10082, ASSY, THROTTLE VALVE,WxZCVD, 0050-30385
mayraytan
[view on eBay]
Used 5
in stock
$59.50
Description: Applied Materials AMAT Kalrez O-Ring, 3700-01443
mayraytan
[view on eBay]
Used 5
in stock
$97.50
Description: Applied Materials AMAT Kalrez O-ring, 3700-01467
gigabitpartsolutions
[view on eBay]
NEW 1
in stock
$220.00
Description: OEM Part Applied Materials (AMAT) 0020-31632 CARRIER, ESC

This tag has been viewed 3 times

Most recent views:

Singapore Saturday, Sep/07/2024 at 8:39 pm CST
Korea (Republic of) Saturday, Sep/07/2024 at 8:39 pm CST
Malaysia Saturday, Sep/07/2024 at 8:37 pm CST
Japan Saturday, Sep/07/2024 at 7:23 pm CST
United States of America Saturday, Sep/07/2024 at 6:49 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:27 pm CST
Russian Federation Saturday, Sep/07/2024 at 6:25 pm CST
Singapore Saturday, Sep/07/2024 at 5:57 pm CST
United States of America Saturday, Sep/07/2024 at 5:27 pm CST
Singapore Saturday, Sep/07/2024 at 4:17 pm CST

Historical Pricing Information

The following pricing information is available for this item.
VendorConditionSourceList PriceQuantity Sold ListedEnded
imca00 Used - $1,250.00 1 Aug/11/12 Aug/13/12
Description: Applied Materials AMAT P5000 & 5200 CVD TEOS Pumping Plate DXZ 0010-36069
athomemarket Used - $329.99 0 Aug/20/12 Sep/19/12
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
visionsemi NEW - $950.00 1 Sep/14/12 Jan/06/13
Description: APPLIED MATERIALS AMAT CENTURA FRONT DOOR KIT 0040-40048 0240-35280
athomemarket Used - $329.99 0 Sep/19/12 Oct/19/12
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
hi-techstuff Used - $499.99 1 Sep/25/12 Nov/13/12
Description: Applied Materials AMAT Chopper Drive P W B 0100-00011
imca00 Used - $4,000.00 0 Sep/26/12 Oct/06/12
Description: Applied Materials AMAT Chamber Adapter p/n: 0040-22274
imca00 Used - $4,000.00 0 Oct/06/12 Oct/16/12
Description: Applied Materials AMAT Chamber Adapter p/n: 0040-22274
mayraytan NEW - $2.10 12 Nov/01/12 May/01/13
Description: Applied Materials AMAT Knurled Thumb Nut, 3500-01254
mayraytan NEW - $175.00 2 Nov/01/12 Nov/12/12
Description: Applied Materials AMAT Vacuum Gauge, 3310-01071
athomemarket Used - $329.99 0 Oct/19/12 Nov/18/12
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
chunkysemiconductor NEW - $1,000.00 0 Feb/22/12 Jul/17/13
Description: NEW APPLIED MATERIALS AMAT 0010-00212 ROBOT ASSEMBLY
mayraytan Used - $8.50 9 Dec/06/12 Jun/27/23
Description: Applied Materials AMAT NW 25 Centering Ring, 3700-01543
usedeqsales Used - $37.84 2 Aug/09/12 Mar/20/14
Description: Applied Materials AMAT 300mm Cryo Drip Bracket 0020-42229 Lot of 2 New
athomemarket Used - $297.89 0 Dec/18/12 Jan/17/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
svcstore Used - $91.99 0 Dec/17/12 Dec/20/12
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Dec/17/12 Dec/20/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ptb-sales Used - $3,000.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Dec/17/12 Dec/27/12
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
svcstore Used - $38.99 0 Dec/16/12 Dec/19/12
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Refurbished - $2,547.99 0 Dec/15/12 Jan/14/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $286.99 0 Dec/14/12 Dec/21/12
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
athomemarket NEW - $137.99 0 Dec/14/12 Jan/13/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
svcstore Used - $154.99 0 Dec/18/12 Dec/21/12
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
mayraytan NEW - $5,500.00 1 Sep/13/11 Dec/17/12
Description: Applied Materials AMAT Veriflo Valve Man., 0050-39598
mayraytan NEW - $445.00 0 Sep/02/11 Dec/18/12
Description: Applied Materials AMAT Vacuum Generator, 3510-01082
usedeqsales Used - $1,012.11 3 Dec/21/11 Dec/18/12
Description: Applied Materials AMAT Endura 5500 Hoist Lift working
mayraytan NEW - $850.00 1 Sep/13/11 Dec/18/12
Description: Applied Materials AMAT Robot Shaft Drive, 0020-78958
athomemarket NEW - $95.39 0 Dec/19/12 Jan/18/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $104.39 0 Dec/19/12 Jan/18/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $38.99 0 Dec/19/12 Dec/22/12
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
electronicswest NEW - $450.00 0 Dec/20/12 Dec/30/12
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
athomemarket NEW - $283.49 0 Dec/20/12 Jan/19/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
svcstore NEW - $599.99 0 Dec/20/12 Dec/23/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $91.99 0 Dec/20/12 Dec/23/12
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
electronicswest Used - $102.50 1 Dec/21/12 Dec/28/12
Description: Applied Materials AMAT 0150-76288 Rev.001 PVD Chamber Cable Assy 50FT DC Source
athomemarket NEW - $353.69 0 Dec/21/12 Jan/20/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $100.79 0 Dec/21/12 Jan/20/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
svcstore Used - $154.99 0 Dec/21/12 Dec/24/12
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket Used - $66.59 0 Dec/23/12 Jan/22/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore NEW - $599.99 0 Dec/23/12 Dec/26/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $91.99 0 Dec/23/12 Dec/26/12
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
yayais2012 NEW - $15.00 0 Dec/24/12 Jan/23/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
svcstore Used - $35.99 0 Dec/24/12 Dec/27/12
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Dec/24/12 Dec/27/12
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
surplusssam NEW - $199.99 1 Dec/24/12 Jun/30/14
Description: NEW APPLIED MATERIALS AMAT 3300-02263 FTG RLF VALVE
athomemarket Used - $260.99 0 Dec/26/12 Jan/25/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
svcstore NEW - $599.99 0 Dec/26/12 Dec/29/12
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $91.99 0 Dec/26/12 Dec/29/12
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
ptb-sales Used - $3,000.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Dec/27/12 Jan/06/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
svcstore Used - $35.99 0 Dec/27/12 Dec/30/12
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Dec/27/12 Dec/30/12
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket NEW - $471.59 0 Dec/28/12 Jan/27/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore NEW - $599.99 0 Dec/29/12 Jan/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $91.99 0 Dec/30/12 Jan/02/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $35.99 0 Dec/30/12 Jan/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Dec/30/12 Jan/02/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket NEW - $179.99 0 Dec/31/12 Jan/30/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
wedone NEW - $500.00 0 Jan/01/13 Jan/31/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
svcstore NEW - $599.99 0 Jan/01/13 Jan/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
electronicswest NEW - $200.00 0 Jan/02/13 Jan/07/13
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
zuse81 NEW - $580.00 0 Nov/08/11 Jan/02/13
Description: Applied Materials AMAT 0021-20788EC Shield 8" New
svcstore Used - $91.99 0 Jan/02/13 Jan/05/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $35.99 0 Jan/02/13 Jan/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Jan/02/13 Jan/05/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
dr.fantom Used - $400.00 0 Jan/03/13 Feb/12/15
Description: Applied Materials AMAT 0020-24804EC Cover Ring 8" 101 0020-24804
dr.dantom Used - $380.00 0 Jan/03/13 Feb/12/15
Description: Applied Materials AMAT 0020-26288 Shield lower 8" 101Duraso
prism_electronics8 Used - $299.99 1 Jan/03/13 May/17/19
Description: Applied Materials AMAT 0620-01522 N Female-7/16 Female 25 FT Cable Assembly
athomemarket NEW - $89.99 0 Jan/04/13 Feb/03/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
yayais2012 Used - $320.00 0 Jan/05/13 Feb/04/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
svcstore Used - $91.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Jan/05/13 Jan/08/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $35.99 0 Jan/05/13 Jan/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Jan/05/13 Jan/08/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
ptb-sales Used - $750.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Jan/07/13 Jan/17/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $104.39 0 Jan/07/13 Feb/06/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
mayraytan NEW - $850.00 1 Sep/13/11 Jan/07/13
Description: Applied Materials AMAT Titan Manifold Assy, 0010-10828
electronicswest NEW - $100.00 0 Jan/08/13 Jan/15/13
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
svcstore Used - $35.99 0 Jan/08/13 Jan/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Jan/08/13 Jan/11/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
mayraytan NEW - $2,250.00 1 Sep/13/11 Jan/08/13
Description: Applied Materials AMAT Valve Man., 3870-01813
usedeqsales Used - $505.12 1 May/24/12 Jan/09/13
Description: Applied Materials AMAT XR80 Cooled Tube 0040-96386 Used Working
athomemarket Refurbished - $91.79 0 Jan/10/13 Feb/09/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
athomemarket NEW - $446.39 0 Jan/10/13 Feb/09/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $91.79 0 Jan/10/13 Feb/09/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
svcstore Used - $84.99 0 Jan/10/13 Jan/13/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Jan/10/13 Jan/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Refurbished - $193.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $175.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $193.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $175.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $175.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $238.49 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch
athomemarket Refurbished - $465.29 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $191.69 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $186.29 0 Jan/11/13 Feb/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket Refurbished - $375.29 0 Jan/12/13 Feb/11/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
svcstore Used - $154.99 1 Jan/12/13 Jan/14/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $35.99 0 Jan/12/13 Jan/15/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
mayraytan Used - $52.50 0 Jan/13/13 Sep/24/14
Description: Applied Materials AMAT Chemraz O- Ring, GREENE TWEED 9320-SC513, 3700-01713
yayais2012 NEW - $280.00 0 Jan/13/13 Feb/12/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket Refurbished - $336.59 0 Jan/13/13 Feb/12/13
Description: Applied Materials AMAT 0020-34964 Chamber Liner GDP RZ Process MxP+ (Copper CD
athomemarket NEW - $124.19 0 Jan/13/13 Feb/12/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
svcstore Used - $84.99 0 Jan/13/13 Jan/16/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Jan/13/13 Jan/16/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
electronicswest NEW - $1,000.00 0 Jan/14/13 Jan/21/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
mayraytan NEW - $195.00 2 Oct/03/11 Jan/11/13
Description: Applied Materials AMAT Kalrez O-Ring, 3700-01812
athomemarket NEW - $312.99 1 Dec/23/12 Jan/11/13
Description: NEW Applied Materials AMAT 908193-001 Extended Analog I/O Board PCB (Semy) Aviza
usedeqsales NEW - $808.12 1 Aug/08/12 Jan/10/13
Description: Applied Materials AMAT Valve Process Kit 300mm 0242-13944 New
usedeqsales NEW - $1,054.28 1 Jun/18/12 Jan/10/13
Description: Applied Materials AMAT 0227-04991 Matrix PVD CBM/CLF Kit New
usedeqsales NEW - $355.68 1 Aug/17/12 Jan/10/13
Description: Applied Materials AMAT ESC 3/8OD Gas Lines Kit 0240-40458 New
mayraytan NEW - $950.00 1 Apr/26/12 Jan/14/13
Description: Applied Materials AMAT MKS Pressure Transducer 722A11TCE2FA 1350-01312
electronicswest NEW - $75.00 0 Jan/15/13 Jan/22/13
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
megawavz Used - $205.00 0 Jan/15/13 Feb/14/13
Description: Applied Materials AMAT 0120-90418 Temperature Servo Board 0100-90127 / ISS N
athomemarket Refurbished - $2,547.99 0 Jan/15/13 Feb/14/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
svcstore Used - $35.99 0 Jan/15/13 Jan/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $84.99 0 Jan/16/13 Jan/19/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Jan/16/13 Jan/19/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
hdsemispares Used - $5.00 1 Jan/17/13 Jan/27/13
Description: APPLIED MATERIALS AMAT 300MM SMC SLIT VALVE ACTUATOR 0040-13423
hdsemispares Used - $5.00 1 Jan/17/13 Jan/27/13
Description: APPLIED MATERIALS AMAT 300MM STATOR 0190-02700
megawavz Used - $205.00 0 Jan/18/13 Feb/17/13
Description: Applied Materials AMAT Suppression Box 0090-90346 For Power Supply series 600
ptb-sales Used - $3,000.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Jan/18/13 Jan/28/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket Used - $330.99 0 Jan/18/13 Feb/17/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket NEW - $115.99 0 Jan/18/13 Feb/17/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $105.99 0 Jan/18/13 Feb/17/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
svcstore Used - $35.99 0 Jan/18/13 Jan/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $314.99 0 Jan/19/13 Feb/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
svcstore Used - $84.99 0 Jan/19/13 Jan/22/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
mayraytan Used - $850.00 1 Jan/20/13 Apr/11/17
Description: Applied Materials AMAT Robot Shaft Drive, 0020-78958
mayraytan Used - $850.00 1 Jan/20/13 Sep/07/13
Description: Applied Materials AMAT Titan Manifold Assy, 0010-10828
mayraytan NEW - $850.00 0 Jan/20/13 Jul/23/13
Description: Applied Materials AMAT Quartz Robot Blade, 0200-35004
xl-t_com Used - $190,000.00 0 Sep/07/12 Aug/31/16
Description: Applied Materials AMAT P5000 MxP+ Oxide Etch System
athomemarket NEW - $212.99 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 976505-001 Rev. G Alarm Status Panel Card/Board
athomemarket NEW - $353.69 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $100.79 0 Jan/20/13 Feb/19/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
svcstore NEW - $599.99 0 Jan/20/13 Jan/23/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
mayraytan NEW - $22.50 6 Jan/21/13 Feb/11/13
Description: Applied Materials AMAT Kalrez O-Ring, 3700-01796
electronicswest NEW - $900.00 0 Jan/21/13 Jan/28/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
capitolareatech NEW - $1,481.57 7 Jun/10/10 Nov/03/12
Description: APPLIED MATERIALS (AMAT) BEARING ASSY P/N 0010-29038
usedeqsales Used - $1,205.12 4 May/02/12 Jul/12/13
Description: Applied Materials AMAT Mirra Mesa Pneumatic Box 0010-77682 Used Working
athomemarket Used - $73.99 0 Jan/22/13 Feb/21/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore Used - $32.99 0 Jan/22/13 Jan/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $84.99 0 Jan/22/13 Jan/25/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Jan/23/13 Jan/26/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
equipplus NEW - $239.00 1 Jan/24/13 Jan/25/13
Description: Osram 0190-24845 Rev04 10pcs Lamp for Applied Materials AMAT,Mexico,new
athomemarket Used - $289.99 0 Jan/25/13 Feb/24/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
svcstore Used - $32.99 0 Jan/25/13 Jan/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $84.99 0 Jan/25/13 Jan/28/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Jan/26/13 Jan/29/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
mayraytan NEW - $95.00 3 Jan/27/13 Jan/29/13
Description: Applied Materials AMAT Teledyne Hastings, TC Gauge, DV-6-VCR, 3310-00236
ab-international NEW - $99.95 15 Jan/27/13 Dec/03/13
Description: Lg Qty Avail New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
athomemarket NEW - $523.99 0 Jan/27/13 Feb/26/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
electronicswest NEW - $50.00 1 Jan/28/13 Feb/04/13
Description: Applied Materials AMAT 0021-17722 Rev 004 TWAS Endura Floating Upper Sheild
ptb-sales Used - $750.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Jan/28/13 Feb/07/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcstore Used - $32.99 0 Jan/28/13 Jan/31/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Jan/28/13 Jan/31/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $84.99 0 Jan/28/13 Jan/31/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
electronicswest NEW - $750.00 0 Jan/29/13 Feb/05/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
mayraytan NEW - $445.00 1 Dec/06/11 Jan/28/13
Description: Applied Materials AMAT Rotating Feedthrough, 0720-02672
shadow15b NEW - $80.00 2 Jan/29/13 Jan/29/13
Description: APPLIED MATERIALS AMAT 0190-13558 FEEDTHRU VECTRA-IMP / SEALED CLEAN ROOM BAG
svcstore NEW - $599.99 0 Jan/29/13 Feb/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
mayraytan NEW - $95.00 3 Jan/30/13 Mar/05/13
Description: Applied Materials AMAT Teledyne Hastings, TC Gauge, DV-6-VCR, 3310-00236
athomemarket NEW - $199.99 0 Jan/30/13 Mar/01/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $32.99 0 Jan/31/13 Feb/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $84.99 0 Jan/31/13 Feb/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Jan/31/13 Feb/03/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore NEW - $599.99 0 Feb/01/13 Feb/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
usedeqsales Used - $109.12 1 Sep/04/12 Jan/31/13
Description: Applied Materials AMAT Quantum Leap 3 Wafer on Heatsink Assy 9010-00536ITL Used
usedeqsales Used - $109.12 1 Sep/04/12 Jan/31/13
Description: Applied Materials AMAT Quantum Leap 3 Wafer Heatsink Sensor 9010-01347ITL Used
esolutions1 NEW - $269.10 1 Feb/02/13 Mar/26/13
Description: Applied Materials AMAT 0050-18528 WLDMT MFLD 1 FINAL VALVE VERIFLO LOW K H.
esolutions1 NEW - $159.00 1 Feb/02/13 Jul/31/13
Description: Applied Materials AMAT 3400-01446 HOSE ASSY FLEX BRAID 1/4IDX36"L 1/4VCR-MF NEW
esolutions1 NEW - $1,349.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0240-31205 KIT REWORK WAFER POSITION SENSOR/LOADLOCK
esolutions1 NEW - $116.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0050-86991 HOSE ASSEMBLY CHAMBER C RETURN HEAT-X PRODUCER
esolutions1 NEW - $22.45 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0200-35206 PIN WAFER LIFT POLY BCCD 150MM QUARTZ
esolutions1 NEW - $89.10 0 Feb/02/13 Dec/21/14
Description: APPLIED MATERIALS AMAT 0242-34634 KIT, MOUNTING BRACKET GAS LINE TO FI LEFT,300
esolutions1 NEW - $269.10 0 Feb/02/13 Dec/21/14
Description: APPLIED MATERIALS AMAT 0190-75082 TC PROBE K-TYPE QUICK-DISCONNECT CVD-PVD RGB
esolutions1 NEW - $269.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0050-40653 BY-PASS ROUGHING LINE NEW
esolutions1 NEW - $449.10 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0242-40778 KIT RETROFIT FINAL LINES W/ FRC CH C SECT
esolutions1 NEW - $499.00 1 Feb/02/13 Oct/15/13
Description: Applied Materials AMAT 0020-23594 PLATE, 8" CCD VACUUM SEAL REVISED C
esolutions1 NEW - $99.00 0 Feb/02/13 Dec/21/14
Description: APPLIED MATERIALS AMAT 0242-31877 MOUNTING BRACKET- MID MF-CH A/ FI LEFT,300
esolutions1 NEW - $40.29 0 Feb/02/13 Dec/21/14
Description: Applied Materials AMAT 0242-40320 KIT BRACKT FINL LINE W/ FRC CH A OR B 300M KI
esolutions1 Refurbished - $400.29 1 Feb/02/13 Sep/23/13
Description: Applied Materials AMAT 0020-25731 SHIELD ADAPTER G12 DBL KNEE SST
jojoequip Used - $250.00 1 Feb/03/13 Nov/11/13
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT OUTPUT BOARD
wedone NEW - $450.00 0 Feb/03/13 Mar/05/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
athomemarket NEW - $99.99 0 Feb/03/13 Mar/05/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
esolutions1 NEW - $125.00 1 Feb/03/13 Aug/30/14
Description: Applied Materials AMAT 0100-35063 ASSY PCB REMOTE MAIN RLY
esolutions1 NEW - $125.00 2 Feb/03/13 Feb/09/13
Description: Applied Materials AMAT 0100-77034 Assy, PCB Head
svcstore Used - $32.99 0 Feb/03/13 Feb/06/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
esolutions1 Refurbished - $175.00 1 Feb/03/13 Apr/05/14
Description: Applied Materials AMAT 0190-13952 SMC Flow Switch Assembly PF2W720T-04-27
esolutions1 Refurbished - $75.00 0 Feb/03/13 Dec/21/14
Description: Applied Materials AMAT 0190-24803 SMC 11GPM RAD 300 Flow Switch PF2W511-N10-2
esolutions1 NEW - $112.50 0 Feb/03/13 Dec/21/14
Description: NEW Applied Materials AMAT 0020-10187 // CLAMP RING Q WINDOW 200M
esolutions1 NEW - $75.00 1 Feb/03/13 Feb/04/13
Description: NEW Applied Materials AMAT 0040-20048 // FEEDTHRU LAMP
esolutions1 NEW - $26.99 0 Feb/03/13 Dec/21/14
Description: Applied Materials AMAT 0040-22804 BRACKET, RIGHT MATCH BOX SUPPORT
esolutions1 NEW - $449.10 0 Feb/03/13 Dec/21/14
Description: Applied Materials AMAT 0100-35147 ASSY 3-WAY LIGHT PEN BOARD
esolutions1 NEW - $299.00 6 Feb/03/13 Oct/07/13
Description: Applied Materials AMAT 0050-89732 GASLINE XFER CH VENT SW GAUGE REG!!! NEW
svcstore Used - $84.99 0 Feb/03/13 Feb/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
esolutions1 Used - $7,050.00 1 Feb/04/13 Sep/03/13
Description: Applied Materials AMAT 3930-00257 SMC INR-244-647B TEMPERATURE CONTROLLER
yayais2012 NEW - $15.00 0 Feb/04/13 Mar/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
svcstore Used - $154.99 0 Feb/04/13 Feb/07/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
yayais2012 Used - $320.00 0 Feb/04/13 Mar/06/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
svcstore NEW - $599.99 0 Feb/04/13 Feb/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
electronicswest NEW - $500.00 0 Feb/05/13 Feb/12/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
waste-not-recycling Used - $259.99 0 Feb/05/13 Mar/07/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
esolutions1 NEW - $854.05 1 Feb/05/13 Aug/30/14
Description: Applied Materials AMAT 3870-05195 VAT SERVICE BOX 2 NEW
athomemarket NEW - $115.99 0 Feb/06/13 Mar/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $32.99 0 Feb/06/13 Feb/09/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
usedeqsales NEW - $225.56 0 Feb/07/13 Mar/01/13
Description: Applied Materials AMAT 0200-09572 Notch Collar 200mm New
ptb-sales Used - $3,000.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Feb/07/13 Feb/17/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
svcstore Used - $154.99 0 Feb/07/13 Feb/10/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
esolutions1 Used - $5,999.00 1 Feb/08/13 Feb/08/13
Description: Applied Materials AMAT 0190-26744 MKS ASTeX ASTRONi Remote Plasma Source AX7670
usedeqsales Used - $5,002.13 0 Feb/08/13 Jun/03/13
Description: Applied Materials AMAT Quantum Beamline Flight Tube 9010-00940 Used Working
ab-international Used - $34.65 0 Feb/08/13 Feb/04/24
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
johnnytwo-tone Used - $404.55 1 Feb/08/13 Jan/04/22
Description: Applied Materials AMAT AT-SB4352 0021-17722 Rev 004 TWAS Floating Upper Shield
neilan1987 Used - $355.00 1 Feb/09/13 Feb/16/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable Used
neilan1987 Used - $202.50 1 Feb/09/13 Feb/16/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
neilan1987 Used - $224.50 1 Feb/09/13 Feb/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
athomemarket Refurbished - $101.99 0 Feb/09/13 Mar/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
esolutions1 Used - $899.00 1 Feb/09/13 Feb/17/13
Description: Applied Materials AMAT 0190-23562 KENSINGTON LABORATORIES M4000 ROBOT CONTROLLER
svcstore Used - $32.99 0 Feb/09/13 Feb/12/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
d-dog0818 Used - $589.95 1 Feb/10/13 Apr/11/14
Description: Applied Materials AMAT P5000 Liquid Source Heater Control Bd. 0100-09180 Rev. B
athomemarket Refurbished - $516.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $212.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $264.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch
d-dog0818 Used - $284.95 0 Feb/10/13 Feb/06/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
athomemarket Refurbished - $101.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Feb/10/13 Mar/12/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $214.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Feb/10/13 Mar/12/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
svcstore Used - $154.99 0 Feb/10/13 Feb/13/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
esolutions1 Used - $179.10 0 Feb/11/13 Dec/21/14
Description: Verity Laser Optical Module APD220 Applied Materials AMAT 0190-10026 FREE SHIP
esolutions1 NEW - $112.50 0 Feb/11/13 Dec/21/14
Description: Applied Materials AMAT 0100-77034 Assy, PCB Head
athomemarket Refurbished - $206.99 0 Feb/11/13 Mar/13/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket Refurbished - $416.99 0 Feb/11/13 Mar/13/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
yayais2012 NEW - $280.00 0 Feb/12/13 Mar/14/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket Refurbished - $373.99 1 Feb/12/13 Feb/28/13
Description: Applied Materials AMAT 0020-34964 Chamber Liner GDP RZ Process MxP+ (Copper CD
svcstore Used - $32.99 0 Feb/12/13 Feb/15/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
electronicswest NEW - $400.00 0 Feb/13/13 Feb/20/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
athomemarket NEW - $137.99 0 Feb/13/13 Mar/15/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
svcstore Used - $77.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Feb/13/13 Feb/16/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore NEW - $599.99 0 Feb/13/13 Feb/16/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Refurbished - $2,547.99 0 Feb/14/13 Mar/16/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
great!steam NEW - $295.00 1 Feb/15/13 Feb/22/13
Description: Applied Materials AMAT Throttle Valve and Reducer Box p/n 0010-09035 & 0010-0911
mayraytan Used - $1,750.00 0 Feb/15/13 Nov/28/16
Description: Applied Materials AMAT Perf Plate, DSM, CONFORMAL SILANE NITRIDE, 0020-30286
svcstore Used - $32.99 0 Feb/15/13 Feb/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $77.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Feb/16/13 Feb/19/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore NEW - $599.99 0 Feb/16/13 Feb/19/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $330.99 0 Feb/17/13 Mar/19/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
ptb-sales Used - $3,000.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Feb/18/13 Feb/28/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket NEW - $105.99 0 Feb/18/13 Mar/20/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 Feb/18/13 Mar/20/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
visionsemi NEW - $650.00 0 Feb/18/13 Jun/18/13
Description: APPLIED MATERIALS AMAT ENDURA ORIENTER CHUCK 0020-21708 200MM
joseph3kd4 NEW - $249.00 0 Feb/18/13 Feb/21/13
Description: Applied Materials AMAT SHIELD PN: 0021-21234
athomemarket NEW - $314.99 0 Feb/19/13 Mar/21/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $111.99 0 Feb/19/13 Mar/21/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
svcstore Used - $154.99 0 Feb/19/13 Feb/22/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $77.99 0 Feb/19/13 Feb/22/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Feb/19/13 Feb/22/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $29.99 0 Feb/21/13 Feb/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $73.99 0 Feb/21/13 Mar/23/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
electronicswest NEW - $300.00 0 Feb/21/13 Feb/26/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
athomemarket NEW - $392.99 0 Feb/20/13 Mar/22/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
svcstore Used - $77.99 0 Feb/22/13 Feb/25/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Feb/22/13 Feb/25/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore NEW - $599.99 0 Feb/22/13 Feb/25/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
neilan1987 Used - $100.00 1 Feb/24/13 Mar/03/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable Used
neilan1987 Used - $100.00 0 Feb/24/13 Mar/03/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
neilan1987 Used - $100.00 1 Feb/24/13 Mar/03/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
athomemarket Used - $289.99 0 Feb/24/13 Mar/26/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
svcstore Used - $29.99 0 Feb/24/13 Feb/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
joseph3kd4 NEW - $249.00 0 Feb/25/13 Feb/28/13
Description: Applied Materials AMAT SHIELD PN: 0021-21234
svcstore Used - $77.99 0 Feb/25/13 Feb/28/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
electronicswest NEW - $300.00 0 Feb/26/13 Mar/08/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
athomemarket NEW - $523.99 0 Feb/26/13 Mar/28/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore NEW - $599.99 0 Feb/26/13 Mar/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sellyoursurplus NEW - $250.00 0 Feb/26/13 Mar/05/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Feb/26/13 Mar/05/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $95.00 0 Feb/26/13 Mar/05/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
athomemarket NEW - $413.99 0 Feb/27/13 Mar/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
svcstore Used - $29.99 0 Feb/27/13 Mar/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Feb/27/13 Mar/02/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
ptb-sales Used - $3,000.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Feb/28/13 Mar/10/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
svcstore Used - $77.99 0 Feb/28/13 Mar/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Mar/01/13 Mar/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $154.99 0 Mar/02/13 Mar/05/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/02/13 Mar/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $77.99 0 Mar/03/13 Mar/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
chunkysemiconductor NEW - $350.00 1 Mar/04/13 Jun/05/13
Description: APPLIED MATERIALS AMAT 0010-22226 ASSY, THROTTLE VALVE, REACTIVE PRECLEAN - NEW
joseph3kd4 NEW - $249.00 0 Mar/04/13 Mar/07/13
Description: Applied Materials AMAT SHIELD PN: 0021-21234
athomemarket NEW - $199.99 0 Mar/04/13 Apr/03/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore NEW - $599.99 0 Mar/04/13 Mar/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
neilan1987 Used - $127.50 1 Mar/05/13 Mar/12/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
mayraytan NEW - $95.00 3 Mar/05/13 Mar/11/13
Description: Applied Materials AMAT Teledyne Hastings, TC Gauge, DV-6-VCR, 3310-00236
athomemarket NEW - $99.99 0 Mar/05/13 Apr/04/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
svcstore Used - $29.99 0 Mar/05/13 Mar/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Mar/05/13 Mar/08/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
wedone NEW - $375.00 0 Mar/06/13 Apr/05/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
yayais2012 Used - $320.00 0 Mar/07/13 Apr/06/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 NEW - $15.00 0 Mar/07/13 Apr/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
shadow15b Used - $950.00 0 Mar/07/13 Jun/04/13
Description: APPLIED MATERIALS AMAT LOWER CLAMP RING / 0040-35049
surplusssam Used - $749.99 0 Mar/07/13 Sep/03/13
Description: APPLIED MATERIALS AMAT 0040-03795 MNTING PLATE W/ DOOR SLIT VALVE 0040-08417-001
athomemarket NEW - $413.99 0 Mar/07/13 Apr/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
svcstore Used - $77.99 0 Mar/07/13 Mar/10/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Mar/07/13 Mar/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
waste-not-recycling Used - $259.99 0 Mar/08/13 Apr/07/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
svcstore Used - $154.99 0 Mar/08/13 Mar/12/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/08/13 Mar/12/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $115.99 0 Mar/09/13 Apr/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $77.99 0 Mar/10/13 Mar/13/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $599.99 0 Mar/10/13 Mar/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ptb-sales Used - $3,000.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Mar/11/13 Mar/21/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket Refurbished - $101.99 0 Mar/12/13 Apr/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
esolutions1 Refurbished - $999.00 1 Mar/12/13 Mar/12/13
Description: Applied Materials AMAT 3620-01553 CTI Cryogenics Cntrl 3PH Motor Controller Pump
athomemarket NEW - $495.99 0 Mar/12/13 Apr/11/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $101.99 0 Mar/12/13 Apr/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
svcstore Used - $154.99 0 Mar/12/13 Mar/15/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/12/13 Mar/15/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Refurbished - $212.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $516.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $264.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
neilan1987 Used - $150.00 1 Mar/13/13 Mar/20/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable Used
neilan1987 Used - $100.00 0 Mar/13/13 Mar/20/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
neilan1987 Used - $100.00 0 Mar/13/13 Mar/20/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
svcstore Used - $154.99 0 Mar/15/13 Mar/18/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/15/13 Mar/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $137.99 0 Mar/15/13 Apr/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Refurbished - $416.99 0 Mar/14/13 Apr/13/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
yayais2012 NEW - $280.00 0 Mar/14/13 Apr/13/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
d-dog0818 Used - $99.95 0 Mar/14/13 Mar/05/16
Description: Applied Materials AMAT Ozonator Distribution Bd Assy 0100-09235
d-dog0818 Used - $399.95 1 Mar/14/13 Sep/23/13
Description: Applied Materials AMAT 0100-09153 REV E / Gas Panel BD / P5000 BD
athomemarket Refurbished - $206.99 0 Mar/13/13 Apr/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket Refurbished - $2,547.99 0 Mar/17/13 Apr/16/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
sellyoursurplus NEW - $250.00 0 Mar/17/13 Mar/24/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Mar/17/13 Mar/24/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $95.00 0 Mar/17/13 Mar/24/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
capitolareatech NEW - $450.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0020-04167 *LIFT CYLINDER,8,EXT
capitolareatech NEW - $550.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0021-37701 SIDE RECEIVER, LEFT 200MM, 316-EP
capitolareatech NEW - $950.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0010-75429 ASSY, 8" REFLECTOR CASS. HANDLER LLB
capitolareatech NEW - $125.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0050-09400 WELDMENT,LINE 1,CHAMBER B
capitolareatech NEW - $550.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 0021-37702 SIDE RECEIVER, RIGHT 200MM, 316-EP
capitolareatech NEW - $46.87 0 Mar/18/13 Oct/09/14
Description: APPLIED MATERIALS (AMAT) 0050-09398 WELDMENT,PURGE, CHAMBER B
capitolareatech NEW - $1,000.00 0 Mar/18/13 Sep/14/13
Description: Applied Materials (AMAT) 0200-09615 LIFT WAFER, SR& BSE BWCVD
capitolareatech NEW - $200.00 0 Mar/18/13 Sep/14/13
Description: APPLIED MATERIALS (AMAT) 1350-01133 TRANSDUCER
capitolareatech NEW - $1,700.00 0 Mar/18/13 Sep/14/13
Description: Applied Materials (AMAT) 0200-10555 BASEPLATE, W/BAFFLE QUARTZ
capitolareatech NEW - $650.00 0 Mar/18/13 Sep/14/13
Description: Applied Materials (AMAT) 0242-38200 KIT, DOME CABLE, REV-1 DPS
capitolareatech NEW - $2,500.00 0 Mar/18/13 Sep/14/13
Description: Applied Materials (AMAT) 0240-34698 KIT PM 12 MONTH W/ROT3, 1/CH
capitolareatech NEW - $1,000.00 0 Mar/18/13 Jul/10/13
Description: Applied Materials (AMAT) 0140-08724 HARNESS, E-CHAIN AI/O HEAD, 200MM
capitolareatech NEW - $225.00 1 Mar/18/13 Jan/21/14
Description: APPLIED MATERIALS (AMAT) 3870-02148 SOLENOID VALVE ASSY W/CABLE,BOC EDWARDS PO17
svcstore NEW - $599.99 0 Mar/18/13 Mar/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
fa-parts Used - $109.99 1 Mar/18/13 May/09/13
Description: Applied Materials AMAT ROBOT INTERCONNECT BOARD 0100-70028 REV A
fa-parts Used - $320.00 0 Mar/18/13 Mar/19/23
Description: Applied Materials AMAT CENTERFIDER SENSOR BOARD 5,6 AND 8 INCH 0100-00086 REV J
fa-parts Used - $230.00 3 Mar/18/13 Aug/01/19
Description: Applied Materials AMAT CENTERFIDER SENSOR BOARD 5,6 AND 8 INCH 0100-09146 REV B
svcstore Used - $71.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Mar/18/13 Mar/21/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $29.99 0 Mar/18/13 Mar/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
capitolareatech NEW - $750.00 0 Mar/19/13 Sep/15/13
Description: APPLIED MATERIALS (AMAT) 0020-34035 SUPPORT, LIFT CYLINDER, SIMPLE CATHODE
capitolareatech NEW - $450.00 0 Mar/19/13 Sep/15/13
Description: Applied Materials (AMAT) 0150-76991 C/A ROBOT CNTLR MLTST, CLDWN CHBR,
capitolareatech NEW - $600.00 0 Mar/19/13 Sep/15/13
Description: Applied Materials (AMAT) 0190-09534 CONTROLLER, SINGLE PHASE 175VAC
capitolareatech NEW - $2,200.00 0 Mar/19/13 Sep/09/13
Description: APPLIED MATERIALS (AMAT) 0020-34710 FACEPLATE, SIN, DXZ
capitolareatech NEW - $200.00 0 Mar/19/13 Jun/05/13
Description: APPLIED MATERIALS (AMAT) 3420-01073 INSUL BLANKET HEAT SHIELD
capitolareatech NEW - $84.37 0 Mar/19/13 Sep/10/14
Description: APPLIED MATERIALS (AMAT) 0020-98877 INSERT OUTER
capitolareatech NEW - $187.50 0 Mar/19/13 Sep/10/14
Description: Applied Materials (AMAT) 0227-42992 BRACKET, SINGLE VALVE SLD BOX,PLATE MOUNTING
capitolareatech NEW - $115.00 0 Mar/19/13 Sep/15/13
Description: Applied Materials (AMAT) 0150-91746 F/O,SPARES TL,4500MM/SMA -SMA
capitolareatech NEW - $325.00 0 Mar/19/13 Sep/15/13
Description: Applied Materials (AMAT) 0190-01816 HEATER, DOOR LL A/B NARROW BODY 4 CH
capitolareatech NEW - $125.00 0 Mar/19/13 Sep/15/13
Description: APPLIED MATERIALS (AMAT) 3870-01185 VALVE, BELLOWS
capitolareatech NEW - $95.00 0 Mar/19/13 Sep/15/13
Description: APPLIED MATERIALS (AMAT) 3870-01727 VALVE GATE KIT, REBUILD
capitolareatech NEW - $46.87 0 Mar/19/13 Sep/10/14
Description: Applied Materials (AMAT) 0140-76000 HARNESS ASSY CASSETTE HNDLR
capitolareatech NEW - $125.00 2 Mar/19/13 Mar/20/13
Description: APPLIED MATERIALS (AMAT) 3700-02155 O-RING,CHEMRAZ:9378-SC513,(AS-568A-378) 10.4
capitolareatech NEW - $150.00 0 Mar/19/13 May/31/13
Description: APPLIED MATERIALS (AMAT) 4020-01192 FILTER,GAS BOX CORROSIVE
d-dog0818 Used - $89.95 0 Mar/19/13 Mar/05/16
Description: Applied Materials AMAT P5000 TEOS Status Board 0100-09129
esolutions1 Used - $2,249.10 0 Mar/20/13 Dec/21/14
Description: Applied Materials AMAT 0190-17208 VACUUM HANDLER ASSY
capitolareatech NEW - $28.13 0 Mar/20/13 Sep/11/14
Description: APPLIED MATERIALS (AMAT) 0050-31614 CH A MXP,PROCESS #4 PH II CMN MNFRM
capitolareatech NEW - $225.00 0 Mar/20/13 Jul/18/13
Description: APPLIED MATERIALS (AMAT) 3870-03884 DOOR SLIT VALVE
capitolareatech NEW - $350.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0021-39971 LINER,LOWER THROTTLE VALVE
capitolareatech NEW - $125.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0020-75164 MEMBRANE TITAN OXIDE SILICON
capitolareatech NEW - $90.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0020-31459 INSERT,AL,200MM POLY, 218MM SHUNT
capitolareatech NEW - $70.31 4 Mar/20/13 Aug/04/14
Description: APPLIED MATERIALS (AMAT) 4020-01145 FILTER, INLINE, GAS, UHP
capitolareatech NEW - $37.50 0 Mar/20/13 Sep/11/14
Description: APPLIED MATERIALS (AMAT) 0020-30722 FINGER REMOVABLE AL200MM
capitolareatech NEW - $700.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0020-79090 MANIFOLD HOUSING
capitolareatech NEW - $125.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0050-76483 WELDMENT MANIFOLD,WXZ
athomemarket Used - $330.99 0 Mar/20/13 Apr/19/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
capitolareatech NEW - $115.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0021-01557 COVER, HE FEEDTHRU
capitolareatech NEW - $800.00 0 Mar/20/13 Sep/16/13
Description: APPLIED MATERIALS (AMAT) 0020-01196 HOUSING, ALARM MODULE (SCRN)
athomemarket NEW - $105.99 0 Mar/20/13 Apr/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 Mar/20/13 Apr/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
neilan1987 Used - $100.00 0 Mar/20/13 Mar/27/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
neilan1987 Used - $100.00 1 Mar/20/13 Mar/27/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable Used
ptb-sales Used - $3,000.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Mar/21/13 Mar/31/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket NEW - $314.99 0 Mar/21/13 Apr/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
svcstore NEW - $599.99 0 Mar/21/13 Mar/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $154.99 0 Mar/21/13 Mar/24/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $71.99 0 Mar/21/13 Mar/24/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket NEW - $111.99 0 Mar/22/13 Apr/21/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $392.99 0 Mar/22/13 Apr/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
svcstore Used - $26.99 0 Mar/22/13 Mar/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $73.99 0 Mar/24/13 Apr/23/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore NEW - $599.99 0 Mar/24/13 Mar/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $154.99 0 Mar/24/13 Mar/27/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $71.99 0 Mar/24/13 Mar/27/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $26.99 0 Mar/25/13 Mar/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $209.99 0 Mar/27/13 Apr/03/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $289.99 0 Mar/27/13 Apr/26/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
svcstore NEW - $599.99 0 Mar/27/13 Mar/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
neilan1987 Used - $100.00 1 Mar/27/13 Apr/03/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
svcstore Used - $71.99 0 Mar/27/13 Mar/30/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $26.99 0 Mar/28/13 Mar/31/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Mar/28/13 Mar/31/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket NEW - $523.99 0 Mar/29/13 Apr/28/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
esolutions1 Refurbished - $999.00 1 Mar/29/13 Mar/30/13
Description: Applied Materials AMAT 3620-01553 CTI Cryogenics Cntrl 3PH Motor Controller Pump
svcstore NEW - $599.99 0 Mar/30/13 Apr/02/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $71.99 0 Mar/30/13 Apr/02/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $26.99 0 Mar/31/13 Apr/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Mar/31/13 Apr/03/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
ptb-sales Used - $750.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Apr/01/13 Apr/11/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Refurbished - $112.99 0 Apr/01/13 Apr/08/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $211.99 0 Apr/01/13 Apr/08/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Used - $816.99 0 Apr/01/13 Apr/08/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
neilan1987 NEW - $399.99 0 Apr/01/13 Apr/08/13
Description: APPLIED MATERIALS AMAT 0190-06926 Blue RF Cable NEW in Cleanroom Packaging
neilan1987 NEW - $59.99 0 Apr/01/13 Apr/08/13
Description: Applied Materials AMAT 3320-01026 8" Conflat Copper Gasket x2
neilan1987 Used - $69.99 1 Apr/02/13 Apr/02/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 Used - $49.99 1 Apr/02/13 Apr/09/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
athomemarket Used - $628.99 0 Apr/02/13 Apr/09/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
neilan1987 NEW - $399.99 0 Apr/02/13 Apr/09/13
Description: APPLIED MATERIALS AMAT 0190-15905 Blue RF Cable NEW in Cleanroom Packaging
svcstore NEW - $599.99 0 Apr/02/13 Apr/05/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $71.99 0 Apr/02/13 Apr/05/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket Used - $514.99 0 Apr/03/13 Apr/10/13
Description: Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909
athomemarket Used - $161.99 0 Apr/03/13 Apr/10/13
Description: Applied Materials AMAT 0190-09472 DCVD Endpoint Detector Assembly
athomemarket NEW - $263.99 0 Apr/03/13 Apr/10/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket NEW - $199.99 0 Apr/03/13 May/03/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $26.99 0 Apr/03/13 Apr/06/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Apr/03/13 Apr/06/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
visionsemi Used - $99.99 0 Apr/04/13 Apr/09/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20440
visionsemi Used - $99.99 0 Apr/04/13 Apr/09/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20441
athomemarket Used - $209.99 0 Apr/04/13 May/04/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
alvin1462 NEW - $2,388.00 0 Apr/04/13 Jul/03/13
Description: Applied Materials AMAT 0200-02468 7INER, CHAMBER, UPPER , QUARTZ , 300MM EPI RP
alvin1462 NEW - $3,888.00 0 Apr/04/13 Jul/03/13
Description: Applied Materials AMAT 0200-02477 QUARTZ LINER CHAMBER LOWER,8 TAB CENTERING 30
alvin1462 Used - $8,888.00 0 Apr/04/13 Jan/18/22
Description: Applied Materials AMAT 0200-03166 Ceramics. QUARTZ DOME , UPPER , EPI 300MM RP.
athomemarket Used - $2,699.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $2,399.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
visionsemi Used - $300.00 1 Apr/05/13 Apr/05/13
Description: APPLIED MATERIALS AMAT VERIFLO VALVE 0021-09077 MCVD DCS ADAPTER 944AOPLPNCSFSFF
athomemarket Used - $4,799.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $163.99 0 Apr/05/13 Apr/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket NEW - $413.99 0 Apr/05/13 Apr/12/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket NEW - $99.99 0 Apr/05/13 May/05/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $109.99 0 Apr/05/13 Apr/12/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
svcstore NEW - $599.99 0 Apr/05/13 Apr/08/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $71.99 0 Apr/05/13 Apr/08/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
yayais2012 Used - $320.00 0 Apr/06/13 May/06/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 NEW - $15.00 0 Apr/06/13 May/06/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
svcstore Used - $26.99 0 Apr/06/13 Apr/09/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Apr/06/13 Apr/09/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket NEW - $413.99 0 Apr/07/13 May/07/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
sellyoursurplus NEW - $95.00 0 Apr/07/13 Apr/14/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
sellyoursurplus NEW - $250.00 0 Apr/07/13 Apr/14/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Apr/07/13 Apr/14/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
waste-not-recycling Used - $259.99 0 Apr/08/13 May/08/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
mayraytan NEW - $395.00 0 Apr/08/13 Sep/05/13
Description: Applied Materials, AMAT, Pall,In-Line VCR Gas Filter, GLF6101VM6/8, 4020-01072
mayraytan Used - $325.00 0 Apr/08/13 Nov/06/13
Description: Applied Materials, AMAT, Pall, In-Line VCR Gas Filter, GLFPF3111VMM4, 4020-01409
electronicswest NEW - $250.00 1 Apr/08/13 Apr/08/13
Description: Applied Materials AMAT 0021-09760 Rev A Gas Box DXZ LSA Cleanpart 233-2700-63
athomemarket NEW - $6,199.99 0 Apr/08/13 Apr/15/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $89.99 0 Apr/08/13 Apr/15/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $4,465.99 0 Apr/08/13 Apr/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $115.99 0 Apr/08/13 May/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore NEW - $599.99 0 Apr/08/13 Apr/11/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
neilan1987 NEW - $349.99 0 Apr/09/13 Apr/16/13
Description: APPLIED MATERIALS AMAT 0190-06926 Blue RF Cable NEW in Cleanroom Packaging
neilan1987 NEW - $39.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 3320-01026 8" Conflat Copper Gasket x2
athomemarket NEW - $99.99 0 Apr/09/13 Apr/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $63.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket NEW - $212.99 0 Apr/09/13 Apr/16/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Refurbished - $142.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
ptb-sales Used - $500.00 0 Apr/16/13 May/21/13
Description: Applied Materials AMAT 0690-01036 Clamp Hinged NW40 Wing-Nut Lot
neilan1987 Used - $150.00 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
neilan1987 NEW - $349.99 0 Apr/09/13 Apr/16/13
Description: APPLIED MATERIALS AMAT 0190-15905 Blue RF Cable NEW in Cleanroom Packaging
neilan1987 Used - $49.99 0 Apr/09/13 Apr/16/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
svcstore Used - $71.99 0 Apr/09/13 Apr/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $26.99 0 Apr/09/13 Apr/12/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $154.99 0 Apr/09/13 Apr/12/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket NEW - $211.99 0 Apr/10/13 May/10/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Used - $628.99 0 Apr/10/13 May/10/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
athomemarket Used - $816.99 0 Apr/10/13 May/10/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
athomemarket Refurbished - $112.99 0 Apr/10/13 May/10/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
ptb-sales Used - $3,000.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Apr/11/13 Apr/21/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
visionsemi Used - $99.99 0 Apr/11/13 Apr/16/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20440
visionsemi Used - $99.99 0 Apr/11/13 Apr/16/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20441
athomemarket Refurbished - $101.99 0 Apr/11/13 May/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
athomemarket NEW - $263.99 0 Apr/11/13 May/11/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Used - $161.99 2 Apr/11/13 Apr/13/13
Description: Applied Materials AMAT 0190-09472 DCVD Endpoint Detector Assembly
athomemarket Used - $514.99 0 Apr/11/13 May/11/13
Description: Applied Materials AMAT 0010-10327 8" ESC Wafer Chuck MXP+ 200mm 0020-32909
svcstore NEW - $599.99 0 Apr/11/13 Apr/14/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
esolutions1 Used - $809.10 1 Apr/16/13 May/14/13
Description: Applied Materials AMAT 0190-23562 KENSINGTON LABORATORIES M4000 ROBOT CONTROLLER
svcstore Used - $154.99 0 Apr/16/13 Apr/19/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket Used - $4,699.99 0 Apr/16/13 Apr/23/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Refurbished - $2,547.99 0 Apr/16/13 May/16/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
ptb-sales NEW - $850.00 0 Apr/16/13 Apr/26/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore Used - $26.99 0 Apr/15/13 Apr/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $109.99 0 Apr/15/13 May/15/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
athomemarket NEW - $413.99 0 Apr/15/13 May/15/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $163.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket NEW - $162.99 0 Apr/15/13 Apr/22/13
Description: Applied Materials AMAT 0010-10954 Stainless Steel 8" Assembly JMF Blade SST
athomemarket Used - $4,799.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $2,399.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,999.99 0 Apr/15/13 Apr/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $2,699.99 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket NEW - $112.99 0 Apr/15/13 Apr/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $514.99 0 Apr/15/13 Apr/22/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
yayais2012 NEW - $280.00 0 Apr/15/13 May/15/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket NEW - $1,799.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,699.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $312.99 0 Apr/15/13 Apr/22/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $137.99 0 Apr/14/13 May/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
mayraytan Used - $9.25 2 Apr/14/13 May/24/18
Description: Applied Materials AMAT Gas Line, 0050-14441
athomemarket Refurbished - $416.99 0 Apr/13/13 May/13/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
wedone NEW - $350.00 0 Apr/13/13 May/13/13
Description: Applied Materials AMAT Standard Cal tool kit CENTURA 0240-76382 (NEW)
athomemarket Refurbished - $206.99 0 Apr/13/13 May/13/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket Refurbished - $214.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $516.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $194.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $212.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $264.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0021-09718 Slit Valve Liner MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $101.99 0 Apr/12/13 May/12/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Apr/12/13 May/12/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
sellyoursurplus NEW - $250.00 0 Apr/16/13 May/16/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Apr/16/13 May/16/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $95.00 0 Apr/16/13 May/16/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
athomemarket NEW - $112.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $69.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket Used - $89.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $63.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $6,799.99 0 Apr/17/13 Apr/24/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $199.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $6,499.99 0 Apr/17/13 Apr/24/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $199.99 0 Apr/17/13 Apr/24/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket Used - $4,465.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $99.99 0 Apr/17/13 May/17/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 Apr/17/13 May/17/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $142.99 0 Apr/17/13 May/17/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $212.99 0 Apr/17/13 May/17/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
svcstore Used - $65.99 0 Apr/17/13 Apr/20/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
visionsemi Used - $89.99 0 Apr/18/13 Apr/25/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20441
visionsemi Used - $89.99 0 Apr/18/13 Apr/25/13
Description: APPLIED MATERIALS AMAT PRECLEAN 1 RF MATCH 0010-20440
svcstore NEW - $499.99 0 Apr/18/13 Apr/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
electronicswest NEW - $100.00 0 Apr/19/13 Apr/19/13
Description: Applied Materials AMAT 0040-77799 P1 Retaining Ring Composite
athomemarket NEW - $105.99 0 Apr/19/13 May/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 Apr/19/13 May/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $330.99 0 Apr/19/13 May/19/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
svcstore Used - $154.99 0 Apr/19/13 Apr/22/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket NEW - $314.99 0 Apr/20/13 May/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
svcstore Used - $65.99 0 Apr/20/13 Apr/23/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket NEW - $111.99 0 Apr/21/13 May/21/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $392.99 0 Apr/21/13 May/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
svcstore NEW - $499.99 0 Apr/21/13 Apr/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ptb-sales Used - $3,000.00 0 Apr/22/13 May/02/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Apr/22/13 May/02/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket Used - $5,499.99 0 Apr/22/13 Apr/29/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
athomemarket Used - $2,599.99 0 Apr/22/13 Apr/29/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,199.99 0 Apr/22/13 Apr/29/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore Used - $154.99 0 Apr/22/13 Apr/25/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $23.99 0 Apr/22/13 Apr/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $112.99 0 Apr/23/13 May/23/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $73.99 0 Apr/23/13 May/23/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $1,699.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $312.99 0 Apr/23/13 May/23/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $514.99 0 Apr/23/13 May/23/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $3,999.99 0 Apr/23/13 May/23/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
electronicswest NEW - $75.00 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0040-77799 P1 Retaining Ring Composite
athomemarket NEW - $5,799.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,499.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $4,999.99 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,799.99 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $4,199.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $819.99 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,899.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Apr/24/13 May/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Used - $4,699.99 0 Apr/24/13 May/24/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Scrap, for parts - $3,499.99 0 Apr/24/13 May/01/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
svcstore Used - $65.99 0 Apr/24/13 Apr/27/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
sellyoursurplus NEW - $95.00 0 Apr/24/13 May/01/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $250.00 0 Apr/24/13 May/01/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Apr/24/13 May/01/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
svcstore NEW - $499.99 0 Apr/24/13 Apr/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $1,699.99 0 Apr/25/13 May/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket Used - $424.99 0 Apr/25/13 May/02/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $1,799.99 0 Apr/25/13 May/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
semiguy2596 NEW - $0.99 0 Apr/25/13 May/02/13
Description: APPLIED MATERIALS AMAT 0150-09880 CABLE ESC CONTROLLER CH. D
svcstore Used - $23.99 0 Apr/25/13 Apr/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
trees_for_a_better_tomorrow NEW - $129.50 1 Apr/26/13 Aug/12/13
Description: New Applied Materials AMAT Wasco Vacuum Pressure Switch 0190-35221 rev002
athomemarket Used - $289.99 0 Apr/26/13 May/26/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
ptb-sales NEW - $780.00 0 Apr/26/13 May/06/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $6,499.99 0 Apr/26/13 May/26/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,799.99 0 Apr/26/13 May/26/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket Refurbished - $1,399.99 1 Apr/26/13 May/03/13
Description: REFURB Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $69.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $112.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Apr/26/13 May/26/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
neilan1987 NEW - $149.99 0 Apr/26/13 May/03/13
Description: GRANVILLE-PHLLIPS Convectron Gauge Applied Materials AMAT 275592 3310-00313 NEW
esprprts NEW - $50.00 2 Apr/27/13 Apr/28/13
Description: NEW, Applied Materials, AMAT 0040-20048 - FEEDTHRU LAMP
esprprts NEW - $7.00 2 Apr/27/13 Jun/26/13
Description: NEW, Applied Materials, AMAT 0190-13035 - LAMP, BAKEOUT with lugs
svcstore Used - $65.99 0 Apr/27/13 Apr/30/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Apr/27/13 Apr/30/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore NEW - $499.99 0 Apr/27/13 Apr/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $523.99 0 Apr/28/13 May/28/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore Used - $23.99 0 Apr/28/13 May/01/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $409.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket Refurbished - $129.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-25570 Blade Assembly (Refurbished)
athomemarket Scrap, for parts - $109.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,199.99 0 Apr/29/13 May/06/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $462.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,117.99 0 Apr/29/13 May/06/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $161.99 0 Apr/29/13 May/06/13
Description: NEW Applied Materials AMAT 0010-25570 Blade Assembly
athomemarket Used - $1,199.99 0 Apr/29/13 May/06/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
neilan1987 Used - $125.00 0 Apr/30/13 May/04/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable Used
athomemarket Used - $3,299.99 0 Apr/30/13 May/07/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket Used - $414.99 0 Apr/30/13 May/07/13
Description: Applied Materials AMAT 0010-30406 Dual Spring-Loaded Throttle Valve Assembly
athomemarket NEW - $1,199.99 0 Apr/30/13 May/30/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $229.99 2 Apr/30/13 May/07/13
Description: Applied Materials AMAT 0190-09472 DCVD Endpoint Detector Assembly
athomemarket Used - $2,599.99 0 Apr/30/13 May/30/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket Used - $109.99 0 Apr/30/13 May/07/13
Description: Applied Materials AMAT 0010-15100 DPN Pneumatic SMC Manifold DPS Cathode Assy.
athomemarket Used - $5,499.99 0 Apr/30/13 May/30/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
svcstore Used - $65.99 0 Apr/30/13 May/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 Apr/30/13 May/03/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore NEW - $499.99 0 May/01/13 May/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $23.99 0 May/01/13 May/04/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
visionsemi NEW - $499.00 0 May/02/13 May/09/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi Used - $399.99 0 May/02/13 May/09/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
athomemarket Used - $4,999.99 0 May/02/13 Jun/01/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,799.99 0 May/02/13 Jun/01/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,499.99 0 May/02/13 Jun/01/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $5,499.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $4,199.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $819.99 0 May/02/13 Jun/01/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,799.99 0 May/02/13 Jun/01/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
electronicswest NEW - $25.00 0 May/03/13 May/10/13
Description: Applied Materials AMAT 0040-77799 P1 Retaining Ring Composite
visionsemi Used - $599.00 0 May/03/13 May/08/13
Description: Applied Materials AMAT Comdel CPS-500 RF Generator 0190-13320 CPS500
visionsemi Used - $14.99 0 May/03/13 May/08/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
visionsemi Used - $199.99 0 May/03/13 May/08/13
Description: APPLIED MATERIALS AMAT 0021-10223 LINER MXP CHAMBER
athomemarket NEW - $232.99 0 May/03/13 May/10/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $61.99 0 May/03/13 May/10/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $131.99 0 May/03/13 May/10/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
ptb-sales Used - $3,000.00 0 May/03/13 May/13/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 May/03/13 May/13/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket NEW - $1,699.99 0 May/03/13 Jun/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,799.99 0 May/03/13 Jun/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket Used - $424.99 0 May/03/13 Jun/02/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $199.99 0 May/03/13 Jun/02/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $154.99 0 May/03/13 May/06/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
svcstore Used - $65.99 0 May/03/13 May/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket Used - $163.99 0 May/06/13 May/13/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $162.99 0 May/06/13 May/13/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $112.99 0 May/06/13 May/13/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $261.99 0 May/06/13 May/13/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $99.99 0 May/05/13 Jun/04/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
svcstore Used - $23.99 0 May/04/13 May/07/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 May/04/13 May/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $209.99 0 May/04/13 Jun/03/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
ptb-sales Used - $780.00 0 May/06/13 May/16/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore Used - $65.99 0 May/06/13 May/09/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 May/06/13 May/09/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
yayais2012 Used - $320.00 0 May/06/13 Jun/05/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 NEW - $15.00 0 May/06/13 Jun/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $1,117.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $409.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket Refurbished - $109.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket NEW - $413.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $3,999.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,299.99 0 May/07/13 May/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $1,199.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $462.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Refurbished - $129.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-25570 Blade Assembly (Refurbished)
athomemarket NEW - $161.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0010-25570 Blade Assembly
athomemarket Scrap, for parts - $109.99 0 May/07/13 Jun/06/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,199.99 0 May/07/13 Jun/06/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
svcstore NEW - $499.99 0 May/07/13 May/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $23.99 0 May/07/13 May/10/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
capitolareatech NEW - $1,250.00 0 May/08/13 Sep/05/13
Description: APPLIED MATERIALS (AMAT) 0021-77072 SHIELD CROSS BOTTOM,DNC,COVER, CROSS SHIELD
capitolareatech NEW - $7,750.00 0 May/08/13 Sep/05/13
Description: APPLIED MATERIALS (AMAT) 0040-32463 RING, MAGNET, UPPER
capitolareatech NEW - $562.50 0 May/08/13 Sep/30/14
Description: APPLIED MATERIALS (AMAT) 0040-09918 BASE, CONNECTOR, HEATER
capitolareatech NEW - $262.50 0 May/08/13 Sep/18/14
Description: APPLIED MATERIALS (AMAT) 0020-31572 PLATE BLOCKER 8" WSI,LID, 200MM
capitolareatech NEW - $16.50 10 May/08/13 Sep/26/13
Description: APPLIED MATERIALS (AMAT) 3700-01455 O-RING, GAS PASSAGE KAL
capitolareatech NEW - $1,520.00 0 May/08/13 Sep/05/13
Description: Applied Materials (AMAT) 0670-01006 CELL OZONE ABSORB 400G/CU-M CHMRZ ORING
capitolareatech NEW - $115.00 0 May/08/13 Sep/05/13
Description: APPLIED MATERIALS (AMAT) 0020-04074 WINDOW, DYNASIL 1000,2.50X2.10X.197THICK
capitolareatech NEW - $61.50 0 May/08/13 Sep/30/14
Description: APPLIED MATERIALS (AMAT) 0020-31304 INSERT, NI, OUTER, 200MM POLY, 218MM NI
capitolareatech NEW - $1.00 0 May/08/13 Sep/05/13
Description: APPLIED MATERIALS (AMAT) 3790-01606 STDF M/F 1/4HEX 8-32 X 3/8THDXL SST
athomemarket NEW - $162.99 0 May/08/13 May/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
waste-not-recycling Used - $259.99 0 May/08/13 Jun/07/13
Description: Micro Memory MM-6500 Board Applied Materials AMAT 0100-00137 We Pulled, Used
athomemarket Used - $414.99 2 May/08/13 May/21/13
Description: Applied Materials AMAT 0010-30406 Dual Spring-Loaded Throttle Valve Assembly
athomemarket Used - $3,299.99 0 May/08/13 Jun/07/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
fleckstrade Scrap, for parts - $1,999.95 0 May/08/13 Sep/05/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
arizindo Used - $109.00 0 May/09/13 May/23/16
Description: Applied Materials AMAT 0010-09022 Slit Valve Assy
arizindo Used - $595.00 0 May/09/13 May/23/16
Description: Applied Materials AMAT 0010-00685 Pneumatic Assy
athomemarket NEW - $115.99 0 May/09/13 Jun/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $65.99 0 May/09/13 May/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $154.99 0 May/09/13 May/12/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket NEW - $211.99 0 May/10/13 Jun/09/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Used - $628.99 0 May/10/13 Jun/09/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
athomemarket Used - $816.99 0 May/10/13 Jun/09/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
athomemarket Refurbished - $112.99 0 May/10/13 Jun/09/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore NEW - $499.99 0 May/10/13 May/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $23.99 0 May/10/13 May/13/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
visionsemi Used - $399.99 0 May/15/13 May/22/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
yayais2012 NEW - $170.00 0 May/16/13 Jun/15/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
svcstore Used - $60.99 0 May/16/13 May/19/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket NEW - $162.99 0 May/16/13 Jun/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
athomemarket Refurbished - $2,547.99 0 May/16/13 Jun/15/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $109.99 0 May/16/13 Jun/15/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
svcstore Used - $154.99 0 May/15/13 May/18/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket Refurbished - $109.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $4,799.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $163.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket NEW - $413.99 0 May/15/13 Jun/14/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,999.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,399.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,299.99 0 May/15/13 Jun/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $137.99 0 May/15/13 Jun/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
bobsgoodies NEW - $117.00 0 May/15/13 Jun/10/13
Description: Applied Materials AMAT 3870-02296 VALVE 2WAY TEFLON; PARKER PV-3-1232
visionsemi NEW - $499.00 0 May/15/13 May/22/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi Used - $199.99 0 May/15/13 May/20/13
Description: APPLIED MATERIALS AMAT 0021-10223 LINER MXP CHAMBER
visionsemi Used - $15.49 1 May/15/13 May/20/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
athomemarket Used - $445.99 0 May/14/13 May/21/13
Description: Applied Materials AMAT 0010-76155 OBS Assy. P5000 DC Power Supply Precision 5000
athomemarket Used - $112.99 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $163.99 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Used - $261.99 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $162.99 0 May/14/13 Jun/13/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $499.99 0 May/14/13 May/21/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $86.99 0 May/14/13 May/21/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
bruce135 Used - $499.00 0 May/14/13 Jun/13/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
ptb-sales Used - $3,000.00 0 May/14/13 May/24/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 May/14/13 May/24/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket NEW - $212.99 0 May/17/13 Jun/16/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Used - $63.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $89.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket NEW - $99.99 0 May/17/13 Jun/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $4,465.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $6,199.99 0 May/17/13 Jun/16/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $142.99 0 May/17/13 Jun/16/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
svcstore Used - $23.99 0 May/17/13 May/20/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 May/17/13 May/20/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $154.99 1 May/18/13 May/20/13
Description: Applied Materials AMAT 0140-06462 25' KVM User Interface Harness Cable
athomemarket Used - $330.99 0 May/19/13 Jun/18/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
svcstore Used - $60.99 0 May/19/13 May/22/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
visionsemi Used - $1,700.00 0 May/20/13 Oct/23/13
Description: Applied Materials AMAT Comdel CPS-500 RF Generator 0190-13320 CPS500
athomemarket NEW - $105.99 0 May/20/13 Jun/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $115.99 0 May/20/13 Jun/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
ptb-sales Used - $780.00 0 May/20/13 May/30/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore NEW - $499.99 0 May/20/13 May/23/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $314.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $1,216.99 0 May/21/13 Jun/20/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $916.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $567.99 0 May/21/13 Jun/20/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $111.99 0 May/21/13 Jun/20/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
svcstore Used - $21.99 0 May/21/13 May/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
ocean-tech Used - $649.99 0 May/22/13 Jun/21/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
athomemarket Used - $445.99 0 May/22/13 Jun/21/13
Description: Applied Materials AMAT 0010-76155 OBS Assy. P5000 DC Power Supply Precision 5000
athomemarket NEW - $392.99 0 May/22/13 Jun/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $499.99 0 May/22/13 Jun/21/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $86.99 0 May/22/13 Jun/21/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
svcstore Used - $60.99 0 May/22/13 May/25/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
ptb-sales Used - $1,500.00 0 May/23/13 Jun/02/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
athomemarket Used - $73.99 0 May/23/13 Jun/22/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $112.99 0 May/23/13 Jun/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
visionsemi Used - $399.99 0 May/23/13 May/30/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
athomemarket NEW - $1,699.99 0 May/23/13 Jun/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 May/23/13 Jun/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket Used - $514.99 0 May/23/13 Jun/22/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $3,999.99 0 May/23/13 Jun/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
svcstore NEW - $499.99 0 May/23/13 May/26/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ptb-sales Used - $750.00 0 May/24/13 Jun/03/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 May/24/13 Jun/03/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $312.99 0 May/24/13 Jun/23/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $4,699.99 0 May/24/13 Jun/23/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
svcstore Used - $21.99 0 May/24/13 May/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
jojoequip NEW - $250.00 0 May/24/13 Jul/17/13
Description: APPLIED MATERIALS AMAT 0090-00154 PENDANT AND CABLE - NEW
svcstore Used - $60.99 0 May/25/13 May/28/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket Used - $289.99 0 May/26/13 Jun/25/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
athomemarket Used - $6,499.99 0 May/26/13 Jun/25/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,799.99 0 May/26/13 Jun/25/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $69.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $112.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $199.99 0 May/26/13 Jun/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
svcstore NEW - $499.99 0 May/26/13 May/29/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
chriswebber16 NEW - $799.99 0 May/27/13 Jun/26/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
svcstore Used - $21.99 0 May/27/13 May/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $523.99 0 May/28/13 Jun/27/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
trees_for_a_better_tomorrow Used - $398.75 1 May/28/13 Aug/17/15
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
svcstore Used - $60.99 0 May/28/13 May/31/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $499.99 0 May/29/13 Jun/01/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
electronicswest NEW - $25.00 0 May/30/13 Jun/06/13
Description: Applied Materials AMAT 0040-77799 P1 Retaining Ring Composite
athomemarket Used - $2,599.99 0 May/30/13 Jun/29/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,199.99 0 May/30/13 Jun/29/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore Used - $21.99 0 May/30/13 Jun/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
ptb-sales Used - $780.00 0 May/31/13 Jun/10/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $5,499.99 0 May/31/13 Jun/30/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
trees_for_a_better_tomorrow Used - $350.00 0 Jun/01/13 Jun/08/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
svcstore NEW - $499.99 0 Jun/01/13 Jun/04/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $4,199.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $819.99 0 Jun/01/13 Jul/01/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,799.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,999.99 0 Jun/01/13 Jul/01/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,799.99 0 Jun/01/13 Jul/01/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Jun/01/13 Jul/01/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
svcstore Used - $60.99 0 May/31/13 Jun/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket NEW - $5,499.99 0 Jun/02/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Scrap, for parts - $3,499.99 0 Jun/02/13 Jul/02/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $1,699.99 0 Jun/02/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,799.99 0 Jun/02/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket Used - $424.99 0 Jun/02/13 Jul/02/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
svcstore Used - $21.99 0 Jun/02/13 Jun/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $199.99 0 Jun/03/13 Jul/03/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $209.99 0 Jun/03/13 Jul/03/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $60.99 0 Jun/03/13 Jun/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket Used - $72.99 0 Jun/04/13 Jun/11/13
Description: Applied Materials AMAT 0150-76646 Centura Controller 75' Interconnect EMO Cable
athomemarket NEW - $99.99 0 Jun/04/13 Jul/04/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
svcstore NEW - $499.99 0 Jun/04/13 Jun/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
megawavz Used - $395.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Arc Linear Board 0120-90758
megawavz Used - $495.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT ARC Heatsink Module 0100-90095
megawavz Used - $295.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Motor Potentiometer Unit Board 0100-90052
megawavz Used - $205.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Temperature Servo Board 0120-90418
megawavz Used - $395.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Ion Gauge Power Supply 0100-90093
ptb-sales Used - $3,000.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,500.00 0 Jun/05/13 Jun/15/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
megawavz Used - $795.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Temperature Controller w/ 0090-90145, 0090-90194 Plug-ins
athomemarket NEW - $162.99 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT 0010-10954 Stainless Steel 8" Assembly JMF Blade SST
svcstore Used - $21.99 0 Jun/05/13 Jun/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 Used - $320.00 0 Jun/05/13 Jul/05/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 NEW - $15.00 0 Jun/05/13 Jul/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
marc-star NEW - $349.00 0 Jun/06/13 Aug/30/14
Description: Applied Materials AMAT DIP294-2 DeviceNet I/O 9090-00273
athomemarket NEW - $413.99 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Scrap, for parts - $109.99 0 Jun/06/13 Jul/06/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $161.99 2 Jun/06/13 Jul/02/13
Description: NEW Applied Materials AMAT 0010-25570 Blade Assembly
athomemarket Used - $1,199.99 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,199.99 0 Jun/06/13 Jul/06/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,117.99 0 Jun/06/13 Jul/06/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $409.99 0 Jun/06/13 Jul/06/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
partskorea1 Used - $2,999.00 0 Jun/06/13 Jun/09/13
Description: Applied Materials AMAT 0010-09416 RF MATCH PHASE IV P5000
svcstore Used - $60.99 0 Jun/06/13 Jun/09/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
visionsemi Used - $399.99 0 Jun/07/13 Oct/05/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi NEW - $499.00 0 Jun/07/13 Sep/05/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi Used - $300.00 0 Jun/07/13 Oct/01/13
Description: APPLIED MATERIALS AMAT 0021-10223 LINER MXP CHAMBER
athomemarket Refurbished - $462.99 0 Jun/07/13 Jul/07/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Refurbished - $129.99 0 Jun/07/13 Jul/07/13
Description: Applied Materials AMAT 0010-25570 Blade Assembly (Refurbished)
athomemarket Used - $3,299.99 0 Jun/07/13 Jul/07/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore NEW - $499.99 0 Jun/07/13 Jun/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $89.99 0 Jun/08/13 Jul/08/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $21.99 0 Jun/08/13 Jun/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $211.99 0 Jun/09/13 Jul/09/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Used - $628.99 1 Jun/09/13 Jun/19/13
Description: Applied Materials AMAT 0010-01830 IHC Assy.w/ 3 MKS 649A Pressure Controllers
athomemarket Refurbished - $112.99 0 Jun/09/13 Jul/09/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Used - $816.99 0 Jun/09/13 Jul/09/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
partskorea1 Used - $1,999.00 1 Jun/09/13 Mar/16/15
Description: Applied Materials AMAT 0010-09416 RF MATCH PHASE IV P5000
svcstore Used - $60.99 0 Jun/09/13 Jun/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
capitolareatech NEW - $750.00 0 Jun/10/13 Oct/03/14
Description: APPLIED MATERIALS (AMAT) 0021-77092 MOUNT-DRIVE MOTOR
capitolareatech NEW - $750.00 0 Jun/10/13 Oct/03/14
Description: APPLIED MATERIALS (AMAT) 0050-10247 WELDM'T,H2 FINAL,POS D,WXZ
capitolareatech NEW - $700.00 0 Jun/10/13 Sep/08/13
Description: APPLIED MATERIALS (AMAT) 0040-92614 POLISHED HEATSINK CASTING 200
capitolareatech NEW - $535.00 0 Jun/10/13 Sep/08/13
Description: APPLIED MATERIALS (AMAT) 0050-09399 WELDMENT,LINE 2, CHAMBER B
bobsgoodies NEW - $117.00 0 Jun/10/13 Jul/09/13
Description: Applied Materials AMAT 3870-02296 VALVE 2WAY TEFLON; PARKER PV-3-1232
capitolareatech NEW - $345.00 0 Jun/10/13 Oct/03/14
Description: APPLIED MATERIALS (AMAT) 0020-31609 COVER RF SHIELD INTERLCK DELTA SACVD ALU
athomemarket NEW - $263.99 0 Jun/10/13 Jul/10/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
visionsemi Used - $1,800.00 0 Jun/10/13 Oct/08/13
Description: 0010-09297 APPLIED MATERIALS AMAT TUNGSTEN POWER SUPPLY 15V
athomemarket Refurbished - $101.99 0 Jun/10/13 Jul/10/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
svcstore NEW - $499.99 0 Jun/10/13 Jun/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ptb-sales Used - $780.00 0 Jun/11/13 Jun/21/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
marc-star NEW - $349.00 0 Jun/12/13 Jun/12/13
Description: Applied Materials AMAT DIP294-2 DeviceNet I/O 9090-00273
athomemarket Refurbished - $109.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $163.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket NEW - $413.99 0 Jun/14/13 Jul/14/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,799.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $3,999.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,399.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,299.99 0 Jun/14/13 Jul/14/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $137.99 0 Jun/14/13 Jul/14/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $163.99 0 Jun/13/13 Jul/13/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Used - $112.99 0 Jun/13/13 Jul/13/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket NEW - $162.99 0 Jun/13/13 Jul/13/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $261.99 0 Jun/13/13 Jul/13/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $232.99 0 Jun/12/13 Jul/12/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Used - $72.99 1 Jun/12/13 Jun/16/13
Description: Applied Materials AMAT 0150-76646 Centura Controller 75' Interconnect EMO Cable
athomemarket NEW - $61.99 0 Jun/12/13 Jul/12/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $131.99 0 Jun/12/13 Jul/12/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket Refurbished - $416.99 0 Jun/12/13 Jul/12/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket Refurbished - $206.99 0 Jun/12/13 Jul/12/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
svcstore Used - $21.99 0 Jun/11/13 Jun/14/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Refurbished - $516.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $214.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $101.99 0 Jun/11/13 Jul/11/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Jun/11/13 Jul/11/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
svcstore Used - $55.99 0 Jun/14/13 Jun/17/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $21.99 0 Jun/14/13 Jun/17/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Jun/14/13 Jun/17/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Refurbished - $2,547.99 0 Jun/15/13 Jul/15/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $109.99 0 Jun/15/13 Jun/21/13
Description: NEW Applied Materials AMAT 0010-70876 SMC Cylinder Valve NCDQ2 Assembly 1/4" NPT
athomemarket NEW - $162.99 0 Jun/15/13 Jul/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
athomemarket NEW - $212.99 0 Jun/16/13 Jul/16/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Used - $89.99 0 Jun/16/13 Jul/16/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $63.99 0 Jun/16/13 Jul/16/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
sellyoursurplus NEW - $95.00 0 Jun/16/13 Jun/19/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
sellyoursurplus NEW - $250.00 0 Jun/16/13 Jun/19/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Jun/16/13 Jun/19/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
ptb-sales Used - $3,000.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,500.00 0 Jun/17/13 Jun/27/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
athomemarket Refurbished - $142.99 0 Jun/17/13 Jul/17/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $6,199.99 0 Jun/17/13 Jul/17/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,465.99 0 Jun/17/13 Jul/17/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $99.99 0 Jun/17/13 Jul/17/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $2,599.99 0 Jun/17/13 Jun/24/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
svcstore Used - $55.99 0 Jun/17/13 Jun/20/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $499.99 0 Jun/17/13 Jun/20/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 NEW - $170.00 0 Jun/18/13 Jun/18/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
yayais2012 NEW - $170.00 0 Jun/18/13 Jul/18/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket Used - $330.99 0 Jun/18/13 Jul/18/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
svcstore Used - $19.99 0 Jun/18/13 Jun/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $105.99 0 Jun/19/13 Jul/19/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $89.99 0 Jun/19/13 Jul/19/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
capitolareatech NEW - $1.00 0 Jun/19/13 Jul/15/13
Description: APPLIED MATERIALS (AMAT 3880-01023 WSHRLKG SPLIT #6 .25OD X .148ID X .031TH
capitolareatech NEW - $129.10 2 Jun/19/13 Apr/13/14
Description: Applied Materials (AMAT) 0100-35248 PCBA, TC INTLK Trip 350 Degree C Reset 275
capitolareatech NEW - $46.87 0 Jun/19/13 Sep/12/14
Description: APPLIED MATERIALS (AMAT) 0050-05006 WELDMENT, MANIFOLD, BYPASS - VAP
capitolareatech NEW - $650.00 0 Jun/19/13 Sep/17/13
Description: APPLIED MATERIALS (AMAT) 0021-26425 COVER, CESC UNIVERSAL DPS2
capitolareatech NEW - $303.75 0 Jun/19/13 Sep/17/13
Description: APPLIED MATERIALS (AMAT) 0190-25307 CONTROLLER, VALVE PRESSURE PM-6 T.G.V. W
capitolareatech NEW - $60.75 1 Jun/19/13 Feb/05/14
Description: Applied Materials (AMAT) 3870-01086 VALVE PNEU BLWS 2WAY 1/4VCR-F/F N/O 1/8NPT S
capitolareatech NEW - $47.34 0 Jun/19/13 Sep/16/14
Description: Applied Materials (AMAT) 3870-02677 VALVE MNL DIAPH 1/4VCR-F/M 1/4TURN-HDL VIM V
capitolareatech NEW - $23.67 0 Jun/19/13 Sep/12/14
Description: Applied Materials (AMAT) 3870-01828 VALVE BLOCK DIAPH 1/4VCR-F/F/F NC/NC VIM VAR
capitolareatech NEW - $37.50 0 Jun/19/13 Sep/12/14
Description: APPLIED MATERIALS (AMAT) 0040-94220 LEAD SHIELD,SIDE
capitolareatech NEW - $4.05 0 Jun/19/13 Sep/17/13
Description: Applied Materials (AMAT) 3300-02746 FTG TUBE CONN 1/4T X 1/2MP SST
svcstore Used - $19.99 0 Jun/21/13 Jun/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $445.99 0 Jun/21/13 Jul/21/13
Description: Applied Materials AMAT 0010-76155 OBS Assy. P5000 DC Power Supply Precision 5000
athomemarket NEW - $86.99 0 Jun/21/13 Jul/21/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $299.99 0 Jun/21/13 Jul/21/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
capitolareatech NEW - $45.00 0 Jun/21/13 Sep/19/13
Description: APPLIED MATERIALS (AMAT) 0020-51402 ADAPTOR, PASSAGE
ptb-sales Used - $780.00 0 Jun/21/13 Jul/01/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
sellyoursurplus NEW - $95.00 0 Jun/20/13 Jun/30/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
svcstore NEW - $499.99 0 Jun/20/13 Jun/23/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
sellyoursurplus NEW - $250.00 0 Jun/20/13 Jun/30/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Jun/20/13 Jun/30/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
svcstore Used - $55.99 0 Jun/20/13 Jun/23/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket Used - $1,216.99 0 Jun/20/13 Jul/20/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $916.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $567.99 0 Jun/20/13 Jul/20/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $111.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $314.99 0 Jun/20/13 Jul/20/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $499.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $3,999.99 0 Jun/22/13 Jul/22/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $112.99 0 Jun/22/13 Jul/22/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $73.99 0 Jun/22/13 Jul/22/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $1,699.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 Jun/22/13 Jul/22/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $312.99 0 Jun/23/13 Jul/23/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $514.99 0 Jun/23/13 Jul/23/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
svcstore Used - $55.99 0 Jun/23/13 Jun/26/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $499.99 0 Jun/23/13 Jun/26/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $4,699.99 0 Jun/24/13 Jul/24/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
svcstore Used - $19.99 0 Jun/24/13 Jun/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $199.99 1 Jun/25/13 Jul/25/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $2,340.99 0 Jun/25/13 Jul/02/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $289.99 0 Jun/25/13 Jul/25/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
athomemarket Used - $6,799.99 0 Jun/25/13 Jul/25/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket Used - $6,499.99 0 Jun/25/13 Jul/25/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $199.99 0 Jun/25/13 Jul/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $112.99 0 Jun/26/13 Jul/26/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $69.99 0 Jun/26/13 Jul/26/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
svcstore Used - $55.99 0 Jun/26/13 Jun/29/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $499.99 0 Jun/26/13 Jun/29/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ocean-tech Used - $529.99 0 Jun/27/13 Jul/27/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
ptb-sales Used - $3,000.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,500.00 0 Jun/27/13 Jul/07/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
athomemarket NEW - $523.99 0 Jun/27/13 Jul/27/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore Used - $19.99 0 Jun/27/13 Jun/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
capitolareatech NEW - $46.87 0 Jun/28/13 Sep/21/14
Description: APPLIED MATERIALS (AMAT) 0050-04725 WELDMENT, LINE 2, CHAMBER D
athomemarket NEW - $1,199.99 0 Jun/29/13 Jul/29/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,599.99 0 Jun/29/13 Jul/29/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
getsurplusherenow NEW - $245.00 0 Jun/29/13 Jul/06/13
Description: Applied Materials; AMAT 0100-20197 PCB, CONVECTRON GAUGE INTERCONNECT
svcstore Used - $55.99 0 Jun/29/13 Jul/02/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket Used - $5,499.99 0 Jun/30/13 Jul/30/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
svcstore NEW - $499.99 0 Jun/30/13 Jul/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $19.99 0 Jun/30/13 Jul/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $5,899.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
bruce135 Used - $499.00 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket NEW - $5,899.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $4,199.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $819.99 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,799.99 0 Jul/01/13 Jul/31/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,999.99 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,799.99 0 Jul/01/13 Jul/31/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
trees_for_a_better_tomorrow Used - $350.00 0 Jul/01/13 Jul/08/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
capitolareatech NEW - $1.01 0 Jul/02/13 Jul/25/13
Description: Applied Materials (AMAT) 3690-03680 SCR FLT HD 8-32X1-1/4L SLOT NYLON
ptb-sales Used - $780.00 0 Jul/02/13 Jul/12/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Scrap, for parts - $3,149.99 0 Jul/02/13 Aug/01/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $4,949.99 0 Jul/02/13 Aug/01/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $1,619.99 0 Jul/02/13 Aug/01/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket Used - $382.49 0 Jul/02/13 Aug/01/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
svcstore Used - $55.99 0 Jul/02/13 Jul/05/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
neilan1987 Used - $49.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
athomemarket NEW - $1,529.99 0 Jul/03/13 Aug/02/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket Used - $188.99 0 Jul/03/13 Aug/02/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $2,106.89 0 Jul/03/13 Aug/02/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $179.99 0 Jul/03/13 Aug/02/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore NEW - $499.99 0 Jul/03/13 Jul/06/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $19.99 0 Jul/03/13 Jul/06/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
neilan1987 Used - $149.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
neilan1987 NEW - $349.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 NEW - $349.99 0 Jul/03/13 Jul/10/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
wedone NEW - $375.00 0 Jul/04/13 Aug/03/13
Description: Applied Materials AMAT 0240-76382 Centura robot Cal tool kit, standard
athomemarket NEW - $89.99 0 Jul/04/13 Aug/03/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket NEW - $146.69 1 Jul/05/13 Aug/02/13
Description: Applied Materials AMAT 0010-10954 Stainless Steel 8" Assembly JMF Blade SST
svcstore Used - $55.99 0 Jul/05/13 Jul/08/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
yayais2012 Used - $320.00 0 Jul/06/13 Aug/05/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 NEW - $15.00 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $1,006.19 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $368.99 0 Jul/06/13 Aug/05/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $372.59 0 Jul/06/13 Aug/05/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $1,079.99 0 Jul/06/13 Aug/05/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,079.99 0 Jul/06/13 Aug/05/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
svcstore NEW - $499.99 0 Jul/06/13 Jul/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $19.99 0 Jul/06/13 Jul/09/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Refurbished - $416.69 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Refurbished - $129.99 0 Jul/07/13 Jul/22/13
Description: Applied Materials AMAT 0010-25570 Blade Assembly (Refurbished)
athomemarket Scrap, for parts - $98.99 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $2,969.99 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
chriswebber16 NEW - $499.99 0 Jul/07/13 Aug/06/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
ptb-sales Used - $1,500.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
eisale1535 NEW - $1,999.00 1 Jul/08/13 Oct/03/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly
ptb-sales Used - $750.00 0 Jul/08/13 Jul/18/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket NEW - $80.99 0 Jul/08/13 Aug/07/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $219.99 0 Jul/08/13 Jul/15/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $297.89 0 Jul/18/13 Aug/17/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
ptb-sales Used - $3,000.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,500.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jul/18/13 Jul/28/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
yayais2012 NEW - $170.00 0 Jul/18/13 Aug/17/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
neilan1987 NEW - $299.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 NEW - $299.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 Used - $99.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
athomemarket NEW - $5,579.99 0 Jul/17/13 Aug/16/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,019.39 0 Jul/17/13 Aug/16/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $89.99 0 Jul/17/13 Aug/16/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Refurbished - $128.69 0 Jul/17/13 Aug/16/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
neilan1987 Used - $39.99 0 Jul/17/13 Jul/24/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
oka1298 NEW - $1.49 0 Jul/17/13 Dec/04/15
Description: Applied Materials AMAT SS Screw, 5/16-18x1 3690-01210 ( lot of 3)
jojoequip NEW - $75.00 0 Jul/17/13 Nov/11/13
Description: APPLIED MATERIALS AMAT 0020-28754 PEDESTAL COVER - NEW
dr.fantom Used - $600.00 0 Jul/17/13 Feb/12/15
Description: Applied Materials AMAT 0190-14415 PRESSURE DISPLAY 300MM FI PANEL
svcstore Used - $17.99 0 Jul/16/13 Jul/19/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
odysseystudios Used - $2,900.00 0 Jul/16/13 Sep/12/17
Description: Applied Materials AMAT MOLB-II 0195-01346 Rev 3 with 60 day warranty
odysseystudios Used - $2,900.00 0 Jul/16/13 Jul/24/23
Description: * Refurb* Applied Materials AMAT 0242-70274 Rev E6 Kit with 30 day warranty
athomemarket NEW - $191.69 0 Jul/16/13 Aug/15/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Used - $57.59 0 Jul/16/13 Aug/15/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $80.99 0 Jul/16/13 Aug/15/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $198.99 0 Jul/16/13 Jul/23/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,319.99 0 Jul/16/13 Aug/15/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket NEW - $146.69 0 Jul/16/13 Aug/15/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
neilan1987 NEW - $379.99 0 Jul/16/13 Jul/23/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
svcstore NEW - $499.99 0 Jul/15/13 Jul/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Jul/15/13 Jul/18/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore NEW - $499.99 0 Jul/18/13 Jul/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Jul/18/13 Jul/21/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
neilan1987 NEW - $39.99 0 Jul/18/13 Jul/25/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
svcstore Used - $17.99 0 Jul/19/13 Jul/22/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $95.39 0 Jul/19/13 Aug/18/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 Jul/19/13 Aug/18/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $283.49 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $1,095.29 0 Jul/20/13 Aug/19/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $825.29 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $511.19 0 Jul/20/13 Aug/19/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $100.79 0 Jul/20/13 Aug/19/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
allpart2013 Used - $999.00 2 Jul/21/13 Jul/22/13
Description: Applied Materials AMAT 0010-77682 rev 02P NP420-DN1 Pneumatic Station
athomemarket NEW - $78.29 0 Jul/21/13 Aug/20/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket Used - $445.99 1 Jul/21/13 Jul/25/13
Description: Applied Materials AMAT 0010-76155 OBS Assy. P5000 DC Power Supply Precision 5000
athomemarket NEW - $269.99 0 Jul/21/13 Aug/20/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
svcstore Used - $50.99 0 Jul/21/13 Jul/24/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket NEW - $449.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $3,599.99 0 Jul/22/13 Aug/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $101.69 0 Jul/22/13 Aug/21/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $66.59 0 Jul/22/13 Aug/21/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $1,619.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,529.99 0 Jul/22/13 Aug/21/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
svcstore NEW - $499.99 0 Jul/22/13 Jul/25/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $17.99 0 Jul/22/13 Jul/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $281.69 0 Jul/23/13 Aug/22/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $463.49 0 Jul/23/13 Aug/22/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
neilan1987 NEW - $349.99 0 Jul/23/13 Jul/30/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
athomemarket Used - $4,229.99 0 Jul/24/13 Aug/23/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $179.09 0 Jul/24/13 Aug/23/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
neilan1987 Used - $39.99 0 Jul/24/13 Jul/31/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
athomemarket Used - $260.99 0 Jul/25/13 Aug/24/13
Description: Applied Materials AMAT 0110-76000 PCB Assembly Remote Digital I/O
athomemarket Used - $6,119.99 0 Jul/25/13 Aug/24/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket Used - $5,849.99 0 Jul/25/13 Aug/24/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $179.99 0 Jul/25/13 Aug/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore NEW - $499.99 0 Jul/25/13 Jul/28/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Jul/25/13 Jul/28/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
neilan1987 NEW - $279.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 NEW - $279.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 Used - $89.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
svcstore Used - $17.99 0 Jul/25/13 Jul/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
neilan1987 NEW - $39.99 0 Jul/25/13 Aug/01/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
athomemarket NEW - $101.69 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $62.99 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $179.99 0 Jul/26/13 Aug/25/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $471.59 0 Jul/27/13 Aug/26/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
athomemarket Used - $2,339.99 0 Jul/29/13 Aug/28/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
ptb-sales Used - $3,000.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $1,500.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jul/29/13 Aug/08/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore Used - $17.99 0 Jul/28/13 Jul/31/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Jul/28/13 Jul/31/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Jul/28/13 Jul/31/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket NEW - $1,079.99 0 Jul/29/13 Aug/28/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
imca00 Scrap, for parts - $1,500.00 0 Jul/29/13 Aug/03/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
imca00 Scrap, for parts - $1,550.00 0 Jul/29/13 Aug/03/13
Description: Applied Materials AMAT Vectra IMP Source Box for PVD Chamber p/n: 0010-13622
athomemarket Used - $4,949.99 0 Jul/30/13 Aug/29/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
ocean-tech Used - $429.99 0 Jul/30/13 Aug/29/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
athomemarket NEW - $3,779.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $737.99 0 Jul/31/13 Aug/30/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,219.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,499.99 0 Jul/31/13 Aug/30/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,419.99 0 Jul/31/13 Aug/30/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,309.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,309.99 0 Jul/31/13 Aug/30/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
svcstore NEW - $499.99 0 Jul/31/13 Aug/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Jul/31/13 Aug/03/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $17.99 0 Jul/31/13 Aug/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
bruce135 Used - $499.00 0 Aug/01/13 Aug/31/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket Used - $382.49 0 Aug/01/13 Aug/31/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Scrap, for parts - $3,149.99 0 Aug/01/13 Aug/31/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $4,949.99 0 Aug/01/13 Aug/31/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $1,529.99 0 Aug/02/13 Sep/01/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,619.99 0 Aug/02/13 Sep/01/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket Used - $188.99 0 Aug/02/13 Sep/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $2,106.89 0 Aug/02/13 Sep/01/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $179.99 0 Aug/02/13 Sep/01/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
neilan1987 NEW - $299.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
neilan1987 Used - $89.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
neilan1987 NEW - $199.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 NEW - $199.99 0 Aug/02/13 Aug/09/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
athomemarket NEW - $89.99 0 Aug/03/13 Sep/02/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
svcstore NEW - $499.99 0 Aug/03/13 Aug/06/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Aug/03/13 Aug/06/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
trees_for_a_better_tomorrow Used - $350.00 0 Aug/03/13 Aug/10/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
imca00 Scrap, for parts - $1,550.00 1 Aug/04/13 Aug/09/13
Description: Applied Materials AMAT Vectra IMP Source Box for PVD Chamber p/n: 0010-13622
imca00 Scrap, for parts - $1,500.00 0 Aug/04/13 Aug/09/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
svcstore Used - $17.99 0 Aug/04/13 Aug/07/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 Used - $320.00 0 Aug/05/13 Sep/04/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 NEW - $15.00 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
wedone NEW - $275.00 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT 0240-76382 Centura robot Cal tool kit, standard
athomemarket NEW - $1,006.19 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $368.99 0 Aug/05/13 Sep/04/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $372.59 0 Aug/05/13 Sep/04/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
electronicswest NEW - $200.00 0 Aug/06/13 Aug/13/13
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
athomemarket Scrap, for parts - $98.99 0 Aug/06/13 Sep/05/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,079.99 0 Aug/06/13 Sep/05/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,079.99 0 Aug/06/13 Sep/05/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Refurbished - $416.69 0 Aug/06/13 Sep/05/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
svcstore NEW - $499.99 0 Aug/06/13 Aug/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Aug/06/13 Aug/09/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
shadow15b NEW - $125.00 0 Aug/07/13 May/08/14
Description: APPLIED MATERIALS AMAT ADO-R UTILITY CART 300MM / 0190-22462 / 408412-WK / NEW
athomemarket NEW - $80.99 0 Aug/07/13 Sep/06/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $2,969.99 0 Aug/07/13 Sep/06/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Used - $17.99 0 Aug/07/13 Aug/10/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
electronicswest NEW - $500.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0190-23501 TS Heated Weldment 17.75 , KF40/50
ptb-sales Used - $750.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $1,500.00 3 Aug/08/13 Aug/09/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Aug/08/13 Aug/18/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
electronicswest NEW - $50.00 0 Aug/08/13 Aug/15/13
Description: Applied Materials AMAT 0010-30659 Lid Lift Fixture Tetra II
electronicswest NEW - $75.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0021-30912 Single Coil Tilt Ring , .20
electronicswest NEW - $75.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0021-30902 Single Coil Tilt Ring , .30
electronicswest NEW - $100.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0150-76186 EMC Comp., Cable Assy , Pump Umbilical
electronicswest Used - $2,000.00 0 Aug/09/13 Aug/12/13
Description: Applied Materials AMAT 0040-70407 Rev 002 PEDESTAL ASSY, EQ POTENTIAL,PHOTOMASK
athomemarket Refurbished - $101.69 0 Aug/09/13 Sep/08/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $190.79 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
ptb-sales Used - $2,500.00 0 Aug/09/13 Aug/19/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
neilan1987 NEW - $279.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
electronicswest NEW - $75.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
electronicswest NEW - $75.00 0 Aug/09/13 Aug/14/13
Description: Applied Materials AMAT 0021-30913 Single Coil Tilt Ring , .25
athomemarket NEW - $237.59 0 Aug/09/13 Sep/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
svcstore NEW - $499.99 0 Aug/09/13 Aug/12/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $50.99 0 Aug/09/13 Aug/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
neilan1987 NEW - $199.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 NEW - $199.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 Used - $79.99 0 Aug/09/13 Aug/16/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
athomemarket Refurbished - $101.99 1 Aug/10/13 Aug/16/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Oxide Etch
athomemarket Refurbished - $175.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $175.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $193.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $193.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $175.49 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $465.29 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket NEW - $446.39 0 Aug/10/13 Sep/09/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $91.79 0 Aug/10/13 Sep/09/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket Used - $816.99 3 Aug/10/13 Aug/19/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
svcstore Used - $17.99 0 Aug/10/13 Aug/13/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
dr.fantom Used - $500.00 0 Aug/11/13 Feb/12/15
Description: Applied Materials AMAT 0100-20012 PCB ASSY ISOLATION AMPLIFIER
imca00 Scrap, for parts - $2,000.00 0 Aug/11/13 Sep/30/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
athomemarket Refurbished - $375.29 0 Aug/11/13 Sep/10/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket Refurbished - $186.29 0 Aug/11/13 Sep/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
chriswebber16 NEW - $499.99 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
electronicswest Used - $500.00 0 Aug/12/13 Aug/15/13
Description: Applied Materials AMAT 0040-70407 Rev 002 PEDESTAL ASSY, EQ POTENTIAL,PHOTOMASK
athomemarket Used - $101.69 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $147.59 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Used - $235.79 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $146.69 0 Aug/12/13 Sep/11/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $209.69 0 Aug/12/13 Sep/11/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $55.79 0 Aug/12/13 Sep/11/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $118.79 0 Aug/12/13 Sep/11/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
neilan1987 NEW - $49.99 0 Aug/12/13 Aug/19/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
neilan1987 Used - $59.99 0 Aug/12/13 Aug/19/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
athomemarket Used - $2,159.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket NEW - $124.19 0 Aug/13/13 Sep/12/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $2,969.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,599.99 0 Aug/13/13 Sep/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
electronicswest NEW - $75.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
electronicswest NEW - $75.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0150-76186 EMC Comp., Cable Assy , Pump Umbilical
electronicswest NEW - $55.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0021-30913 Single Coil Tilt Ring , .25
electronicswest NEW - $55.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0021-30902 Single Coil Tilt Ring , .30
electronicswest NEW - $55.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0021-30912 Single Coil Tilt Ring , .20
electronicswest NEW - $100.00 0 Aug/14/13 Aug/21/13
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
athomemarket Refurbished - $98.99 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket NEW - $372.59 0 Aug/14/13 Sep/13/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $147.59 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $2,293.19 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
neilan1987 NEW - $1,499.99 0 Aug/14/13 Sep/13/13
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
electronicswest NEW - $50.00 0 Aug/15/13 Aug/22/13
Description: Applied Materials AMAT 0010-30659 Lid Lift Fixture Tetra II
electronicswest Used - $400.00 0 Aug/15/13 Aug/22/13
Description: Applied Materials AMAT 0040-70407 Rev 002 PEDESTAL ASSY, EQ POTENTIAL,PHOTOMASK
athomemarket Used - $57.59 0 Aug/15/13 Sep/14/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $80.99 0 Aug/15/13 Sep/14/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $4,319.99 0 Aug/15/13 Sep/14/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket NEW - $162.99 1 Aug/15/13 Aug/20/13
Description: NEW Applied Materials AMAT 0020-09029 Covering Pipe Rev. C Internal Cover
athomemarket NEW - $191.69 0 Aug/15/13 Sep/14/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
svcstore Used - $15.99 0 Aug/15/13 Aug/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Aug/15/13 Aug/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $46.99 0 Aug/15/13 Aug/18/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket Used - $4,019.39 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $5,579.99 0 Aug/16/13 Sep/15/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $128.69 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $89.99 0 Aug/16/13 Sep/15/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
neilan1987 NEW - $399.99 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
neilan1987 NEW - $279.99 0 Aug/16/13 Aug/23/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
neilan1987 NEW - $279.99 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
neilan1987 Used - $129.99 0 Aug/16/13 Sep/15/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
yayais2012 NEW - $170.00 0 Aug/17/13 Sep/16/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket Used - $297.89 0 Aug/17/13 Sep/16/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
allpart2013 Used - $400.00 0 Aug/18/13 Sep/02/19
Description: Applied Materials AMAT FINGER ASSY PEEK, LONG, WALKING BEAM 300mm 0010-15708
athomemarket NEW - $95.39 0 Aug/18/13 Sep/17/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 Aug/18/13 Sep/17/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
svcstore NEW - $499.99 0 Aug/18/13 Aug/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $15.99 0 Aug/18/13 Aug/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore Used - $46.99 0 Aug/18/13 Aug/21/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
ptb-sales Used - $750.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Aug/19/13 Aug/29/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
electronicswest NEW - $250.00 0 Aug/19/13 Aug/26/13
Description: Applied Materials AMAT 0190-23501 TS Heated Weldment 17.75 , KF40/50
athomemarket Scrap, for parts - $2,999.99 0 Aug/19/13 Aug/26/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,499.99 0 Aug/19/13 Aug/26/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket NEW - $283.49 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $1,095.29 0 Aug/19/13 Sep/18/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $825.29 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $511.19 0 Aug/19/13 Sep/18/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $100.79 0 Aug/19/13 Sep/18/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket Used - $2,799.99 0 Aug/19/13 Aug/26/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
neilan1987 Used - $59.99 0 Aug/19/13 Sep/18/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 NEW - $39.99 0 Aug/19/13 Sep/18/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
athomemarket NEW - $78.29 0 Aug/20/13 Sep/19/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $269.99 0 Aug/20/13 Sep/19/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $101.69 0 Aug/21/13 Sep/20/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $66.59 0 Aug/21/13 Sep/20/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $1,529.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,619.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $449.99 0 Aug/21/13 Sep/20/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
bruce135 Used - $299.00 0 Aug/21/13 Sep/20/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
svcstore NEW - $499.99 0 Aug/21/13 Aug/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $15.99 0 Aug/21/13 Aug/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
sellyoursurplus NEW - $75.00 0 Aug/21/13 Aug/28/13
Description: Lot of 20 New OEM Genuine Applied Materials AMAT Lamp Bulbs 0190-14083
svcstore Used - $46.99 0 Aug/21/13 Aug/24/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
megawavz Used - $395.00 0 Aug/22/13 Sep/21/13
Description: Applied Materials AMAT Arc Linear Board 0120-90758
electronicswest NEW - $100.00 0 Aug/22/13 Aug/29/13
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
electronicswest NEW - $55.00 0 Aug/22/13 Aug/29/13
Description: Applied Materials AMAT 0150-76186 EMC Comp., Cable Assy , Pump Umbilical
electronicswest NEW - $55.00 0 Aug/22/13 Aug/29/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
athomemarket NEW - $281.69 0 Aug/22/13 Sep/21/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $463.49 0 Aug/22/13 Sep/21/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $3,599.99 0 Aug/22/13 Sep/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
sellyoursurplus NEW - $250.00 0 Aug/23/13 Aug/30/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Aug/23/13 Aug/30/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
megawavz Used - $395.00 0 Aug/23/13 Sep/22/13
Description: Applied Materials AMAT Temperature Controller w/ 0090-90145, 0090-90194 Plug-ins
athomemarket Used - $179.09 0 Aug/23/13 Sep/22/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
sellyoursurplus NEW - $95.00 0 Aug/23/13 Aug/30/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
athomemarket Used - $4,229.99 0 Aug/23/13 Sep/22/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
ptb-sales Used - $750.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Aug/29/13 Sep/08/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $4,949.99 0 Aug/29/13 Sep/28/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
athomemarket NEW - $1,079.99 0 Aug/28/13 Sep/27/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,339.99 0 Aug/28/13 Sep/27/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
svcstore Used - $46.99 0 Aug/27/13 Aug/30/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $15.99 0 Aug/27/13 Aug/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Aug/27/13 Aug/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $2,520.99 0 Aug/27/13 Sep/03/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $2,250.99 0 Aug/27/13 Sep/03/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
ptb-sales Used - $2,500.00 0 Aug/27/13 Sep/06/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
athomemarket Scrap, for parts - $2,700.99 0 Aug/27/13 Sep/03/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket NEW - $471.59 0 Aug/26/13 Sep/25/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
electronicswest NEW - $125.00 0 Aug/26/13 Sep/02/13
Description: Applied Materials AMAT 0190-23501 TS Heated Weldment 17.75 , KF40/50
liquiditech Used - $150.00 1 Aug/30/13 Sep/24/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
antnie NEW - $17.99 1 Aug/30/13 May/12/21
Description: Appled Materials AMAT 3700-01091 O-Ring 20" ID .210 CSD (-389) 70 Duro Viton
athomemarket Used - $819.99 1 Aug/30/13 Sep/05/13
Description: Applied Materials AMAT 0010-23343 Rev. 003 Chamber Module Controller 300mm Etch
athomemarket NEW - $5,219.99 0 Aug/30/13 Sep/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,499.99 0 Aug/30/13 Sep/29/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,309.99 0 Aug/30/13 Sep/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,309.99 0 Aug/30/13 Sep/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,419.99 0 Aug/30/13 Sep/29/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
ocean-tech Used - $429.99 0 Aug/30/13 Sep/29/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
svcstore Used - $15.99 0 Aug/30/13 Sep/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Aug/30/13 Sep/02/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $46.99 0 Aug/30/13 Sep/02/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket Scrap, for parts - $3,149.99 0 Aug/31/13 Sep/30/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $3,779.99 0 Aug/31/13 Sep/30/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
sellyoursurplus NEW - $95.00 0 Aug/31/13 Sep/07/13
Description: Lot of 20 New Applied Materials AMAT Lamp 0190-14083
athomemarket NEW - $4,949.99 0 Aug/31/13 Sep/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
sellyoursurplus NEW - $250.00 0 Aug/31/13 Sep/07/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
sellyoursurplus NEW - $95.00 0 Aug/31/13 Sep/07/13
Description: Lot of 2 New Tenta AS00860-01 FDD-0860 Board Applied Materials AMAT 0660-00170
dr.fantom Used - $1,500.00 1 Sep/01/13 Sep/09/13
Description: Applied Materials AMAT 0010-30321 0021-89134-002 CENTURA TCG 300mm Rotation
sellyoursurplus NEW - $75.00 0 Aug/31/13 Sep/07/13
Description: Lot of 20 New OEM Genuine Applied Materials AMAT Lamp Bulbs 0190-14083
athomemarket NEW - $179.99 0 Sep/01/13 Oct/01/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket NEW - $1,529.99 0 Sep/01/13 Sep/25/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK CBL Assembly
athomemarket NEW - $1,619.99 0 Sep/01/13 Sep/25/13
Description: NEW Applied Materials AMAT 0010-37143 Ceramic Chuck 200mm/8" THK Assembly (Cert)
athomemarket Used - $735.29 0 Sep/01/13 Sep/06/13
Description: Applied Materials AMAT 0010-01442 Uwave Microwave Endpoint Detector Assy.
athomemarket Used - $382.49 0 Sep/01/13 Oct/01/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Used - $188.99 0 Sep/01/13 Oct/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket Used - $2,106.89 0 Sep/01/13 Oct/01/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $89.99 0 Sep/02/13 Oct/02/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
svcstore Used - $46.99 0 Sep/06/13 Sep/09/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
athomemarket NEW - $1,006.19 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $368.99 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $372.59 0 Sep/04/13 Oct/04/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $2,268.89 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,430.89 0 Sep/04/13 Oct/04/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,025.89 0 Sep/04/13 Oct/04/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
wedone NEW - $250.00 1 Sep/04/13 Sep/26/13
Description: Applied Materials AMAT 0240-76382 Centura robot Cal tool kit, standard
visionsemi Used - $850.00 0 Sep/05/13 Oct/05/13
Description: APPLIED MATERIALS AMAT TILT OUT CASSETTE ENDURA PVD 200MM 8" 0020-75108
yayais2012 Used - $320.00 1 Sep/05/13 Sep/24/13
Description: FESTO CONTROL SYSTEMS APPLIED MATERIALS AMAT PN: 0010-77557 FACILITY INTERFACE
yayais2012 NEW - $15.00 0 Sep/05/13 Oct/05/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $80.99 0 Sep/06/13 Oct/06/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $15.99 0 Sep/05/13 Sep/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Sep/05/13 Sep/08/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Refurbished - $416.69 0 Sep/05/13 Oct/05/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Scrap, for parts - $98.99 0 Sep/05/13 Oct/05/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,079.99 0 Sep/05/13 Oct/05/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,079.99 0 Sep/05/13 Oct/05/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $2,969.99 0 Sep/06/13 Oct/06/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket Refurbished - $101.69 0 Sep/08/13 Oct/08/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $190.79 0 Sep/08/13 Oct/08/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $237.59 0 Sep/08/13 Oct/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
svcstore NEW - $499.99 0 Sep/08/13 Sep/11/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $15.99 0 Sep/08/13 Sep/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $446.39 0 Sep/09/13 Oct/09/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $91.79 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket Refurbished - $175.49 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $175.49 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $193.49 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $175.49 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $465.29 0 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $193.49 1 Sep/09/13 Oct/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
ptb-sales Used - $750.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Sep/09/13 Sep/19/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
svcstore Used - $46.99 0 Sep/09/13 Sep/12/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
onlinesmt Used - $375.00 0 Sep/10/13 Sep/17/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
athomemarket Refurbished - $186.29 0 Sep/10/13 Oct/10/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket Refurbished - $118.79 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $209.69 0 Sep/11/13 Oct/11/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Used - $235.79 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $146.69 0 Sep/11/13 Oct/11/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $55.79 0 Sep/11/13 Oct/11/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $375.29 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket Used - $101.69 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $147.59 0 Sep/11/13 Oct/11/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
chriswebber16 NEW - $399.99 0 Sep/12/13 Oct/12/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
athomemarket NEW - $124.19 0 Sep/12/13 Oct/12/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
visionsemi Used - $55.00 0 Sep/12/13 Oct/12/13
Description: 0620-01044 APPLIED MATERIALS AMAT GRANVILLE PHILIPS CONVECTRON GAUGE CABLE
svcstore NEW - $499.99 0 Sep/12/13 Sep/15/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
electronicswest NEW - $55.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0021-30912 Single Coil Tilt Ring , .20
electronicswest NEW - $70.00 0 Sep/13/13 Sep/18/13
Description: Applied Materials AMAT 0190-23501 TS Heated Weldment 17.75 , KF40/50
electronicswest Used - $70.00 0 Sep/13/13 Sep/18/13
Description: Applied Materials AMAT 0040-70407 Rev 002 PEDESTAL ASSY, EQ POTENTIAL,PHOTOMASK
electronicswest NEW - $55.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0021-30902 Single Coil Tilt Ring , .30
electronicswest NEW - $50.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0010-30659 Lid Lift Fixture Tetra II
electronicswest NEW - $75.00 0 Sep/13/13 Sep/18/13
Description: Applied Materials AMAT 0020-26588 CU RF PCII SHIELD AL
electronicswest NEW - $70.00 0 Sep/13/13 Sep/19/13
Description: Applied Materials AMAT 0242-14251 Kit 75 Ft Pump EMO
electronicswest NEW - $45.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0150-76186 EMC Comp., Cable Assy , Pump Umbilical
electronicswest NEW - $55.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0021-76773 Paddle , 300MM Dual Blade Robot NCR
electronicswest NEW - $55.00 0 Sep/13/13 Sep/20/13
Description: Applied Materials AMAT 0021-30913 Single Coil Tilt Ring , .25
athomemarket NEW - $372.59 0 Sep/13/13 Oct/13/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $147.59 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $98.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $2,159.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,599.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,969.99 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,293.19 0 Sep/13/13 Oct/13/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
neilan1987 NEW - $1,499.99 0 Sep/13/13 Oct/25/13
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
athomemarket Used - $4,319.99 0 Sep/14/13 Oct/14/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $80.99 0 Sep/14/13 Oct/14/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $57.59 0 Sep/14/13 Oct/14/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
svcstore Used - $42.99 0 Sep/14/13 Sep/17/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $13.99 0 Sep/14/13 Sep/17/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $191.69 0 Sep/15/13 Oct/15/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Used - $297.89 0 Sep/16/13 Oct/16/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
neilan1987 NEW - $399.99 0 Sep/15/13 Oct/15/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
trees_for_a_better_tomorrow Used - $350.00 0 Sep/15/13 Sep/22/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
svcstore NEW - $499.99 0 Sep/15/13 Sep/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $4,019.39 0 Sep/15/13 Oct/15/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Refurbished - $128.69 0 Sep/15/13 Oct/15/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $5,579.99 0 Sep/15/13 Oct/15/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $89.99 0 Sep/15/13 Oct/15/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
yayais2012 NEW - $170.00 0 Sep/16/13 Oct/16/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
neilan1987 Used - $129.99 1 Sep/16/13 Oct/08/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
neilan1987 NEW - $279.99 1 Sep/16/13 Jan/07/14
Description: Applied Materials AMAT 0150-22371 Blue RF Cable NEW
athomemarket NEW - $95.39 0 Sep/17/13 Oct/17/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $80.99 0 Sep/17/13 Oct/17/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $42.99 0 Sep/17/13 Sep/20/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $13.99 0 Sep/17/13 Sep/20/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
jygdiy1976 NEW - $399.00 0 Sep/18/13 Jul/04/16
Description: Applied Materials AMAT 0100-01366 Vibration Monitor Board Used Working XR80
onlinesmt Used - $375.00 0 Sep/18/13 Sep/25/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
athomemarket NEW - $283.49 0 Sep/18/13 Oct/18/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $100.79 0 Sep/18/13 Oct/18/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
svcstore NEW - $499.99 0 Sep/18/13 Sep/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
neilan1987 Used - $59.99 0 Sep/18/13 Oct/18/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 NEW - $39.99 0 Sep/18/13 Oct/18/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
athomemarket Refurbished - $511.19 0 Sep/19/13 Oct/19/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $825.29 0 Sep/19/13 Oct/19/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Used - $1,095.29 0 Sep/19/13 Oct/19/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $78.29 0 Sep/19/13 Oct/19/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $269.99 0 Sep/19/13 Oct/19/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
ptb-sales Used - $750.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Sep/20/13 Sep/30/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket NEW - $499.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,799.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,699.99 0 Sep/20/13 Oct/20/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
svcstore Used - $42.99 1 Sep/20/13 Sep/21/13
Description: Applied Materials AMAT 0100-20458 Ped-Bias PVD Chamber Interlock Buffer PCB Card
svcstore Used - $13.99 0 Sep/20/13 Sep/23/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
supertechshop Used - $49.95 0 Sep/21/13 Oct/21/13
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket Used - $3,999.99 0 Sep/21/13 Oct/21/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $73.99 0 Sep/21/13 Oct/21/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $112.99 0 Sep/21/13 Oct/21/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $312.99 0 Sep/21/13 Oct/21/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $514.99 0 Sep/21/13 Oct/21/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
svcstore NEW - $499.99 0 Sep/21/13 Sep/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 NEW - $160.00 0 Sep/22/13 Oct/22/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable TRU
athomemarket Used - $4,699.99 0 Sep/22/13 Oct/22/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $198.99 0 Sep/22/13 Oct/22/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
esolutions1 Refurbished - $400.29 0 Sep/23/13 Dec/21/14
Description: Applied Materials AMAT 0020-25731 SHIELD ADAPTER G12 DBL KNEE SST
neilan1987 NEW - $279.99 0 Sep/22/13 Oct/22/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
onlinesmt NEW - $249.00 0 Sep/23/13 Oct/23/13
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Sep/23/13 Oct/23/13
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $199.00 0 Sep/23/13 Oct/23/13
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
athomemarket Used - $6,499.99 0 Sep/23/13 Oct/23/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,799.99 0 Sep/23/13 Oct/23/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
svcstore Used - $13.99 0 Sep/23/13 Sep/26/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $112.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
bruce135 Used - $299.00 0 Sep/24/13 Oct/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
athomemarket NEW - $69.99 0 Sep/24/13 Oct/24/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
svcstore NEW - $499.99 0 Sep/24/13 Sep/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
onlinesmt NEW - $950.00 0 Sep/25/13 Oct/25/13
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
ultra_clean_warehouse NEW - $330.06 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT MOUNT PLATE MEG LDM HVM 300MM - 0020-13244 - REV 001
ultra_clean_warehouse NEW - $764.94 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-77064 FEEDTHRU SOLID 300 MM GEMINI II - REV 03
ultra_clean_warehouse NEW - $104.56 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-51838 PLATE RING SLURRY ARM ASSY MNT POLISHER REV 03
ultra_clean_warehouse NEW - $218.07 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0021-28676 PUMPING LINER TOP - REV 03
ultra_clean_warehouse NEW - $135.33 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-84176 MOUNTING PLATE 2.5 DRIVE BRUSH - Rev 002
ultra_clean_warehouse NEW - $109.00 20 Sep/25/13 Dec/23/13
Description: APPLIED MATERIALS AMAT 0040-75779 SWING ARM LEFT BRUSH BOX CLEAN MODULE - Rev 05
ultra_clean_warehouse NEW - $115.26 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT BRACKET PAD CONDITIONER RFLXN GT - Rev 04 - 0021-47983
ultra_clean_warehouse NEW - $119.10 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-75780 SWING ARM RIGHT BRUSH BOX CLN - Rev 004
ultra_clean_warehouse NEW - $342.42 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0010-15708 FINGER ASSY PK LG WALK BEAM 300MM - Rev 001
ultra_clean_warehouse NEW - $749.00 3 Sep/24/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-35451 CHILL PLATE, LH, LOWER REFLECTOR - REV 002
ultra_clean_warehouse NEW - $54.10 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-41026 LIFTER 6 INCH ORIENTER - UHV - Rev A
ultra_clean_warehouse NEW - $348.38 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-53066 SPOOL PUMP STACK DPN 300MM - Rev 04
ultra_clean_warehouse NEW - $266.92 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-74395 TRANS DOOR LEVER TSSL 15KX - Rev 4
ultra_clean_warehouse NEW - $325.29 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-74400 F-CRANK LEFT 15KX TSSL - Rev 5
athomemarket NEW - $523.99 0 Sep/25/13 Oct/25/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
ultra_clean_warehouse NEW - $62.08 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-18478 BLANK OFF CATHODE DPS2 300MM - REV 006
ultra_clean_warehouse NEW - $466.84 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0041-02168 MANIFOLD 200MM WALD LID GAS - Rev 02
ultra_clean_warehouse NEW - $120.21 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0021-78092 CHUCK WAFER - Rev AA
ultra_clean_warehouse NEW - $120.21 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-77582 HOUSING END POINT DETECTOR - Rev AA
ultra_clean_warehouse NEW - $51.30 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0041-12140 BRACKET RPS SUPPORT 300MM ALD-TIN - REV 03
ultra_clean_warehouse NEW - $24.25 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-78228 POST COVER - REV P2
ultra_clean_warehouse NEW - $294.94 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-34217 FINGER ASSY SHRT WB 300 - REV 006
ultra_clean_warehouse NEW - $52.98 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-96261 KNURLED FLAG ASSY,DRIVE SIDE,BRUSH MODULE REV2
ultra_clean_warehouse NEW - $15.23 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-31753 CLAMP ROTAT. SHAFT OUTPUT STAT.300MM - REV 05
ultra_clean_warehouse NEW - $77.41 0 Sep/25/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-78983 CLAMP DRIVE SPINDLE - REV B
ultra_clean_warehouse NEW - $726.22 0 Sep/25/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-78377 TOP COVER RINSE ARM REFLECTION - REV 20
ultra_clean_warehouse NEW - $40.98 0 Sep/26/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-96259 KNURLED FLAG ASSY, OUTPUT FLOW SIDE - REV 002
ultra_clean_warehouse NEW - $122.90 0 Sep/26/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-03447 BOTTOM COLD TRAP - REV P2
ultra_clean_warehouse NEW - $90.02 0 Sep/26/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-27388 FINGER WAFER TRANSFER TSMC ANNEAL 300MM REV003
ultra_clean_warehouse NEW - $325.80 0 Sep/26/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-77367 MOUNT PLATE - REV B
megawavz Used - $395.00 0 Sep/26/13 Oct/26/13
Description: Applied Materials AMAT Arc Linear Board 0120-90758
svcstore Used - $13.99 0 Sep/26/13 Sep/29/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $1,199.99 0 Sep/27/13 Oct/27/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,599.99 0 Sep/27/13 Oct/27/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
svcstore NEW - $499.99 0 Sep/27/13 Sep/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
parts4all8 Used - $99.99 1 Sep/28/13 Nov/14/15
Description: APPLIED MATERIALS AMAT DIGITAL I/O BOARD ASSY 0100-01321
athomemarket Used - $5,499.99 0 Sep/28/13 Oct/28/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
ultra_clean_warehouse NEW - $46.29 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-25671 - SHAFT INNER - REV 001
ultra_clean_warehouse NEW - $30.77 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-78246 - ANCHOR CLEVIS - REV 200
ultra_clean_warehouse NEW - $49.79 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-17800 - THROTTLE RPS VALVE PRODUCER - REV 003
ultra_clean_warehouse NEW - $59.40 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT VECTRA 0021-04258 PLATE RETAINER RIGID COIL SUPPORT R002
ultra_clean_warehouse NEW - $260.97 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II - 0040-78952 MANIFOLD BLOCK 4 300MM
ultra_clean_warehouse NEW - $66.73 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-27155 SLEEVE BRUSH MODULE - REV 004
ultra_clean_warehouse NEW - $269.82 2 Sep/29/13 Dec/23/13
Description: APPLIED MATERIALS AMAT ENDURA 0020-17689 PUMPING PLATE FC & NCSR 300MM - REV 004
ultra_clean_warehouse NEW - $545.67 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II 0040-87405 FEEDTHRU CHAMBER BODY 300MM REV 002
athomemarket NEW - $5,799.99 0 Sep/29/13 Oct/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
ultra_clean_warehouse NEW - $549.47 0 Sep/29/13 May/07/14
Description: APPLIED MATERIALS AMAT GEMINI II 0040-78151 - MANIFOLD BLOCK 1, 300MM - REV 001
athomemarket Used - $4,999.99 0 Sep/29/13 Oct/29/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,899.99 0 Sep/29/13 Oct/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Sep/29/13 Oct/29/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,799.99 0 Sep/29/13 Oct/29/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
ultra_clean_warehouse NEW - $29.79 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-78984 PLATE ADAPTER MOTOR SPINDLE FLEX CLAMP REV B01
ultra_clean_warehouse NEW - $106.27 0 Sep/29/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-64046 BRACKET WAFER HOLDER OUTPUT STATION 300M REV2
svcstore Used - $13.99 0 Sep/29/13 Oct/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $4,199.99 0 Sep/30/13 Oct/30/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
ptb-sales Used - $750.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket NEW - $5,499.99 0 Sep/30/13 Oct/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Scrap, for parts - $3,499.99 0 Sep/30/13 Oct/30/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
svcstore NEW - $499.99 0 Sep/30/13 Oct/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
imca00 Scrap, for parts - $1,499.00 0 Sep/30/13 Oct/10/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
mayraytan NEW - $1,150.00 0 Oct/01/13 Jan/22/14
Description: Applied Materials AMAT Circuit Board, 0190-02076
athomemarket Used - $424.99 0 Oct/01/13 Oct/31/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Used - $2,340.99 0 Oct/01/13 Oct/31/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $199.99 0 Oct/01/13 Oct/31/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $179.99 0 Oct/02/13 Nov/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $99.99 0 Oct/02/13 Nov/01/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
svcstore Used - $13.99 0 Oct/02/13 Oct/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $1,199.99 0 Oct/03/13 Oct/10/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,199.99 0 Oct/03/13 Oct/10/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
bruce135 Used - $499.00 0 Oct/03/13 Nov/02/13
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
svcstore NEW - $499.99 0 Oct/03/13 Oct/06/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
onlinesmt Used - $375.00 0 Oct/04/13 Oct/11/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
athomemarket Used - $2,520.99 0 Oct/04/13 Nov/03/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,700.99 0 Oct/04/13 Nov/03/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,250.99 0 Oct/04/13 Nov/03/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Used - $409.99 0 Oct/04/13 Nov/03/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $413.99 0 Oct/04/13 Nov/03/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
yayais2012 NEW - $15.00 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
turkeymakesmetired-2008 NEW - $499.99 1 Oct/05/13 Sep/01/15
Description: Applied Materials AMAT 5200 HDP-CVD Ultima 0100-18025 Chamber Interface Board
athomemarket NEW - $1,117.99 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $462.99 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Scrap, for parts - $109.99 0 Oct/05/13 Nov/04/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,199.99 0 Oct/05/13 Nov/04/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,199.99 0 Oct/05/13 Nov/04/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
svcstore Used - $13.99 0 Oct/05/13 Oct/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
chunkysemiconductor NEW - $250.00 0 Oct/06/13 Oct/28/13
Description: APPLIED MATERIALS AMAT 0040-63107 MANIFOLD AL CH. 2 PRODUCER SE - NEW
athomemarket Used - $3,299.99 0 Oct/06/13 Nov/05/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $89.99 0 Oct/06/13 Nov/05/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore NEW - $499.99 0 Oct/06/13 Oct/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ocean-tech Used - $349.99 0 Oct/07/13 Nov/06/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
pete-sigep Used - $375.00 0 Oct/07/13 Nov/06/13
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
pete-sigep Used - $225.00 0 Oct/07/13 Nov/06/13
Description: Applied Materials AMAT 0100-09099 Chamber Interface PCB
pete-sigep Used - $475.00 0 Oct/07/13 Nov/06/13
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
athomemarket NEW - $211.99 0 Oct/08/13 Nov/07/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Refurbished - $112.99 0 Oct/08/13 Nov/07/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
imca00 NEW - $4,499.00 0 Oct/08/13 Oct/13/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/08/13 Oct/13/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
jygdiy1976 Used - $1,950.00 1 Oct/08/13 Oct/31/13
Description: Applied Materials AMAT 0190-09395 LAMP DRIVER
svcstore Used - $13.99 0 Oct/08/13 Oct/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Refurbished - $214.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $516.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $214.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $194.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $101.99 0 Oct/09/13 Nov/08/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $263.99 0 Oct/09/13 Nov/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $206.99 0 Oct/10/13 Nov/09/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
usedeqsales Used - $310.13 2 Oct/10/13 Oct/14/14
Description: Applied Materials AMAT 0110-99011 Hi Standard Gas Interlock XR80 Used Working
ptb-sales Used - $750.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Oct/11/13 Oct/21/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
athomemarket Refurbished - $131.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket Refurbished - $416.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP+ Etch (Copper CD)
athomemarket NEW - $1,080.99 0 Oct/11/13 Oct/18/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,080.99 0 Oct/11/13 Oct/18/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $112.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $163.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $162.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $261.99 0 Oct/11/13 Nov/10/13
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $61.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $232.99 0 Oct/11/13 Nov/10/13
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
neilan1987 Used - $149.99 1 Oct/12/13 Apr/17/14
Description: Applied Materials AMAT 0190-06926 Blue RF Cable USED
athomemarket NEW - $137.99 0 Oct/12/13 Nov/11/13
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
svcstore NEW - $499.99 0 Oct/12/13 Oct/15/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $163.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $109.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket NEW - $413.99 0 Oct/13/13 Nov/12/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,299.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,399.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,999.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,547.99 0 Oct/13/13 Nov/12/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
onlinesmt Used - $375.00 0 Oct/14/13 Oct/21/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
electronicswest Used - $100.00 0 Oct/14/13 Oct/21/13
Description: Applied Materials AMAT MKS Baratron Etch Manometer E28B-24565 1350-00200
visionsemi Used - $398.00 6 Oct/15/13 Oct/18/13
Description: APPLIED MATERIALS AMAT DIGITAL I/O BOARD ASSY 0100-20003
visionsemi Used - $650.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT/OUTPUT ASSY PCB P5000
visionsemi NEW - $35.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS
visionsemi NEW - $40.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3860-01050 TBG PLASTIC 1/8 OD .032 WALL POLYURETHAN 160FT
athomemarket Used - $89.99 0 Oct/14/13 Nov/13/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $63.99 0 Oct/14/13 Nov/13/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $4,799.99 0 Oct/14/13 Nov/13/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
visionsemi Used - $125.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0150-09708 REMOTE SYSTEM CRT
visionsemi Used - $355.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
visionsemi NEW - $20.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0021-35065 BRACKET FUJIKIN VALVE, SLD
visionsemi Used - $25.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0021-12855 AM017 HOUSING, QUARTZ TUBE TXZ 300MM
visionsemi NEW - $20.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3480-00279 ALCATEL BRACKET
visionsemi Used - $20.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3400-01141 HOSE FLEX PVC WIRE 4'
visionsemi NEW - $35.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT O-RING 3700-01941
visionsemi NEW - $50.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0150-76190 CABLE VIDEO ASSY
visionsemi NEW - $200.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0040-77207 PAD CONDITIONER DISK CARRIER 2
visionsemi NEW - $3,500.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
visionsemi NEW - $90.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
visionsemi NEW - $19.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-25917 ISOLATOR DC BIAS SHUTTER COMP REV A
visionsemi Used - $14,500.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT ENDURA 2 CBM ASSY ANNEAL/PRECLEAN 0010-26336
visionsemi NEW - $35.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT SPACER THROTTLE VALVE 0020-31531
visionsemi NEW - $19.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
visionsemi NEW - $3,500.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
visionsemi NEW - $10.00 0 Oct/15/13 Nov/14/13
Description: 0910-01128 Applied Materials AMAT Fuse FA 4A 250V
visionsemi Used - $300.00 0 Oct/15/13 Oct/15/13
Description: APPLIED MATERIALS AMAT 0021-10223 LINER MXP CHAMBER
visionsemi NEW - $20.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-09446 GASKET MAG-AC
visionsemi NEW - $17.50 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-20114 ISOLATOR DC BIAS CERAMICS REV-C (NEW)
visionsemi NEW - $15.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0020-09617 BUSHING, REAR
visionsemi Used - $78.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT KALREZ 6375 LIP SEAL 0030-00096 (LOT OF 2)
visionsemi NEW - $850.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0040-94904 HT SWLL COOLDOWN PEDESTAL, BLANK
visionsemi NEW - $35.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0140-10098 Distrib Box Harness
visionsemi Used - $10.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 1010-01063 IDEC Lamp Pilot
visionsemi NEW - $90.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
visionsemi NEW - $165.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0090-77082 CTE CHEM TEC EQUIPMENT 125-T-BP NC (32929-12)
visionsemi NEW - $64.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 8" PRCLN/CL LFT/RBT CAL PLATE 0270-76103
visionsemi NEW - $125.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0190-28262 CMP DIAMOND DISK A1921X
visionsemi Used - $45.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0150-70002 Cable Flat Assy SCSI
visionsemi Used - $850.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT TILT OUT CASSETTE ENDURA PVD 200MM 8" 0020-75108
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-01094 SCR CAP SKT HD 10-32
visionsemi NEW - $499.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi NEW - $45.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 3710-01095 Shaft HSG Roller Assy
visionsemi NEW - $64.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT TOOL CAL 101 LIFT TO ROBOT 0270-20152
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-03911 SCR 10-32 X 7/8L SST
visionsemi Used - $50.00 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0020-97794 Spacer Blade 100-150
visionsemi NEW - $75.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT GENERATOR CABLE 0150-09593
visionsemi NEW - $130.00 1 Oct/15/13 Oct/18/13
Description: APPLIED MATERIALS AMAT ROBOT CALIBRATION CABLE 0150-76116 LOT OF 2
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-01766 SCR 10-32 X 2-3/8L
visionsemi NEW - $249.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT TOOL ZERO POSITION 0270-20080
visionsemi NEW - $64.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 8" LIFT TO ROBOT CAL PLATE 0270-70048
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-01822 SCR 4-40 X 5/16L SST
visionsemi Used - $50.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
visionsemi NEW - $110.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT T-HANDLE ADAPTER TARGET 0270-20053 LOT OF 2
visionsemi NEW - $5.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 3690-04651 SCR 4-40 X 5/8L SST
visionsemi Used - $1,800.00 0 Oct/15/13 Oct/15/13
Description: 0010-09297 APPLIED MATERIALS AMAT TUNGSTEN POWER SUPPLY 15V
visionsemi NEW - $40.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 0620-01144 CABLE ASSY KEY BOARD
visionsemi Used - $399.99 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi NEW - $100.00 0 Oct/15/13 Nov/14/13
Description: APPLIED MATERIALS AMAT 1270-00485 WASCO PRESSURE SWITCH P400V-25W3B-X/9671
athomemarket NEW - $99.99 0 Oct/15/13 Nov/14/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Refurbished - $142.99 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket Used - $4,465.99 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $6,199.99 0 Oct/15/13 Nov/14/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $212.99 0 Oct/15/13 Nov/14/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
svcstore Used - $11.99 0 Oct/15/13 Oct/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Oct/15/13 Oct/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
neilan1987 NEW - $399.99 0 Oct/15/13 Nov/14/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
athomemarket Used - $330.99 0 Oct/16/13 Nov/15/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
svcstore Used - $11.99 0 Oct/18/13 Oct/21/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $111.99 0 Oct/18/13 Nov/17/13
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $314.99 0 Oct/18/13 Nov/17/13
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $89.99 0 Oct/17/13 Nov/16/13
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $105.99 0 Oct/17/13 Nov/16/13
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
aaaorings NEW - $47.99 0 Oct/17/13 Oct/24/13
Description: Applied Materials Amat 0040-26607 Washer
athomemarket NEW - $149.99 0 Oct/17/13 Oct/24/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
yayais2012 NEW - $170.00 0 Oct/17/13 Nov/16/13
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
svcstore NEW - $499.99 0 Oct/18/13 Oct/21/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Refurbished - $567.99 0 Oct/19/13 Nov/18/13
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $916.99 0 Oct/19/13 Nov/18/13
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Used - $1,216.99 0 Oct/19/13 Nov/18/13
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
neilan1987 Used - $59.99 0 Oct/18/13 Nov/17/13
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
neilan1987 NEW - $39.99 0 Oct/18/13 Nov/17/13
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
athomemarket NEW - $299.99 0 Oct/19/13 Nov/18/13
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $86.99 0 Oct/19/13 Nov/18/13
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
turkeymakesmetired-2008 NEW - $349.99 1 Oct/19/13 Apr/22/15
Description: Applied Materials AMAT 0100-09145 RS232 Video Interconnect BD Assy Board New
turkeymakesmetired-2008 NEW - $249.99 2 Oct/19/13 Jun/26/14
Description: Applied Materials AMAT 200mm Top Plate Ceramic Liner 0200-04092 New
turkeymakesmetired-2008 NEW - $399.99 1 Oct/19/13 Oct/23/13
Description: Applied Materials AMAT 0200-10169 Plate Edge Cover DXZ GECO New
turkeymakesmetired-2008 Used - $999.99 0 Oct/19/13 Nov/03/16
Description: Applied Materials AMAT 0100-11002 D I/O Board
turkeymakesmetired-2008 NEW - $999.99 1 Oct/19/13 Feb/05/14
Description: Applied Materials AMAT 0100-09299 Serial Sideboard Assy Board New
imca00 NEW - $4,499.00 0 Oct/20/13 Oct/25/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $1,499.00 0 Oct/20/13 Oct/30/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
imca00 NEW - $499.00 0 Oct/20/13 Oct/25/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
athomemarket NEW - $1,699.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,799.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $499.99 0 Oct/20/13 Nov/19/13
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
ptb-sales Used - $750.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Oct/21/13 Oct/31/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket NEW - $1,080.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
onlinesmt Used - $375.00 0 Oct/21/13 Nov/20/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
athomemarket NEW - $312.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $514.99 0 Oct/21/13 Nov/20/13
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $3,999.99 0 Oct/21/13 Nov/20/13
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $73.99 0 Oct/21/13 Nov/20/13
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $112.99 0 Oct/21/13 Nov/20/13
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,080.99 0 Oct/21/13 Nov/20/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore Used - $11.99 0 Oct/21/13 Oct/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Oct/21/13 Oct/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 NEW - $160.00 0 Oct/22/13 Nov/21/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable TRU
athomemarket Used - $198.99 0 Oct/22/13 Nov/21/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,699.99 0 Oct/22/13 Nov/21/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket NEW - $523.99 0 Oct/25/13 Nov/24/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
athomemarket NEW - $135.99 0 Oct/25/13 Nov/01/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
neilan1987 NEW - $1,499.99 0 Oct/25/13 Jan/02/14
Description: Applied Materials AMAT 0100-02955 Dual TC AMP PCB ASSY 300MM PC
bruce135 Used - $299.00 0 Oct/25/13 Nov/24/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
aaaorings NEW - $47.99 0 Oct/25/13 Nov/01/13
Description: Applied Materials Amat 0040-26607 Washer
svcstore NEW - $499.99 0 Oct/24/13 Oct/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $11.99 0 Oct/24/13 Oct/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $112.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $69.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $199.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Oct/24/13 Nov/23/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
usedeqsales Used - $360.13 1 Oct/24/13 Oct/25/13
Description: Applied Materials AMAT 4060-00324 24-Port Pneumatic Manifold Lot of 2 Used
electronicswest Used - $151.03 1 Oct/24/13 Oct/31/13
Description: Applied Materials AMAT MKS Baratron Etch Manometer E28B-24565 1350-00200
athomemarket Used - $6,499.99 0 Oct/23/13 Nov/22/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,799.99 0 Oct/23/13 Nov/22/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
ultra_clean_warehouse NEW - $14.71 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-79813 - STUD ADJ VERTICAL CONE, LOADCUP ASSY REV 2Q
ultra_clean_warehouse NEW - $19.28 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0190-00763 - BEARING, BALL, 5/8 OD, 1/4 ID - REV 001
ultra_clean_warehouse NEW - $38.30 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-14877 - POINTER ADJ SPRAY BAR SCRUB HVM 300 REV 002
ultra_clean_warehouse NEW - $30.14 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-03750 - CLAMP, UR, VAPRZR, TANOX CHAMBER - REV 002
ultra_clean_warehouse NEW - $15.13 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-03749 - CLAMP, UL, VAPRZR, TANOX CHAMBER - REV 002
ultra_clean_warehouse NEW - $328.04 0 Oct/23/13 May/07/14
Description: APPLIED MATERIALS AMAT 0040-87928 - GEMINI II - MANIFOLD BLOCK 5 300MM - REV 001
ultra_clean_warehouse NEW - $14.62 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-77194 - SPACER - REV P6
ultra_clean_warehouse NEW - $14.62 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0270-71075 - CLAMP SHIPPING LL DOOR - REV 2
ultra_clean_warehouse NEW - $25.24 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0040-33157 - PVT ROD ASSY, LIN LIF, MOD, 300MM - REV 003
ultra_clean_warehouse NEW - $199.54 0 Oct/23/13 May/07/14
Description: APPLIED MATERIALS AMAT 0023-70101 FLEX CLAMP TSSL 15KX - REV 2
ultra_clean_warehouse NEW - $39.83 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-03748 CLAMP, LWR, VAPRZR, TANOX CHAMBER - REV 002
ultra_clean_warehouse NEW - $32.08 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0015-03713 - MODIFIED IGUS PROFILE RAIL 125MM LG - REV 04
ultra_clean_warehouse NEW - $31.33 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-79064 BRACKET VACUUM CUP PNEUMATIC SYSTEM - REV C
ultra_clean_warehouse NEW - $11.12 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0190-03281 LOT OF 25 HIGH STRENGTH BOLT HEX HD 1/2 REV003
ultra_clean_warehouse NEW - $37.40 0 Oct/23/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-05750 - PLUG W/B LOADLOCK ENDURA INTERFACE - REV A
ultra_clean_warehouse NEW - $21.96 3 Oct/23/13 Dec/05/13
Description: APPLIED MATERIALS AMAT 0020-77416 - PIN CAP - REV B
athomemarket Used - $2,599.99 0 Oct/27/13 Nov/26/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,199.99 0 Oct/27/13 Nov/26/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore Used - $11.99 0 Oct/27/13 Oct/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
supertechshop Used - $49.95 0 Oct/28/13 Nov/26/13
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
chriswebber16 NEW - $399.99 0 Oct/27/13 Nov/25/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
svcstore NEW - $499.99 0 Oct/27/13 Oct/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
visionsemi Used - $20.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3400-01141 HOSE FLEX PVC WIRE 4'
visionsemi NEW - $50.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0150-76190 CABLE VIDEO ASSY
visionsemi NEW - $35.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS
visionsemi NEW - $20.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3480-00279 ALCATEL BRACKET
visionsemi NEW - $19.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-25917 ISOLATOR DC BIAS SHUTTER COMP REV A
visionsemi NEW - $90.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
visionsemi Used - $399.99 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-04651 SCR 4-40 X 5/8L SST
visionsemi NEW - $90.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
visionsemi NEW - $75.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT GENERATOR CABLE 0150-09593
visionsemi NEW - $850.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0040-94904 HT SWLL COOLDOWN PEDESTAL, BLANK
visionsemi NEW - $17.50 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-20114 ISOLATOR DC BIAS CERAMICS REV-C (NEW)
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-01822 SCR 4-40 X 5/16L SST
visionsemi NEW - $40.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3860-01050 TBG PLASTIC 1/8 OD .032 WALL POLYURETHAN 160FT
visionsemi NEW - $19.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
visionsemi NEW - $100.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 1270-00485 WASCO PRESSURE SWITCH P400V-25W3B-X/9671
visionsemi NEW - $200.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0040-77207 PAD CONDITIONER DISK CARRIER 2
visionsemi Used - $355.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-01094 SCR CAP SKT HD 10-32
visionsemi Used - $14,500.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT ENDURA 2 CBM ASSY ANNEAL/PRECLEAN 0010-26336
visionsemi Used - $850.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT TILT OUT CASSETTE ENDURA PVD 200MM 8" 0020-75108
visionsemi NEW - $64.99 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 8" LIFT TO ROBOT CAL PLATE 0270-70048
visionsemi NEW - $20.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0021-35065 BRACKET FUJIKIN VALVE, SLD
visionsemi NEW - $249.99 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT TOOL ZERO POSITION 0270-20080
visionsemi NEW - $3,500.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
visionsemi NEW - $40.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0620-01144 CABLE ASSY KEY BOARD
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-03911 SCR 10-32 X 7/8L SST
visionsemi Used - $55.00 0 Oct/28/13 Dec/27/13
Description: 0620-01044 APPLIED MATERIALS AMAT GRANVILLE PHILIPS CONVECTRON GAUGE CABLE
visionsemi NEW - $499.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi NEW - $15.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-09617 BUSHING, REAR
visionsemi NEW - $45.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials AMAT 3710-01095 Shaft HSG Roller Assy
visionsemi NEW - $20.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0020-09446 GASKET MAG-AC
visionsemi NEW - $299.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0150-09880 CABLE ESC CONTROLLER CH. D
visionsemi NEW - $110.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT T-HANDLE ADAPTER TARGET 0270-20053 LOT OF 2
visionsemi NEW - $64.99 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT TOOL CAL 101 LIFT TO ROBOT 0270-20152
visionsemi Used - $45.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials AMAT 0150-70002 Cable Flat Assy SCSI
visionsemi NEW - $3,500.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
visionsemi NEW - $10.00 0 Oct/28/13 Dec/27/13
Description: 0910-01128 Applied Materials AMAT Fuse FA 4A 250V
visionsemi Used - $50.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials AMAT 0020-97794 Spacer Blade 100-150
visionsemi NEW - $35.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT SPACER THROTTLE VALVE 0020-31531
visionsemi NEW - $5.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 3690-01766 SCR 10-32 X 2-3/8L
visionsemi NEW - $165.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0090-77082 CTE CHEM TEC EQUIPMENT 125-T-BP NC (32929-12)
visionsemi NEW - $35.00 0 Oct/28/13 Dec/27/13
Description: Applied Materials AMAT 0140-10098 Distrib Box Harness
visionsemi Used - $650.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT/OUTPUT ASSY PCB P5000
visionsemi NEW - $100.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT TC ASSY SHEATH ODL3000 1310-50000
visionsemi Used - $25.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0021-12855 AM017 HOUSING, QUARTZ TUBE TXZ 300MM
visionsemi Used - $125.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0150-09708 REMOTE SYSTEM CRT
visionsemi NEW - $125.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT 0190-28262 CMP DIAMOND DISK A1921X
visionsemi NEW - $35.00 0 Oct/28/13 Dec/27/13
Description: APPLIED MATERIALS AMAT O-RING 3700-01941
imca00 NEW - $3,999.00 0 Oct/28/13 Nov/07/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
imca00 NEW - $499.00 0 Oct/28/13 Nov/07/13
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
conquer_2011 NEW - $225.00 0 Oct/28/13 Nov/04/13
Description: Applied Materials AMAT 1/4" FVCR Valve, 3870-01695
ultra_clean_warehouse NEW - $18.15 0 Oct/28/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-79011 - SHOULDER PIN - REV A
ultra_clean_warehouse NEW - $28.49 0 Oct/28/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0021-44008 - BRACKET ROTATN SENSORS LIFT NEON - REV 03
athomemarket Used - $5,499.99 0 Oct/28/13 Nov/27/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
ultra_clean_warehouse NEW - $68.79 0 Oct/28/13 Feb/04/14
Description: APPLIED MATERIALS AMAT 0020-78967 SLEEVE WRIST DRIVE SHAFT,ROBOT ARM ASSY REV AA
athomemarket Scrap, for parts - $3,799.99 0 Oct/29/13 Nov/28/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 Oct/29/13 Nov/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Oct/29/13 Nov/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
sagatron-inc Used - $750.00 10 Oct/29/13 Oct/30/13
Description: Applied Materials AMAT 1 Heat Exchanger PN# 0242-02163 / 0010-70073 Rev C
athomemarket NEW - $4,199.99 0 Oct/30/13 Nov/29/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,799.99 0 Oct/30/13 Nov/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,999.99 0 Oct/30/13 Nov/29/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
svcstore Used - $11.99 0 Oct/30/13 Nov/02/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Oct/30/13 Nov/02/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ptb-sales Used - $750.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Oct/31/13 Nov/10/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $424.99 0 Oct/31/13 Nov/30/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Scrap, for parts - $3,499.99 0 Oct/31/13 Nov/30/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $5,499.99 0 Oct/31/13 Nov/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $199.99 0 Oct/31/13 Nov/30/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $2,340.99 0 Oct/31/13 Nov/30/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
bobsgoodies NEW - $175.00 0 Nov/01/13 Jun/17/14
Description: Applied Materials AMAT 0100-00366 Feed Through Board
bobsgoodies NEW - $26.00 0 Nov/01/13 Jun/17/14
Description: APPLIED MATERIALS AMAT 0020-32222 Terminal Block 0020-32222-A 30/96-EMP
athomemarket Used - $99.99 0 Nov/01/13 Dec/01/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $99.99 0 Nov/01/13 Dec/01/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
svcstore Used - $11.99 0 Nov/02/13 Nov/05/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
imca00 Used - $949.00 0 Nov/02/13 Nov/07/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
svcstore NEW - $499.99 0 Nov/02/13 Nov/05/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $2,250.99 0 Nov/03/13 Dec/03/13
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Used - $2,520.99 0 Nov/03/13 Dec/03/13
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,700.99 0 Nov/03/13 Dec/03/13
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $409.99 0 Nov/03/13 Dec/03/13
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $413.99 0 Nov/03/13 Dec/03/13
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
yayais2012 NEW - $15.00 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket Refurbished - $462.99 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,117.99 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,199.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,199.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Scrap, for parts - $109.99 0 Nov/04/13 Dec/04/13
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $135.99 0 Nov/04/13 Dec/04/13
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
onlinesmt NEW - $249.00 0 Nov/05/13 Dec/05/13
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
onlinesmt NEW - $950.00 0 Nov/05/13 Dec/05/13
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
onlinesmt NEW - $199.00 0 Nov/05/13 Dec/05/13
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Nov/05/13 Dec/05/13
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
athomemarket Used - $3,299.99 0 Nov/05/13 Dec/05/13
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $89.99 0 Nov/05/13 Dec/05/13
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $11.99 0 Nov/05/13 Nov/08/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
ocean-tech Used - $299.99 0 Nov/06/13 Dec/06/13
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
conquer_2011 NEW - $225.00 0 Nov/06/13 Nov/16/13
Description: Applied Materials AMAT 1/4" FVCR Valve, 3870-01695
svcstore NEW - $499.99 0 Nov/06/13 Nov/09/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $211.99 0 Nov/07/13 Dec/07/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Refurbished - $112.99 0 Nov/07/13 Dec/07/13
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Refurbished - $101.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $495.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $263.99 0 Nov/08/13 Dec/08/13
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $214.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $194.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $214.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $194.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $516.99 0 Nov/08/13 Dec/08/13
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
svcstore Used - $11.99 0 Nov/08/13 Nov/11/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Refurbished - $142.99 0 Nov/14/13 Dec/14/13
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket Refurbished - $109.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket NEW - $413.99 0 Nov/12/13 Dec/12/13
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,299.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $2,399.99 0 Nov/12/13 Nov/26/13
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $3,999.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,547.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
visionsemi Used - $175.00 1 Nov/12/13 Dec/09/13
Description: APPLIED MATERIALS AMAT 0100-20131 HF RF MATCH PCB
svcstore NEW - $499.99 0 Nov/12/13 Nov/15/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $163.99 0 Nov/12/13 Dec/12/13
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket NEW - $6,199.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
trees_for_a_better_tomorrow Used - $350.00 0 Nov/12/13 Nov/19/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
svcstore Used - $10.99 0 Nov/12/13 Nov/15/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
imca00 Used - $1,800.00 0 Nov/13/13 Nov/27/13
Description: Applied Materials AMAT Endura HGH EFF RF Match for PVD Chamber p/n: 0010-13602
athomemarket Used - $4,799.99 0 Nov/13/13 Dec/13/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $63.99 0 Nov/13/13 Dec/13/13
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $89.99 0 Nov/13/13 Dec/13/13
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
mayraytan NEW - $14.50 5 Nov/14/13 Jan/25/14
Description: Applied Materials AMAT MCGILL Cam Follower, CFH-1/2-SB, 3150-01006
athomemarket Used - $4,465.99 0 Nov/14/13 Dec/14/13
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $99.99 0 Nov/14/13 Dec/14/13
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $212.99 0 Nov/14/13 Dec/14/13
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
neilan1987 NEW - $399.99 0 Nov/14/13 Dec/14/13
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
athomemarket Used - $330.99 0 Nov/15/13 Dec/15/13
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
svcstore Used - $10.99 0 Nov/15/13 Nov/18/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Nov/15/13 Nov/18/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $69.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket Used - $6,499.99 0 Nov/22/13 Dec/22/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,799.99 0 Nov/22/13 Dec/22/13
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
trees_for_a_better_tomorrow Used - $350.00 0 Nov/22/13 Nov/29/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
imca00 NEW - $550.00 0 Nov/22/13 Nov/01/14
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
neilan1987 NEW - $279.99 0 Nov/21/13 Dec/21/13
Description: Applied Materials AMAT 0190-06926 Blue RF Cable NEW
svcstore Used - $10.99 0 Nov/21/13 Nov/24/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Nov/21/13 Nov/24/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $4,699.99 0 Nov/21/13 Dec/21/13
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $198.99 0 Nov/21/13 Dec/21/13
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
ptb-sales Used - $750.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
yayais2012 NEW - $160.00 0 Nov/21/13 Dec/21/13
Description: Applied Materials AMAT 0150-22371 Blue RF Cable TRU
ptb-sales Used - $3,000.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
onlinesmt Used - $375.00 0 Nov/21/13 Dec/21/13
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
imca00 NEW - $3,999.00 0 Nov/21/13 Dec/01/13
Description: Applied Materials AMAT DPN Upper Chamber 0040-61531 NEW IN CRATE
athomemarket NEW - $112.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $199.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $199.99 0 Nov/23/13 Dec/23/13
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $523.99 0 Nov/24/13 Dec/24/13
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore Used - $10.99 0 Nov/24/13 Nov/27/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Nov/24/13 Nov/27/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
dr.fantom NEW - $130.00 1 Nov/25/13 May/31/14
Description: Applied Materials AMAT 0150-22371 Blue RF Cable
chriswebber16 NEW - $399.99 0 Nov/25/13 Dec/25/13
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
trees_for_a_better_tomorrow Used - $95.00 0 Nov/25/13 Dec/02/13
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $80.00 0 Nov/25/13 Dec/02/13
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
bruce135 Used - $239.00 0 Nov/25/13 Dec/25/13
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
trees_for_a_better_tomorrow NEW - $195.00 0 Nov/25/13 Dec/02/13
Description: Lot of 15 New OEM Applied Materials AMAT 0021-13416 Rolling Seal EPDM 80 Duro
athomemarket Used - $2,599.99 0 Nov/26/13 Dec/26/13
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,199.99 0 Nov/26/13 Dec/26/13
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
capitolareatech Used - $187.50 1 Nov/26/13 Aug/13/14
Description: APPLIED MATERIALS (AMAT) 0010-13445 Monitor, VGA Base
capitolareatech Used - $187.50 2 Nov/26/13 Apr/21/14
Description: APPLIED MATERIALS (AMAT) 0010-76244 Module Manufacture Assembly, VGA, Monitor
capitolareatech NEW - $500.00 0 Nov/26/13 Jan/09/14
Description: Applied Materials (AMAT) 0020-26474 Clamp, Ring
athomemarket Used - $5,499.99 0 Nov/27/13 Dec/27/13
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
svcstore Used - $10.99 0 Nov/27/13 Nov/30/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Nov/27/13 Nov/30/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Scrap, for parts - $3,799.99 0 Nov/28/13 Dec/28/13
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 Nov/28/13 Dec/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Nov/28/13 Dec/28/13
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Used - $4,999.99 0 Nov/29/13 Dec/29/13
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,199.99 0 Nov/29/13 Dec/29/13
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,799.99 0 Nov/29/13 Dec/29/13
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Scrap, for parts - $3,499.99 0 Nov/30/13 Dec/30/13
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $424.99 0 Nov/30/13 Dec/30/13
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $5,499.99 0 Nov/30/13 Dec/30/13
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $2,340.99 0 Nov/30/13 Dec/30/13
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $199.99 0 Nov/30/13 Dec/30/13
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
svcstore Used - $10.99 0 Nov/30/13 Dec/03/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Nov/30/13 Dec/03/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $99.99 0 Dec/01/13 Dec/31/13
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $99.99 0 Dec/01/13 Dec/31/13
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
ptb-sales Used - $750.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Dec/02/13 Dec/12/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bobsgoodies Scrap, for parts - $150.00 1 Dec/02/13 Dec/19/13
Description: Applied Materials AMAT 0021-77180 MIRRA N010-77345 Pressure Panel
bobsgoodies NEW - $500.00 0 Dec/02/13 Jun/17/14
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
bobsgoodies Used - $375.00 0 Dec/02/13 Jun/17/14
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
supertechshop Used - $49.95 0 Dec/02/13 Jan/01/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
trees_for_a_better_tomorrow NEW - $80.00 0 Dec/02/13 Dec/09/13
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $95.00 0 Dec/02/13 Dec/09/13
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow Used - $350.00 0 Dec/02/13 Dec/09/13
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
athomemarket Scrap, for parts - $2,700.99 0 Dec/03/13 Jan/02/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,250.99 0 Dec/03/13 Jan/02/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Used - $2,520.99 0 Dec/03/13 Jan/02/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $409.99 0 Dec/03/13 Jan/02/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $413.99 0 Dec/03/13 Jan/02/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
svcstore Used - $10.99 0 Dec/03/13 Dec/06/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 NEW - $15.00 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket Used - $1,199.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Refurbished - $462.99 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Scrap, for parts - $109.99 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,199.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,117.99 0 Dec/04/13 Jan/03/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $135.99 0 Dec/04/13 Jan/03/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
svcstore NEW - $499.99 0 Dec/04/13 Dec/07/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $89.99 0 Dec/05/13 Jan/04/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $3,299.99 0 Dec/05/13 Jan/04/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
capitolareatech NEW - $105.00 1 Dec/06/13 Jan/07/14
Description: Applied Materials (AMAT) 0730-01050 485TBLED CONVRS-232 to RS-485 Balanced Full/
capitolareatech NEW - $168.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-21756 Spacer Insular 6"
capitolareatech NEW - $33.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-35857 O-Ring, 400mm ID X 7mm Non-Stick Viton
capitolareatech Used - $15.00 0 Dec/06/13 Feb/20/14
Description: Applied Materials (AMAT) 0020-20753 Power Supply, Plate Cord Strain Releif
capitolareatech NEW - $15.00 1 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-10704 SEAL,Throttle Valve, Teos
capitolareatech NEW - $6.00 0 Dec/06/13 Dec/12/13
Description: Applied Materials (AMAT) 0690-01038 NW-25CP Clamp Hinged NW25 Wing-Nut & SCR-Clo
capitolareatech Used - $225.00 1 Dec/06/13 Aug/04/14
Description: Applied Materials (AMAT) 0100-09156 PCB, RF Match Cntl Assembly
capitolareatech NEW - $37.50 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0140-36148 Cable, Harness Assembly RS485 Converter Out
capitolareatech Used - $375.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0100-11000 PCB, Analog Input Board
capitolareatech NEW - $18.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0270-20032 Wafer, Test Orientor 8"
capitolareatech NEW - $10.00 1 Dec/06/13 Dec/15/13
Description: Applied Materials (AMAT) 0250-35965 Heat Exchanger Serial Communication Specifi
capitolareatech NEW - $7.50 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0250-37857 Instructions CHX Mounting and Installment
capitolareatech Used - $750.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0010-09257 Precision 5000 CVD 8" WSI Gas Box
capitolareatech NEW - $225.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-06083 Kit, Base Plate, Shield
capitolareatech NEW - $637.50 0 Dec/06/13 Jun/20/14
Description: Applied Materials (AMAT) 0021-09506 Mounting Ring Dome DPS
capitolareatech NEW - $7.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0620-02384 Cable, Assembly, 6ft., DB9, Female/DB25, Ma
capitolareatech Used - $225.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0020-21705 LIFTER 6" with 6" Plate
capitolareatech NEW - $3.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 3060-01141 Bearing, Ball, 1.000OD X .750ID X .156W Ope
capitolareatech NEW - $33.75 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 3700-01156 O-Ring, ID 15.955 CSD .139 Viton 75DURO Bla
capitolareatech Used - $375.00 0 Dec/06/13 Oct/02/14
Description: Applied Materials (AMAT) 0100-00044 PCB, Isolation Amplifier
ocean-tech Used - $249.99 0 Dec/06/13 Jan/05/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
svcstore Used - $10.99 0 Dec/06/13 Dec/09/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
bobsgoodies NEW - $375.00 0 Dec/07/13 Jun/17/14
Description: Applied Materials AMAT Chemraz O-Ring 3700-02105 9275-SC513 AS-568A-275 CPD 513
athomemarket Refurbished - $112.99 0 Dec/07/13 Jan/06/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $211.99 0 Dec/07/13 Jan/06/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore NEW - $499.99 0 Dec/07/13 Dec/10/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $268.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $198.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $198.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $521.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $198.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $218.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $218.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $105.99 0 Dec/08/13 Jan/07/14
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $500.99 0 Dec/08/13 Jan/07/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket Refurbished - $209.99 0 Dec/09/13 Jan/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket Used - $117.99 0 Dec/10/13 Jan/09/14
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
athomemarket Used - $168.99 0 Dec/10/13 Jan/09/14
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $166.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $266.99 0 Dec/10/13 Jan/09/14
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $236.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Refurbished - $136.99 0 Dec/10/13 Jan/09/14
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $65.99 0 Dec/10/13 Jan/09/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
svcstore Used - $9.99 0 Dec/10/13 Dec/13/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Dec/10/13 Dec/13/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
visionsemi Used - $3,595.02 1 Dec/11/13 Dec/30/13
Description: APPLIED MATERIALS AMAT ROBOT ALIGNER CONTROLLER 0190-14741 YASKAWA XU-BCD2081D
athomemarket NEW - $140.99 0 Dec/11/13 Jan/10/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
capitolareatech NEW - $13.47 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10919 Shaft, Wafer and Susceptor Lift
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06956 Blade Insul RF Match
capitolareatech NEW - $4.25 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06983 Housing Slider
capitolareatech NEW - $1.60 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30076 Sleeve Bellows Seal
capitolareatech NEW - $40.03 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-20411 SMC Electric Assembly, Pressure Sensor, Swit
capitolareatech NEW - $2.03 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0060-00215 Label, End Point Keyboard
capitolareatech NEW - $15.06 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0045-00029 Ring, Pedestal 125MM OXIDE
capitolareatech NEW - $1.08 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0060-00213 Label, End Point CRT
capitolareatech NEW - $3.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06984 Tip, Brush Rod
capitolareatech NEW - $3.61 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-29206 BUSHING COIL SUPPORT, VECTRA IMP
capitolareatech NEW - $5.74 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06985 Semiconductor Part, Push Rod
capitolareatech NEW - $14.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-03742 Front End Server Assembly Misc., Quickguide
capitolareatech NEW - $111.57 2 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-22570 TLG-RS232 Transponder Reader ASC-I1
capitolareatech NEW - $71.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00424 RETNG RING, 4" VIEWPORT
capitolareatech NEW - $3.19 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-18465 Centering Ring KF40
capitolareatech NEW - $8.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00709 Bushing STR Relief
capitolareatech NEW - $6.12 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-10691 Lift Pin Holder
capitolareatech NEW - $4.30 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-09612 20009612 CAP,1/4 TURN
capitolareatech NEW - $80.73 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-11998 THERMOCOUPLE ASSY, EBK CHAMBER
capitolareatech NEW - $10.75 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-10219 OMS SPROM PLCC, U15
capitolareatech NEW - $87.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-10813 HERMOS RFID 1.5M ANTENNA (MINI) TAG READ
capitolareatech NEW - $9.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-02036 PIN 1 inch
capitolareatech NEW - $6.89 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-02042 Roller, Latch
capitolareatech NEW - $4.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-02054 FLANGE, NUT HOUSING
capitolareatech NEW - $86.69 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-06305 200MM MDP LEFT Wafer Support Fin
capitolareatech NEW - $86.69 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-06306 200MM MDP Right Weather Support Fin
capitolareatech NEW - $134.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-08776 CENTRAL DISTRIBUTOR
capitolareatech NEW - $124.31 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-34926 CATHODE END POINT DETECTOR
capitolareatech NEW - $6.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-01346 Ring, Ground Plate, Anti Arc
capitolareatech NEW - $15.06 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0270-00050 Semiconductor Part, Carrier Align Tool
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-04586 Pin Shield Inner, TXZ CVD 300MM, 188x.500 w
capitolareatech NEW - $14.20 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00031 Pulley, Manifold Driver
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00097 Pulley, Modification, Geneva Drice 10 SLOT
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0400-00316 software PC-DOS Boot Diskette with CDROM Su
capitolareatech NEW - $4.18 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00282 Screw, MACH SKT HD 8-32 X .22 PKG 4
capitolareatech NEW - $13.19 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-02705 Plug, Endpoint, Sapphire, 300MM IA
capitolareatech NEW - $15.74 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03057 Insulator Locking Pin
capitolareatech NEW - $11.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00209 Shaft/Trunnion Robot DRV
capitolareatech NEW - $47.81 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0226-30345 O-RING ID 8.984 X .139W KALREZ
capitolareatech NEW - $3.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-76023 Pin, HT-Cool Down, Pedestal, 200/300mm
capitolareatech NEW - $70.29 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-39199 Outer, Nozzle, Gas Feed, 300 MM DPS
capitolareatech NEW - $6.33 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-35580 Brushing Pin TxZ
capitolareatech NEW - $45.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00239 Valve, Track, Interposer-Gate
capitolareatech NEW - $3.54 0 Dec/12/13 Apr/08/14
Description: Applied Materials (AMAT) 0200-20137 Pin, PC II Insulator
capitolareatech NEW - $6.77 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-10359 BIAS Plug, SIC, Lowred Cathode
capitolareatech NEW - $4.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-10263 Washer, Insulating, Ceramic
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-65084 Plate, Spacer 19cm x 10 cm
capitolareatech NEW - $6.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-61778 Shaft Plunger Preload Screw
capitolareatech NEW - $24.53 1 Dec/12/13 May/28/14
Description: Applied Materials (AMAT) 0040-21173 Bracket Differential Sensor DDR
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-52540 Pin anti rot 0.625 dia 300
capitolareatech NEW - $3.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-49517 Block, Strain Relief, DC Cable, 300MM IMP C
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-46318 Pin guide, 300mm ESC DT
capitolareatech NEW - $3.19 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-66634 Heater, Cap, 1 Wire
capitolareatech NEW - $32.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-08088 22S48205E14 DC MOTOR ASSEMBLY, BROOKS LOAD P
capitolareatech NEW - $13.95 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-04048 Plate, Gas Tubing Guide
capitolareatech NEW - $4.52 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42073 Pin Spring Guide
capitolareatech NEW - $38.86 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42032 HINGE,BOTTOM,PMS, WXZ
capitolareatech NEW - $14.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0140-01772 Harness, Assembly, Buffer CH L
capitolareatech Used - $87.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0100-11002 DIGITAL I/O PWB ASSY
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0110-09108 PCB
capitolareatech NEW - $74.55 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-24114 ADJUSTMENT CERAMIC SKIRT
capitolareatech NEW - $239.07 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0100-11000 ANALOG INPUT
capitolareatech NEW - $6.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-04011 Clamp, Tube Support
capitolareatech NEW - $10.48 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-04687 Nut, Lock, Heater Lift
capitolareatech NEW - $14.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-83309 CLAMP LOWER NON-GROUNDED PEDESTAL
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03154 Roller, End Idler
capitolareatech NEW - $42.17 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-04679 PLATE, LOCK, HEATER LIFTER
capitolareatech NEW - $4.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03359 Spacer Thermal Insulator
capitolareatech NEW - $12.01 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-01955 16885 ETO HIGH VOLTAGE CAPACITOR C1, ULTIMA
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-01810 SHIM SACVD
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-51305 TC Cable
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-36348 Cable, Assembly, SMB Interconnect Autobias
capitolareatech NEW - $4.65 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03469 BRACKET, PCB
capitolareatech NEW - $2.55 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03377 Pin Dowel 3/16 DIA X 5/8 long Aluminum
capitolareatech NEW - $66.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-01317 Outer Shield, TXZ 200MM
capitolareatech NEW - $71.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-65785 Bearing, Block, Elevator Gear
capitolareatech NEW - $8.29 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-83307 Inert Insulation
capitolareatech NEW - $2.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-65969 Valve, Plate, Mounting
capitolareatech NEW - $1.68 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-81226 SLEEVE, OVEN NOZZLE
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-77298 Retainer Drive Bely Pulley PULLEY
capitolareatech NEW - $7.71 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03511 Pulley, Post, Robot Drive
capitolareatech NEW - $111.45 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0660-01836 SNAP-AIV CARD I/O MOD 2-CH ANLG VOL INPUT -1
capitolareatech NEW - $75.63 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03507 Cover, Trunnion Screw
capitolareatech NEW - $215.16 0 Dec/12/13 Aug/14/14
Description: Applied Materials (AMAT) 0100-09130 PCB, Wassy DWG TEOS Interlock Board
capitolareatech NEW - $44.71 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-22918 Side Reciever 200MM Cassette, Bracket
capitolareatech NEW - $1.43 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-21031 Actuator, Lid-In-Place, Shield Treatment
capitolareatech NEW - $14.35 2 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-23352 Disk, Hub, Heater
capitolareatech NEW - $81.45 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-94808 Fixed Restraints, 200mm,
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-15047 Bracket
capitolareatech NEW - $5.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10153 Buffer, Cassette, Male, 200MM
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-15046 BRACKET
capitolareatech NEW - $11.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-14106 Lamp, Slide 1"DIA Module Runner
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-14118 Tongue VAC 100MM
capitolareatech NEW - $13.25 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10707 Clamp, Throttle Valve,TEO
capitolareatech NEW - $107.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-75247 Ring 2 Dual Robot
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0041-00202 bottom panel final line
capitolareatech NEW - $4.78 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-31233 Window, Front Shell, H20, VDSII
capitolareatech NEW - $7.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-31154 SEAL FERULE SLEEVE SUS BELLOWS BWCVD
capitolareatech NEW - $5.68 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30724 Bracket Filter, N2, Purge
capitolareatech NEW - $6.33 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30486 Sensor Cap, Modified
capitolareatech NEW - $74.31 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30356 Elbow, Gas Supply EGEC
capitolareatech NEW - $7.28 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06968 Stud View Port Plate, Load Chamber
capitolareatech NEW - $240.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-00187 ISE5B-T2-26L electrical assembly, BLADDER pr
capitolareatech NEW - $35.07 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0050-06065 WELDMENT, CHAMBER SILANE TOP, ULTIMA HDP
capitolareatech NEW - $15.75 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30013 BRACKET, MOTOR
capitolareatech NEW - $65.48 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-26092 PLATE, RIGHT DC SOURCE CONNECTOR, 300MM
capitolareatech NEW - $39.85 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-09210 Plunger, Soft Tip Hinge Head Lock, 300 M
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03044 Clamp, Ceramic Blade, 4-Bar Clamp
capitolareatech NEW - $6.79 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00273 Valve, Screw, Adjuster, Gate
capitolareatech NEW - $12.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-09222 Bracket Motor (Tune)
capitolareatech NEW - $13.31 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-43964 LAMP HEAD SHIM, 0.020" THICK, 300MM RADI
capitolareatech NEW - $5.43 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-90743 INSULATOR SHORT
capitolareatech NEW - $2.80 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03689 Short Insulating Strip
capitolareatech NEW - $7.97 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-46073 Cover, End, Adapter, Water Cover
capitolareatech NEW - $5.42 4 Dec/12/13 Apr/11/14
Description: Applied Materials (AMAT) 0020-04023 U/V FILTER, 5000 SIDE WINDOW
capitolareatech NEW - $5.76 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42076 Bracket Heater Drive, WXZ
capitolareatech NEW - $65.00 8 Dec/12/13 Jan/09/14
Description: Applied Materials (AMAT) 3800-01116 SRH 3111-N02 Regulator, Air Press; 7-100PSIG
capitolareatech NEW - $20.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3710-00033 Shaft Lower Brush Core
capitolareatech NEW - $20.41 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3700-02064 O-Ring, ID 8.734 CSD .139 Chemraz SC513 80
capitolareatech NEW - $27.64 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3700-01843 O-Ring, 3.984 CSD, .139 CZ SC520 90 DURO WH
capitolareatech NEW - $20.89 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3700-01727 O-Ring, ID 4.859 CSD .139 Chemraz SC513 80
capitolareatech NEW - $22.79 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3700-01669 O-Ring, 4.100 CSD, .210 CZ SC513 80 DURO WH
capitolareatech NEW - $31.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 3630-01124 5108-75-H retaining ring; EXT 3/4 SFT .042TH
capitolareatech NEW - $6.38 0 Dec/12/13 May/14/14
Description: APPLIED MATERIALS (AMAT) 3320-01024 50-4V-NI-R Gasket, Use 3320-01165 VCR 1/4 IN
capitolareatech NEW - $28.01 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0660-01841 SNAP-IDC5 CARD I/O MOD 4-CH DC INPUT 10-320V
capitolareatech NEW - $21.77 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-76024 Pin, Stand off, Quartz 200/300mm Cool Down
capitolareatech NEW - $20.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-18053 GUIDE, LIFT PIN
capitolareatech NEW - $22.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0200-00318 Guide Lift Pin SST Heater 300MM TXZ
capitolareatech NEW - $41.44 1 Dec/12/13 Mar/08/14
Description: Applied Materials (AMAT) 0200-04988 Nozzle Aluminum, Nitride 1.76L HDP-
capitolareatech NEW - $40.00 0 Dec/12/13 Jun/05/14
Description: Applied Materials (AMAT) 0200-01798 PIN, LIFT, TXZ HEATER, SINGLE TAPER, CER
capitolareatech NEW - $17.30 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-10789 BRACKET, TXZ SLIT WINDOW
capitolareatech NEW - $31.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-08774 Guide Cassette Universal MNL FEND 200MM
capitolareatech NEW - $28.07 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-08666 Body, Lightpipe, Pyrometer, Int. Bushing
capitolareatech NEW - $47.17 1 Dec/12/13 Feb/18/14
Description: Applied Materials (AMAT) 0021-07089 MANIFOLD, WATER, CHAMBER LINER
capitolareatech NEW - $19.83 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-07890 Switch, Emo Turn TO REL with Guard CE Mark
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-65375 Stud. Support Transfer Chamber
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-51718 Viewport Cover
capitolareatech NEW - $41.44 0 Dec/12/13 May/30/14
Description: Applied Materials (AMAT) 0020-46323 Membrane 200mm Titan Center BU
capitolareatech NEW - $27.09 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-02389 Cable Assembly, Postheat A TC, TST K Type,
capitolareatech NEW - $17.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42119 CLAMP, .3750 BORE,PULLEY, WxZ
capitolareatech NEW - $20.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-32389 Screw, Mach, PAN HD, 4-4-X3/16 PKG 119
capitolareatech NEW - $21.67 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-41159 Conductor, Pedestal, RF Feedthru
capitolareatech NEW - $24.27 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-31377 Flange Blank Off, Unibody
capitolareatech NEW - $27.54 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-02128 HEATER ASSEMBLY, PRODUCER ETCH WALL #3
capitolareatech NEW - $22.96 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0090-01880 Heater Assembly, 42.0L, Axiom
capitolareatech NEW - $23.51 2 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-29333 Clamp, Feedthru Coil Support, Vectra IMP
capitolareatech NEW - $16.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-23156 Bushing Laser Delrin
capitolareatech NEW - $75.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-13047 Wafer calibration AL 200mm
capitolareatech NEW - $28.49 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-20328 Bearing, Spacer, Orienter Rotation
capitolareatech NEW - $20.39 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10973 Bracket, L Chamber Clamp SACVD
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-46441 o swill 5.3 fi endura
capitolareatech NEW - $21.09 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10515 Sensor, ADT
capitolareatech NEW - $17.77 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-09617 Bushing, Rear
capitolareatech NEW - $17.43 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-10133 CVD, Block RF Ground
capitolareatech NEW - $17.43 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-09270 Front Cover
capitolareatech NEW - $16.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-06831 Block, Spring Guide Geneva Drive
capitolareatech NEW - $25.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-04361 Cover, Hexode 150MM, Anti Arc
capitolareatech NEW - $31.63 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-04432 Pipe, Support, Bottom
capitolareatech NEW - $31.63 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-21368 Bracket, Left Adapter, Water Cover
capitolareatech NEW - $21.45 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03510 Robot, Shaft, Motor Drive
capitolareatech NEW - $18.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-03551 Pipe, Nipple Feedthru Anodized
capitolareatech NEW - $21.13 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-02017 Cover, Vertical Raceway, Remote Module
capitolareatech NEW - $23.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-00343 Bar, Wafer Support, Stretch
capitolareatech NEW - $18.35 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00099 Pulley, Modified
capitolareatech NEW - $19.95 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-09336 Pulley, MOD, .2500 Bore, WXZ
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-09058 Coupling, Modification
capitolareatech NEW - $30.99 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0015-00018 Pulley, Timing Belt Modified
capitolareatech NEW - $17.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-78073 External Membraine, 8" Titan Head II
capitolareatech NEW - $15.99 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-00121 Fitting, Bulkhead Weldment, 1/2 Female
capitolareatech NEW - $23.22 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-70419 Hoop Pin, Swill
capitolareatech NEW - $53.68 0 Dec/12/13 Jan/19/14
Description: Applied Materials (AMAT) 0021-70254 Plate, Back, Throttle Drive
capitolareatech NEW - $22.98 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-35991 Semiconductor Part, Insulator, RF,TxZ VIEW
capitolareatech NEW - $26.08 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-35877 HOUSING,ROD,RF ADAPTER,TxZ MCVD
capitolareatech NEW - $23.91 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-26968 Insulator, Clamp Heater 300MM
capitolareatech NEW - $18.13 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-20854 Clamp, Support, Blade, Shutter, 300MM, P
capitolareatech NEW - $31.87 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-12611 KEEPER LIFT TUBE RING 200MM CWXZ
capitolareatech NEW - $1,139.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-12847 SCR Lamp Driver 15 Zone 300MM Radiance P 20
capitolareatech Used - $382.50 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-35116 P1116A POWER CONTROLLER 200/208V CENTURA OXI
capitolareatech NEW - $750.00 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-05528 Chamber Body
capitolareatech NEW - $389.54 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-22950 Adapter, Collimator Source 13"
capitolareatech NEW - $487.50 1 Dec/12/13 Feb/21/14
Description: Applied Materials (AMAT) 0190-22286 Centura AP Gas Panel Temperature Controller
capitolareatech NEW - $2,500.00 0 Dec/12/13 Jan/24/14
Description: Applied Materials (AMAT) 0020-35452 Cooldown/Centerfinder Chamber
capitolareatech NEW - $605.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-01047 Bell Jar Extension
capitolareatech NEW - $225.67 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0021-21234 Upper Shield, Hi-Cond Tin Long, 300MM PV
capitolareatech Used - $47.81 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-09264 RF Generator Cable 60FT; INTERCOMP 2-2172A
capitolareatech NEW - $239.07 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0190-12087 8113160G001 On-Board, 8F CRYO Control Module
capitolareatech NEW - $128.45 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0040-06596 PLATE, LID, TXZ
capitolareatech NEW - $74.37 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-09583 CABLE RF COAXIAL DELTA
capitolareatech NEW - $75.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-39698 Plate Adapter with XP Chamber
capitolareatech NEW - $63.75 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-35454 Cover, Cooldow, Centerfinder Chamber
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0620-01745 TEC-W-VA-15-FL-240934 Cable Assembly
capitolareatech NEW - $71.72 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-34381 Gas Box, EXZ
capitolareatech Used - $650.00 1 Dec/12/13 Dec/18/13
Description: Applied Materials (AMAT) 0190-03543 B-13023 Assembly Dual Axis Driver, 300MM Dua
capitolareatech NEW - $72.28 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0270-09232 Tools, Chamber Leak Check, Joint, WXZ
capitolareatech NEW - $305.39 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-76182 Housing, Pedestal, Cooldown, Non-Enp
capitolareatech NEW - $24.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0150-02392 CABLE ASSY, FORELINE TC, TST K TYPE, 300
capitolareatech NEW - $17.05 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-23157 Laser, Plate, Mounting
capitolareatech NEW - $176.18 0 Dec/12/13 Feb/13/14
Description: Applied Materials (AMAT) 0040-21177 Shaft Center DDR
capitolareatech NEW - $11.15 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0620-02680 TEC-W-EC-15-FL-241091 Cable Assembly
capitolareatech NEW - $101.39 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42026 BRACKET,HEATER DRIVE,WXZ
capitolareatech NEW - $142.79 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-21138 Spacer, LID Assembly, 8
capitolareatech NEW - $67.11 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-35735 Cover Process Chamber Protective
capitolareatech NEW - $47.81 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0620-02679 TEC-W-AC-15-FL-241093 CABLE 15M AC PWR EXH S
capitolareatech NEW - $127.50 1 Dec/12/13 Jul/07/14
Description: Applied Materials (AMAT) 3020-98023 DSM-25-270-P-FW-CC Actuator; air, rot. to a.
capitolareatech NEW - $186.33 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-30313 Pedestal Aluminum 125MM Flat
capitolareatech NEW - $68.42 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0020-42236 Heater, Bracket Drive,WXZ
capitolareatech NEW - $17.53 0 Dec/12/13 Oct/08/14
Description: Applied Materials (AMAT) 0010-39665 Assembly, Lockout Valve with One Touch FTGS
onlinesmt NEW - $199.00 0 Dec/12/13 Jan/11/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Dec/12/13 Jan/11/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Dec/12/13 Jan/11/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
onlinesmt NEW - $950.00 0 Dec/12/13 Jan/11/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
athomemarket Refurbished - $2,572.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Refurbished - $111.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $3,331.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Dec/12/13 Jan/11/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $165.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $4,037.99 0 Dec/12/13 Jan/11/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
ptb-sales Used - $780.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Dec/13/13 Dec/23/13
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket Used - $4,844.99 0 Dec/13/13 Jan/12/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $65.99 0 Dec/13/13 Jan/12/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $91.99 0 Dec/13/13 Jan/12/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
svcstore Used - $9.99 0 Dec/13/13 Dec/16/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Dec/13/13 Dec/16/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $106.99 0 Dec/14/13 Jan/13/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Refurbished - $144.99 0 Dec/14/13 Jan/13/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket Used - $4,508.99 0 Dec/14/13 Jan/13/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $214.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,256.99 0 Dec/14/13 Jan/13/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $332.99 0 Dec/15/13 Jan/14/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
ischoi3 Used - $420.00 1 Dec/16/13 Dec/23/13
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
yayais2012 NEW - $170.00 0 Dec/16/13 Jan/15/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket NEW - $107.99 0 Dec/16/13 Jan/15/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $91.99 0 Dec/16/13 Jan/15/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $9.99 0 Dec/16/13 Dec/19/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Dec/16/13 Dec/19/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $1,091.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,091.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $516.99 0 Dec/20/13 Jan/19/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $4,037.99 0 Dec/20/13 Jan/19/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $315.99 0 Dec/20/13 Jan/19/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $114.99 0 Dec/20/13 Jan/19/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $75.99 0 Dec/20/13 Jan/19/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore NEW - $499.99 0 Dec/19/13 Dec/22/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $9.99 0 Dec/19/13 Dec/22/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $1,817.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,716.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $502.99 0 Dec/19/13 Jan/18/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
actionsystems Used - $200.00 1 Dec/19/13 May/01/15
Description: APPLIED MATERIALS AMAT Part # 0100-20003 Digital I/O Board
actionsystems Used - $265.00 1 Dec/19/13 Jan/22/14
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT OUTPUT BOARD
athomemarket NEW - $88.99 0 Dec/18/13 Jan/17/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket Used - $1,229.99 0 Dec/18/13 Jan/17/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $918.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $569.99 0 Dec/18/13 Jan/17/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $301.99 0 Dec/18/13 Jan/17/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
tdindustrial Used - $129.50 1 Dec/18/13 Feb/24/17
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/TI 8" SNNP Reduced Edge
capitolareatech NEW - $22.59 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0020-01002 Housing, Gas Feed Line
capitolareatech NEW - $21.63 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 3700-03731 K17455 - COMPOUND 4079 O-RING 12.841 ID X .1
capitolareatech NEW - $20.07 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 3700-01928 AS568A-227 4079 O-Ring ID 2.109 CSD .139 KAL
capitolareatech NEW - $20.57 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 3700-02478 9231SC513 O-ring; 2.609"(66.27mm) x 0.139"(3
capitolareatech NEW - $25.69 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 3700-01718 9243-SC513 ORING ID 4.109 CSD .139 CHEMRAZ S
capitolareatech NEW - $23.49 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0270-20083 Tool, 8" Lift Hoop, Wide Body
capitolareatech NEW - $17.55 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0270-20060 Jig Pre clean/Cool Lift to Robot Cal Plat
capitolareatech NEW - $47.81 0 Dec/18/13 May/22/14
Description: Applied Materials (AMAT) 0200-35362 INSERT,SILICON,CH REFLECTOR,RTP CHAMBER
capitolareatech NEW - $28.19 0 Dec/18/13 Sep/14/14
Description: Applied Materials (AMAT) 0200-03006 Threaded Nozzle, All Ceramic 2.28L, 98%
neilan1987 NEW - $39.99 0 Dec/21/13 Apr/21/14
Description: Applied Materials AMAT 3690-01883 Scrcap Skt HD 10-24x5/8L Slvr-Plt SST x80
neilan1987 NEW - $399.99 0 Dec/21/13 Apr/21/14
Description: Applied Materials AMAT 0190-15905 Blue RF Cable NEW
neilan1987 Used - $59.99 0 Dec/21/13 Apr/21/14
Description: Applied Materials AMAT 0010-21740 TC Amplifier Used
athomemarket Used - $4,742.99 0 Dec/21/13 Jan/20/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $199.99 0 Dec/21/13 Jan/20/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
yayais2012 NEW - $160.00 1 Dec/21/13 Jan/07/14
Description: Applied Materials AMAT 0150-22371 Blue RF Cable TRU
athomemarket Used - $6,570.99 0 Dec/22/13 Jan/21/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket Used - $6,862.99 0 Dec/22/13 Jan/21/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
wideerp01 Used - $1,500.00 0 Dec/22/13 Jan/21/14
Description: APPLIED MATERIALS (AMAT ZERO) HEAT EXCHANGER 0010-70008
svcstore Used - $9.99 0 Dec/22/13 Dec/25/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Dec/22/13 Dec/25/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
semipart NEW - $800.00 0 Dec/26/13 Jan/25/14
Description: New, Applied Materials (AMAT) 0020-70285 8" Robot Buffer Blade
athomemarket NEW - $1,211.99 0 Dec/26/13 Jan/25/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,624.99 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket Used - $2,421.99 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
ptb-sales Used - $750.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Dec/26/13 Jan/05/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
chriswebber16 NEW - $399.99 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
bruce135 Used - $239.00 0 Dec/26/13 Jan/25/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
pete-sigep Used - $225.00 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0100-09099 Chamber Interface PCB
pete-sigep Used - $475.00 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Used - $375.00 0 Dec/26/13 Jan/25/14
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
svcstore NEW - $499.99 0 Dec/25/13 Dec/28/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $9.99 0 Dec/25/13 Dec/28/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
ischoi3 Used - $420.00 0 Dec/25/13 Jan/24/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
athomemarket NEW - $526.99 0 Dec/24/13 Jan/23/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
onlinesmt Used - $375.00 0 Dec/24/13 Jan/23/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
athomemarket NEW - $114.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $71.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $206.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Dec/23/13 Jan/22/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
visionsemi NEW - $850.00 0 Dec/27/13 Feb/25/14
Description: APPLIED MATERIALS AMAT 0040-94904 HT SWLL COOLDOWN PEDESTAL, BLANK
visionsemi NEW - $75.00 0 Dec/27/13 Feb/25/14
Description: APPLIED MATERIALS AMAT GENERATOR CABLE 0150-09593
visionsemi NEW - $90.00 0 Dec/27/13 Feb/25/14
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
athomemarket Used - $5,551.99 0 Dec/27/13 Jan/26/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
visionsemi Used - $399.99 0 Dec/27/13 Feb/25/14
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi NEW - $90.00 0 Dec/27/13 Mar/18/16
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
visionsemi NEW - $20.00 0 Dec/27/13 Nov/17/15
Description: APPLIED MATERIALS AMAT 3480-00279 ALCATEL BRACKET
visionsemi NEW - $19.00 0 Dec/27/13 Mar/18/16
Description: APPLIED MATERIALS AMAT 0020-25917 ISOLATOR DC BIAS SHUTTER COMP REV A
visionsemi Used - $20.00 0 Dec/27/13 May/26/17
Description: APPLIED MATERIALS AMAT 3400-01141 HOSE FLEX PVC WIRE 4'
visionsemi NEW - $50.00 0 Dec/27/13 Mar/18/16
Description: APPLIED MATERIALS AMAT 0150-76190 CABLE VIDEO ASSY
athomemarket NEW - $5,954.99 0 Dec/28/13 Jan/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,836.99 0 Dec/28/13 Jan/27/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Dec/28/13 Jan/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
svcstore Used - $9.99 0 Dec/28/13 Dec/31/13
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Dec/28/13 Dec/31/13
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $5,853.99 0 Dec/29/13 Jan/28/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Dec/29/13 Jan/28/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $5,046.99 0 Dec/29/13 Jan/28/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $2,362.99 0 Dec/30/13 Jan/29/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Scrap, for parts - $3,532.99 0 Dec/30/13 Jan/29/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $199.99 0 Dec/30/13 Jan/29/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $425.99 0 Dec/30/13 Jan/29/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $5,550.99 0 Dec/30/13 Jan/29/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
visionsemi NEW - $200.00 2 Dec/30/13 Feb/29/16
Description: APPLIED MATERIALS AMAT 0040-77207 PAD CONDITIONER DISK CARRIER 2
visionsemi Used - $355.00 0 Dec/30/13 May/26/17
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
visionsemi NEW - $3,500.00 0 Dec/30/13 Jun/17/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
visionsemi NEW - $100.00 0 Dec/30/13 Jun/17/16
Description: APPLIED MATERIALS AMAT 1270-00485 WASCO PRESSURE SWITCH P400V-25W3B-X/9671
visionsemi Used - $55.00 1 Jan/02/14 Jan/03/14
Description: 0620-01044 APPLIED MATERIALS AMAT GRANVILLE PHILIPS CONVECTRON GAUGE CABLE
visionsemi NEW - $499.00 1 Jan/02/14 May/16/14
Description: APPLIED MATERIALS AMAT 0010-10290 ASSEMBLY TOOL LID AXZ WXZ
visionsemi NEW - $15.00 2 Jan/02/14 Apr/08/15
Description: APPLIED MATERIALS AMAT 0020-09617 BUSHING, REAR
visionsemi NEW - $45.00 0 Jan/02/14 Nov/23/15
Description: Applied Materials AMAT 3710-01095 Shaft HSG Roller Assy
visionsemi Refurbished - $150.00 0 Jan/02/14 Jun/20/16
Description: UE PRECISION Pressure Switch 0090-01357 EA100D-28 APPLIED MATERIALS AMAT
visionsemi NEW - $20.00 0 Jan/02/14 Jun/20/16
Description: APPLIED MATERIALS AMAT 0020-09446 GASKET MAG-AC
visionsemi NEW - $125.00 0 Jan/02/14 Jun/20/16
Description: APPLIED MATERIALS AMAT 0150-09880 CABLE ESC CONTROLLER CH. D
athomemarket Used - $412.99 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $415.99 0 Jan/02/14 Feb/01/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
trees_for_a_better_tomorrow Used - $95.00 0 Jan/02/14 Jan/09/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/02/14 Jan/09/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $350.00 0 Jan/02/14 Jan/09/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
athomemarket Used - $2,544.99 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $2,271.99 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $2,726.99 0 Jan/02/14 Feb/01/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
visionsemi NEW - $64.99 1 Jan/02/14 Apr/09/15
Description: APPLIED MATERIALS AMAT 8" LIFT TO ROBOT CAL PLATE 0270-70048
visionsemi NEW - $20.00 0 Jan/02/14 Jun/20/16
Description: APPLIED MATERIALS AMAT 0021-35065 BRACKET FUJIKIN VALVE, SLD
visionsemi NEW - $249.99 1 Jan/02/14 Sep/23/14
Description: APPLIED MATERIALS AMAT TOOL ZERO POSITION 0270-20080
visionsemi NEW - $2,750.00 0 Jan/02/14 Apr/09/14
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
supertechshop Used - $49.95 0 Jan/02/14 Feb/01/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
visionsemi NEW - $19.00 0 Jan/02/14 Jun/20/16
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
svcstore NEW - $499.99 0 Jan/01/14 Jan/04/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore Used - $9.99 0 Dec/31/13 Jan/03/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 NEW - $15.00 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $1,212.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,129.99 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,212.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Scrap, for parts - $111.99 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Refurbished - $464.99 0 Jan/03/14 Feb/02/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $137.99 0 Jan/03/14 Feb/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
visionsemi Used - $350.00 1 Jan/03/14 Apr/07/15
Description: APPLIED MATERIALS AMAT 0100-20100 ANALOG INPUT/OUTPUT ASSY PCB P5000
visionsemi NEW - $3,500.00 1 Jan/03/14 Apr/08/14
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
visionsemi NEW - $650.00 1 Jan/03/14 Sep/02/15
Description: APPLIED MATERIALS AMAT ENDURA ORIENTER CHUCK 0020-21708 200MM
svcstore Used - $9.99 0 Jan/03/14 Jan/06/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $3,331.99 0 Jan/04/14 Feb/03/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $91.99 0 Jan/04/14 Feb/03/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
svcstore NEW - $499.99 0 Jan/04/14 Jan/07/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ptb-sales Used - $3,000.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Jan/06/14 Jan/16/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
visionsemi NEW - $40.00 0 Jan/06/14 Jun/24/16
Description: APPLIED MATERIALS AMAT 0620-01144 CABLE ASSY KEY BOARD
visionsemi NEW - $35.00 0 Jan/06/14 Jun/24/16
Description: APPLIED MATERIALS AMAT SPACER THROTTLE VALVE 0020-31531
visionsemi NEW - $165.00 0 Jan/06/14 Jun/24/16
Description: APPLIED MATERIALS AMAT 0090-77082 CTE CHEM TEC EQUIPMENT 125-T-BP NC (32929-12)
athomemarket Refurbished - $114.99 0 Jan/06/14 Feb/05/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Jan/06/14 Feb/05/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
visionsemi Used - $50.00 0 Jan/07/14 Jan/21/17
Description: Applied Materials AMAT 0020-97794 Spacer Blade 100-150
athomemarket NEW - $265.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
bruce135 Used - $499.00 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket Refurbished - $196.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $216.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $518.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $216.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $196.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $196.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $103.99 0 Jan/07/14 Feb/06/14
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $497.99 0 Jan/07/14 Feb/06/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
svcstore NEW - $499.99 0 Jan/07/14 Jan/10/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ocean-tech Used - $229.99 0 Jan/08/14 Feb/07/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
neilan1987 Used - $999.99 7 Jan/13/14 May/13/14
Description: Applied Materials AMAT 0200-06355 300mm Ceramic Open Pocket Robot Blade
athomemarket NEW - $106.99 0 Jan/13/14 Feb/12/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $4,508.99 0 Jan/13/14 Feb/12/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $214.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,256.99 0 Jan/13/14 Feb/12/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $144.99 0 Jan/13/14 Feb/12/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket Used - $199.99 0 Jan/13/14 Jan/20/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
bobsgoodies Scrap, for parts - $150.00 0 Jan/13/14 Mar/18/14
Description: Applied Materials AMAT 0021-77180 MIRRA N010-77345 Pressure Panel
ultra_clean_warehouse NEW - $109.00 0 Jan/13/14 Feb/04/14
Description: SWING ARM LEFT BRUSH BOX CLEAN MODULE REV 05 - 0040-75779 APPLIED MATERIALS AMAT
onlinesmt NEW - $199.00 0 Jan/13/14 Feb/12/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
athomemarket Used - $65.99 0 Jan/12/14 Feb/11/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $91.99 0 Jan/12/14 Feb/11/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $4,844.99 0 Jan/12/14 Feb/11/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
svcstore Used - $8.99 0 Jan/11/14 Jan/14/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/11/14 Jan/18/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $95.00 0 Jan/11/14 Jan/18/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow Used - $350.00 0 Jan/11/14 Jan/18/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
athomemarket Refurbished - $2,572.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $3,331.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Jan/11/14 Feb/10/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $165.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $111.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $4,037.99 0 Jan/11/14 Feb/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
svcstore NEW - $499.99 0 Jan/13/14 Jan/16/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
capitolareatech NEW - $8.29 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-17450 BLOCK, PRESSURE GAUGE, ANNL CHMBR 300MM AMA
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0190-04178 flanged bearing
capitolareatech NEW - $4.27 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0190-02043 bearing; specs: .1875 OD X .055 BORE X .0
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0150-20195 CABLE ASSY, SBC SERIAL PORTS:4-7 INTERCO
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0150-20194 CABLE ASSY,SBC SERIAL PORT 0-3 INTERCONN
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0150-10002 Cable, Jumper, J33
capitolareatech NEW - $4.27 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-21470 RESTRAINT PIN, CERAMIC RINGS, HESC
capitolareatech NEW - $39.85 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0140-09483 Harness Assembly, Ampule Heater Power
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0140-09331 Harness Heater Power, 7 Amp L.S. ENDCL
capitolareatech NEW - $3.87 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0190-13362 BRG,BALL,.2500D X. 0781IDX. 1250W W/BRAY
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-06325 actuator bracket, clamp ring switch, 300mm
capitolareatech NEW - $6.37 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-37623 Pipe Clamp
capitolareatech NEW - $1.29 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-33318 COLLAR,HINGE,R2
capitolareatech NEW - $4.78 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-37563 Clamp, Top, WF6/SIH4
onlinesmt NEW - $950.00 0 Jan/14/14 Feb/13/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
capitolareatech NEW - $4.52 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-04166 Screen Plate, Aluminum
onlinesmt NEW - $249.00 0 Jan/14/14 Feb/13/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Jan/14/14 Feb/13/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
capitolareatech NEW - $103.59 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0270-20333 Tool, 300mm Shock, Comrpression
capitolareatech NEW - $47.81 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0270-09225 Tool, Scraper
capitolareatech NEW - $4.31 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-28744 Plate, Cover
capitolareatech NEW - $14.35 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3870-03250 SPN400B Needle Valve, 1/4FNPT X 1, 2000PSI,
capitolareatech NEW - $39.85 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3870-00057 45700048 Valve, PNEU Diaphragm, 2-Way, 125PS
capitolareatech NEW - $95.63 2 Jan/14/14 Jul/15/14
Description: Applied Materials (AMAT) 3870-01294 SS-BN8FR8-0 Valve, SS High Purity Bellow Sea
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3780-01107 SPR EXT 1/2 FL .093OD PKG 14
capitolareatech NEW - $47.81 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3800-00068 12-1B11AAEE-011 Manual Valve, Pressure: 0-30
capitolareatech NEW - $17.53 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 3690-03399 SCR CAP FLT HD M3X6MML SLOT SST SLVR-PLT PK
capitolareatech NEW - $23.91 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0140-09337 Harness Overfill Inter Locks
capitolareatech NEW - $175.31 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-03268 BLANKING PLATE
capitolareatech Used - $111.57 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0150-06583 RF Cable 50 feet, Alpha Wire-J P/N217/U Typ
capitolareatech NEW - $15.11 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0021-01040 hinge, body, left, 300mm, producer
capitolareatech NEW - $39.85 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0140-09305 Harness Assembly, Ampule, Thermo-Electric
capitolareatech NEW - $7.97 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-45314 Block, WID Reader Head
capitolareatech NEW - $1.28 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-03072 Cap, Mercury Switch
capitolareatech NEW - $4.78 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-04019 Ring,Top Pedestal 150mm OX Gas Cooled
capitolareatech NEW - $4.78 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-04190 Switch Clamp
capitolareatech NEW - $2.55 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-47233 Standoff, PVD Ground
capitolareatech NEW - $39.85 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-62155 Bracket, Counterwieght Support Sealed Gearb
capitolareatech NEW - $87.65 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0270-35026 Fixture, Simple Cathode, Pedestal Base Asse
capitolareatech NEW - $11.15 0 Jan/14/14 Sep/11/14
Description: Applied Materials (AMAT) 0020-09412 seal bellows sleeve
svcstore Used - $8.99 0 Jan/15/14 Jan/18/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $918.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $88.99 0 Jan/17/14 Feb/16/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket Refurbished - $569.99 0 Jan/17/14 Feb/16/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket Used - $1,229.99 0 Jan/17/14 Feb/16/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $301.99 0 Jan/17/14 Feb/16/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
svcstore NEW - $499.99 0 Jan/16/14 Jan/19/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $113.99 0 Jan/16/14 Feb/15/14
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $316.99 0 Jan/16/14 Feb/15/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
ptb-sales Used - $750.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Jan/16/14 Jan/26/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket NEW - $1,716.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,817.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $502.99 0 Jan/18/14 Feb/17/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
svcstore Used - $8.99 0 Jan/18/14 Jan/21/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket NEW - $1,091.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,091.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $75.99 0 Jan/19/14 Feb/18/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket NEW - $315.99 0 Jan/19/14 Feb/18/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $4,037.99 0 Jan/19/14 Feb/18/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $114.99 0 Jan/19/14 Feb/18/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $516.99 0 Jan/19/14 Feb/18/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
trees_for_a_better_tomorrow NEW - $80.00 0 Jan/19/14 Jan/26/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $95.00 0 Jan/19/14 Jan/26/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow Used - $350.00 0 Jan/19/14 Jan/26/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
svcstore NEW - $499.99 0 Jan/19/14 Jan/22/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $199.99 0 Jan/20/14 Feb/19/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,742.99 0 Jan/20/14 Feb/19/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
visionsemi Used - $575.00 0 Jan/20/14 Feb/19/14
Description: APPLIED MATERIALS AMAT ENDPOINT DETECTOR 0190-10025 VERITY INSTR. 483NM 390NM
mayraytan NEW - $18.50 5 Jan/21/14 Jun/28/17
Description: Applied Materials AMAT NHBB Ball Bearing, SSRI-1812ZZR, 3060-01204
visionsemi NEW - $64.99 0 Jan/21/14 Feb/20/14
Description: APPLIED MATERIALS AMAT TOOL CAL 101 LIFT TO ROBOT 0270-20152
visionsemi NEW - $64.99 0 Jan/21/14 Feb/20/14
Description: APPLIED MATERIALS AMAT 8" PRCLN/CL LFT/RBT CAL PLATE 0270-76103
bobsgoodies NEW - $425.00 0 Jan/21/14 Jun/17/14
Description: Applied Materials AMAT 0190-21323 Thermocouple Assembly Marchi SDSM-1096
athomemarket Used - $180.99 0 Jan/21/14 Jan/28/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $6,862.99 0 Jan/21/14 Feb/20/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket Used - $6,570.99 0 Jan/21/14 Feb/20/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
svcstore Used - $8.99 0 Jan/21/14 Jan/24/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
capitolareatech NEW - $5.63 0 Jan/22/14 Sep/19/14
Description: APPLIED MATERIALS (AMAT) 3320-02242 G-133 Gasket 1.33 CFF OFHC Copper, Pack of 4
capitolareatech NEW - $4.50 0 Jan/22/14 Sep/19/14
Description: APPLIED MATERIALS (AMAT) 3320-02242 G-133 Gasket 1.33 CFF OFHC Copper, Pack of 5
athomemarket NEW - $71.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $114.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Jan/22/14 Feb/21/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore NEW - $499.99 0 Jan/22/14 Jan/25/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
epicrew01 Used - $35.00 0 Jan/23/14 Oct/06/21
Description: Applied Materials (AMAT) 0140-09110 C/A MONITOR STAND,(#34-2)
epicrew01 Used - $49.00 3 Jan/23/14 Jan/20/16
Description: Applied Materials (AMAT) 0140-09111 CABLE ASSY LIGHT PEN,(#34-1)
athomemarket NEW - $526.99 0 Jan/23/14 Feb/22/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
mayraytan NEW - $475.00 0 Jan/24/14 May/01/15
Description: Applied Materials AMAT Chemraz O-Ring, 3700-02105
onlinesmt Used - $375.00 0 Jan/24/14 Feb/23/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
svcstore Used - $8.99 0 Jan/24/14 Jan/27/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
yayais2012 Used - $2,000.00 1 Jan/25/14 Jan/30/14
Description: Applied Materials AMAT RF MATCH SUB ZERO BESC P/N 0010-02977
athomemarket Used - $2,421.99 0 Jan/25/14 Feb/24/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $2,624.99 0 Jan/25/14 Feb/24/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,211.99 0 Jan/25/14 Feb/24/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore NEW - $499.99 0 Jan/25/14 Jan/28/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
chriswebber16 NEW - $399.99 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
yayais2012 NEW - $75.00 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Used - $5,551.99 0 Jan/26/14 Feb/25/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
ischoi3 Used - $420.00 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
ptb-sales Used - $3,000.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
pete-sigep Used - $475.00 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Used - $375.00 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
pete-sigep Used - $225.00 1 Jan/27/14 Feb/06/14
Description: Applied Materials AMAT 0100-09099 Chamber Interface PCB
athomemarket Scrap, for parts - $3,836.99 0 Jan/27/14 Feb/26/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Jan/27/14 Feb/26/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Jan/27/14 Feb/26/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
svcstore Used - $8.99 0 Jan/27/14 Jan/30/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
athomemarket Used - $5,046.99 0 Jan/28/14 Feb/27/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Jan/28/14 Feb/27/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,853.99 0 Jan/28/14 Feb/27/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
bruce135 Used - $219.00 0 Jan/28/14 Feb/27/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
athomemarket Used - $180.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $2,362.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $5,550.99 0 Jan/29/14 Feb/28/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $199.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $425.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Scrap, for parts - $3,532.99 0 Jan/29/14 Feb/28/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $99.99 0 Jan/30/14 Mar/01/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $101.99 0 Jan/30/14 Mar/01/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore Used - $8.99 0 Jan/30/14 Feb/02/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Jan/30/14 Feb/02/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $412.99 0 Feb/01/14 Mar/03/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $415.99 0 Feb/01/14 Mar/03/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $2,271.99 0 Feb/01/14 Mar/03/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $2,726.99 0 Feb/01/14 Mar/03/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,544.99 0 Feb/01/14 Mar/03/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $1,212.99 0 Feb/02/14 Mar/04/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Refurbished - $464.99 0 Feb/02/14 Mar/04/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,212.99 0 Feb/02/14 Mar/04/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,129.99 0 Feb/02/14 Mar/04/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Scrap, for parts - $111.99 0 Feb/02/14 Mar/04/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
svcstore Used - $8.99 0 Feb/02/14 Feb/05/14
Description: Wasco 0090-09162 Electric Vacuum Pressure Switch 125PSIG Applied Materials AMAT
svcstore NEW - $499.99 0 Feb/02/14 Feb/05/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 NEW - $15.00 0 Feb/03/14 Mar/05/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket Used - $3,331.99 0 Feb/03/14 Mar/05/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $137.99 0 Feb/03/14 Mar/05/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $91.99 0 Feb/03/14 Mar/05/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
trees_for_a_better_tomorrow Used - $350.00 0 Feb/03/14 Feb/10/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $95.00 0 Feb/03/14 Feb/10/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $80.00 0 Feb/03/14 Feb/10/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
supertechshop Used - $49.95 0 Feb/04/14 Mar/06/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket Refurbished - $114.99 0 Feb/05/14 Mar/07/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Feb/05/14 Mar/07/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore NEW - $499.99 0 Feb/05/14 Feb/08/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
visionsemi Used - $299.00 1 Dec/22/11 Dec/27/11
Description: Applied Materials AMAT 0021-10223 Chamber Upper Liner
ptb-sales Used - $750.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Feb/06/14 Feb/16/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Refurbished - $196.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $216.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $216.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $196.99 0 Feb/06/14 Feb/20/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Etch (Cu CD)
athomemarket Refurbished - $196.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $518.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0021-09104 Cathode Lower Liner for MxP Aligned Oxide Etch
athomemarket Refurbished - $103.99 0 Feb/06/14 Mar/08/14
Description: Applied Materials AMAT 0020-19003 Slit Valve Liner Shoe Insert MxP+ Etch (Cu CD)
athomemarket NEW - $497.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
athomemarket NEW - $265.99 0 Feb/06/14 Mar/08/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
bruce135 Used - $449.00 0 Feb/07/14 Mar/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket Refurbished - $208.99 0 Feb/07/14 Mar/09/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket NEW - $164.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $264.99 0 Feb/08/14 Mar/10/14
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $63.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $234.99 0 Feb/08/14 Mar/10/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Refurbished - $133.99 0 Feb/08/14 Mar/10/14
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket Used - $165.99 0 Feb/08/14 Mar/10/14
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Used - $114.99 2 Feb/08/14 Feb/26/14
Description: Applied Materials AMAT 0010-38107 Metal Cover DXZ DCVD Assembly
svcstore NEW - $499.99 0 Feb/08/14 Feb/11/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $139.99 0 Feb/09/14 Mar/11/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Refurbished - $2,572.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $165.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $111.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $3,331.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Feb/10/14 Mar/12/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,037.99 0 Feb/10/14 Mar/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
megawavz Used - $395.00 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT Temperature Controller w/ 0090-90145, 0090-90194 Plug-ins
ocean-tech Used - $229.99 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
athomemarket Used - $4,844.99 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $91.99 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $65.99 0 Feb/11/14 Mar/13/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
svcstore NEW - $499.99 0 Feb/11/14 Feb/14/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
visionsemi Used - $150.00 1 Feb/12/14 Nov/28/14
Description: APPLIED MATERIALS AMAT 0100-09099 CHAMBER INTERCONNECT PCB
visionsemi Used - $135.00 1 Feb/12/14 Jul/14/14
Description: APPLIED MATERIALS AMAT 0100-09123 WAFER SENSOR PCB
onlinesmt NEW - $199.00 0 Feb/12/14 Mar/14/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
visionsemi Used - $250.00 1 Feb/12/14 Jul/22/14
Description: APPLIED MATERIALS AMAT SLIT VALVE ACTUATOR 0010-70162 6" P5000
athomemarket Used - $4,508.99 0 Feb/12/14 Mar/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $106.99 0 Feb/12/14 Mar/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,256.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $214.99 0 Feb/12/14 Mar/14/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Refurbished - $144.99 0 Feb/12/14 Mar/14/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
onlinesmt NEW - $249.00 0 Feb/13/14 Mar/15/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Feb/13/14 Mar/15/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
imca00 NEW - $12,500.00 0 Feb/13/14 Feb/18/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Used - $6,862.99 0 Feb/20/14 Mar/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket Used - $199.99 0 Feb/19/14 Mar/21/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,742.99 0 Feb/19/14 Mar/21/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
imca00 Scrap, for parts - $499.00 0 Feb/19/14 Feb/24/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $4,500.00 0 Feb/19/14 Feb/24/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
athomemarket NEW - $1,091.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,091.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $4,037.99 0 Feb/18/14 Mar/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $315.99 0 Feb/18/14 Mar/20/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $516.99 0 Feb/18/14 Mar/20/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket NEW - $114.99 0 Feb/18/14 Mar/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $75.99 0 Feb/18/14 Mar/20/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
imca00 NEW - $12,500.00 0 Feb/18/14 Feb/23/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore NEW - $499.99 0 Feb/20/14 Feb/23/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket NEW - $71.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $114.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Feb/21/14 Mar/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $6,570.99 0 Feb/21/14 Mar/23/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
jojoequip Used - $400.00 2 Feb/21/14 Feb/23/14
Description: APPLIED MATERIALS AMAT 0190-76005 STEPPER DRIVER
jojoequip Used - $150.00 1 Feb/21/14 Apr/15/14
Description: APPLIED MATERIALS AMAT 0100-20003 DIGITAL I/O BOARD
athomemarket NEW - $526.99 0 Feb/22/14 Mar/24/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
imca00 NEW - $12,500.00 0 Feb/23/14 Feb/28/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
svcstore NEW - $499.99 0 Feb/23/14 Feb/26/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
onlinesmt Used - $375.00 0 Feb/24/14 Mar/26/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
imca00 NEW - $4,500.00 0 Feb/24/14 Mar/01/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 Feb/24/14 Mar/01/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
athomemarket Used - $2,421.99 0 Feb/24/14 Mar/26/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket NEW - $1,211.99 0 Feb/24/14 Mar/26/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,624.99 0 Feb/24/14 Mar/26/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
chriswebber16 NEW - $399.99 0 Feb/25/14 Mar/27/14
Description: Applied Materials AMAT 0190-10004 BLF Bellows - In original box SEALED!!
neilan1987 NEW - $1,499.99 0 Feb/25/14 Apr/21/14
Description: Applied Materials AMAT 0200-05638 300mm Ceramic Open Robot Blade NEW
athomemarket Used - $5,551.99 0 Feb/25/14 Mar/27/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
visionsemi NEW - $850.00 0 Feb/25/14 Apr/09/14
Description: APPLIED MATERIALS AMAT 0040-94904 HT SWLL COOLDOWN PEDESTAL, BLANK
visionsemi NEW - $18.00 0 Feb/25/14 Mar/18/16
Description: APPLIED MATERIALS AMAT TOOL CAL 101 LIFT TO ROBOT 0270-20152
visionsemi NEW - $64.99 1 Feb/25/14 Feb/25/16
Description: APPLIED MATERIALS AMAT 8" PRCLN/CL LFT/RBT CAL PLATE 0270-76103
visionsemi Used - $399.99 0 Feb/25/14 May/26/17
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147
visionsemi NEW - $75.00 0 Feb/25/14 Mar/18/16
Description: APPLIED MATERIALS AMAT GENERATOR CABLE 0150-09593
visionsemi NEW - $75.00 0 Feb/25/14 Mar/18/16
Description: APPLIED MATERIALS AMAT 0020-20126 STAND-OFF DC BIAS CERAMICS (LOT OF 3)
visionsemi Used - $575.00 0 Feb/25/14 May/26/17
Description: APPLIED MATERIALS AMAT ENDPOINT DETECTOR 0190-10025 VERITY INSTR. 483NM 390NM
ischoi3 Used - $420.00 1 Feb/26/14 Mar/25/14
Description: Applied Materials AMAT RF Match P5000 MN 0010-09750 13.56Mhz 3 kV Working
athomemarket NEW - $5,954.99 0 Feb/26/14 Mar/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,836.99 0 Feb/26/14 Mar/28/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Feb/26/14 Mar/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
yayais2012 NEW - $75.00 0 Feb/27/14 Mar/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
alvin1462 NEW - $488.00 4 Feb/27/14 Mar/31/15
Description: Applied Materials AMAT 0190-38516 Lamp Assembly Pack of 10 Bulb NEW
alvin1462 Used - $1,118.00 4 Feb/27/14 Mar/04/15
Description: Applied Materials AMAT 0010-09750 CVD RF Match DC BIAS P5000
athomemarket Used - $5,046.99 0 Feb/27/14 Mar/29/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,853.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Feb/27/14 Mar/29/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
bruce135 Used - $219.00 0 Feb/28/14 Mar/30/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
imca00 NEW - $12,500.00 0 Feb/28/14 Mar/05/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Used - $2,362.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $180.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket NEW - $5,550.99 0 Feb/28/14 Mar/30/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $425.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Scrap, for parts - $3,532.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $199.99 0 Feb/28/14 Mar/30/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
hi-techstuff Used - $4,999.99 1 Sep/25/12 Mar/01/19
Description: Applied Materials AMAT 0090-91590ITL 0100-90451 Control
svcstore NEW - $399.99 0 Mar/12/14 Mar/15/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
visionsemi Used - $308.02 0 Mar/12/14 Aug/19/15
Description: IBM x306 RACK MOUNT SERVER APPLIED MATERIALS AMAT 0090-04295
athomemarket NEW - $139.99 0 Mar/12/14 Apr/11/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $264.99 0 Mar/11/14 Apr/10/14
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $164.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $165.99 0 Mar/11/14 Apr/10/14
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $234.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Refurbished - $133.99 0 Mar/11/14 Apr/10/14
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $63.99 0 Mar/11/14 Apr/10/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
ptb-sales Used - $2,500.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Mar/11/14 Mar/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
bruce135 Used - $449.00 0 Mar/10/14 Apr/09/14
Description: Applied Materials AMAT 0200-02421 Isolator, Lid Top Ceramic TxZ 300mm Used
athomemarket Refurbished - $208.99 0 Mar/10/14 Apr/09/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
pete-sigep Used - $475.00 0 Mar/10/14 Apr/09/14
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
athomemarket Used - $4,037.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Mar/13/14 Apr/12/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Refurbished - $111.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $165.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Used - $3,331.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,572.99 0 Mar/13/14 Apr/12/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $4,844.99 0 Mar/14/14 Apr/13/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $91.99 0 Mar/14/14 Apr/13/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $65.99 0 Mar/14/14 Apr/13/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Refurbished - $144.99 0 Mar/15/14 Apr/14/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $214.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $106.99 0 Mar/15/14 Apr/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,256.99 0 Mar/15/14 Apr/14/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,508.99 0 Mar/15/14 Apr/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore NEW - $399.99 0 Mar/15/14 Mar/18/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
trees_for_a_better_tomorrow Used - $350.00 0 Mar/16/14 Mar/23/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $95.00 0 Mar/16/14 Mar/23/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $80.00 0 Mar/16/14 Mar/23/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
athomemarket Used - $332.99 0 Mar/16/14 Apr/15/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
ocean-tech Used - $229.99 0 Mar/17/14 Apr/16/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
yayais2012 NEW - $170.00 0 Mar/17/14 Apr/16/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
onlinesmt NEW - $249.00 0 Mar/17/14 Apr/16/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $199.00 0 Mar/17/14 Apr/16/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Mar/17/14 Apr/16/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
athomemarket NEW - $1,091.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Mar/21/14 Apr/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,091.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $4,037.99 0 Mar/21/14 Apr/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $315.99 0 Mar/21/14 Apr/20/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $516.99 0 Mar/21/14 Apr/20/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $75.99 0 Mar/21/14 Apr/20/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
onlinesmt NEW - $249.00 0 Mar/21/14 Apr/20/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
ptb-sales Used - $780.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Mar/21/14 Mar/31/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket NEW - $1,716.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $502.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,817.99 0 Mar/20/14 Apr/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
svcstore NEW - $399.99 0 Mar/21/14 Mar/24/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
yayais2012 Used - $400.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
yayais2012 NEW - $400.00 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
athomemarket Used - $199.99 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,742.99 0 Mar/22/14 Apr/21/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $6,862.99 0 Mar/23/14 Apr/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket Used - $6,570.99 0 Mar/23/14 Apr/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
trees_for_a_better_tomorrow NEW - $80.00 0 Mar/23/14 Mar/30/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
trees_for_a_better_tomorrow Used - $350.00 0 Mar/23/14 Mar/30/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $95.00 0 Mar/23/14 Mar/30/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
athomemarket NEW - $114.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $206.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $71.99 0 Mar/24/14 Apr/23/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
wpvbekins Used - $575.00 0 Mar/24/14 Apr/23/14
Description: Applied Materials AMAT 0010-00685 Pneumatic Assy
svcstore NEW - $399.99 0 Mar/24/14 Mar/27/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
powersell007 NEW - $489.00 0 Mar/25/14 Dec/22/14
Description: *UNUSED* APPLIED MATERIALS AMAT 0200-10073 QUARTZ INSULATOR 871//196-0428 200mm
powersell007 Used - $59.00 10 Mar/25/14 Mar/25/23
Description: *NEW* APPLIED MATERIALS AMAT 0021-81369 MEMBRANE, 300mm TITAN PROFILER 5 - ZONE
visionsemi Used - $125.00 0 Mar/25/14 May/26/17
Description: APPLIED MATERIALS AMAT 0150-09708 REMOTE SYSTEM CRT
visionsemi NEW - $125.00 0 Mar/25/14 May/26/17
Description: APPLIED MATERIALS AMAT 0190-28262 CMP DIAMOND DISK A1921X
athomemarket NEW - $526.99 0 Mar/25/14 Apr/24/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
visionsemi Used - $50.00 0 Mar/26/14 May/26/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
athomemarket NEW - $1,211.99 0 Mar/27/14 Apr/26/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,624.99 0 Mar/27/14 Apr/26/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket Used - $2,421.99 0 Mar/27/14 Apr/26/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
onlinesmt Used - $375.00 0 Mar/26/14 Apr/25/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
chriswebber16 NEW - $399.99 0 Mar/27/14 Apr/26/14
Description: Applied Materials AMAT 0190-10004 BLF Bellow - SEALED!!
svcstore NEW - $399.99 0 Mar/27/14 Mar/30/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $5,551.99 0 Mar/28/14 Apr/27/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
athomemarket Scrap, for parts - $3,836.99 0 Mar/29/14 Apr/28/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Mar/29/14 Apr/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Mar/29/14 Apr/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
leapingserver NEW - $42.99 0 Mar/30/14 May/24/14
Description: NEW Ushio 2200w 120v 1KZ Lamp Bulb Applied Materials AMAT 0190-39974 for EPI RTP
athomemarket Used - $5,046.99 0 Mar/30/14 Apr/29/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,853.99 0 Mar/30/14 Apr/29/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
svcstore NEW - $399.99 0 Mar/30/14 Apr/02/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
trees_for_a_better_tomorrow Used - $95.00 0 Mar/30/14 Apr/06/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow Used - $350.00 0 Mar/30/14 Apr/06/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $80.00 0 Mar/30/14 Apr/06/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
yayais2012 NEW - $75.00 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Used - $180.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $3,532.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
ptb-sales Used - $780.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $750.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Mar/31/14 Apr/10/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $425.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $5,550.99 0 Mar/31/14 Apr/30/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $2,362.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $199.99 0 Mar/31/14 Apr/30/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
bruce135 Used - $197.10 0 Apr/01/14 May/01/14
Description: Lot 3 Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box & Terminators
athomemarket NEW - $99.99 0 Apr/01/14 May/01/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $101.99 0 Apr/01/14 May/01/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
imca00 NEW - $12,500.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 Apr/02/14 Apr/07/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
athomemarket Used - $2,271.99 0 Apr/03/14 May/03/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $2,726.99 0 Apr/03/14 May/03/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,544.99 0 Apr/03/14 May/03/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket NEW - $415.99 0 Apr/03/14 May/03/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $412.99 0 Apr/03/14 May/03/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
svcstore NEW - $399.99 0 Apr/03/14 Apr/06/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $1,212.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Scrap, for parts - $111.99 0 Apr/04/14 May/04/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Refurbished - $464.99 0 Apr/04/14 May/04/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,212.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,129.99 0 Apr/04/14 May/04/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $137.99 0 Apr/04/14 May/04/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket Used - $3,331.99 0 Apr/05/14 May/05/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $91.99 0 Apr/05/14 May/05/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
tech11 NEW - $60.00 1 Apr/05/14 Aug/01/14
Description: New Applied Materials (AMAT) 3800-01116 SRH 3111-N02 Regulator
yayais2012 NEW - $15.00 0 Apr/06/14 May/06/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
allpart2014 Used - $350.00 0 Apr/06/14 Jul/21/14
Description: Applied Materials AMAT 0100-00336 Spin Scan Detector
svcstore NEW - $399.99 0 Apr/06/14 Apr/09/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
imca00 NEW - $4,500.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $12,500.00 0 Apr/07/14 Apr/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Refurbished - $114.99 0 Apr/07/14 May/07/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Apr/07/14 May/07/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
supertechshop Used - $49.95 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket Refurbished - $196.99 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket NEW - $265.99 0 Apr/08/14 May/08/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $196.99 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $216.99 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $216.99 0 Apr/08/14 May/08/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket NEW - $497.99 1 Apr/08/14 May/02/14
Description: NEW Applied Materials AMAT 0200-00742 Ceramic Ring
visionsemi NEW - $70.00 0 Apr/08/14 Jun/26/16
Description: APPLIED MATERIALS AMAT RTP LAMP BULB 0190-14083
athomemarket Refurbished - $208.99 0 Apr/09/14 May/09/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
svcstore NEW - $399.99 0 Apr/09/14 Apr/12/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
svcstore NEW - $399.99 0 Apr/12/14 Apr/15/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ciarasheltie NEW - $3.00 0 Apr/12/14 Apr/19/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
athomemarket Used - $3,331.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $111.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $4,037.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $415.99 0 Apr/12/14 May/12/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $165.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0010-12434 RF Match 300mm w/ 03403 Gasline Isolator Assy.
athomemarket Refurbished - $2,572.99 0 Apr/12/14 May/12/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
imca00 NEW - $12,500.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 Scrap, for parts - $499.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $4,500.00 0 Apr/12/14 Apr/17/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
athomemarket NEW - $139.99 0 Apr/11/14 May/11/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
ptb-sales Used - $2,500.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $750.00 1 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Apr/11/14 Apr/21/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
capitolareatech NEW - $27.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0620-01708 013680 CABLE ASSY CONVECTRON GAUGE 6FT DNET
capitolareatech NEW - $26.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0040-77243 Ring Composite Assembly
capitolareatech NEW - $32.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0040-05245 ADAPTOR PLATE, THROTTLE VALVE DIRECT DRI
capitolareatech NEW - $3,500.00 2 Apr/11/14 Apr/24/14
Description: Applied Materials (AMAT) 0190-36238 IV-2410AV-03 Injection Valve; 208V TEPO/TEO
capitolareatech NEW - $1,400.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-12556 957-630 FLOWTHRU FEEDTHRU 300MM SOURCE ASSY
capitolareatech NEW - $1,250.00 0 Apr/11/14 Oct/08/14
Description: APPLIED MATERIALS (AMAT) 0010-13140 ASSY, 15-SLOT TRAY, 200MM, .450SPG
capitolareatech NEW - $816.75 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0040-41189 LP-Liner, Cathode, Mag Ring, Waffle-Less
capitolareatech NEW - $750.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-33338 355084 MV Multi-Trap (Iso-80)
capitolareatech NEW - $1,200.00 0 Apr/11/14 Apr/18/14
Description: APPLIED MATERIALS (AMAT) 0020-70424 Center Ring Loadlock Cover Loadlock Orie
capitolareatech NEW - $1,200.00 1 Apr/11/14 Apr/18/14
Description: Applied Materials (AMAT) 0090-04772 cPCI-3840/PM16/M512 MKS AS03841-01; 3U Comp
capitolareatech NEW - $600.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-28651 cPCI-3840/PM16/M512 3U CompactPCI Intel Pen
capitolareatech NEW - $637.50 0 Apr/11/14 Jul/22/14
Description: Applied Materials (AMAT) 0990-01012 5685 AeroBar Ionizer with Isostat Technolog
capitolareatech NEW - $638.88 2 Apr/11/14 Jul/03/14
Description: Applied Materials (AMAT) 0041-04323 Gas Box Silane, Bypassing 200MM
capitolareatech NEW - $303.75 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-02276 93-2499 Heated Valve, LPV40, 208V, 6.55H X
capitolareatech NEW - $250.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0100-20416 System Distribution Backplane PCB
capitolareatech Used - $175.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0020-98911 MF. Aux. Power Assembly
capitolareatech NEW - $182.55 0 Apr/11/14 Oct/08/14
Description: APPLIED MATERIALS (AMAT) 0100-90755 PWBA SERIAL INTERFACE M'BD
capitolareatech NEW - $175.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 1270-01392 JE-1501-1437-7 100 meters of SW DET CA .01
capitolareatech NEW - $250.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-32917 SGDS-01A31AY566 SGDS-01A31A Servopack Driver
capitolareatech NEW - $139.27 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0200-09067 Support, 100MM Susceptor SUI-OX/NIT
capitolareatech Used - $150.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0660-00027 BGICP-UPS-C CPCI UPS, 1 MIN, 50W, CARD
capitolareatech NEW - $137.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-02078 103H5505-80EG2 Stepper Motor, G10-404
capitolareatech NEW - $125.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0150-35542 9100SS24P6 Flow Switch Assembly; DCVD SI/SA
capitolareatech NEW - $125.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05451 Manual Ball Valve; NW-50, KALREZ 4079 STEM
capitolareatech Used - $125.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0190-06279 CDN391 CARD, DIGITAL DNET DIO 391-4
capitolareatech NEW - $125.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-02019 ESVP-1002-NWB-316L Pneumatic Valve, Bellows
capitolareatech NEW - $112.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05315 FBNDV-6.35-2B3-316LP-EPF 3-Port Monoblock V
capitolareatech NEW - $112.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-06636 6LVV-P1D212P-AB Manifold Valve, SC-01
capitolareatech NEW - $87.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05272 6LV-F18534P-AB Valve, Switching, 4-Port, 1/
capitolareatech NEW - $75.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3700-01753 9270-SC513 O-Ring, 8.984"ID X 0.139"CX, 228
capitolareatech NEW - $75.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 1350-00306 PZN-SS-003-4 3LP Gas Concentration Sensor
capitolareatech NEW - $75.00 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3700-04722 O-Ring, 37.00" X .139", V75BR
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 1270-01783 E17W-H52 Pressure Switch, 1 Amp Resistive,
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-04305 FPR-NSDBT-21-6.35-APY 3-Port Pneumatic Valv
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-04573 AQG66000 404968; Valve, 2-port, N.C. 1/4" F
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3700-04788 O-ring, 17.955"(456.06mm) x 0.139"(3.53mm)
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05322 FBNDV-9.52-2B3-NAH-UP Valve, Monoblock, Typ
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05324 FPR-NDTR-71-6.35-7-316LP-NAH 3-Port Valve;
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05339 FUNDL-71GL-6.35-2-316LP-ALC#A Manual Diaphr
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-05476 FP-UDDF-71-9.52-2-NL-PA Air Operated Diaphr
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 4020-51285 WG3NS6RR2 1/4" Wafergard NF-30 Gas Filter
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3400-00558 SS-FJ4RF4RF4-120 flexible, braided Hose Ass
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 0050-99175 3-Way Valve, Weldment, MOCVD, 0.4-0.6MPa,
capitolareatech NEW - $62.50 0 Apr/11/14 Oct/08/14
Description: Applied Materials (AMAT) 3870-01602 45700051 High Purity Valve Diaphragm 1/4 fv
athomemarket Used - $4,844.99 0 Apr/13/14 May/13/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $65.99 0 Apr/13/14 May/13/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $91.99 0 Apr/13/14 May/13/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket NEW - $214.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $106.99 0 Apr/14/14 May/14/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,256.99 0 Apr/14/14 May/14/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,508.99 0 Apr/14/14 May/14/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Refurbished - $144.99 0 Apr/14/14 May/14/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
visionsemi NEW - $80.00 0 Apr/14/14 Jun/02/16
Description: APPLIED MATERIALS AMAT G-12 CHAMBER LID TOGGLE CLAMP KIT 0242-75716
visionsemi NEW - $70.00 1 Apr/15/14 Apr/15/14
Description: APPLIED MATERIALS AMAT CTI CRYOGENICS NETWORK TERMINAL I/F ON-BOARD 0620-01254
visionsemi NEW - $90.00 1 Apr/15/14 Apr/16/14
Description: APPLIED MATERIALS AMAT TEFLON PFA TUBING 3860-00346 1/4" O.D. 3/64" I.D. 46 FEET
visionsemi NEW - $120.00 0 Apr/15/14 Jun/03/16
Description: APPLIED MATERIALS AMAT POLY TUBING 3860-00697 TUBING 6MM O.D.X4MM I.D. 66FT ROLL
visionsemi Used - $390.00 0 Apr/15/14 Apr/22/14
Description: APPLIED MATERIALS AMAT ENDURA PRECLEAN BELL JAR 0040-21178
svcstore NEW - $399.99 0 Apr/15/14 Apr/18/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
ocean-tech Used - $199.99 5 Apr/16/14 Apr/21/14
Description: Applied Materials AMAT Endura Monitor Base 0010-13445
visionsemi Used - $225.00 1 Apr/16/14 May/12/14
Description: APPLIED MATERIALS AMAT P5000 FRONT BEZEL PANEL 0010-09066
visionsemi NEW - $595.00 0 Apr/16/14 Dec/07/15
Description: APPLIED MATERIALS AMAT 0150-09797 CABLE CHAMBER HEATER ASSY 28-LINE GAS PANEL
visionsemi Used - $225.00 0 Apr/16/14 Jan/30/17
Description: APPLIED MATERIALS AMAT 0100-09177 ASSY PCB EXT VME AI/O DI/O G.P.
visionsemi Used - $60.00 1 Apr/16/14 Jun/07/14
Description: APPLIED MATERIALS AMAT 0140-36042 HARNESS CHAMBER TRAY INTERCONNECT
athomemarket NEW - $107.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $91.99 0 Apr/16/14 May/16/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $332.99 0 Apr/16/14 May/16/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
yayais2012 NEW - $170.00 0 Apr/16/14 May/16/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
trees_for_a_better_tomorrow Used - $350.00 0 Apr/17/14 Apr/24/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $95.00 0 Apr/17/14 Apr/24/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
imca00 NEW - $12,500.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 Scrap, for parts - $499.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $4,500.00 0 Apr/17/14 Apr/22/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
trees_for_a_better_tomorrow NEW - $80.00 0 Apr/17/14 Apr/24/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
athomemarket NEW - $113.99 0 Apr/17/14 May/17/14
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
athomemarket NEW - $199.99 0 Apr/17/14 May/17/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
onlinesmt NEW - $249.00 0 Apr/18/14 May/18/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
athomemarket Used - $1,229.99 0 Apr/18/14 May/18/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $88.99 0 Apr/18/14 May/18/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket Refurbished - $569.99 0 Apr/18/14 May/18/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $301.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $918.99 0 Apr/18/14 May/18/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
svcstore NEW - $399.99 0 Apr/18/14 Apr/21/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
onlinesmt NEW - $199.00 0 Apr/19/14 May/19/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 Apr/19/14 May/19/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
athomemarket NEW - $502.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,817.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,716.99 0 Apr/19/14 May/19/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,091.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 Apr/20/14 May/20/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,091.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $315.99 0 Apr/20/14 May/20/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $4,037.99 0 Apr/20/14 May/20/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $516.99 0 Apr/20/14 May/20/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $75.99 0 Apr/20/14 May/20/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
yayais2012 Used - $400.00 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
yayais2012 NEW - $400.00 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
onlinesmt NEW - $249.00 0 Apr/21/14 May/21/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
visionsemi Used - $375.00 1 Apr/21/14 Apr/21/14
Description: APPLIED MATERIALS AMAT FIBER OPTIC CABLE 20 FT 0190-09459 WAVE OPTICS
ciarasheltie NEW - $3.00 0 Apr/21/14 Apr/28/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
athomemarket Used - $4,742.99 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $199.99 0 Apr/21/14 May/21/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
visionsemi Used - $120.00 1 Apr/21/14 Sep/24/14
Description: APPLIED MATERIALS AMAT SIMPLE CATHODE SHEET INSERT SHIM 0020-34316 lot of 8ea
ptb-sales Used - $780.00 0 Apr/21/14 May/01/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $3,000.00 0 Apr/21/14 May/01/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Apr/21/14 May/01/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
svcstore NEW - $399.99 0 Apr/21/14 Apr/24/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $6,862.99 0 Apr/22/14 May/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket Used - $6,570.99 0 Apr/23/14 May/23/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $206.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $114.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $71.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $206.99 0 Apr/23/14 May/23/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
wpvbekins Used - $575.00 0 Apr/23/14 May/23/14
Description: Applied Materials AMAT 0010-00685 Pneumatic Assy
athomemarket NEW - $526.99 0 Apr/24/14 May/24/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
svcstore NEW - $399.99 0 Apr/24/14 Apr/27/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $5,551.99 0 Apr/27/14 May/27/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
trees_for_a_better_tomorrow Used - $95.00 0 Apr/26/14 May/03/14
Description: *2*New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow Used - $350.00 0 Apr/26/14 May/03/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $80.00 0 Apr/26/14 May/03/14
Description: Lot of 20 New Applied Materials AMAT Lamps 0190-14083
athomemarket Used - $2,624.99 0 Apr/26/14 May/26/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket Used - $2,421.99 0 Apr/26/14 May/26/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket NEW - $1,211.99 0 Apr/26/14 May/26/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
neilan1987 NEW - $399.99 0 Apr/25/14 Jun/27/14
Description: Applied Materials AMAT 0040-23525 Slit Valve Door Faceplate NEW
neilan1987 NEW - $399.99 0 Apr/25/14 Jun/27/14
Description: Applied Materials AMAT 0040-23526 Slit Valve Door Faceplate NEW
velocity-semiconductor-equipment NEW - $18.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS (AMAT) , PLUG, NF3 CHANNEL, 300SE , 0021-18829
velocity-semiconductor-equipment NEW - $20.00 0 Apr/25/14 May/25/14
Description: APPLIED MATERIALS (AMAT) , GUIDE, LIFT PIN, ESC, 300MM DPS2 , 0200-06622
svcstore NEW - $399.99 0 Apr/27/14 Apr/30/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
velocity-semiconductor-equipment NEW - $108.34 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) RING LIFT 6 0200-09999
onlinesmt Used - $375.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
velocity-semiconductor-equipment NEW - $3,837.66 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) RF MATCH 2MHZ/5000W 60 MHZ/5000W HART3 0190-25190
velocity-semiconductor-equipment NEW - $1,800.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) PRESSURE DISPLAY 300MM FI120V 1PH 50/60HZ 0190-14415
velocity-semiconductor-equipment NEW - $135.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) GUIDE LIFT PIN ESC 300MM DPS2 0021-13790
velocity-semiconductor-equipment NEW - $500.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) ENCLOSURE FACILITIES MAIN FRAME 300MM 0040-48648
velocity-semiconductor-equipment NEW - $170.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) CLAMP PEEK 300MM WALKING BEAM CLEANE 0021-15538
velocity-semiconductor-equipment NEW - $970.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) CARRIER CLAMP COMPLIANT EXT CATHODE 0020-31463
velocity-semiconductor-equipment NEW - $139.37 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) CABLE ASSY FORCE CLOSED ADPATOR STEC 0620-02869
velocity-semiconductor-equipment NEW - $750.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) BELLOWS SV DOOR W/BALL BEARING 0040-87358
velocity-semiconductor-equipment NEW - $1,200.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) BELLOWS 0040-39066
velocity-semiconductor-equipment NEW - $750.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) 85LB MAX HOIST ASSY COMES WITH AMAT 0242-33587 0021-1
velocity-semiconductor-equipment NEW - $350.00 0 Apr/28/14 May/28/14
Description: APPLIED MATERIALS (AMAT) SLIDE LINEAR LM GUIDE BALL-SCR 150MM W/B 3760-01102
athomemarket Scrap, for parts - $3,836.99 0 Apr/28/14 May/28/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Apr/28/14 May/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 Apr/28/14 May/28/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
chriswebber16 NEW - $399.99 0 Apr/29/14 May/29/14
Description: Applied Materials AMAT 0190-10004 BLF Bellow - SEALED!!
imca00 NEW - $12,500.00 0 Apr/29/14 May/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
visionsemi NEW - $3,500.00 0 Apr/29/14 Mar/06/15
Description: APPLIED MATERIALS AMAT 0242-45497 KIT, DRPII SWLL HOOP - ENDURA 2
athomemarket NEW - $4,239.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,853.99 0 Apr/29/14 May/29/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $5,046.99 0 Apr/29/14 May/29/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
tdindustrial NEW - $195.00 2 Apr/30/14 May/27/15
Description: Applied Materials AMAT Bearing, 0190-77184 New, Sealed
yayais2012 NEW - $75.00 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Used - $180.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $2,362.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $5,550.99 1 Apr/30/14 May/27/14
Description: NEW Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Scrap, for parts - $3,532.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $425.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $199.99 0 Apr/30/14 May/30/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
ciarasheltie NEW - $3.00 0 May/01/14 May/08/14
Description: APPLIED MATERIALS AMAT PLUNGER, PET-P FLYWHEEL 0021-19519 SEALED NEW LOT OF 2
visionsemi NEW - $695.00 1 May/01/14 Oct/31/14
Description: APPLIED MATERIALS AMAT 0200-10194 SHIELD TAPERED 200MM
ptb-sales Used - $3,000.00 0 May/01/14 May/11/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 May/01/14 May/11/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 May/01/14 May/11/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket NEW - $99.99 0 May/01/14 May/31/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $101.99 0 May/01/14 May/31/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
svcstore NEW - $399.99 0 May/01/14 May/04/14
Description: NEW Applied Materials AMAT 1350-00255 Pressure Transducer Capacitance Manometer
athomemarket Used - $2,544.99 0 May/03/14 Jun/02/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,726.99 0 May/03/14 Jun/02/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,271.99 0 May/03/14 Jun/02/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket NEW - $415.99 0 May/03/14 Jun/02/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $412.99 0 May/03/14 Jun/02/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
imca00 NEW - $12,500.00 0 May/04/14 May/09/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Scrap, for parts - $111.99 0 May/04/14 Jun/03/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,129.99 0 May/04/14 Jun/03/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,212.99 0 May/04/14 Jun/03/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,212.99 0 May/04/14 Jun/03/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $464.99 0 May/04/14 Jun/03/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
xsysengineering Used - $1,200.00 0 May/04/14 May/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $999.00 0 May/04/14 May/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
athomemarket NEW - $199.99 0 May/17/14 Jun/16/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $113.99 1 May/17/14 Jun/03/14
Description: NEW Applied Materials AMAT 0100-75548 Chamber Dio Card Cage Backplane PCB Board
yayais2012 NEW - $170.00 0 May/17/14 Jun/16/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket NEW - $91.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $332.99 0 May/16/14 Jun/15/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
catalystparts NEW - $350.00 1 May/16/14 May/26/17
Description: Applied Materials AMAT Valve Gate Kalrez 3870-04392 **NEW**
athomemarket NEW - $107.99 0 May/16/14 Jun/15/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $1,229.99 0 May/18/14 Jun/17/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $918.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $569.99 0 May/18/14 Jun/17/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $88.99 0 May/18/14 Jun/17/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $301.99 0 May/18/14 Jun/17/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
jygdiy1976 Used - $728.00 2 May/19/14 Apr/11/16
Description: APPLIED MATERIALS AMAT P/N 0190-14926 SCR POWER CONTROL CONCEPTS MODEL 3096-1000
onlinesmt NEW - $249.00 0 May/19/14 Jun/18/14
Description: PENTAGON TECHNOLOGIES 0020-27708 8" CLAMP RING (Applied Materials AMAT)
onlinesmt NEW - $249.00 0 May/19/14 Jun/18/14
Description: PENTAGON TECHNOLOGIES 0020-25730 PA UPPER SHIELD (Applied Materials AMAT)
onlinesmt NEW - $199.00 0 May/19/14 Jun/18/14
Description: PENTAGON TECHNOLOGIES 0020-25920 LOWER SHIELD (Applied Materials AMAT)
athomemarket NEW - $502.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,716.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $1,817.99 0 May/19/14 Jun/18/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,091.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $114.99 0 May/20/14 Jun/19/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $516.99 0 May/20/14 Jun/19/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket NEW - $1,091.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $315.99 0 May/20/14 Jun/19/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $4,037.99 0 May/20/14 Jun/19/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $75.99 0 May/20/14 Jun/19/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
onlinesmt NEW - $249.00 0 May/21/14 Jun/20/14
Description: PENTAGON TECHNOLOGIES 0020-21665 REV. E 8" SHIELD (Applied Materials AMAT)
athomemarket Used - $199.99 1 May/21/14 Jun/11/14
Description: Applied Materials AMAT 0190-22280 Slit Door Gate Air Valve Assy VAT 303104
athomemarket Used - $4,742.99 0 May/21/14 Jun/20/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
yayais2012 NEW - $400.00 0 May/22/14 Jun/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
yayais2012 Used - $400.00 0 May/22/14 Jun/21/14
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
ptb-sales Used - $2,500.00 0 May/22/14 Jun/01/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 May/22/14 Jun/01/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 May/22/14 Jun/01/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $6,862.99 0 May/22/14 Jun/21/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $206.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $114.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $206.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $71.99 0 May/23/14 Jun/22/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket Used - $6,570.99 0 May/23/14 Jun/22/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
xsysengineering Used - $1,200.00 0 May/23/14 May/30/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $999.00 0 May/23/14 May/30/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
athomemarket NEW - $526.99 0 May/24/14 Jun/23/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
wpvbekins Used - $575.00 0 May/24/14 Jun/23/14
Description: Applied Materials AMAT 0010-00685 Pneumatic Assy
velocity-semiconductor-equipment NEW - $20.00 0 May/25/14 Jun/24/14
Description: APPLIED MATERIALS (AMAT) , GUIDE, LIFT PIN, ESC, 300MM DPS2 , 0200-06622
velocity-semiconductor-equipment NEW - $18.00 0 May/25/14 Jun/24/14
Description: APPLIED MATERIALS (AMAT) , PLUG, NF3 CHANNEL, 300SE , 0021-18829
dr.fantom Used - $330.00 1 May/26/14 Dec/01/14
Description: Applied Materials AMAT Nor-Cal 3870-01213 Valve
athomemarket Used - $2,421.99 0 May/26/14 Jun/25/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $2,624.99 0 May/26/14 Jun/25/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,211.99 0 May/26/14 Jun/25/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
xsysengineering Used - $120.00 0 May/26/14 May/30/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
dr.fantom Used - $850.00 1 May/27/14 Dec/15/14
Description: Applied Materials AMAT 0010-02146 HDP-CVD RPSC Applicator
dr.fantom Used - $850.00 0 May/27/14 Feb/12/15
Description: Applied Materials AMAT 3870-04338 VAT 0340X-CA24-BFP1/1225 A-672086 Slit Valve
athomemarket Used - $5,551.99 0 May/27/14 Jun/26/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
dr.fantom Used - $450.00 2 May/28/14 May/31/14
Description: Applied Materials AMAT 0090-02346 HEATER RF FILTER 200MM
onlinesmt Used - $375.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) 0010-20098 REV E SHIELD TREATMENT CONTROLLER
velocity-semiconductor-equipment NEW - $108.34 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) RING LIFT 6 0200-09999
velocity-semiconductor-equipment NEW - $500.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) ENCLOSURE FACILITIES MAIN FRAME 300MM 0040-48648
velocity-semiconductor-equipment NEW - $1,800.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) PRESSURE DISPLAY 300MM FI120V 1PH 50/60HZ 0190-14415
velocity-semiconductor-equipment NEW - $970.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) CARRIER CLAMP COMPLIANT EXT CATHODE 0020-31463
velocity-semiconductor-equipment NEW - $135.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) GUIDE LIFT PIN ESC 300MM DPS2 0021-13790
velocity-semiconductor-equipment NEW - $170.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) CLAMP PEEK 300MM WALKING BEAM CLEANE 0021-15538
velocity-semiconductor-equipment NEW - $750.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) BELLOWS SV DOOR W/BALL BEARING 0040-87358
velocity-semiconductor-equipment NEW - $1,200.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) BELLOWS 0040-39066
velocity-semiconductor-equipment NEW - $750.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) 85LB MAX HOIST ASSY COMES WITH AMAT 0242-33587 0021-1
velocity-semiconductor-equipment NEW - $350.00 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) SLIDE LINEAR LM GUIDE BALL-SCR 150MM W/B 3760-01102
velocity-semiconductor-equipment NEW - $139.37 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) CABLE ASSY FORCE CLOSED ADPATOR STEC 0620-02869
velocity-semiconductor-equipment NEW - $3,837.66 0 May/28/14 Jun/27/14
Description: APPLIED MATERIALS (AMAT) RF MATCH 2MHZ/5000W 60 MHZ/5000W HART3 0190-25190
athomemarket Scrap, for parts - $3,836.99 0 May/28/14 Jun/27/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 May/28/14 Jun/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,954.99 0 May/28/14 Jun/27/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
dr.fantom NEW - $160.00 0 May/29/14 Feb/12/15
Description: 2x Applied Materials AMAT 0050-87341 Hose Assy HTR Lifts to Flow SW 3.4 HARP
dr.fantom NEW - $85.00 0 May/29/14 Feb/12/15
Description: Applied Materials AMAT 0242-87049 Kit EMO CBL Edwards IQDP80 Pumps
dr.fantom NEW - $60.00 0 May/29/14 Feb/12/15
Description: 4X Applied Materials AMAT 1270-01662 GUARD PUSH PULL LOCKABLE 40MMD MUSHROOM RED
dr.fantom NEW - $95.00 0 May/29/14 Feb/12/15
Description: Applied Materials AMAT 0090-04706 EMO SWITCH & INTER-CIRCUIT ASSY W/GUARD
dr.fantom NEW - $160.00 0 May/29/14 Feb/12/15
Description: 2x Applied Materials AMAT 0270-35193 Gauge CAL TOOL ROBOT ARM 200MM/300M
athomemarket NEW - $4,239.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,853.99 0 May/29/14 Jun/28/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $5,046.99 0 May/29/14 Jun/28/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
chriswebber16 NEW - $399.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0190-10004 BLF Bellow - SEALED!!
athomemarket Used - $180.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $2,362.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $199.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Scrap, for parts - $3,532.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $425.99 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
imca00 NEW - $4,500.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $12,500.00 0 May/30/14 Jun/04/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
xsysengineering Used - $999.00 0 May/30/14 Jun/06/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 May/30/14 Jun/06/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $120.00 0 May/30/14 Jun/06/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
yayais2012 NEW - $75.00 0 May/30/14 Jun/29/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Used - $99.99 0 Jul/30/14 Aug/29/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $99.99 0 Jul/30/14 Aug/29/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
harrington2howry NEW - $25.00 0 Jul/30/14 Aug/29/14
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
athomemarket Used - $180.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $3,532.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $2,362.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $425.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $199.99 0 Jul/29/14 Aug/28/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket NEW - $5,853.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Jul/28/14 Aug/27/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $5,046.99 0 Jul/28/14 Aug/27/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
imca00 NEW - $4,500.00 0 Jul/28/14 Aug/02/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 Jul/28/14 Aug/02/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
jclwriter NEW - $5.00 0 Jul/31/14 Jun/03/15
Description: Applied Materials AMAT PIN, PRECLEAN PEDESTAL 0020-22906 -- New Sealed
yayais2012 NEW - $75.00 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
chriswebber16 NEW - $399.99 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT 0190-10004 BLF Bellow - SEALED!!
jclwriter NEW - $40.00 0 Aug/01/14 Jul/31/17
Description: Applied Materials AMAT CLAMP, LOWER MEMBRANE 5 ZONE PROFILER 0040-80162 - Sealed
athomemarket Scrap, for parts - $2,726.99 0 Aug/01/14 Aug/31/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,544.99 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $2,271.99 0 Aug/01/14 Aug/31/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket NEW - $415.99 0 Aug/01/14 Aug/31/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
dellabitta7 NEW - $450.00 0 Jul/31/14 Aug/10/14
Description: Applied Materials AMAT Kalrez Sahara O-RING 3700-03760
dellabitta7 NEW - $450.00 0 Jul/31/14 Aug/10/14
Description: Applied Materials AMAT Kalrez Sahara O-RING 3700-03760
imca00 Scrap, for parts - $499.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $12,500.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 NEW - $4,500.00 0 Aug/02/14 Aug/07/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
athomemarket NEW - $1,129.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,212.99 0 Aug/02/14 Sep/01/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Scrap, for parts - $111.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $1,212.99 0 Aug/02/14 Sep/01/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $464.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Used - $412.99 0 Aug/02/14 Sep/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $91.99 0 Aug/03/14 Sep/02/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $3,331.99 0 Aug/03/14 Sep/02/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $137.99 0 Aug/03/14 Sep/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
ptb-sales Used - $780.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Aug/04/14 Aug/14/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
velocity-semiconductor-equipment NEW - $375.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) CARD BRAIN BOARD 16-CHANNEL ANALOG OPTOM 0660-00302
velocity-semiconductor-equipment NEW - $155.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) OPTO 22 I/O RACK DGTL 16-CHANNEL W/HDR CONN 0660-00301
velocity-semiconductor-equipment NEW - $200.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) PORTWATERRPSCHDP-CVD 0020-18461
velocity-semiconductor-equipment NEW - $700.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) MFM96606SLMHE1/4VCRMTL 3030-09099
velocity-semiconductor-equipment NEW - $20.25 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) THERMOCOUPLER 1310-90015
velocity-semiconductor-equipment NEW - $115.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) PLATEIPS MODTTF LEFT REARTTF FACILITI 0020-04566
velocity-semiconductor-equipment NEW - $1,600.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) ARM SLURRY/HPR ARM 0040-77267
velocity-semiconductor-equipment NEW - $125.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) INSULATOR H.V. BELLOWS 0020-84329
velocity-semiconductor-equipment NEW - $800.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) CARD CONTROLLER FORTH LC4 64K W/BASIC EP 0660-00277
velocity-semiconductor-equipment NEW - $250.00 0 Aug/04/14 Sep/03/14
Description: APPLIED MATERIALS (AMAT) CARD DAUGHTER SERIAL/PARALLEL LC4 0660-00314
velocity-semiconductor-equipment NEW - $6.62 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) SPACER MODIF SHIELD 8" G-12 0020-23587
velocity-semiconductor-equipment NEW - $26.34 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) SHAFT THROTTLE VALVE 0020-09102
velocity-semiconductor-equipment NEW - $550.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) BELLOWS FINGER LIFT HASTALLOY PRODUCER 0040-39946
velocity-semiconductor-equipment Refurbished - $143.44 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) PEDESTAL SST 8" 101 COVERAGE 0020-24913
xsysengineering Used - $120.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
xsysengineering Used - $999.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 Aug/04/14 Aug/11/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
velocity-semiconductor-equipment NEW - $700.00 0 Aug/04/14 Sep/03/14
Description: Applied Materials (AMAT) KIT CHAMBER LID H20 RETROFIT 0242-11877
athomemarket Refurbished - $114.99 1 Aug/05/14 Sep/04/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Aug/05/14 Sep/04/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
visionsemi Used - $250.00 0 Aug/06/14 Jan/22/17
Description: APPLIED MATERIALS AMAT SLIT VALVE ACTUATOR 0010-70162 6" P5000
athomemarket NEW - $265.99 0 Aug/06/14 Sep/05/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $216.99 1 Aug/06/14 Sep/02/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch
athomemarket Refurbished - $196.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $216.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $196.99 0 Aug/06/14 Sep/05/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
imca00 NEW - $4,500.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT DPN Upper Chamber Body 0040-61531 NEW IN CRATE
imca00 Scrap, for parts - $499.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT Chamber Applicator 0040-18094 / P4
imca00 NEW - $12,500.00 0 Aug/07/14 Aug/12/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Refurbished - $208.99 0 Aug/07/14 Sep/06/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
pete-sigep Used - $375.00 0 Aug/15/14 Sep/14/14
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
pete-sigep Used - $475.00 0 Aug/15/14 Sep/14/14
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
megawavz Used - $495.00 0 Aug/15/14 Sep/14/14
Description: Applied Materials AMAT 0120-90067 Contractor Drive Board
athomemarket NEW - $107.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $91.99 0 Aug/14/14 Sep/13/14
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $332.99 0 Aug/14/14 Sep/13/14
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
visionsemi NEW - $75.00 0 Aug/14/14 Dec/07/15
Description: APPLIED MATERIALS AMAT 0150-04852 NMB-MAT 4710KL-05W-B50 MINEBEA 24VDC .38 2EA
visionsemi NEW - $85.00 0 Aug/14/14 Jul/10/15
Description: APPLIED MATERIALS AMAT 0090-02868 ASSY RESISTOR ELECTRICAL TRAY NEW
ptb-sales Used - $3,000.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Aug/14/14 Aug/24/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
xsysengineering Used - $120.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
xsysengineering Used - $999.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $1,200.00 0 Aug/13/14 Aug/20/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
rfsurplus123 Used - $500.00 1 Aug/13/14 Sep/13/14
Description: Applied Materials AMAT ESC Remote Box Assembly 0010-14507 Used Working
visionsemi NEW - $600.00 0 Aug/13/14 Jun/03/16
Description: APPLIED MATERIALS AMAT ENDURA CRYO PUMP LIFT ALUM-A-LIFT 300MM 0190-14995
yayais2012 NEW - $170.00 0 Aug/16/14 Sep/15/14
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
trees_for_a_better_tomorrow Used - $250.00 0 Aug/17/14 Aug/27/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $80.00 0 Aug/17/14 Aug/27/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
visionsemi Used - $1,675.00 0 Aug/18/14 Jan/28/16
Description: APPLIED MATERIALS AMAT P5000 0010-09297 TUNGSTEN POWER SUPPLY 6"
athomemarket NEW - $502.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,817.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,716.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $918.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Used - $1,229.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $88.99 0 Aug/18/14 Sep/17/14
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket Refurbished - $569.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $301.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $199.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $114.99 0 Aug/18/14 Sep/17/14
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,091.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $315.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $4,037.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $1,091.99 0 Aug/18/14 Sep/17/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $516.99 0 Aug/18/14 Sep/17/14
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $75.99 0 Aug/18/14 Sep/17/14
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
supertechshop Used - $49.95 0 Aug/19/14 Sep/18/14
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket NEW - $179.99 0 Aug/19/14 Sep/18/14
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
athomemarket Used - $4,742.99 0 Aug/19/14 Sep/18/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $6,862.99 0 Aug/20/14 Sep/19/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
xsysengineering Used - $999.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Used - $120.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
xsysengineering Used - $1,200.00 0 Aug/21/14 Aug/28/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
athomemarket NEW - $206.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $114.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $71.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $206.99 0 Aug/21/14 Sep/20/14
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $6,570.99 0 Aug/21/14 Sep/20/14
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
dellabitta7 NEW - $450.00 0 Aug/21/14 Aug/31/14
Description: Applied Materials AMAT Kalrez Sahara O-RING 3700-03760
athomemarket NEW - $526.99 0 Aug/22/14 Sep/21/14
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
fast_sell_4u Refurbished - $4,000.00 0 Aug/22/14 Sep/21/14
Description: Applied Materials AMAT 0010-09961 Rev. C 5000 DELTA/SACVD Nitride 4-6" Gas Box
velocity-semiconductor-equipment NEW - $18.00 0 Aug/23/14 Sep/22/14
Description: APPLIED MATERIALS (AMAT) , PLUG, NF3 CHANNEL, 300SE , 0021-18829
velocity-semiconductor-equipment NEW - $20.00 0 Aug/23/14 Sep/22/14
Description: APPLIED MATERIALS (AMAT) , GUIDE, LIFT PIN, ESC, 300MM DPS2 , 0200-06622
vizko2017 Used - $400.00 1 Aug/24/14 Apr/01/17
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X
yayais2012 Used - $200.00 3 Aug/24/14 Sep/13/16
Description: Applied Materials AMAT 0010-10864 WATER FLOW SWITCH 300MM ULTIMA X USED
athomemarket Used - $2,421.99 0 Aug/24/14 Aug/28/14
Description: Applied Materials AMAT 0010-10612 Etch 5000 Top Lid MxP+ Kalrez 2037 (AS/IS)
athomemarket Used - $2,624.99 0 Aug/24/14 Sep/23/14
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,211.99 0 Aug/24/14 Sep/23/14
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
ptb-sales Used - $750.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Aug/24/14 Sep/03/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $5,551.99 0 Aug/25/14 Sep/24/14
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
velocity-semiconductor-equipment NEW - $108.34 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) RING LIFT 6 0200-09999
velocity-semiconductor-equipment NEW - $970.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) CARRIER CLAMP COMPLIANT EXT CATHODE 0020-31463
velocity-semiconductor-equipment NEW - $135.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) GUIDE LIFT PIN ESC 300MM DPS2 0021-13790
velocity-semiconductor-equipment NEW - $170.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) CLAMP PEEK 300MM WALKING BEAM CLEANE 0021-15538
velocity-semiconductor-equipment NEW - $500.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) ENCLOSURE FACILITIES MAIN FRAME 300MM 0040-48648
velocity-semiconductor-equipment NEW - $350.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) SLIDE LINEAR LM GUIDE BALL-SCR 150MM W/B 3760-01102
athomemarket NEW - $5,954.99 0 Aug/26/14 Sep/25/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,836.99 0 Aug/26/14 Sep/25/14
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,954.99 0 Aug/26/14 Sep/25/14
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
velocity-semiconductor-equipment NEW - $3,837.66 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) RF MATCH 2MHZ/5000W 60 MHZ/5000W HART3 0190-25190
velocity-semiconductor-equipment NEW - $139.37 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) CABLE ASSY FORCE CLOSED ADPATOR STEC 0620-02869
velocity-semiconductor-equipment NEW - $1,200.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) BELLOWS 0040-39066
velocity-semiconductor-equipment NEW - $750.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) BELLOWS SV DOOR W/BALL BEARING 0040-87358
velocity-semiconductor-equipment NEW - $1,800.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) PRESSURE DISPLAY 300MM FI120V 1PH 50/60HZ 0190-14415
velocity-semiconductor-equipment NEW - $750.00 0 Aug/26/14 Sep/25/14
Description: APPLIED MATERIALS (AMAT) 85LB MAX HOIST ASSY COMES WITH AMAT 0242-33587 0021-1
athomemarket NEW - $5,853.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $5,046.99 0 Aug/27/14 Sep/26/14
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,239.99 0 Aug/27/14 Sep/26/14
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
bobsgoodies NEW - $45.50 3 Aug/27/14 Oct/24/17
Description: Applied Materials AMAT 3870-02296 VALVE 2WAY TEFLON; PARKER PV-3-1232
bobsgoodies NEW - $87.50 1 Aug/27/14 Dec/28/18
Description: Applied Materials AMAT 0100-00366 Feed Through Board
trees_for_a_better_tomorrow Used - $250.00 0 Aug/28/14 Sep/07/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $80.00 0 Aug/28/14 Sep/07/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
athomemarket Used - $180.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $3,532.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $425.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $199.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $2,362.99 0 Aug/28/14 Sep/27/14
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
trees_for_a_better_tomorrow NEW - $40.00 0 Aug/28/14 Sep/07/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
springfieldsurplus2014 NEW - $25.00 0 Aug/29/14 Sep/28/14
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
athomemarket NEW - $99.99 0 Aug/29/14 Sep/28/14
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $99.99 0 Aug/29/14 Sep/28/14
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $415.99 0 Aug/31/14 Sep/30/14
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $2,271.99 0 Aug/31/14 Sep/30/14
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Used - $2,544.99 0 Aug/31/14 Sep/30/14
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,726.99 0 Aug/31/14 Sep/30/14
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
dellabitta7 NEW - $450.00 0 Aug/31/14 Sep/10/14
Description: Applied Materials AMAT Kalrez Sahara O-RING 3700-03760
xsysengineering Used - $1,200.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $120.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) Electronic Box 0190-23662
xsysengineering Used - $999.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
athomemarket Refurbished - $464.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,129.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Scrap, for parts - $111.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,212.99 0 Sep/01/14 Oct/01/14
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,212.99 0 Sep/01/14 Oct/01/14
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $412.99 0 Sep/01/14 Oct/01/14
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
xsysengineering NEW - $50.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT), P/N 3400-01073 Gray Hose, GAS/LIQ .500ID X .780OD 50'
xsysengineering Used - $750.00 0 Sep/01/14 Sep/08/14
Description: Applied Materials (AMAT) 0020-32909 ESC. 200mm
xsysengineering NEW - $375.00 0 Sep/02/14 Sep/09/14
Description: Applied Materials (AMAT) P/N: 0200-09074 Quartz heater window 200mm
xsysengineering Used - $300.00 0 Sep/02/14 Sep/09/14
Description: Applied Materials (AMAT) P/N: 0010-09933 HV MODULE ASSY, ESC
yayais2012 NEW - $75.00 0 Sep/02/14 Oct/02/14
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Used - $3,331.99 0 Sep/02/14 Oct/02/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $91.99 0 Sep/02/14 Oct/02/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $137.99 0 Sep/02/14 Oct/02/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
visionsemi Used - $2,900.00 0 Sep/02/14 May/15/15
Description: APPLIED MATERIALS AMAT ENDURA PEDESTAL INTEGRATION BOX 0010-28071
jesumike NEW - $8,000.00 1 Sep/02/14 Sep/03/14
Description: APPLIED MATERIALS AMAT 0010-77775 TITAN 2 PROFILER HEADS - NIB
velocity-semiconductor-equipment NEW - $125.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) INSULATOR H.V. BELLOWS 0020-84329
velocity-semiconductor-equipment NEW - $20.25 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) THERMOCOUPLER 1310-90015
velocity-semiconductor-equipment NEW - $800.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) CARD CONTROLLER FORTH LC4 64K W/BASIC EP 0660-00277
velocity-semiconductor-equipment NEW - $550.00 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) BELLOWS FINGER LIFT HASTALLOY PRODUCER 0040-39946
velocity-semiconductor-equipment NEW - $700.00 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) KIT CHAMBER LID H20 RETROFIT 0242-11877
velocity-semiconductor-equipment NEW - $26.34 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) SHAFT THROTTLE VALVE 0020-09102
velocity-semiconductor-equipment NEW - $375.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) CARD BRAIN BOARD 16-CHANNEL ANALOG OPTOM 0660-00302
velocity-semiconductor-equipment NEW - $155.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) OPTO 22 I/O RACK DGTL 16-CHANNEL W/HDR CONN 0660-00301
velocity-semiconductor-equipment NEW - $700.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) MFM96606SLMHE1/4VCRMTL 3030-09099
velocity-semiconductor-equipment NEW - $6.62 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) SPACER MODIF SHIELD 8" G-12 0020-23587
velocity-semiconductor-equipment NEW - $1,600.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) ARM SLURRY/HPR ARM 0040-77267
velocity-semiconductor-equipment NEW - $200.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) PORTWATERRPSCHDP-CVD 0020-18461
velocity-semiconductor-equipment NEW - $115.00 0 Sep/03/14 Oct/03/14
Description: APPLIED MATERIALS (AMAT) PLATEIPS MODTTF LEFT REARTTF FACILITI 0020-04566
velocity-semiconductor-equipment Refurbished - $143.44 0 Sep/03/14 Oct/03/14
Description: Applied Materials (AMAT) PEDESTAL SST 8" 101 COVERAGE 0020-24913
ptb-sales Used - $2,500.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $3,000.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Sep/04/14 Sep/14/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
electronicswest Used - $250.00 0 Sep/04/14 Sep/11/14
Description: Applied Materials AMAT 0040-81156 Tetra 300mm DPS II Chamber Upper Liner
electronicswest NEW - $250.00 0 Sep/04/14 Sep/11/14
Description: Applied materials AMAT 0010-07893 ASSY TEF HOSE W/INSULATION, AMAT-1/STEEL
athomemarket Refurbished - $114.99 0 Sep/04/14 Oct/04/14
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $213.99 0 Sep/04/14 Oct/04/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $265.99 0 Sep/05/14 Oct/05/14
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $196.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $196.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $216.99 0 Sep/05/14 Oct/05/14
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $208.99 0 Sep/06/14 Oct/06/14
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
smartelektronikgmbh NEW - $19.00 0 Sep/08/14 Sep/05/17
Description: Applied Materials (AMAT) 3700-01659 O-Ring, 1.299 CSD, .103 CZ SC513 80 DURO WHI
smartelektronikgmbh NEW - $22.00 0 Sep/08/14 Jun/29/16
Description: Applied Materials (AMAT) 3700-01669 O-Ring, 4.100 CSD, .210 CZ SC513 80 DURO WHI
smartelektronikgmbh NEW - $1.50 0 Sep/08/14 Sep/08/14
Description: Applied Materials AMAT 3700-01262 ORING ID 1.299 CSD .103 VITON 75DURO BLK
smartelektronikgmbh NEW - $6.00 0 Sep/08/14 Sep/08/14
Description: Applied Materials AMAT 3700-01712 ORING ID 0.101 CSD 0.070 CZ SC513 80DURO WHITE
smartelektronikgmbh NEW - $520.00 0 Sep/08/14 Jun/29/16
Description: Applied Materials (AMAT) 3700-01707 O-Ring,ID 12.623 CSD:.139 CZ SC513 80 DURO W
bruce135 Used - $429.00 0 Sep/08/14 Oct/08/14
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket Refurbished - $133.99 0 Sep/08/14 Oct/08/14
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $164.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $264.99 0 Sep/08/14 Oct/08/14
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket Used - $165.99 0 Sep/08/14 Oct/08/14
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $234.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $63.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $139.99 0 Sep/08/14 Oct/08/14
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
bhjang1 NEW - $100.00 0 Sep/08/14 Oct/08/14
Description: Applied Materials (AMAT) 0020-31633 LIFT PIN, CONDUCTIVE,3PC
jesumike NEW - $1,500.00 3 Sep/09/14 Sep/11/14
Description: APPLIED MATERIALS AMAT 0020-20125 HUB HEATER BEARING - NEW
jesumike Used - $175.00 6 Sep/09/14 Sep/18/14
Description: APPLIED MATERIALS AMAT 0100-09156 RF MATCH CONTROLLER PCB
bhjang1 NEW - $150.00 0 Sep/09/14 Oct/09/14
Description: APPLIED MATERIALS AMAT SIMPLE CATHODE SHEET INSERT SHIM 0020-34316
jesumike NEW - $100.00 0 Sep/09/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0020-27365 FIXTURE BARATRON SHIPPING - NEW
jesumike NEW - $650.00 0 Sep/09/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0010-10315 ASSY BLADE ROBOT 8 WXZ - NEW
jesumike NEW - $1,350.00 0 Sep/09/14 Sep/19/14
Description: APPLIED MATERIALS AMAT 0010-20130 REV B BLADE ASSY 8 INCH BUFFER - NEW
jesumike NEW - $100.00 0 Sep/09/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0090-20303 SW ASSY WTR FLOW 1/4 NPT BRS 0.5 GPM - NEW
athomemarket NEW - $415.99 0 Sep/09/14 Oct/09/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,037.99 0 Sep/09/14 Oct/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,572.99 0 Sep/09/14 Oct/09/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
yayais2012 NEW - $15.00 0 Sep/10/14 Oct/10/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket Used - $3,331.99 0 Sep/10/14 Oct/10/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
trees_for_a_better_tomorrow Used - $80.00 0 Oct/04/14 Oct/11/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $40.00 0 Oct/04/14 Oct/11/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
velocity-semiconductor-equipment NEW - $115.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) PLATEIPS MODTTF LEFT REARTTF FACILITI 0020-04566
velocity-semiconductor-equipment Refurbished - $143.44 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) PEDESTAL SST 8" 101 COVERAGE 0020-24913
velocity-semiconductor-equipment NEW - $155.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) OPTO 22 I/O RACK DGTL 16-CHANNEL W/HDR CONN 0660-00301
velocity-semiconductor-equipment NEW - $6.62 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) SPACER MODIF SHIELD 8" G-12 0020-23587
velocity-semiconductor-equipment NEW - $700.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) MFM96606SLMHE1/4VCRMTL 3030-09099
velocity-semiconductor-equipment NEW - $1,600.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) ARM SLURRY/HPR ARM 0040-77267
velocity-semiconductor-equipment NEW - $200.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) PORTWATERRPSCHDP-CVD 0020-18461
velocity-semiconductor-equipment NEW - $20.25 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) THERMOCOUPLER 1310-90015
velocity-semiconductor-equipment NEW - $125.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) INSULATOR H.V. BELLOWS 0020-84329
velocity-semiconductor-equipment NEW - $800.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) CARD CONTROLLER FORTH LC4 64K W/BASIC EP 0660-00277
velocity-semiconductor-equipment NEW - $26.34 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) SHAFT THROTTLE VALVE 0020-09102
velocity-semiconductor-equipment NEW - $550.00 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) BELLOWS FINGER LIFT HASTALLOY PRODUCER 0040-39946
velocity-semiconductor-equipment NEW - $700.00 0 Oct/03/14 Oct/06/14
Description: Applied Materials (AMAT) KIT CHAMBER LID H20 RETROFIT 0242-11877
velocity-semiconductor-equipment NEW - $375.00 0 Oct/03/14 Oct/06/14
Description: APPLIED MATERIALS (AMAT) CARD BRAIN BOARD 16-CHANNEL ANALOG OPTOM 0660-00302
imca00 NEW - $7,450.00 0 Oct/03/14 Oct/10/14
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Used - $3,331.99 0 Oct/02/14 Nov/01/14
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $91.99 0 Oct/02/14 Nov/01/14
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $137.99 0 Oct/02/14 Nov/01/14
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $214.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
jesumike NEW - $500.00 1 Oct/12/14 Oct/12/14
Description: APPLIED MATERIALS AMAT 0242-76884 KIT 8" STD HOOP PVD - NEW
athomemarket Refurbished - $144.99 0 Oct/12/14 Nov/11/14
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $6,256.99 0 Oct/12/14 Nov/11/14
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket NEW - $106.99 0 Oct/12/14 Nov/11/14
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $415.99 0 Oct/10/14 Nov/09/14
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,037.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,572.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $3,331.99 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $111.99 0 Oct/11/14 Nov/10/14
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $4,844.99 0 Oct/11/14 Nov/10/14
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $91.99 0 Oct/11/14 Nov/10/14
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
yayais2012 NEW - $15.00 0 Oct/10/14 Nov/09/14
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
jesumike NEW - $300.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0242-20551 LIST 8" SHIELD - NEW
jesumike NEW - $500.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0021-11075 8" TTN PEDESTAL - NEW
athomemarket Used - $4,508.99 0 Oct/12/14 Nov/11/14
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
jesumike NEW - $300.00 1 Oct/12/14 Oct/12/14
Description: APPLIED MATERIALS AMAT 0020-26601 SHUTTER DISK 8 SS NEW
athomemarket Used - $65.99 0 Oct/11/14 Nov/10/14
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
jesumike NEW - $400.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0020-22907 PEDESTAL JMF 6" PRECLEAN
jesumike NEW - $50.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0620-02271 CABLE ASSY CONTROLLER ONBOARD 15' 9P
jesumike NEW - $150.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0150-20160 CABLE ASSY 50 FT PUMP EMO - NEW
jesumike NEW - $150.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0620-01254 CABLE NETWORK TERM I/F FOR ON-BOARD CRYO 30 FT
jesumike NEW - $35.00 0 Oct/12/14 Oct/19/14
Description: APPLIED MATERIALS AMAT 0150-20345 CABLE ASSY DUAL EMO INTERCONNECT - NEW
onlinesmt Refurbished - $425.00 0 Dec/03/14 Jan/02/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
onlinesmt Refurbished - $999.00 0 Dec/03/14 Jan/02/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD, 8" BESC, TAPERED (ELECTRA IMP)
athomemarket Refurbished - $117.99 0 Dec/04/14 Jan/03/15
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $217.99 0 Dec/04/14 Jan/03/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Refurbished - $219.99 0 Dec/05/14 Jan/04/15
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket NEW - $268.99 0 Dec/05/14 Jan/04/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $199.99 0 Dec/05/14 Jan/04/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $199.99 0 Dec/05/14 Jan/04/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
gti-semi NEW - $10,400.00 0 Dec/06/14 Nov/26/19
Description: Applied Materials, AMAT, TARGET - CO 5N 200MM ALPS, p/n 0190-00221
athomemarket Refurbished - $211.99 0 Dec/06/14 Jan/05/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket NEW - $65.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Used - $167.99 0 Dec/07/14 Jan/06/15
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Refurbished - $135.99 0 Dec/07/14 Jan/06/15
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $237.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Used - $266.99 0 Dec/07/14 Jan/06/15
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $168.99 0 Dec/07/14 Jan/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
onlinesmt Refurbished - $749.00 0 Dec/07/14 Jan/06/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Refurbished - $199.00 0 Dec/07/14 Jan/06/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/07/14 Dec/10/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
capitolareatech NEW - $20.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0620-00772 CABLE ASSY NULL MODEM 9.84FT DB9-F/F ***2 PA
capitolareatech NEW - $20.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0020-96877 BRACKET,INSERT ***9 PACK***
capitolareatech NEW - $45.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 0150-00392 CABLE ASSY,FILTER BOX INTERCONNECT,HT DP
capitolareatech NEW - $5.00 0 Dec/08/14 Jul/30/15
Description: Applied Materials (AMAT) 0720-90261 CONN.SOCKET 25 WAY CRIMP ***3 PACK***
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 3150-01001 MCGILL CF-1/2-N-S CAM FOLLOWER, 1/2 X .344
capitolareatech NEW - $20.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0720-90392 CONNECTOR, SMA FIBRE-OP ***2 PACK***
capitolareatech NEW - $5.00 0 Dec/08/14 Jul/30/15
Description: Applied Materials (AMAT) 1210-90231 RESISTOR FXD 1/4W 1% 1K5 MFR4 ***8 PACK***
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 1370-01008 NATIONAL SEMICONDUCTOR 2N4400 TRANSISTOR ***
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 1290-01039 TERM BLOCK 6 TERM 22-12AWG ***5 PACK***
capitolareatech NEW - $35.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 1210-01020 RESISTOR FIX 1/2W 5% 1.2K OHM CARBON FILM **
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 3690-02918 SCR MACH BDG HD 6-32 X 7/8L SLOT SST SLVR-PL
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 3240-01062 CND FTG FLEX MTLC 1.00" SCR-IN CONN CND T **
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 3700-90298 O-RING 29.61/DX2.4DIA-VITON. ***4 PACK***
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 1270-01482 ARROW ENGLAND 1500R11E SW SPST 125V NEON SGL
capitolareatech NEW - $75.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 3420-90088 INSULATING BUSH TYPE SF11 ***20 PACK***
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 0910-01118 Littelfuse 8AG 2A 361002 FUSE FAST BLO 8AG 2
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 3300-97189 FESTO FTG MINIATURE ELBOW QSM 1/8-6 ***15 PA
capitolareatech NEW - $25.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0910-01166 FUSE ELECTRONIC 2AMP 125V FAST ACTING ***30
capitolareatech NEW - $25.00 0 Dec/08/14 May/07/15
Description: Applied Materials (AMAT) 0680-01118 SQUARE D QOB1305237 Circuit Breaker MAG 1P 2
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 1330-01080 TIE WIRE SADDLE .438X1.06 BLIND HOLE M ***69
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0020-10199 FLAG HOME AND COMB
capitolareatech NEW - $18.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 3700-01894 SEAL CTR RING ASSY NW25 W/SILICONE ORING SST
athomemarket NEW - $142.99 0 Dec/08/14 Jan/07/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 0720-01398 Waldom 22-01-3077 CONNHSG CA MTG 7 POS 2695
capitolareatech NEW - $10.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 3700-90425 O RING BS 148 69.52 ID X 2.62. *** 3 PACK **
capitolareatech NEW - $50.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 1210-02723 BOURNS 3862C-282-503A RES POTENTIOMETER 1/2"
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 0840-01126 DIODE 1N4003 200.0V SILICON DO-41 CASE RECIT
capitolareatech NEW - $15.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 0720-05196 CONN PLUG CA MTG 3PIN CIRC WEATHER TIGHT .14
capitolareatech NEW - $35.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 1210-03062 RESPOS TEMP COEF RESETTABLE 30V 2.50A 1. ***
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 0020-37077 BUSS BAR, 5KVA TRANSFORMER
capitolareatech NEW - $10.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 3880-01034 WASHER WEAR NYL .250 ID X .4200 BLK ***90 PA
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/01/15
Description: Applied Materials (AMAT) 0630-01083 CAP FIX 1000V 22PF 10% LS .25 CERAMIC DISC *
capitolareatech NEW - $20.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 0630-01097 CAP FIX V 50 150 PF EPOXY CTD CERAMIC ***100
capitolareatech NEW - $30.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 3700-01019 ORING ID 2.600 CSD .210 SILICNS595-50 MS-004
capitolareatech NEW - $15.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 1370-01018 Motorola TIP30 TRANSISTOR PWR 40 VOLTS PNP *
capitolareatech NEW - $45.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 1370-90022 ON 2N3772 TRANSISTOR
capitolareatech NEW - $25.00 0 Dec/08/14 Feb/24/16
Description: Applied Materials (AMAT) 1390-01156 CABLE SHLD 22AWG 2 COND 300V PIC/CHR VIN ***
capitolareatech NEW - $30.00 0 Dec/08/14 Dec/03/15
Description: Applied Materials (AMAT) 1330-01081 TIE WIRE .30-.40DIA TWIST LOK BLIND ***500 P
capitolareatech NEW - $25.00 0 Dec/08/14 Jul/06/15
Description: Applied Materials (AMAT) 3690-01791 SCRMACH FIL HD 10-32 X 3/4L SLOTTED NYLON **
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/08/14 Dec/11/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow Used - $150.00 0 Dec/08/14 Dec/11/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/08/14 Dec/11/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
athomemarket NEW - $417.99 0 Dec/09/14 Jan/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $4,039.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,333.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,574.99 0 Dec/09/14 Jan/08/15
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $4,846.99 0 Dec/10/14 Jan/09/15
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
trees_for_a_better_tomorrow Used - $300.00 0 Dec/09/14 Dec/12/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
athomemarket Used - $93.99 0 Dec/10/14 Jan/09/15
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $70.99 0 Dec/10/14 Jan/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Refurbished - $114.99 0 Dec/10/14 Jan/09/15
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/10/14 Dec/13/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
yayais2012 NEW - $15.00 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
ptb-sales Used - $750.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Dec/11/14 Dec/21/14
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
bruce135 Used - $399.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket Used - $254.99 0 Dec/11/14 Jan/10/15
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
athomemarket Used - $4,510.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $108.99 0 Dec/11/14 Jan/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $217.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket NEW - $6,258.99 0 Dec/11/14 Jan/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $147.99 0 Dec/11/14 Jan/10/15
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
trees_for_a_better_tomorrow Used - $150.00 0 Dec/11/14 Dec/14/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/11/14 Dec/14/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/11/14 Dec/14/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow Used - $300.00 0 Dec/12/14 Dec/19/14
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
benta09 NEW - $135.00 1 Nov/17/14 Dec/10/14
Description: NEW Applied Materials AMAT 0040-20048 //FEEDTHRU LAMP
mayraytan NEW - $245.00 1 Oct/25/11 Dec/09/14
Description: Applied Materials AMAT 1/4" VCR Valve, 3870-01745
ecomicron NEW - $2,300.00 1 Nov/06/14 Dec/05/14
Description: 0100-00847, Applied Materials, AMAT, SERIPLEX IO DISTRIBUTION BOARD
onlinesmt Refurbished - $199.00 1 Dec/02/14 Dec/03/14
Description: APPLIED MATERIALS (AMAT) 0020-23041 CLAMP SHIELD
dr.fantom Used - $1,200.00 1 Nov/03/14 Dec/02/14
Description: Applied Materials AMAT 0090-76110 V21 SBC SYNERGY BOARD
ecomicron Used - $800.00 1 Oct/24/14 Dec/02/14
Description: 0100-20068, Applied Materials, AMAT, PCB, CCD BOARD
dr.fantom NEW - $380.00 1 Nov/20/14 Nov/26/14
Description: Applied Materials AMAT 0200-18074 SHEATH RPSC HDP-CVD
usedeqsales NEW - $312.11 1 Dec/12/11 Nov/25/14
Description: Applied Materials AMAT 0200-09217 Quartz Ring 200MM New
mpd6996 NEW - $75.00 2 Nov/20/14 Nov/24/14
Description: APPLIED MATERIALS AMAT 0100-76039 8" ROBOT ARM - NEW
usedeqsales NEW - $501.12 1 Jan/30/12 Nov/21/14
Description: Applied Materials AMAT 0200-09222 External Quartz Ring 8" New
mayraytan NEW - $14.50 5 Dec/24/11 Nov/21/14
Description: Applied Materials AMAT Tungsten Halogen Lamp 1010-01531
pete-sigep Used - $375.00 1 Nov/16/14 Nov/18/14
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
visionsemi NEW - $65.00 1 Sep/25/14 Nov/13/14
Description: APPLIED MATERIALS AMAT SIMPLE CATHODE SHEET INSERT SHIM 0020-34316 lot of 3 ea
katiil3 Used - $690.00 1 Oct/20/14 Nov/13/14
Description: APPLIED MATERIALS AMAT 0010-13445 Monitor lot of 3
dr.fantom Used - $250.00 1 Nov/02/14 Nov/02/14
Description: Applied Materials AMAT 0190-00318-U PCB ASSY GEN VGA/Video Controller Board
athomemarket NEW - $110.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $94.99 0 Dec/13/14 Jan/12/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $335.99 0 Dec/13/14 Jan/12/15
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
trees_for_a_better_tomorrow NEW - $65.00 0 Dec/13/14 Dec/20/14
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
trees_for_a_better_tomorrow NEW - $180.00 0 Dec/14/14 Dec/21/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow Used - $150.00 0 Dec/14/14 Dec/21/14
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $150.00 0 Dec/14/14 Dec/21/14
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
athomemarket NEW - $504.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,827.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket Used - $1,234.99 0 Dec/16/14 Jan/15/15
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $90.99 0 Dec/16/14 Jan/15/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $921.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $571.99 0 Dec/16/14 Jan/15/15
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $1,726.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $199.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $303.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
benta09 NEW - $999.99 0 Dec/16/14 Jan/15/15
Description: NEW Applied Materials AMAT 3870-03490 //VALVE BLOCK DIAPH 3WAY 1/4VCR-558824
benta09 NEW - $450.00 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 4000-01006 //CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SN
pete-sigep Used - $475.00 0 Dec/17/14 Jan/16/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
benta09 NEW - $29.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0040-09233 //BRACKET MOTOR LOAD ASSY
benta09 NEW - $34.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0040-00248 //Port Screen
benta09 NEW - $39.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0050-39339 //UPPER EXHAUST WXP
benta09 NEW - $115.00 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0200-20164 //INSULATORS, LAMP COVER, RIGHT
bruce135 Used - $149.00 0 Dec/17/14 Jan/16/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
benta09 NEW - $249.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
benta09 NEW - $99.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
onlinesmt Refurbished - $45.00 0 Dec/17/14 Jan/16/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $45.00 0 Dec/17/14 Jan/16/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
onlinesmt Refurbished - $79.00 0 Dec/17/14 Jan/16/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $45.00 0 Dec/17/14 Jan/16/15
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT)
onlinesmt Refurbished - $35.00 0 Dec/17/14 Jan/16/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
benta09 NEW - $999.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0022-77519 //FIXTURE, PAD CENTERING
benta09 NEW - $64.99 1 Dec/17/14 Jan/07/15
Description: NEW Applied Materials AMAT 0150-76156 //CABLE ASSY, INSERTION FLOW SENSOR MAINFR
athomemarket Used - $514.99 0 Dec/17/14 Dec/24/14
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
gigabitpartsolutions NEW - $15.00 0 Dec/17/14 Jul/24/15
Description: LIFT ESC ALUMINUM PIN; PT 0200-35789 APPLIED MATERIALS AMAT
gigabitpartsolutions Used - $495.00 0 Dec/17/14 Jul/08/15
Description: ESC High Voltage Power Supply Module X3208 0190-17029 APPLIED MATERIALS AMAT
athomemarket NEW - $1,097.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $116.99 0 Dec/17/14 Jan/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,097.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $4,039.99 0 Dec/17/14 Jan/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $317.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $518.99 0 Dec/17/14 Jan/16/15
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $78.99 0 Dec/17/14 Jan/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket Used - $514.99 0 Dec/17/14 Dec/24/14
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
benta09 NEW - $84.99 0 Dec/17/14 Jan/16/15
Description: NEW Applied Materials AMAT 0020-78238 //RETAINER
dr.dantom Used - $1,600.00 0 Dec/18/14 Feb/12/15
Description: Applied Materials AMAT 0242-10487 0040-02055 KIT Tempmatch BBR2 RADIANCE RTP
capitolareatech NEW - $237.12 0 Sep/30/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 1080-01138 BODINE ELECTRIC 24Y2BEPM-3F MOTOR GEAR 90 D
visionsemi NEW - $16.00 1 Aug/13/14 Dec/17/14
Description: APPLIED MATERIALS AMAT PARKER STAINLESS STEEL SH2-62 QUICK DISCONNECT 1/4 X 1/4
benta09 NEW - $59.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0021-77484 //MOTOR MOUNT
benta09 NEW - $59.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
benta09 NEW - $149.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0021-04317 //FLAP BOTTOM MAIN THROTTLE VALVE
bobsgoodies2 Used - $75.00 0 Dec/18/14 Mar/31/22
Description: APPLIED MATERIALS AMAT 0140-20090 HARNESS ASSY 5-PHASE DRIVER CASSETTE
athomemarket NEW - $181.99 0 Dec/18/14 Jan/17/15
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
athomemarket Used - $4,746.99 0 Dec/18/14 Jan/17/15
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
athomemarket Used - $6,864.99 0 Dec/19/14 Jan/18/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket Used - $6,572.99 0 Dec/20/14 Jan/19/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $208.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $117.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $73.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $208.99 0 Dec/20/14 Jan/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
esprprts NEW - $25.00 0 Dec/20/14 Jan/19/15
Description: Four (4) Applied Materials (AMAT) 0020-31633 LIFT PINS, CONDUCTIVE
mayraytan NEW - $68.50 5 Jan/02/12 Dec/19/14
Description: Applied Materials AMAT Parker Bulkhead Union, 10WTX-WLN-SS, 3300-03892
yayais2012 NEW - $170.00 0 Dec/21/14 Jan/20/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
fast_sell_4u Refurbished - $1,500.00 0 Dec/21/14 Jan/20/15
Description: Applied Materials AMAT 0010-09961 Rev. C 5000 DELTA/SACVD Nitride 4-6" Gas Box
athomemarket NEW - $528.99 0 Dec/21/14 Jan/20/15
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
ptb-sales Used - $2,500.00 0 Dec/22/14 Jan/01/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Dec/22/14 Jan/01/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $3,000.00 0 Dec/22/14 Jan/01/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Dec/22/14 Jan/01/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket NEW - $1,218.99 0 Dec/23/14 Jan/22/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,626.99 0 Dec/23/14 Jan/22/15
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
dr.fantom Used - $1,600.00 0 Dec/24/14 Feb/12/15
Description: Applied Materials AMAT 0090-00193 0100-00313 0100-00373 OCLP Main Control Board
athomemarket Used - $5,553.99 0 Dec/24/14 Jan/23/15
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
athomemarket NEW - $5,956.99 0 Dec/25/14 Jan/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,838.99 0 Dec/25/14 Jan/24/15
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,956.99 0 Dec/25/14 Jan/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
capitolareatech NEW - $1,534.04 0 Sep/27/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 3620-01525 EDWARDS VACUUM D37265000 PUMP IM TOOL INTER
testeqe Used - $4,499.99 0 Dec/26/14 Jan/25/15
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
capitolareatech NEW - $125.00 0 Dec/26/14 Sep/22/15
Description: APPLIED MATERIALS (AMAT) 0880-01011 ENCODER ROBOT
capitolareatech NEW - $15.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 1200-01090 Aromat TQ2-L2-24V Relay Low Profile 2 Form
athomemarket NEW - $4,241.99 0 Dec/26/14 Jan/25/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $5,048.99 0 Dec/26/14 Jan/25/15
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,855.99 0 Dec/26/14 Jan/25/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
capitolareatech NEW - $35.00 0 Dec/26/14 Jan/25/15
Description: APPLIED MATERIALS (AMAT) 3870-90283 SMC VZ512 Solenoid, 3-Way Valve, Pressure:
capitolareatech NEW - $20.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-97472 Front Panel to Source MAG Controller
capitolareatech NEW - $136.72 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-97810 Pillar Support
capitolareatech NEW - $150.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98012 PANEL FRONT 120V PSU 68000VME
capitolareatech NEW - $33.99 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-97936 COVER, ACCESS
capitolareatech NEW - $8.31 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0020-98300 SHIM AM & WALLIS CHASSIS
capitolareatech NEW - $15.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-98329 Clamp Plate, Filament
capitolareatech NEW - $31.03 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-98459 BRACKET SWITCH
capitolareatech NEW - $35.90 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98529 NUT,RETAINING
capitolareatech NEW - $90.89 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98528 BUSH,RETAINING
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0020-98548 Gasket, Heat Conducting
capitolareatech NEW - $21.96 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-98651 CLIP,CONNECTIONS
capitolareatech NEW - $125.65 0 Dec/26/14 Sep/22/15
Description: APPLIED MATERIALS (AMAT) 0020-98743 ORIENTATION ROD, QUADRUPOLE
capitolareatech NEW - $51.69 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98767 BLOCK,BUS BAR CLAMP
capitolareatech NEW - $10.69 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-98826 SCREW, SPECIAL, BOTTLE CLAMP
capitolareatech NEW - $28.71 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-98815 STOP-TRAY LIFT
capitolareatech NEW - $225.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-98877 INSERT OUTER
capitolareatech Used - $50.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98872 SUPPORT, FEEDWIRES
capitolareatech NEW - $49.65 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-98898 Screw, Aperture Mounting
capitolareatech Used - $350.00 0 Dec/26/14 Jul/24/15
Description: Applied Materials (AMAT) 0020-98911 MF. Aux. Power Assembly
capitolareatech NEW - $127.08 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98923 BLK,WATER CONN OVEN FLANGE
capitolareatech NEW - $109.54 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-98924 BRACKET,QUICK DISCONN SUPPORT
capitolareatech NEW - $63.43 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-98934 BRACKET,CONNECTOR SUPPORT
capitolareatech NEW - $84.02 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98937 INSERT FRONT PLATE,AN OPT FOR LOW ENERGY
capitolareatech NEW - $95.48 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-98941 Shield Magnet
capitolareatech NEW - $20.43 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-98944 Spacer, QUADRUPOLE
capitolareatech NEW - $350.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98952 APERTURE, EH6 (SIC)
capitolareatech NEW - $328.45 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-98956 Support Pillar, STD>SIGMA CONV.
capitolareatech NEW - $135.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-98985 FINGER GRIPPER 125 CONDUCTIVE
capitolareatech NEW - $165.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98986 GRIPPER FINGER 150 CONDUCTIVE
capitolareatech NEW - $2.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0020-98991 SHIELD FEEDTHROUGH
capitolareatech NEW - $373.82 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-99122 ELECTRODE E8
capitolareatech NEW - $411.78 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-99202 ELECTRODE E3
capitolareatech NEW - $125.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-99299 Vaporiser Nozzle Replaces 0020-81144
capitolareatech NEW - $53.09 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-99296 PLATE, 3.2 THICK
capitolareatech NEW - $79.34 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-99339 Wafer Stop, ORIENTER, RH, 150
capitolareatech NEW - $145.00 0 Dec/26/14 Jul/24/15
Description: Applied Materials (AMAT) 0020-99636 EOS Technology WS057 SHIELDING, END, LEAP I
capitolareatech NEW - $79.34 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-99340 Wafer Stop, ORIENTER, LH, 150
capitolareatech NEW - $75.00 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0020-99637 SHIELDIIG, SIDE, LEAP II
capitolareatech NEW - $30.46 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-99656 PLENUM CHAMBER SHIELD,FLGTTUB
capitolareatech NEW - $14.40 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0021-00151 BRACKET, SIMPLE CATHODE HE FEED GASLINE
capitolareatech NEW - $40.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-00152 BRACE, SIMPLE CATHODE HE FEED GASLINE
capitolareatech NEW - $3,000.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-00271 MOUNTING RING FOR POLY R2 DTCU
capitolareatech NEW - $15.50 0 Dec/26/14 May/25/15
Description: APPLIED MATERIALS (AMAT) 0021-00392 SCREW, 4-40 UNC .44 LG, TI-XZ LIFT PLATE
capitolareatech NEW - $19.99 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-00631 FEET, CHAMBER, 300MM, DPS
capitolareatech NEW - $232.64 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-00838 PLUNGER, LID INTERLOCK CUXZ
capitolareatech NEW - $47.39 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-01039 Hinge, Body, RT, 300MM Producer
capitolareatech NEW - $47.39 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-01040 hinge, body, left, 300mm, producer
capitolareatech NEW - $155.93 7 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-01317 Outer Shield, TXZ 200MM
capitolareatech NEW - $21.13 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0021-01391 BRACKET,MTG,TERM BLOCK-5000XT
capitolareatech NEW - $12.75 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-01481 Block Off Plate
capitolareatech NEW - $115.00 0 Dec/26/14 Sep/22/15
Description: APPLIED MATERIALS (AMAT) 0021-01557 COVER, HE FEEDTHRU
capitolareatech NEW - $54.26 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-01561 CONNECTOR, DC FEEDTHROUGH, CERAMIC ESC
capitolareatech NEW - $2,250.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-01602 MANIFOLD,INPUT,WATER,NI PL, TI-XZ
capitolareatech NEW - $25.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-01810 SHIM SACVD
capitolareatech NEW - $20.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0021-02207 Bracket OPTO Sensor 300MM Producer
capitolareatech NEW - $7.53 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0021-02161 SCREW,CAPTIVE,SOCKET HEAD HEATER HUB,HT-
capitolareatech NEW - $30.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-02452 CHAMBER BUSHING, LID ALIGN
capitolareatech NEW - $26.25 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-02489 SHIELD, HIGH VOLTAGE COMPARTMENT, MW CLE
capitolareatech NEW - $273.70 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-02497 RETAINING RING
capitolareatech NEW - $25.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-02623 Mount, Bracket
capitolareatech NEW - $98.82 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-02624 CLAMP ISOLATOR, VIEW LID, 200MM CUXZ
capitolareatech NEW - $824.42 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-02747 INSERT, SLIT VALVE FOR BUFFER
capitolareatech NEW - $422.58 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-02818 FLANGE, THROTTLE VALVE, 200MM SACVD PROD
capitolareatech NEW - $56.77 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-03303 GASKET, RF SHIELD, MAC ICP
capitolareatech Refurbished - $405.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-03066 MIDDLE LINER,250MM THROTTLE VALVE,SPHERI
capitolareatech NEW - $30.92 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-03349 CLAMP,N2 PURG,LOWER,31"GP,ETCH
capitolareatech NEW - $47.79 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-03601 PIN LIFT HANDLE BALL REMOVAL TOOL RTP
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0021-03813 SCREW , PLASTIC NCSR ALIGNMENT TOOL
capitolareatech NEW - $60.00 0 Dec/26/14 Jun/24/15
Description: APPLIED MATERIALS (AMAT) 0021-03878 Flap, Anodized, Bottom, Throttle Valve
capitolareatech NEW - $25.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-03888 SLIDE LEFT/RIGHT, CH RACK PRODUCER
capitolareatech NEW - $88.73 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-04217 KEY, STAINLESS
capitolareatech NEW - $7.65 0 Dec/26/14 Jul/30/15
Description: Applied Materials (AMAT) 0021-04230 Screw Cap SKT 8-32" X 1-1/4"L
capitolareatech Refurbished - $65.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP COIL SUPPORT ELECTRA
capitolareatech Refurbished - $22.95 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0021-04299 1041-02-006 REV B COVER MIXING INSERT LID
capitolareatech NEW - $17.96 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0021-04308 Bearing, Throttle Valve, EMAX
capitolareatech NEW - $20.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-04475 BRACKET, SENSOR MOUNTING, TICL4 TI/TIN
capitolareatech NEW - $6.03 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0021-04652 LIFT COVER B101, BLT, 101, HTESC
capitolareatech NEW - $41.24 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-04669 Spacer, Limited Extension, Upper Carrier
capitolareatech NEW - $132.30 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-04679 PLATE, LOCK, HEATER LIFTER
capitolareatech NEW - $750.60 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-04686 PLATE, HEATER LEVELING, 200MM UNIVERSAL
capitolareatech NEW - $32.88 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-04687 Nut, Lock, Heater Lift
capitolareatech NEW - $75.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-04740 Clamp, Spindle Arm
capitolareatech NEW - $33.15 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-04871 Blank, Chamber Leak U Wave WXZ
capitolareatech NEW - $548.78 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-04905 SHIELD, SHAFT SRD, ECP
capitolareatech NEW - $4,000.00 0 Dec/26/14 May/25/15
Description: APPLIED MATERIALS (AMAT) 0021-04921 COIL ELECTRA 1/4", COPPER OVER & UNDER
capitolareatech NEW - $115.00 2 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-06045 Bar, Teflon, DXZ, Fluorine Generator
capitolareatech NEW - $55.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-06137 Clamp, Dual Cell Tank, ECP
capitolareatech NEW - $35.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-06302 Bracket
capitolareatech NEW - $271.94 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-06305 200MM MDP LEFT Wafer Support Fin
capitolareatech NEW - $271.94 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-06306 200MM MDP Right Weather Support Fin
capitolareatech NEW - $8.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-06325 actuator bracket, clamp ring switch, 300m
capitolareatech NEW - $15.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0021-06349 Cup Inner Coil Sucepter
capitolareatech NEW - $79.27 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-06364 SIDE COVER, UPS DISCONNECT, PRODUCER
capitolareatech NEW - $61.15 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0021-06365 Access Cover, Front UPS Disconnect
capitolareatech NEW - $27.38 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-97694 ROD, END
capitolareatech NEW - $51.18 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-97822 MOUNT, THERMISTOR
capitolareatech NEW - $6.11 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0020-97900 SCREW VAC HEX HD M8X20 ST ST
capitolareatech NEW - $54.50 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-98757 Clamp Plate,Thermocouple Oven
capitolareatech NEW - $10.60 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-98841 Spacer
capitolareatech NEW - $1,004.61 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-06873 CLAMP RING, 6", SMF, SST, 3.4MM, 10405AR
capitolareatech NEW - $205.27 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-07173 SHIELD, SPLASH, PVDF SPINNING HEAD REV 5
capitolareatech NEW - $90.72 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0021-07328 Bearing Housing, Throttle Valve
capitolareatech NEW - $225.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0021-07563 SPACER, IEP, 2.75 WINDOW, .18THK, DPS CH
capitolareatech NEW - $15.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-06312 Flange Mount HP Encoder MO61 DC Step MOT
capitolareatech NEW - $3.24 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0020-06331 Flag Z, Sensor
capitolareatech NEW - $9.87 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0020-06412 Window, Light Port
capitolareatech NEW - $18.63 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06438 HINGE
capitolareatech NEW - $300.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06470 Crit, Pedestal, 150 MM Oxide
capitolareatech NEW - $195.14 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06470 Crit, Pedestal, 150 MM Oxide
capitolareatech NEW - $366.45 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06534 BRACKET MTG WIPER SW
capitolareatech NEW - $120.11 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06574 SDS BOTTLE BACKREST
capitolareatech NEW - $12.25 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-06612 SPACER SENSOR BRACKET
capitolareatech NEW - $10.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06617 WAFER BUTTON, ALUM
capitolareatech NEW - $18.56 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06712 Upper Suspention
capitolareatech NEW - $36.75 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06713 Suspension Lower
capitolareatech NEW - $145.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06721 Shield
capitolareatech NEW - $862.48 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06732 Shaft, Z-AXIS
capitolareatech NEW - $30.02 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06771 MOUNT STRIP, LOWER VERT.
capitolareatech NEW - $19.87 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06773 MOUNT STRIP, UPPER
capitolareatech NEW - $137.29 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06779 BRACKET, FLAT FNDR MOTOR
capitolareatech NEW - $57.62 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06815 Semiconductor Part, Insulator, Ground Pla
capitolareatech NEW - $81.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06826 Shaft, Arm PivotT Geneva Drive
capitolareatech NEW - $50.36 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06831 Block, Spring Guide Geneva Drive
capitolareatech NEW - $72.76 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06837 ARM, GENEVA LOCKING GENEVA DRIVE
capitolareatech NEW - $13.19 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-06834 PIN, GENEVA WHEEL LOCKING
capitolareatech NEW - $60.93 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06888 FINGER, 100MM GRIPPER
capitolareatech NEW - $11.15 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-06847 Shim, Short Support
capitolareatech NEW - $72.36 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06890 Spring, 100mm Gripper
capitolareatech NEW - $41.50 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06894 Spacer RF Connector RF Micro Match
capitolareatech NEW - $18.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06894 Spacer RF Connector RF Micro Match
capitolareatech NEW - $12.91 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06902 SUPPORT SPRING
capitolareatech NEW - $13.38 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06903 Spacer, Electrical Contract Bearing
capitolareatech NEW - $50.94 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06912 BRACKET, GROUNDING
capitolareatech NEW - $226.93 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06916 BLADE RF MATCH
capitolareatech NEW - $12.57 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-06951 Insulator RF Match
capitolareatech NEW - $25.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06956 Blade Insul RF Match
capitolareatech NEW - $486.55 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06963 LEFT ARM SUPPORT-WAFER COUNTER
capitolareatech NEW - $21.63 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-06957 SHAFT BLADE
capitolareatech NEW - $65.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06967 DOME GRAPHITE
capitolareatech NEW - $22.84 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06968 Stud View Port Plate, Load Chamber
capitolareatech NEW - $16.78 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-06978 Spring Clip Pedestal
capitolareatech NEW - $32.54 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06973 COVER ACCESS
capitolareatech NEW - $13.34 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06983 Housing Slider
capitolareatech NEW - $12.45 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-06984 Tip, Brush Rod
capitolareatech NEW - $18.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-06985 Semiconductor Part, Push Rod
capitolareatech NEW - $126.74 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06997 PEDESTAL, 125MM OXIDE
capitolareatech NEW - $50.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-07008 Bracket, Monitor Mount, P5 Servo Lift
capitolareatech NEW - $33.39 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-07212 Washer, Compression Quad Ring 300mm HDP
capitolareatech NEW - $379.31 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-07382 LH COVER TOP
capitolareatech NEW - $206.55 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-07458 LINER, CHAMBER, BOTTOM
capitolareatech NEW - $610.59 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-07594 Clamp Ring, 8" SNNF, ELECTRA CU E/E 2.5,
capitolareatech NEW - $40.25 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-07616 Aperture Linger, G1
capitolareatech NEW - $60.33 1 Dec/26/14 Dec/21/15
Description: APPLIED MATERIALS (AMAT) 0020-07617 APERTURE LINER, G2/G3/G4
capitolareatech NEW - $45.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-07774 Lower Plate Magnet, Ring Flux Shape, 200m
capitolareatech NEW - $28.71 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-07869 OPTO BRACKET
capitolareatech NEW - $608.72 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-07926 BELLOWS SHIELD, LOWER, ECP ANNEAL
capitolareatech NEW - $135.44 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-07927 Bellows Shield, Upper, ECP ANNEAL
capitolareatech NEW - $1,005.55 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-08184 GUIDE BRACKET,UPPER MOTOR
capitolareatech NEW - $142.84 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-08247 CLAMP PLATE,LEAP 2
capitolareatech NEW - $101.21 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-08275 CONNECTOR EXTRACTION LEAP 2
capitolareatech NEW - $182.66 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-08311 SHUTTER,MRS
capitolareatech NEW - $311.15 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-08936 BRACKET, CONNECTOR MTG.
capitolareatech NEW - $17.39 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-08971 *SET SCREW
capitolareatech NEW - $37.74 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-08976 *ELECTRODE APERTURE 12mm
capitolareatech NEW - $15.94 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09036 SEAL COVER
capitolareatech NEW - $74.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-09040 Spring Support Mount
capitolareatech NEW - $42.99 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-09042 Actuator, Crit, Installer
capitolareatech NEW - $11.05 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-09053 Sensor, Flag
capitolareatech NEW - $66.22 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09100 FRONT PANEL,INTELLIGENT INTFC
capitolareatech NEW - $26.34 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09102 SHAFT, THROTTLE VALVE
capitolareatech NEW - $15.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09128 Bell Crank, I/O Slit Valve
capitolareatech NEW - $1,300.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0021-09152 PEDESTAL, 200MM, SHOWER DBL NOTCH
capitolareatech NEW - $24.06 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-09169 Cover, A
capitolareatech NEW - $14.79 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-09225 Clamp Filter .75 DIA
capitolareatech NEW - $7.50 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0020-09229 SUPPORT MANIFOLD TUBE
capitolareatech NEW - $24.72 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09237 PIVOT ARM, CASS LOCK
capitolareatech NEW - $7.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09240 BUFFER, CASSETTE MALE
capitolareatech NEW - $7.50 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0020-09243 Buffer, Cassette Female
capitolareatech NEW - $21.08 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09255 TURN BUCKLE,CASS LOCK 125MM
capitolareatech NEW - $54.68 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09270 Front Cover
capitolareatech NEW - $58.20 0 Dec/26/14 May/25/15
Description: APPLIED MATERIALS (AMAT) 0020-09263 Rear Cover
capitolareatech NEW - $22.74 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-09277 PLATE,MTG COMB SENSOR
capitolareatech NEW - $22.74 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09293 BRACKET ADJUSTMENT PLATE MOUNT
capitolareatech Refurbished - $2.09 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0020-09302 PLUG
capitolareatech NEW - $8.39 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0020-09405 FLAG, HOME SENSOR X-AXIS
capitolareatech NEW - $24.72 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-09406 PLATE,ROTARY ACTUATOR VERTICAL
capitolareatech NEW - $32.56 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-09416 SHIM .003
capitolareatech NEW - $35.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-09412 seal bellows sleeve
capitolareatech NEW - $16.88 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-09446 GASKET MAG-AC
capitolareatech NEW - $44.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-09472 CLAMP, SHAFT GUIDE
capitolareatech NEW - $17.01 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09484 Clamp, Switch
capitolareatech NEW - $21.85 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-09555 COPPER COIL, ETCH
capitolareatech NEW - $16.22 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09570 Plate, Electronics Rack
capitolareatech NEW - $11.99 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-09579 SPRING TRSN LT, SLIT VALVE
capitolareatech NEW - $120.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-09575 Finger
capitolareatech Refurbished - $2.09 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0020-09608 PLUG LEAK CHECK PORT
capitolareatech NEW - $1.93 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0020-09609 BUSHING TERMINAL
capitolareatech NEW - $15.62 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-09610 PLATE RETAINER
capitolareatech NEW - $55.76 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-09617 Bushing, Rear
capitolareatech Used - $20.00 1 Dec/26/14 Sep/23/15
Description: APPLIED MATERIALS (AMAT) 0020-09622 Plug, Throttle Valve
capitolareatech NEW - $75.00 0 Dec/26/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0020-09629 QCDVATX0F COVER, GENERATOR CONN HOUSING
capitolareatech NEW - $17.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0020-09634 Bracket, Window Drive Actuator
capitolareatech NEW - $160.00 8 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-09655 DDF3 HUB FR2 PAD CONDITIONER
capitolareatech NEW - $359.63 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0020-06142 Arm Left Hand Shuttle
capitolareatech NEW - $60.92 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06414 PAD SLEEVE COVER
capitolareatech NEW - $56.51 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06518 WIPER, RF MICRO MATCH
capitolareatech NEW - $4.86 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06535 Bracket Wiper LIM SW
capitolareatech NEW - $17.02 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0020-06711 SUSPENSION CLAMP
capitolareatech NEW - $500.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-01387 HEATSINK INSERT COATED; 125mm0-DEG
capitolareatech NEW - $1,666.66 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-01419 Housing, Arm ECP
capitolareatech NEW - $346.10 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-01424 Retainer, Bearing, Arm, ECP
capitolareatech Refurbished - $225.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-01830 Plate
capitolareatech NEW - $219.76 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-01892 Left Block, Clamp/Gas Spring, DXZ, FL GE
capitolareatech NEW - $250.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-01900 PULLY, 11MM DRIVE SHAFT, ECP
capitolareatech Used - $350.00 0 Dec/26/14 Jul/24/15
Description: Applied Materials (AMAT) 0040-01970 Power Distribution-fused RTP CHAMBER SUPP
capitolareatech NEW - $125.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-02073 Plate
capitolareatech NEW - $60.35 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-02674 COVER, CELL CONNECTOR, ECP CELL
capitolareatech NEW - $1,020.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-02954 MOUNTING RING,DOME,.40" COIL HT,HR DTCU,
capitolareatech NEW - $323.52 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-03024 SHIELD,HEATER,TOP,SPOOL TICL4 TIN
capitolareatech NEW - $366.27 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-03025 SHIELD,HEATER,BOTTOM,SPOOL TICL4 TIN
capitolareatech NEW - $135.00 0 Dec/26/14 Oct/29/15
Description: APPLIED MATERIALS (AMAT) 0040-03149 Brace
capitolareatech NEW - $125.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-03149 Brace
capitolareatech NEW - $450.00 0 Dec/26/14 Aug/23/15
Description: APPLIED MATERIALS (AMAT) 0040-03263 INSERT, SLIT VALVE, EMAX
capitolareatech NEW - $124.34 1 Dec/26/14 May/25/15
Description: APPLIED MATERIALS (AMAT) 0040-03532 ENCLOSURE, SWITCH MODULE, PRODUCER
capitolareatech NEW - $275.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-03567 Block, Heater, Preheat, Carrier Gas, Mid,
capitolareatech NEW - $341.05 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-03597 DP BOX LOWER HALF
capitolareatech NEW - $500.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-03691 Body Vacuum Chuck, MF Robot, 300mm Elect
capitolareatech NEW - $171.42 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-03800 GAS FEEDTHRU, 300MM TXZ
capitolareatech NEW - $783.02 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-03867 Block, Heater, Preheat, Carrier Gas, MID,
capitolareatech NEW - $171.28 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-03921 Louver, Left Panel, 300MM 4.0 FI
capitolareatech NEW - $225.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-03895 MTG BRKT, PYRO XFMR, 1-2 CH., RTP, CMF
capitolareatech NEW - $40.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-04440 BLOCK, INTLCK SWITCH, DPS E-DTCU
capitolareatech NEW - $81.85 0 Dec/26/14 Jul/24/15
Description: Applied Materials (AMAT) 0040-04495 Mounting Plate IHC Assembly, 300MM, HDPCV
capitolareatech NEW - $76.04 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-04522 ELECTRODE WELDMENT
capitolareatech NEW - $276.89 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-04524 TUBE, ELECTRODE FEEDTHRU
capitolareatech NEW - $15.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0040-04586 Pin Shield Inner, TXZ CVD 300MM, 188x.500
capitolareatech NEW - $65.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0040-05245 ADAPTOR PLATE, THROTTLE VALVE DIRECT DRI
capitolareatech NEW - $1,500.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-05251 UPPER SWINGARM
capitolareatech NEW - $124.00 0 Dec/26/14 Jun/11/15
Description: Applied Materials (AMAT) 0040-05469 MOTOR ADAPTER, SPUR GEAR, THROTTLE VALVE
capitolareatech NEW - $3,500.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-05528 ADAPTER ELECTRA, IMP OU
capitolareatech NEW - $149.55 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-05652 COVER, MOTOR PLATE GUARD
capitolareatech NEW - $150.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-06371 Panel, Floor eMAX Position D, C2
capitolareatech NEW - $77.10 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-06542 SEIKO SEIKI CONTROLLER PLATE, ULTIMA
capitolareatech NEW - $402.98 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-06596 Plate, Lid, TXZ
capitolareatech NEW - $6,180.30 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-07962 RING, CLAMP, LOWER, 200MM, LTE/E-CLEAN
capitolareatech NEW - $25.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-08071 Bracket
capitolareatech NEW - $25.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-08072 Bracket
capitolareatech NEW - $25.00 10 Dec/26/14 Jan/03/16
Description: APPLIED MATERIALS (AMAT) 0040-08075 Plate
capitolareatech NEW - $1,797.39 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-08076 Top, 4-Bar Clamp Wrist
capitolareatech NEW - $45.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-08077 Bracket
capitolareatech NEW - $692.38 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-08332 WELDMENT, BLOCK, PROCESS GAS, 300MM, EMA
capitolareatech NEW - $250.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-08737 COVER, LEFT SIDE, 300MM EMAX
capitolareatech NEW - $1,500.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-08845 Pin, Lift, RF INTLK, 300MM DPS DTCU
capitolareatech NEW - $3,315.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-08917 PROTECTOR, MEG HVM
capitolareatech NEW - $933.31 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-08918 COVER TOP PAN, MEG HVM
capitolareatech NEW - $250.74 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09026 MANIFOLD BLOCK 2:1
capitolareatech NEW - $68.08 1 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09115 FEED THROUGH
capitolareatech NEW - $125.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09210 Plunger, Soft Tip Hinge Head Lock, 300 M
capitolareatech NEW - $38.48 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09222 Bracket Motor (Tune)
capitolareatech NEW - $40.55 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09223 Bracket Motor (Load)
capitolareatech NEW - $119.19 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09253 WELDMENT,R/F GND, TOP MOUNT SUSC.
capitolareatech NEW - $170.84 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-09277 Front Panel, Cooling, Heat Exchanger
capitolareatech NEW - $34.02 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-09476 Clamp, TC, Vapor Line, H20 VDSII
capitolareatech NEW - $838.76 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-09721 45"POST LIFT ASSY DPS CHAMBER 300MM SYST
capitolareatech NEW - $202.50 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-09549 SHIELD, REAR, UNIVERSAL
capitolareatech NEW - $21.86 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-09875 JACK, CONN BASE, RF PLATE, ESC
capitolareatech NEW - $650.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09963 Pedestal, 150mm Flat, IS, NI Lift 2, HVCE
capitolareatech NEW - $288.95 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-13142 STAND, STEC INJECTOR, REINFORCED
capitolareatech NEW - $125.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-13316 Plate
capitolareatech NEW - $450.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-13318 Retainer Ring
capitolareatech NEW - $924.60 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-13378 Wing, RT, Enhanced HP
capitolareatech NEW - $450.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-13880 DOOR,BACKING 300MM SLIT VALVE LLC AL REV
capitolareatech NEW - $1,095.00 0 Dec/26/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0040-13380 Robot, Arm, Right, HP
capitolareatech NEW - $76.38 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-13881 DOOR,BACKING 300MM SLITVALVE PROCESS AL
capitolareatech Refurbished - $3,500.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0040-18023 PEDESTAL,COATED,200MM,SNNF,MK4,CVD
capitolareatech NEW - $427.34 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-18178 TRAY, PLATE SOURCE BOX OT H20 LEAK
capitolareatech Refurbished - $1,200.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-20561 WEST COAST QUARTZ 91-00232RW PRECLEAN II BE
capitolareatech NEW - $125.00 0 Dec/26/14 Apr/25/15
Description: APPLIED MATERIALS (AMAT) 0040-20571 Adapter, Elbow VCR TO MINI CF
capitolareatech NEW - $454.69 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-21216 BRACKET PCB MOUNT REMOTE DISTR
capitolareatech NEW - $99.23 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-21368 Bracket, Left Adapter, Water Cover
capitolareatech NEW - $225.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-21516 MANIFOLD, SINGLE SIDE INLET
capitolareatech NEW - $165.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-21704 Manifold, Single Top Oulet
capitolareatech NEW - $1,188.62 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-22026 WAFER COMB RIGHT LL 28 SLOT
capitolareatech NEW - $55.18 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-22140 VECTRA IMP MAGNET
capitolareatech NEW - $251.63 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE
capitolareatech NEW - $600.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0040-23526 PLATE, VITON FACE SEAL REV 3 AL PROC SLI
capitolareatech Refurbished - $32.53 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-22879 ASSY, VALVE WITH HOSES
capitolareatech NEW - $125.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-23765 Plate, not in original packaging
capitolareatech NEW - $233.88 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-24114 ADJUSTMENT CERAMIC SKIRT
capitolareatech NEW - $250.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-24128 Housing
capitolareatech NEW - $1,500.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-32463 RING, MAGNET, UPPER
capitolareatech Refurbished - $750.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-32463 RING, MAGNET UPPER
capitolareatech NEW - $225.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-32548 Blade, Spherical, Throttle Valve, 10 INC
capitolareatech NEW - $101.15 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-32691 BLOCK ELBOW GAS BOX MICROWAVE REMOTE PLA
capitolareatech NEW - $69.57 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-32764 BRKT SUPPORT N2 PURGE NULTI-SLOT LL PROD
capitolareatech NEW - $1,953.42 0 Dec/26/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0040-34148 LINER, GDP, 43R HOLE, HART 200MM
capitolareatech NEW - $68.37 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-34160 COVER, INNER, SLIT LINER DOOR, 300MM EMA
capitolareatech NEW - $55.37 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-34816 Cover, RF Heater Filter Producer
capitolareatech NEW - $130.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-34827 CGA 320 PIGTAIL
capitolareatech NEW - $390.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-34926 CATHODE END POINT DETECTOR
capitolareatech NEW - $225.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-35543 FTG, LONG ULTRA-TORR UNION, 1/4 TUBE SIZ
capitolareatech NEW - $31.91 0 Dec/26/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0040-35656 Housing, TMS, SEIKO SEIKI, FAC BOX-DPS ME
capitolareatech NEW - $10.40 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0030-00184 Wafer, Button Molded
capitolareatech NEW - $2.10 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0030-00193 BUTTON, WAFER OXIDE MLDD
capitolareatech NEW - $2.21 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0030-00233 SHIELD,CLIP,200MM OXIDE
capitolareatech NEW - $259.88 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0030-09037 Seal, 200mm Pedestal Face CHEMRAZ
capitolareatech NEW - $139.01 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0030-09022 TRAY ELEVATOR 125MM
capitolareatech NEW - $157.40 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0030-09052 SEAL, LARGE FACE, NOTCH CHEMRAZ 512, 200
capitolareatech NEW - $167.50 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0030-98014 Moulding, Side Panel, RH
capitolareatech NEW - $87.65 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0035-00004 BRACKET MOTOR Z-AXIS MACHINE
capitolareatech NEW - $28.22 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0035-00031 HINGE LEFT, MACHINING RIGHT DOOR
capitolareatech NEW - $42.12 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0035-00032 Hinge Left, Machining (Left Door)
capitolareatech NEW - $35.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0035-00033 Hinge RT Machining Left Door
capitolareatech NEW - $42.12 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0035-00038 Hinge RIFHT,Machining (Right Door)
capitolareatech NEW - $349.19 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-00089 PROCESS ROUGH LINE LOWER
capitolareatech NEW - $719.56 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-00124 Heat Exchanger Reservoir
capitolareatech NEW - $364.50 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-00248 4000248 Port Screen, Turbo Pump
capitolareatech NEW - $7.73 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-00252 Button, Stop
capitolareatech NEW - $35.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-00263 1.00" PORT SCREEN-PROCESS ROUGH
capitolareatech NEW - $303.01 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-00309 Wiper Support Assembly, RF Micro Match
capitolareatech NEW - $125.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-00343 Bracket Assembly, Shuttle MTG
capitolareatech NEW - $393.38 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-00429 WELDMENT, PIPE HOT
capitolareatech NEW - $673.04 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-00651 BELLOWS
capitolareatech NEW - $150.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-01080 CONNECTOR, FLEXIBLE
capitolareatech Used - $25.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-01114 BRACKET CHAMBER ROT PCB MOD 1 TOXIC MOD
capitolareatech NEW - $125.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-01193 Arm
capitolareatech NEW - $1,312.61 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-01352 Carrier, Lower Lifter
capitolareatech NEW - $45.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0040-01445 INSULATOR 50MM X 17DIAM M5
capitolareatech NEW - $750.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-09918 BASE, CONNECTOR, HEATER
capitolareatech NEW - $700.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0040-09961 Pedestal, 200mm Notch, IS, NI Lift2, HVCE
capitolareatech NEW - $38.63 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-70412 Harness Assembly, SMIF 24V Power Supply t
capitolareatech NEW - $200.42 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-75200 HARNESS ASSY,SYSTEM ELECTRONICS AC PWR/C
capitolareatech NEW - $72.84 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-75381 HARN ASYS EMO 23VAC INTFC UPS PHASE II
capitolareatech NEW - $6.46 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0140-76004 HARNESS ASSY AUXILIARY CONTACTOR
capitolareatech NEW - $147.89 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-76287 HARNESS ASSY, PWR DISTRIBUTION
capitolareatech NEW - $400.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-76711 H/A, CASS INDEX/ELEV STEP EXTENSIONS, P5
capitolareatech Refurbished - $33.75 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-76838 HARNESS ASSY,I/O WAFER SENSOR
capitolareatech NEW - $185.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77118 HARNESS, PAD COND 1, ENC
capitolareatech NEW - $10.20 0 Dec/26/14 Apr/25/15
Description: APPLIED MATERIALS (AMAT) 0140-77181 CABLE, LOWER PNEUMATICS
capitolareatech NEW - $49.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-77182 CABLE, LOWER PNEUMATICS
capitolareatech NEW - $602.58 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-77268 HARNESS,PLTN, CONTBLKHD-
capitolareatech NEW - $2,400.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77282 AO IO HARNESS,CNTRL-MNFR
capitolareatech NEW - $56.51 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0140-77400 HRNS, SLURRY FLOW,
capitolareatech NEW - $58.71 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0140-77755 K-Tec Electronics HRNS, SLURRY FLOW METER,
capitolareatech NEW - $270.17 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0140-77925 CABLE, PLATEN ENC, POLISHER BKHD TO MOTO
capitolareatech NEW - $20.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0140-77908 ADVANCED UPS AC INPUT HARNESS ASSY
capitolareatech NEW - $120.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-77940 CABLE, HEAD SWEEP 1,2 PWR, CNTRL BKHD TO
capitolareatech NEW - $40.39 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-78055 CABLE, EXHAUST SENSOR
capitolareatech NEW - $473.57 1 Dec/26/14 Jun/24/15
Description: APPLIED MATERIALS (AMAT) 0140-90207 LOOM ASSEMBLY BLADE BOX
capitolareatech NEW - $62.44 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-90584 CABLE 9EP2/7CP16
capitolareatech NEW - $252.05 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-90709 L/D W/L DOOR ROBOT CABLE
capitolareatech NEW - $223.18 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-90832 7E.P5/7K.C1
capitolareatech NEW - $513.05 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-90789 LOOM ASSY 10A.P3,4,5,6/13A....
capitolareatech NEW - $570.96 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-91200 CFA 3X2A.VIB/3X4F.P8,P13
capitolareatech NEW - $49.10 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00007 Cable Assembly, VME
capitolareatech NEW - $18.63 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00009 60V POWER SUPPLY AC CBLE
capitolareatech NEW - $18.49 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00013 24V PWRSUP AC CABLE ASSY
capitolareatech NEW - $25.96 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00061 REMOTE DIGITAL FLAT CBL
capitolareatech NEW - $24.61 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00068 Ribbon Cable Assembly 20COND Gas PNL, Dig
capitolareatech NEW - $32.12 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00083 AFC 6 RIBBON CABLE
capitolareatech NEW - $54.30 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00088 CABLE, VME EMO
capitolareatech NEW - $105.07 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00093 REMOTE ELEC CABLE ASSY
capitolareatech NEW - $36.97 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00103 CABLE ASSY, VID INTERCON
capitolareatech NEW - $292.68 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0150-00095 Digital Cable, System I/O, 21 Ft.
capitolareatech NEW - $17.38 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00123 Bright/Contrast Cable B
capitolareatech NEW - $11.16 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00144 RF MATCH INTER CABLE
capitolareatech NEW - $272.57 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00159 CABLE ASSY ANNEAL CHAMBER PNEUMATICS
capitolareatech NEW - $153.62 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00165 CABLE ASSY, TURBO INTCON
capitolareatech NEW - $49.44 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00170 REMOTE CRT VIDEO CABLE
capitolareatech NEW - $78.80 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00172 REMOTE CRT KEYBRD CABLE
capitolareatech NEW - $97.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00179 CABLE EXTENSION HEATER ANNEAL 200M
capitolareatech NEW - $437.40 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00180 AMPHENOL (AMP) CABLE, EXTENSION, CONTROL,
capitolareatech NEW - $164.52 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00183 Cable, PCB, Stepper, CH. 1, ANNEAL
capitolareatech NEW - $155.52 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00184 Cable, PCB, Stepper, CH. 2, ANNEAL
capitolareatech NEW - $71.51 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00196 CABLE ASSY,POWER CONTROL
capitolareatech NEW - $90.50 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00220 CABLE,20' TURBO INTERCON
capitolareatech NEW - $33.13 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00248 CABLE,FLOOD METER
capitolareatech NEW - $111.25 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00230 CABLE RF CONTROL ENI
capitolareatech NEW - $15.06 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00255 CABLE,RESISTIVITY SENSOR
capitolareatech NEW - $9.82 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0150-00298 CABLE ASSY. E/P MODULE - CHART RECORDERS
capitolareatech NEW - $46.79 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00299 CABLE ASSY. E/P MODULE PARALLEL INTERFAC
capitolareatech NEW - $19.87 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00315 Cable P.C. Power Supply Extension
capitolareatech NEW - $82.99 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00320 CABLE ASSY EMO REMOTE
capitolareatech NEW - $102.42 0 Dec/26/14 Jun/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00382 CABLE ASSY 28IN LG JENOPTIK INTERLOCK
capitolareatech NEW - $33.13 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00368 CABLE, RF CONTROL - ENI
capitolareatech NEW - $35.65 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00435 Cable Assembly, Gas Panel, CH A, SERIPLEX
capitolareatech NEW - $1,530.00 0 Dec/26/14 May/29/15
Description: APPLIED MATERIALS (AMAT) 0150-00478 CABLE ASSY LIFT HEATER CHAMBER A SACVD P
capitolareatech NEW - $90.50 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00592 CABLE ASSY, WAFER LOADER SMOKE DETECTOR
capitolareatech NEW - $13.24 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0150-00644 CABLE ASSY RS232 PORT TERMINATOR
capitolareatech NEW - $150.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00608 CABLE ASSY,XFMR INTERCONNECT 50FT,GFI TI
capitolareatech NEW - $15.67 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00655 CABLE ASSY,CHAMBER PNEU,RTP EV11 INTLK B
capitolareatech NEW - $165.54 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00740 Cable Assembly., SRD Pneumatic #1
capitolareatech NEW - $163.34 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00741 Cable Assembly., SRD Pneumatic #2
capitolareatech NEW - $99.33 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00765 CABLE ASSY., CHEM CAB INTLK CONT - FAC
capitolareatech NEW - $145.68 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00767 CHEM. CAB. INTLK 50' CABLE ASSY
capitolareatech NEW - $2.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0150-00769 CABLE ASSY, JUMPER, PWR MOD, END POINT D
capitolareatech NEW - $43.70 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00782 Cable Assembly, VDI VME FAN, 300MM CENTUR
capitolareatech NEW - $169.96 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00799 K-TEC CABLE ASSY., DI WATER HEATER CONTROL
capitolareatech NEW - $37.96 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00834 CABLE ASSY, 24VDC SERVICE LIGHT WAFER LO
capitolareatech NEW - $33.11 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00864 Cable Assembly, Spin Motor Power EXT.
capitolareatech NEW - $123.61 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-00865 CABLE ASSY., SPIN MOTOR ENCODER EXT.
capitolareatech NEW - $43.56 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0150-00920 Cable Assembly, Hub PWRSP AC In RAD CH RT
capitolareatech NEW - $192.23 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00926 CBL ASSY, CH D MF INTERLOCK
capitolareatech NEW - $70.63 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00937 CABLE ASSY, CH. PNEU INTLK INTFC
capitolareatech NEW - $8.06 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0150-00982 CABLE ASSY GND ULTIMA HDP-CVD 18IN
capitolareatech NEW - $185.90 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-00984 CABLE ASSY, 50FT REMOTE VIDEO
capitolareatech NEW - $35.32 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-00994 CABLE ASSY.,MF REAR PANEL UPPER INTLK
capitolareatech NEW - $3,277.35 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-01038 CABLE ASSY, SEB PCB TO BULKHEAD UPPER IN
capitolareatech NEW - $50.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-01066 CABLE ASSY., DNET JUMPER BOX, ON BOARD D
capitolareatech NEW - $19.87 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-01089 CABLE ASSY., PWR SUPPLY SENSE
capitolareatech NEW - $55.18 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-01125 CABLE ASSY., CELL CONT./PLATING PS INTC.
capitolareatech NEW - $15.45 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0150-01202 CABLE ASSY, RIBBON HD ROT DRVR COM 18L E
capitolareatech NEW - $92.75 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-01206 CABLE ASSY, 300MM FI RS-232 COMM, PRODUC
capitolareatech NEW - $150.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-01217 CABLE ASSY., EQUIP RACK, INTE
capitolareatech NEW - $150.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-01222 CABLE ASSY., EQUIP RACK, SEB BREAKOUT
capitolareatech NEW - $27.35 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-01218 Cable Cover Switch RF Generator
capitolareatech NEW - $150.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-01229 CABLE ASSY., EQUIP RACK, POWE
capitolareatech NEW - $97.12 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-01275 Cable Assembly, Wafer LDR RS232 Port
capitolareatech NEW - $24.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0150-01319 C/A, UPS TIMER: PUSH BUTTON TO TIMER
capitolareatech NEW - $82.07 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-01461 CABLE ASSY,5-PHASE W/MOTOR BREAK DRIVER
capitolareatech NEW - $34.76 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-01558 Cable Assembly MF Robot RS232 IN LINK OUT
capitolareatech NEW - $195.49 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-01561 ECP, CABLE EXTENTION POWER CABLE FOR STE
capitolareatech NEW - $199.54 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-01706 CABLE ASSY, 40' GAS INTLK AC=IPS,BD=OTHR
capitolareatech NEW - $114.30 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-01735 CABLE ASSY,MANOMETER,300MM
capitolareatech NEW - $141.95 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-01865 Cable Assembly SWLL Motor Encoder
capitolareatech NEW - $59.44 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0150-01903 Cable Assembly, Interface, AC Box, MCA+ I
capitolareatech NEW - $72.84 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0150-02160 CABLE, ETHERNET 10BASE-T 75FT
capitolareatech NEW - $20.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-02209 CABLE ASSY VME VIDEO
capitolareatech NEW - $160.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0150-02230 CABLE ASSY, EMO, 8' ,PVD CHAMB
capitolareatech NEW - $105.95 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0150-02326 CABLE ASSY, EMO CONT TO AC BOX, MIRRA 30
capitolareatech NEW - $250.00 0 Dec/26/14 Jan/21/16
Description: Applied Materials (AMAT) 0140-37802 Harness Assembly Controller TEB Producer
capitolareatech NEW - $260.31 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-38067 HARNESS,ASSY.SYS CONTROLLER I/O
capitolareatech NEW - $75.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-38140 HARNESS ASSY,SPILL INTLK,CH D,CVD TI/TIN
capitolareatech NEW - $20.00 0 Dec/26/14 Jul/24/15
Description: Applied Materials (AMAT) 0140-40203 Harness, Feed Thru Assembly, RF Ground
capitolareatech NEW - $159.36 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-70252 HARNESS ASSY PCII CENTURA
capitolareatech NEW - $31.78 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-70257 HARN. ASSY, SHIELD TREATMENT/TURBO CONT.
capitolareatech NEW - $348.30 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0140-75013 ASSY HARNESS PNEU MAINFRAME CENTURA
capitolareatech NEW - $45.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-75135 H/A, ROBOT X, CNTRL BKHD, ROBOT ENCODER-
capitolareatech NEW - $225.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-75365 H/A, 5KVA CIRCUIT BREAKERS
capitolareatech NEW - $55.18 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-76011 HARNESS ASSY N2 SHUTOFF JUMPER
capitolareatech NEW - $96.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-76014 HARNESS ASSY PWR INTLK WAFER ORIENTER
capitolareatech NEW - $13.04 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0140-76015 HARNESS ASSY LASER ADJ WAFER ORIENTER
capitolareatech NEW - $165.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-76127 HARNESS ASSY, EMO, CENTURA COMMON
capitolareatech NEW - $14.90 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0140-76195 H/A, UPS XFMR BRKT, CES MCVD CENTURA
capitolareatech NEW - $66.22 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-76363 HARNESS, NSO, SYSTEM AC, CH 1-4, E-CHUCK
capitolareatech Refurbished - $350.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-76706 HRNSS ASSY,5-PHASE DRIVER,MC ROBOT,P5000
capitolareatech NEW - $300.19 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0140-76811 HARNESS ASSY, MULTI/SINGLE SLOT COOLDOWN
capitolareatech NEW - $67.54 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-76928 H/A UPS 120VAC POWER IN PHASE II CONTROL
capitolareatech NEW - $150.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0140-76941 HARN ASSY EMO 24 VAC INTFC MA
capitolareatech NEW - $170.40 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-76964 HARNESS, PNEU. CHAMBER C HEWEB
capitolareatech NEW - $25.00 0 Dec/26/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0140-76997 Harness Assy, Lamp ,mod. H20 Intrlo
capitolareatech NEW - $232.79 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77049 PAD CONDITION1 MP4-DVRS 10&11
capitolareatech NEW - $230.44 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0140-77110 Pad Cond 3 Power, Control-MNF
capitolareatech NEW - $280.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77150 HT ROT 1 PWR, MNFRM BKHD
capitolareatech NEW - $280.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77153 HT ROT 4 PWR, MNFRM BKHD
capitolareatech NEW - $8.00 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0140-77180 CABLE, LOWER PNEUMATICS
capitolareatech NEW - $210.63 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77347 HARN, AC POWER ON-TRACK
capitolareatech NEW - $45.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0140-77349 Harness, AC Power FABS (twistLOK)
capitolareatech NEW - $400.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-77382 Cable Mainframe BLKHD-PC/HCLU Platen PUM
capitolareatech NEW - $16.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0140-77562 CBL,WAFER MAPPING SIGNAL
capitolareatech NEW - $40.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0140-77613 Cable Sliprings-Detect
capitolareatech NEW - $70.19 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-77738 CABLE, POWER, HEAT EXCH-CDN066
capitolareatech NEW - $360.06 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0140-78000 CA ASSY, SENSOR
capitolareatech NEW - $22.21 0 Dec/26/14 Dec/01/15
Description: Applied Materials (AMAT) 0140-78069 Harness, Megasonic LDM Cover SW2
capitolareatech NEW - $28.91 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-78128 CABLE, RTD-HEAT EXCH, MEGASONICS
capitolareatech NEW - $150.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-78284 CABLE CLEANER EMO 1 200MM
capitolareatech NEW - $85.47 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-90426 CFA CLEAN ROOM REMOTE CTRL BOX
capitolareatech NEW - $462.12 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0140-90614 CABLE 8AP5/9FP1
capitolareatech NEW - $488.85 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0140-90622 CABLE 8AP6/9FP1
capitolareatech NEW - $51.55 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0140-90687 CABLE 2GJ9/3DJ23
capitolareatech NEW - $3.09 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01719 AMPHENOL (AMP) 1-499923-2 CONN HDR PC MTG 6
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01863 HEYCO 3210 Connector STRN-RLF LIQ-TIGHT .18
capitolareatech NEW - $4.20 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01882 AMPHENOL (AMP) 747043-3 CONNPLUG CA MTG 15
capitolareatech NEW - $4.97 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01888 AMPHENOL (AMP) 747308-2 Connector Plug CA M
capitolareatech NEW - $3.42 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02052 AMPHENOL (AMP) 746192-7 CONN RCPT 26 POS CA
capitolareatech NEW - $20.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02070 AMPHENOL (AMP) 746790-1 CONN PLUG CA MTG 50
capitolareatech NEW - $2.65 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02071 AMPHENOL (AMP) 746192-3 Connector RCPT RBN
capitolareatech NEW - $4.41 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-02089 THOMAS & BEETS H4R25ST29CS CONN RCPT PC MTG
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02148 AMPHENOL (AMP) 643814-8 CONNHSG PLUG 8 POS
capitolareatech NEW - $7.17 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02173 AMPHENOL (AMP) 748676-5 Connector SHLD CA C
capitolareatech NEW - $9.71 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02349 AMPHENOL (AMP) 203743-4 Connector Pin Hood
capitolareatech NEW - $3.75 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-02299 ITT CANNON DM-53742-5001 CONN RCPT COAXIAL
capitolareatech NEW - $2.32 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02357 AMPHENOL (AMP) 202173-1 CONN COR GUIDE PIN
capitolareatech NEW - $1.55 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02502 AMPHENOL (AMP) 1-480318-0 Connector SKT HSG
capitolareatech NEW - $9.00 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-02593 ITT CANNON MS3102R10SL-3P CONN RCPT PNL MTG
capitolareatech NEW - $20.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02643 AMPHENOL (AMP) 747303-4 CONN CABLE MTG 9 PO
capitolareatech NEW - $2.45 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02723 AMPHENOL (AMP) 745185-7 Connector RCPT 15 P
capitolareatech NEW - $7.73 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-02724 AMPHENOL (AMP) 745189-7 CONN RCPT 37 POS D
capitolareatech NEW - $15.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-02730 DUPONT 68786-102 CONNector JUMPER 2 POS .1
capitolareatech NEW - $2.76 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02765 AMPHENOL (AMP) 745187-7 CONN RCPT 25 POS ST
capitolareatech NEW - $1.01 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02818 AMPHENOL (AMP) 640441-3 CONN RCPT 24AWG MTA
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02839 ANDERSON 1307 Connector CONT 6AWG 75A Power
capitolareatech NEW - $3.09 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-02908 SPC TECH. CP-88-T-50 CONN TERMINATOR BNC 50
capitolareatech NEW - $14.35 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-03019 HUBBELL 2610A Twist Lock Receptacle, 30A, 1
capitolareatech NEW - $1.32 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-03171 PANDUIT 120-900-000A Connector BACKPLANE Sh
capitolareatech NEW - $74.16 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-03240 TRU-CONN TRU-7643SN CONN ADPTR SQ-FEM / 7/1
capitolareatech NEW - $6.62 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-03270 PANDUIT 100-096-451 CONN RPCT PC MTG 96P 3X
capitolareatech NEW - $1.50 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-03283 AMPHENOL (AMP) 350782-1 CONN SHG CAP FREE-H
capitolareatech NEW - $8.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-03396 LIMO ERA 00250CTL CONNRCPT PNL MTG W/FIXED
capitolareatech NEW - $130.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-03418 AMPHENOL (AMP) 747786-6 CONNector RCPT PC M
capitolareatech NEW - $15.40 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-03423 KINGS UG-568/U Connector RCPT PNL 0.63D 1.0
capitolareatech NEW - $20.75 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-03737 BRYANT 8200IL CONN RCPT OUTLET 2POLE 3WIRE
capitolareatech NEW - $371.98 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-03770 FEEDTHRO, Filament Cooled
capitolareatech NEW - $10.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-03821 CONN STRN-RLF 11.5-15.5MMDIA-CA PG21 WHT
capitolareatech NEW - $33.42 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0720-03964 TRU-CONN TRU-6812 CONN ADPTR 7/16(F)-N(F) M
capitolareatech NEW - $5.00 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-04081 AMPHENOL (AMP) 925486-1 Connector RCPT HSG
capitolareatech NEW - $238.38 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-04145 TRU-CONN TRU-8050-SNX Connector Adaptor Str
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-04098 MOLEX 22-03-5035 Connector HDR PIN PC MTG 3
capitolareatech NEW - $30.15 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0720-04252 TRU CONN TRU-7703 Connector Adaptor 7/16-FE
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-04308 POSITRONICS FC6020D CONT Connector SKT 24-2
capitolareatech NEW - $177.46 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-04324 BIRD ELECTRONIC CORP 4240-344 CONN RCPT PNL
capitolareatech NEW - $22.85 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-04495 BLACK BOX CORP EVNSCT21 CONNector TERMINATO
capitolareatech NEW - $25.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0720-04435 HARTING 09 33 000 9909 Connect Guide Bush
capitolareatech NEW - $8.01 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-04693 POSITRONICS CBC7W2F00Z00 Connector RCPT HSG
capitolareatech NEW - $7.61 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-04789 ONTRAK 70-0010-179 CONN MINI 4P CRIMP #16SH
capitolareatech NEW - $20.53 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-04975 SUN 130-3034-01 CONNECTOR ADAPTOR HD15F
capitolareatech NEW - $384.89 5 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-05092 SUHNER 23 BNC-50-0-12 CONN RCPT PNL MTG BNC
capitolareatech NEW - $100.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-05118 AERA CA-98D9-Z Mass Flow Controller Connect
capitolareatech NEW - $3.20 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-05161 CONXALL 18280-2PG-311 Connector P CA MTG 2P
capitolareatech NEW - $3.58 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-05199 CONXALL 16280-3SG315 CONN RCPT CA MTG 3SKT
capitolareatech NEW - $15.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-05450 SPC TECHNOLOGY SPC11110 SPC TECHNOLOGY 6 FT
capitolareatech NEW - $10.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-05850 Pasternack PF6057 Connection Cap 7/16 Male
capitolareatech NEW - $7.97 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-06193 HARTING 09140022651 CONN INSERT HAN MOD 2W
capitolareatech NEW - $9.57 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-06194 CONN INSERT HAN MOD 2W FEMALE
capitolareatech NEW - $60.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-06224 CONN POWER LINE RCPT 6A 115/230VAC SNAP-
capitolareatech NEW - $29.17 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-06524 Pomona 5319 CONN ADPTR BNC JACK (FEMALE) TO
capitolareatech NEW - $4.01 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90211 CONN FXD SKT 3-PIN
capitolareatech NEW - $3.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-06835 CONN WEATHERTIGHT, MINI, 3 PIN, MALE, SO
capitolareatech NEW - $4.39 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90214 Connector, SKT Free 3 Pin
capitolareatech NEW - $2.67 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-90265 CONN CABLE CLAMP 9-WAY
capitolareatech NEW - $1.15 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-90267 Connection, Cable Clamp 25 Way
capitolareatech NEW - $4.49 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90269 CONN.SOCKET 9 WAY RIBBON
capitolareatech NEW - $6.40 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90273 CONN SOCK 25 WAY RIBBON
capitolareatech NEW - $6.40 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90274 CONN PLUG 25 WAY RIBBON
capitolareatech NEW - $3.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-90343 Fiber Optic Connector, Crimp Ring GREY
capitolareatech NEW - $2.20 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-90368 Connector, Jack SKT Assembly .125" REAR
capitolareatech NEW - $2.86 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-90354 JACKSCREW ASSY
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-90389 CONN KEY POL D-TYPE
capitolareatech NEW - $14.13 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90398 CONNECTOR SKT FXD 18-11
capitolareatech NEW - $33.32 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90406 CANON CA3108E18-11P-B-F80 CANON CONNECTOR P
capitolareatech NEW - $6.97 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90453 CONNECTOR, THERMOCOUPLE
capitolareatech NEW - $40.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90614 PLUG
capitolareatech NEW - $20.09 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0720-90493 CONNECTOR SPECIAL POWER
capitolareatech NEW - $62.63 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90616 RECEPTACLE-230 AMP B TYP
capitolareatech NEW - $38.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-90618 PLUG 125 AMP SP TYPE
capitolareatech NEW - $87.46 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90620 Connectror RCPT 230A Crimp End
capitolareatech NEW - $61.11 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90619 RCPT 125 AMP B TYPE
capitolareatech NEW - $29.22 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0720-90621 PLUG CABLE CLAMP BACKSHELL
capitolareatech NEW - $11.08 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-90637 CONN QUICK 230A SPRING
capitolareatech NEW - $24.25 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-90640 Connector, SKT Fixed Female Contact
capitolareatech NEW - $27.21 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-90641 CONN.,PLUG FREE MALE CONTACT
capitolareatech NEW - $25.88 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90642 CONN SKT FIXED MALE CONT
capitolareatech NEW - $9.25 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90652 Connector, Free Plug 2 PIN AG, 250V, 6A A
capitolareatech NEW - $42.39 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90664 SOCKET, PLUG-RED FEMALE
capitolareatech NEW - $4.11 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90667 CONN.,12 WAY FXD SKT CRIMP
capitolareatech NEW - $6.20 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90677 SOURIAU UTG14AC Cable Connection Clamp & St
capitolareatech NEW - $7.64 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90679 CONN.HSG FREE PIN CONTACT 19 WAY
capitolareatech NEW - $7.74 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90686 BROWN HUBBELL 5252 Specification Grade Dupl
capitolareatech NEW - $5.54 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90689 AMP C091 3201 001 CONN FREE SKT 2PIN SOLD C
capitolareatech NEW - $5.63 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90702 Connector Bulkhead TC Socket
capitolareatech NEW - $5.63 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90690 CONN FXD PLG 2PIN SLDR C91A
capitolareatech NEW - $9.00 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90703 Connection Bulkhead Thermocouple Plug
capitolareatech NEW - $26.26 2 Dec/26/14 Sep/22/15
Description: APPLIED MATERIALS (AMAT) 0720-90709 HARTING HAN K 4/8 M Connector. Insert Male
capitolareatech NEW - $15.56 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90710 HARTING HAN 6 HSB-BU Connector, Insert 6PIN
capitolareatech NEW - $27.50 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90711 CONN.INSERT FEMALE 4PWR 8S1....
capitolareatech NEW - $7.26 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90712 CONN. HOOD SIDE ENTRY
capitolareatech NEW - $6.87 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-90714 HOOD Han 6 TOP ENTRY Pg 21
capitolareatech NEW - $8.40 0 Dec/26/14 Jul/30/15
Description: Applied Materials (AMAT) 0720-90719 Connector, 19 Way Free SKT Crimp
capitolareatech NEW - $15.66 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-90724 CONN. INSERT MALE 6+E HAN 6
capitolareatech NEW - $5.44 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-90736 HAN-E6F HS12 Connector SKT 6 Way Crimp, 16A
capitolareatech NEW - $4.07 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-00703 CONN PLUG CA-END CIRC 4PIN CRIMP WEATHE
capitolareatech NEW - $9.71 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01013 THOMAS & BEETS 609-37P Connection Plug CA M
capitolareatech NEW - $63.57 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-01054 BENDIX DB3-150P-(705) CONNector PLUG PC MTG
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01055 BENDIX MB3-150P-(702) Connector Plug PC MTG
capitolareatech NEW - $153.51 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0720-01056 Bendix PC3-150P Connector Plug, PC MTG, 150
capitolareatech NEW - $11.32 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-01082 AMPHENOL (AMP) 9767-14-6 CONNCABLE CLAMP WA
capitolareatech NEW - $4.75 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01119 WIRE-PRO 126-223 Connector RCPT CA MTG 5 PO
capitolareatech NEW - $39.07 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0720-01130 Bryant 72130-NC Locking Electrical Connecto
capitolareatech NEW - $67.22 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01151 AMPHENOL (AMP) 1-51692-1 CONN PLUG CA MTG 1
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01165 IDEAL 30-074 CONN WIRE NUT SLDRLESS YEL 12-
capitolareatech NEW - $10.00 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0720-01210 W/MS27291-1 CONN PLUG CA MTG 5 POS #10 SHE
capitolareatech NEW - $45.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0720-01176 AMPHENOL (AMP) 207345-1 CONN SHLD CA Clamp
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01251 AMPHENOL (AMP) 1-350373-9 CONN CLAM SHELL S
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01354 AMPHENOL (AMP) 640497-2 CONN HDR PC MTG 1/1
capitolareatech NEW - $4.64 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01419 AMPHENOL (AMP) 206539-2 CONN HSG CA MTG 120
capitolareatech NEW - $29.59 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0720-01483 ARROW HART 6206 CONN RCPT FLG OUT 2P 3WR 20
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01505 AMPHENOL (AMP) 520249-2 Connector Jack PC M
capitolareatech NEW - $2.21 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01510 AMPHENOL (AMP) 102321-9 CONN HDR PC MTG 40
capitolareatech NEW - $15.01 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01564 AMPHENOL (AMP) 207216-2 CONN RCPT PNL MTG 1
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01702 AMPHENOL (AMP) 640441-5 CONN HSG CA MTG 5 P
capitolareatech NEW - $13.55 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-01599 POMONA 3846 CONN COAX ADPTR STR JACK-JACK T
capitolareatech NEW - $1.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01708 AMPHENOL (AMP) 641191-5 CONN HSG CA MTG 5 P
capitolareatech NEW - $2.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01711 AMPHENOL (AMP) 102321-1 Connector HDR PC MT
capitolareatech NEW - $1.77 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01712 AMPHENOL (AMP) 102321-2 CONN HDR PC MTG 14
capitolareatech NEW - $1.43 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01714 AMPHENOL (AMP) 499923-4 CONN HDR PC MTG 20
capitolareatech NEW - $2.21 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-01717 AMPHENOL (AMP) 102321-6 CONN HDR PC MTG 26
capitolareatech NEW - $8.06 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01759 AMPHENOL (AMP) 747052-1 CONN RCPT RBN CA MT
capitolareatech NEW - $7.40 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01761 AMPHENOL (AMP) 747043-1 Connection Plug CA
capitolareatech NEW - $4.75 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-01773 AMPHENOL (AMP) 747375-8 CONN PLUG PC MTG 37
capitolareatech NEW - $4.70 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01821 AMPHENOL (AMP) 103660-5 CONN RCPT CA MTG 6
capitolareatech NEW - $4.86 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01872 AMPHENOL (AMP) 747303-3 CONN RCPT CA MTG 15
capitolareatech NEW - $12.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0720-01880 AMPHENOL (AMP) 747052-4 CONN RCPT CA MTG 9
capitolareatech NEW - $6.40 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0720-01936 AMPHENOL (AMP) 747305-2 CONN RCPT RBN CA MT
capitolareatech NEW - $3.20 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0720-02053 AMPHENOL (AMP) 1-746195-0 CONN RCPT RBN CA
capitolareatech NEW - $2.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0720-02090 AMPHENOL (AMP) 2-747707-0 CONN 15 POS D-SHE
capitolareatech NEW - $243.24 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0225-98439 WELDMENT, SLD Short Spool
capitolareatech NEW - $144.35 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-09001 75 FOOT, CABLE EMO TO REMOTE
capitolareatech NEW - $913.80 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-02004 BRACKET, CES MIDIFIED REGULATOR N2 5000
capitolareatech NEW - $17.77 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0226-09020 Potter & Brumfield KUP-11D15-24 Relay KUP S
capitolareatech NEW - $593.75 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-09683 HARNESS, QDP CH PUMP W INTEGER
capitolareatech NEW - $84.76 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0226-10038 Cable, SMIF Handoff Opto INTCN
capitolareatech NEW - $58.13 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-10253 HARNESS ASSY, FLOW INTERLOCK
capitolareatech NEW - $100.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0226-10297 OMEG THERMCOPLR STYLES SA1-K-72
capitolareatech NEW - $110.36 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-30072 RAIL, UNIVERSAL,MOD. GAS PANEL
capitolareatech NEW - $35.54 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-30202 POTTER & BRUMFIELD W92X-X112-20 CB MAG 2P 2
capitolareatech NEW - $150.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-30345 O-RING ID 8.984 X .139W KALREZ
capitolareatech NEW - $45.03 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-30522 SWITCH, DOOR, 15A 2 X SPDT
capitolareatech NEW - $67.10 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-31332 Patlite SIGNAL TOWER ASSY 4 POS
capitolareatech NEW - $6.73 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0226-31144 POTTER & BRUMFIELD 27E487 TE Connectivity R
capitolareatech NEW - $135.97 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-31526 CABLE, ASSY FINAL VALVE INTLK
capitolareatech NEW - $2,718.07 0 Dec/26/14 Jul/24/15
Description: Applied Materials (AMAT) 0226-31554 Voltage Sensor PCB
capitolareatech NEW - $94.47 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-31655 HARNESS FA SHUTTLE
capitolareatech NEW - $140.38 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-31810 HARNESS, DUAL FREQ BD-TO-I/O DIST BD
capitolareatech NEW - $41.94 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-31807 CB MAG 1P 277VAC .5V
capitolareatech NEW - $200.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-40265 CABLE ASSY, NESLAB CONTROL
capitolareatech NEW - $387.59 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0226-40266 K Tec Electronics Heat Exchanger Cable Ass
capitolareatech NEW - $785.03 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-40733 BRKT,ROBOT MTG. ASYST SNIF INTERFACE
capitolareatech NEW - $3,000.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-41807 WELDMENT,2 VALVE MANIFOLD,APTECH,10RA
capitolareatech NEW - $739.43 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-41841 TOP PLATE, UNIVERSAL, ENP, PLATFORM
capitolareatech NEW - $569.47 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0226-41896 WELDMENT,INTERCONNECT,CHAMBER C,PROCSS
capitolareatech NEW - $43.37 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-42062 WIRE, THRMCPL. W/ FEM CONN. X25'
capitolareatech NEW - $1,511.97 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-42752 Parker 45900582 VERIFLO Diaphragm Valve 1/4
capitolareatech NEW - $12.47 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0226-42795 Fitting TEMP, Probe, COM- PRESSION
capitolareatech NEW - $4.00 0 Dec/26/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0226-42796 FTG, PIPE BUSH-REDUCING 1/2 X 1/8 SST
capitolareatech NEW - $222.05 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-43113 ENCLOSURE BOX H/E TEMP CNTRL
capitolareatech NEW - $737.91 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-43114 ENCLOSURE COVER H/E TEMP CNTRL
capitolareatech NEW - $158.48 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-43701 Cable, RF Adaptor with INTLK
capitolareatech NEW - $40.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-43800 Harness RF-ON TIE-OFF
capitolareatech NEW - $127.14 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-43899 HRNSS ASSY, INT CONN SEIKO SEIKI, STP-30
capitolareatech NEW - $150.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-43913 CABLE ASSY, AC CORD T/C CONTROL
capitolareatech NEW - $331.97 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-43940 CABLE, ASSY 25FT, SYSTEM VIDEO
capitolareatech NEW - $96.24 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-44537 HARN 24VDC POWR 0140-70143
capitolareatech NEW - $1,005.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-44858 PARKER 43900750EV REGULATOR SQ60-30-3P-FS-
capitolareatech NEW - $486.86 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-45000 KIT, TURBO PUMP SEIKO SEIKI
capitolareatech NEW - $281.20 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-47439 Harness Assembly, CH Pump Interconnect
capitolareatech NEW - $70.19 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0226-48118 CABLE ASSY, DI/O STEPPER CONTROLLER
capitolareatech NEW - $31.81 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-48119 HARNESS ASSY, INTERLOCK TIME DELAY
capitolareatech Used - $175.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-48326 SENDER/RECEIVER,VGA, 0-150',COMPANION
capitolareatech NEW - $268.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-48326 SENDER/RECEIVER,VGA, 0-150',COMPANION
capitolareatech NEW - $748.86 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-48885 CKT BRKR,3 POLE,250 AMP
capitolareatech NEW - $57.08 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-49404 WELD,FILTER TO MANUAL VALVE
capitolareatech NEW - $1,450.00 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0226-77276 Pentium Upgrade Kit, TTW
capitolareatech NEW - $335.21 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-96762 HARNESS AMPULE REFILLABLE LEVEL SENSORS
capitolareatech NEW - $200.00 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0226-96765 KIT Assembly Refillable Level Sense/Tempe
capitolareatech Refurbished - $275.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-96765 ASSY REFILLABLE LEVEL SENSE/TEMPERATURE
capitolareatech NEW - $286.90 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-97903 CATHODE KIT TUNGSTEN ETCHBACK/ST SPUTTER
capitolareatech NEW - $295.33 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-97950 HOSE ASSY, CH D, SUPPLY TO CATHODE, PTFE
capitolareatech NEW - $283.41 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-97951 HOSE ASSY, CH D SUPPLY TO CHAMBER BODY,
capitolareatech NEW - $172.84 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-97952 HOSE ASSY, CH D, CHAMBER LID TO RETURN,
capitolareatech NEW - $120.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-97957 HOSE ASSY, CH C, CATHODE TO RETURN, PTFE
capitolareatech NEW - $214.43 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-97958 HOSE ASSY, CH C, SUPPLY TO CATHODE, PTFE
capitolareatech NEW - $310.34 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0226-97959 HOSE ASSY, CH C, SUPPLY TO CHAMBER BODY,
capitolareatech NEW - $50.25 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0226-97960 Hose Assembly, CH C, Chamber Lid To Retur
capitolareatech NEW - $100.50 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0226-98367 CABLE ASSEMBLY, PLC TO LLA DOOR CLOSE, E
capitolareatech NEW - $4,000.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-01117 WLDMNT, DUAL VALVE, FEM/FEM, MNL/MNL
capitolareatech NEW - $156.71 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-01268 WELDMENT, MNFLD, 4:1 PLUS TEOS, TSMC
capitolareatech NEW - $569.47 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-02536 LAMP, ASSY, LAMP AND POWER CABLE
capitolareatech NEW - $871.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-03380 RECEIVER, LEFT 0221
capitolareatech NEW - $66.22 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0227-02630 CABLE ASSY, SIGNAL TOWER -1, MAINT SW
capitolareatech NEW - $28.36 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-03559 COVER,SIGNAL LAMP TOWER
capitolareatech NEW - $441.01 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-04445 CABLE, COAXIAL DELTA, 75FT 0221
capitolareatech NEW - $1,800.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-04585 PARKER 45400171 RGLTR, VERIFLO SQ60 MM 100P
capitolareatech NEW - $250.00 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-05400 CABLE EMO FROM PROCESS PUMP TO PROCESS P
capitolareatech NEW - $229.11 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-05441 CB, 5 MA GFCI 20A 1 POLE QUICKLAG
capitolareatech NEW - $357.13 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0227-05487 EMO SWITCH ASSEMBLY, LOCKING
capitolareatech NEW - $60.34 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-05470 CABLE, ASSEMBLY, EMO, HT-EXCHNESLAB STD
capitolareatech NEW - $112.57 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0227-05665 CABLE, ECM COMP, 100FT AMAT1
capitolareatech NEW - $30.60 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-05924 FAST RESPONSE TC W/SELF-ADHESIVE
capitolareatech NEW - $52.09 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-06058 CABLE, DB15 THUMBSCREW, M/F, 5FT
capitolareatech NEW - $412.76 0 Dec/26/14 Jul/24/15
Description: Applied Materials (AMAT) 0227-06507 EMC Comp., Remote Analog Interface Cable
capitolareatech NEW - $463.52 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-06540 EMC COMP., REMOTE DIGITAL I'FACE CABLE
capitolareatech NEW - $86.08 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0227-06543 75 FT OZONATOR TO REMOTE AC BOX
capitolareatech NEW - $1,768.71 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-06816 ASSY, RETROFIT FINGERS KIT
capitolareatech NEW - $240.15 0 Dec/26/14 Jul/24/15
Description: APPLIED MATERIALS (AMAT) 0227-07103 BRACKET, SMIF-ASYST PCB MOUNTING
capitolareatech NEW - $23.45 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-10073 Millipore WGMSA1HRU WAFERGARD II SF MINI SS
capitolareatech NEW - $1,726.07 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0227-10215 EMC Comp., Cable Assembly, Gas PNL #1 UMB
capitolareatech NEW - $149.21 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0227-10378 HOSE ASSY FLEX COND TFE/SST 3/8ID X
capitolareatech NEW - $65.65 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0227-11464 Weldment, NW25 Centura Ring to 1/4 Tube
capitolareatech NEW - $1,048.51 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-12021 EMC COMP.,CBL ASSY, LL UMBILICAL, 48 FT
capitolareatech NEW - $301.50 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-12122 0227-12122..ASSEMBLY DOLLIES 5200 CENTURA
capitolareatech NEW - $93.80 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-12335 CABLE ASSY, EV MANIFOLD, APC
capitolareatech NEW - $125.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-12599 HARNESS ASSY, INTERLOCK, 5200, TF SLD, S
capitolareatech NEW - $145.22 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0227-13022 TC Extension, 50 Ft.
capitolareatech NEW - $120.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0227-27071 CABLE,ASSY RF GEN DC PWR INTCNT 75 FT
capitolareatech NEW - $595.96 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-28170 GAS LINE,SLD,TF5,A2,B2,D2,CAJON
capitolareatech NEW - $53.60 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-29658 PLATE, MTG, LOWER VALVES, CENTURA II BF
capitolareatech NEW - $33.50 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-29659 Plate, MTG, Upper Valves, CENTURA II BF
capitolareatech NEW - $33.50 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-29662 Bracket, CENTURA II BF SLD, Bottom STABI
capitolareatech NEW - $101.16 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0227-30267 EMP Comp. Cable, Turbo Controller
capitolareatech NEW - $100.50 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0227-30142 Dwyer 3000MR Photohelic Pressure Switch/Gag
capitolareatech NEW - $65.56 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0227-30333 MKS LDM-A72KA2CC1 Display METER, PRESS TRAN
capitolareatech NEW - $63.00 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0227-30333 MKS LDM-A72KA2CC1 METER, PRESS TRANSDUCER,
capitolareatech NEW - $325.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0227-30334 MKS 852B72KCJ2GC MKS, 852B72KCJ2GC, BARATRO
capitolareatech NEW - $633.48 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0227-32817 GAS LINE,A3,UHP,SEGMENT 1,CENTURA CMF,5J
capitolareatech NEW - $391.90 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0227-32887 MKS Baratron Pressure Transducer, 60 PSIA
capitolareatech NEW - $700.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-17273 Specification, FDP, Link Platform
capitolareatech Used - $3,500.00 0 Dec/26/14 Jun/11/15
Description: Applied Materials (AMAT) 0190-17779 Advanced Energy VHF 2760 RF Generator Ovati
capitolareatech NEW - $769.53 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-18061 RF Cable, 2.5KW Source, HDP-CVD LOW K
capitolareatech NEW - $350.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-18067 MUEGGE TD 2450-01-N Detector Diode Microwav
capitolareatech NEW - $404.81 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-18112 RF CABLE,BIAS,HDPCVD,ULTIMA
capitolareatech NEW - $828.72 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-18113 RF CABLE,5 KW SOURCE,HDPCVD,ULTIMA
capitolareatech NEW - $22.97 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0190-18144 ETO RF GEN FILTER ASM, ULTIMA
capitolareatech NEW - $25.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-18367 Spring, Hookless, 50 Turns
capitolareatech NEW - $250.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-18373 ASSEMBLY HEATER FINAL VALVE MANIFOLD
capitolareatech NEW - $14.19 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0190-18429 WASHER, WTM PROBE, ULTIMA HDPCVD
capitolareatech NEW - $10.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-18465 Centering Ring KF40
capitolareatech Used - $225.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0190-19080 Omron CPM2A-20CDR-D-U1 24VDC Programmable C
capitolareatech NEW - $52.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-19420 KINIK AD3CG-181060 PAD CONDITIONERS, KINIK
capitolareatech NEW - $125.00 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0190-19744 Diaphragm Seal, X-Chamber
capitolareatech NEW - $331.09 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-20033 Gas Manifold 8039494, 260 PSIG
capitolareatech NEW - $300.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-20026 THERMO COUPLE RF HEATER
capitolareatech NEW - $1,200.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-21140 PCB ASSY SERIAL/VIDEO W/RMT CONT
capitolareatech NEW - $40.17 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-21370 13.5" Diameter Ring Sandblast Stencil Tex
capitolareatech NEW - $14,252.20 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-22090 WAFER LOADER ORIENTER
capitolareatech NEW - $350.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0190-22280 Door Valve Gate Slit KALREZ 8575
capitolareatech NEW - $350.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-22570 Brooks Automation TLG-RS232 Transponder Rea
capitolareatech NEW - $1,000.00 2 Dec/26/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0190-26370 MSK MFVA-27960 VALVE ASSY, PI MASS FLOW VER
capitolareatech NEW - $125.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0190-26668 FLOW SWITCH, 1/2" 1.0 GPM SP W/BALL VALVE
capitolareatech NEW - $800.00 1 Dec/26/14 Jun/11/15
Description: Applied Materials (AMAT) 0190-28651 ADLINK cPCI-3840/PM16/M512 3U CompactPCI In
capitolareatech NEW - $275.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-27682 Chamber MAINT Wafer 200MM OEE
capitolareatech NEW - $650.00 0 Dec/26/14 May/25/15
Description: APPLIED MATERIALS (AMAT) 0190-30346 MKS AS01294-04 Hardened DeviceNet I/O Block
capitolareatech NEW - $500.00 0 Dec/26/14 Jun/11/15
Description: Applied Materials (AMAT) 0190-32917 Yaskawa SGDS-01A31A Servopack Driver, SIGMA
capitolareatech NEW - $1,500.00 0 Dec/26/14 Jun/11/15
Description: Applied Materials (AMAT) 0190-33338 Mass-Vac 355804 MV Multi-Trap (Iso-80)
capitolareatech Used - $125.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35093 INELCO 45-P-36 INELCO TRANSFORMER PRI 200/2
capitolareatech Used - $1,200.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35116 P1116A POWER CONTROLLER 200/208V CENTURA O
capitolareatech NEW - $350.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35212 MKS 66A-12842 Power Supply Type: 660 Readou
capitolareatech Used - $1,200.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35216 DCVD PROCESS CHAMBER INTERFACE
capitolareatech Used - $225.00 5 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35310 ASSEMBLY PCB RTP CHAMBER INTERFACE
capitolareatech Used - $175.00 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0190-35236 LUXTRON M-100 LUXTRON MODEL 100C Optical Fi
capitolareatech Used - $65.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35437 PROTEUS INDUSTRIES 9100B24P17 SW,H2O FLOW,B
capitolareatech Used - $65.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-35438 PROTEUS INDUSTRIES 9100B24P16 WATER FLOW SW
capitolareatech Used - $64.00 1 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35440 PROTEUS INDUSTRIES 9160B24P6 WATER FLOW SWI
capitolareatech NEW - $203.51 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35607 Proteus Industries 9100C24P9 SWITCH,FLOW,WA
capitolareatech Used - $125.00 0 Dec/26/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0190-35788 Oriental Motor PK569AUA 5-PHASE 0.72°/STEP
capitolareatech NEW - $2,000.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35845 BLOCK XTR VALVE MICROWAVE REMOTE PLASMA
capitolareatech Used - $125.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-35961 CALIBRATED OPTICAL SENSOR/CABLE SPEC. CO
capitolareatech NEW - $285.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-36351 OSRAM 410Q/JKT/2PIN/AM-ULS Osram 410Q/JKT/2
capitolareatech Used - $350.00 0 Dec/26/14 Dec/21/15
Description: APPLIED MATERIALS (AMAT) 0190-40016 MDC MDC VALVE Vacuum soft start KF40
capitolareatech NEW - $192.03 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0190-40053 Thermocouple SST-Roof Top
capitolareatech NEW - $250.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-40096 CABLE,HEATER, 1100 WATT @ 208V
capitolareatech NEW - $194.68 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-40092 SEAL ASSY, PEEK
capitolareatech NEW - $286.74 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0190-40109 Thermo Couple, Surface Roof Top
capitolareatech NEW - $300.00 0 Dec/26/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0190-40117 RF Cable Assembly, 9 1/2 feet long
capitolareatech NEW - $425.56 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-40129 CABLE ASSY,E-CHUCK,TO CH. 20FT. QDS
capitolareatech Used - $500.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-40175 HEATER, PIPlll, GROUNDED, ROOF TOP
capitolareatech NEW - $724.95 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-40182 HEATER, PIPIII, GROUNDED, ROOF TOP
capitolareatech NEW - $2,621.11 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-40183 Ring, Cooling DOS,Centering Style MESH GR
capitolareatech NEW - $125.00 0 Dec/26/14 May/25/15
Description: APPLIED MATERIALS (AMAT) 0190-70033 Proteus 910100 Proteus Fluid Flow Switch SS
capitolareatech NEW - $223.13 1 Dec/26/14 Dec/05/15
Description: APPLIED MATERIALS (AMAT) 0190-70103 PCB ASSY ANALOG MONITOR LIGHT PEN
capitolareatech NEW - $727.29 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-75059 Quality Transformer & Electronics 7389 XFMR
capitolareatech NEW - $450.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-76000 LEAD SCREW & NUT STORAGE ELEVATOR
capitolareatech NEW - $2,500.00 0 Dec/26/14 Mar/25/15
Description: APPLIED MATERIALS (AMAT) 0190-76043 PCBA ASSY, SBC SYNERGY 68040 CONTROLLER
capitolareatech Used - $1,800.00 0 Dec/26/14 Jun/24/15
Description: Applied Materials (AMAT) 0190-76043 PCBA ASSY, SBC SYNERGY 68040 CONTROLLER
capitolareatech NEW - $50.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-76150 SPEC, Push BTN, Cable Pump Man Start, 49
capitolareatech NEW - $375.23 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-77167 Quick Disconnect ABT Diamond Disk
capitolareatech NEW - $5,999.40 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0190-77284 NOVA 210-48000-01 NOVA CONTROL UNIT
capitolareatech NEW - $650.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0190-77294 QUALITY TRANSFORMER 7602 QUALITY TRANSFORME
capitolareatech NEW - $16.37 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0190-89035 O-RING,ID 20.955, CSD .275,VITON,75 DURO
capitolareatech NEW - $1,360.44 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0190-89046 Briskheat Jacket Fiberglass Heating 15 1/2
capitolareatech NEW - $4,598.44 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0195-00185 HV ESC VACUUM HARNESS ASSEMBLY
capitolareatech NEW - $4,438.64 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0195-01051 BOARD ASSY DSG
capitolareatech NEW - $400.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00014 Cover, Quartz 100mm XTAL
capitolareatech NEW - $42.71 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00051 WINDOW, LID ENDPOINT, QTZ. EMAX 200MM
capitolareatech NEW - $272.00 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0200-00089 CAP,TOP,QUARTZ,ASP+F,200MM
capitolareatech NEW - $1,200.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00144 Susceptor, XZYARB, 200MM, EPI
capitolareatech NEW - $477.38 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00252 COVER, TOP ALUMINA 8" RPC PLUS
capitolareatech NEW - $25.95 8 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0200-00275 PIN, WAFER GUIDE TYPE D, WXZ
capitolareatech NEW - $71.89 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00318 Guide Lift Pin SST Heater 300MM TXZ
capitolareatech NEW - $350.00 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 0200-00457 Housing Double RF Connector
capitolareatech NEW - $38.21 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0200-00485 EAD, SHIELD, FERRITE, NITRIDE 550
capitolareatech NEW - $51.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00590 BUSHING UPPER SHIELD UPPER INSU, SIP; CE
capitolareatech NEW - $125.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00669 window
capitolareatech NEW - $3,000.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00715 BASEPLATE W/BAFFLE QTZ SERIALIZED
capitolareatech NEW - $40.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-00788 LIFT PIN, SIC
capitolareatech NEW - $2,986.56 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0200-01001 HOOP V2,CENTERING, 200MM IBC,SF3 ECP
capitolareatech NEW - $201.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-01045 PEDESTAL RING, 6" B101, AL ARC-SPRAY, CE
capitolareatech NEW - $83.75 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-01097 INSULATOR, CERAMIC, LIFT PIN, ESC ASSY,
capitolareatech NEW - $155.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-01248 LIFT PIN, QUARTZ, COOLDOWN STATION, VANT
capitolareatech NEW - $28.65 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0200-01303 Guide Pin, Quartz, COOLDOWN Station, 300
capitolareatech NEW - $1,200.00 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 0200-01389 Ring Deposition, Narrow OD, 300MM ESC CU
capitolareatech NEW - $121.89 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1040-00049 Georg-Fischer 3-2100-2H Turbine Flow Sensor
capitolareatech NEW - $400.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1040-00091 METER FLOW WTR 110-2000CC/MIN 3/8FLARE W
capitolareatech NEW - $12.81 0 Dec/27/14 Dec/01/15
Description: Applied Materials (AMAT) 1040-00160 Shunt 30A 100mV
capitolareatech NEW - $21.80 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1040-01114 METER FLOW WATER 2LPM 200PSIG 1/8NPT
capitolareatech NEW - $113.06 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1040-01016 BROOKS INSTRUMENT 1358E1D1EA1D1A BROOKS 135
capitolareatech NEW - $292.68 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1040-01121 MKS LDM-14378 Pressure Transducer Local Dis
capitolareatech NEW - $380.06 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1040-01163 Proteus Industries 9203SS24F3P3 METER FLOW
capitolareatech NEW - $187.62 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1040-01188 METER FLOW LIQ 3%ACC .1-2L/MIN SI TREATE
capitolareatech NEW - $206.23 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1040-90058 FLOWMETER,1000 L/H,+MAGNET
capitolareatech NEW - $213.11 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1040-90060 FLOWMETER,16-160LHR 1/4"NPT
capitolareatech NEW - $240.99 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1060-00003 GROUP 3 FTR CONV RS232/ Fiber Optic VERSALI
capitolareatech NEW - $721.68 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1070-00006 MONITOR POWER 3000 MASTER MODULE, DNET
capitolareatech NEW - $971.90 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-00082 SANYO DENKI P50B07030DCS00M DRVR SERVO AMP
capitolareatech NEW - $350.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1080-00132 Yaskawa Electric SGDF-A2CP Driver Servo Am
capitolareatech Refurbished - $750.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1080-00195 DRIVER SERVO, 300MM HEATER LIFT, PV1
capitolareatech NEW - $1,000.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-00300 SANYO DENKI SANYO DENKI PV2A015SMT1P50-C1,
capitolareatech NEW - $19.09 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 1080-01038 42M08C2U-R21 MOTOR, STEPPING 12VDC
capitolareatech NEW - $83.43 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-01092 VEXTA PH264-01B Phase-2 Stepping Motor, 1.8
capitolareatech NEW - $202.50 1 Dec/27/14 Dec/14/15
Description: APPLIED MATERIALS (AMAT) 1080-01111 ORIENTAL MOTOR A3723-9215 ORIENTAL MOTOR A3
capitolareatech NEW - $450.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-01242 Teknic M-2330-FH MOTOR STEPPING 24VDC 160 O
capitolareatech NEW - $92.26 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1080-01178 MOTOR STEPPING 6VDC 1.2A/PH 2-PHASE
capitolareatech NEW - $530.75 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1080-01244 BL SUPER P50B05010DXS00M MOTOR SERVO 100W 2
capitolareatech NEW - $907.69 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-01257 SANYO DENKI P50B05020DCS00M MOTOR SERVO 200
capitolareatech NEW - $490.01 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-01274 MOTOR DC DIST PNL INTEG (SPARE FOR
capitolareatech NEW - $175.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-01306 MOTOR DC BRUSHLESS 1000 LINE ENCODER VIT
capitolareatech NEW - $550.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1080-01314 ENCODER HEAD 1UM DIGITAL
capitolareatech NEW - $225.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-01326 REGENERATIVE UNIT FOR SGMP SIGMA SERVOMO
capitolareatech NEW - $174.81 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-51000 ORIENTAL MOTOR E3902-464 MOTOR WITH BRAKE
capitolareatech NEW - $36.47 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-90019 MOTOR, 110V AC
capitolareatech NEW - $173.77 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1080-90039 BROOK CROMPTON EDA63MG MOTOR 1/8HP 120V 60H
capitolareatech NEW - $25.00 1 Dec/27/14 May/26/15
Description: APPLIED MATERIALS (AMAT) 1110-00067 OPTO ISOLATED RS232 TO RS485
capitolareatech NEW - $50.25 1 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 1110-00072 3COM 3C16470 Ethernet Hub, 16 Port, 3C16470
capitolareatech NEW - $661.07 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1110-00076 NETWORK SWITCH GIGABIT ETHERNET WORKGROU
capitolareatech NEW - $18.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 1110-01054 NTWK INTERFACE DNET SERIAL GATEWAY RS485
capitolareatech NEW - $5.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 1110-10009 NICAD-BATTERY; CS1302, E9318S
capitolareatech NEW - $29.03 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00002 OPT Filter Clear 1.5X2.2 BEZEL with Clam
capitolareatech NEW - $17.17 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00012 OPT FLTR 685NM +/-2NM 1/2"DIA RING MNT
capitolareatech NEW - $82.89 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00021 SUNX FX-D1J FIBER-OPTIC AMPLIFIER 12BIT PLU
capitolareatech NEW - $120.96 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 1120-00023 Andover ANDV7257 Optical Filter
capitolareatech NEW - $13.82 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 1120-00030 AL MIRROR 12.5 X 17.5 1MM THK 4-6WAVE
capitolareatech NEW - $459.30 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00099 Cable Fiber OPT 61 FIBERS, SMA/BICONIC
capitolareatech NEW - $46.57 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00031 Beam Splitter 12.5 X 17.5 X 1 50R/50T
capitolareatech NEW - $750.00 0 Dec/26/14 Jan/21/16
Description: Applied Materials (AMAT) 1120-00192 Hamamatsu R7400U-04 Opt Photomultiplier Tub
capitolareatech NEW - $500.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00224 Andover Corporation ANDV9045 Optical Filter
capitolareatech NEW - $335.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00225 Andover Corp ANDV9046 FLTR OPTIC BANDPASS 3
capitolareatech NEW - $100.50 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00227 Andover Corporation ANDV9048 AM-57948 Optic
capitolareatech NEW - $1,005.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00228 Andover Corporation ANDV9049 AM-57049 Optic
capitolareatech NEW - $275.00 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 1120-00229 Filter Optic Bandpass, 777NM-CW/L FWHM 2.
capitolareatech NEW - $250.00 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 1120-00310 Particle Measuring Systems 90659501 Fiber O
capitolareatech NEW - $150.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-00230 Andover Corp ANDV9078 FILTER OPTIC BANDPASS
capitolareatech NEW - $708.53 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-01037 PEN LIGHT S-BUS
capitolareatech NEW - $973.40 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-01048 CAMR TV BLK&WHT 768H X 493V X 580HZTL-RE
capitolareatech NEW - $262.66 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 1120-01051 Pen Light EXT XMTR/RCVR 5VDC 150MA
capitolareatech Refurbished - $350.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-01080 Fiber Optic Cable 600MICRON Diameter 6M L
capitolareatech NEW - $305.48 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-01086 OPT FLTR BP 253.7NM CTR 2NM BW
capitolareatech NEW - $1,000.15 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-01126 CAMR B&W 2/3"CCD 752X582PIXEL W/RESTART
capitolareatech NEW - $21.10 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 1120-90022 Anglent HFBR-2402 F/O RX HFBR2402
capitolareatech NEW - $20.72 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 1120-90021 F/O TX HFBR1402
capitolareatech NEW - $31.65 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1120-90032 FIBRE OPTIC SENSOR FT-FM2
capitolareatech NEW - $255.56 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00072 PWRSP 24VDC FOR O2 ANALYZER
capitolareatech NEW - $750.00 0 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 1140-00087 Cosel PAA300F-24 Power Supply, 24V, 14A
capitolareatech NEW - $100.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00091 XP POWER AEH80US24 POWER SUPPLY PUP80; A MO
capitolareatech NEW - $125.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00098 PWRSP 24VDC 0.64A 15W
capitolareatech NEW - $234.50 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00132 POWER SUPPLY LINEAR 100/120/220/240VAC IN
capitolareatech NEW - $2,800.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00214 GLASSMAN HIGH VOLTAGE PS/ER06N50.0G01 PSU D
capitolareatech NEW - $250.00 3 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00228 Power-One LWN 2660-6 250 Watt AC-DC and DC-
capitolareatech NEW - $1,797.03 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 1140-00351 BERKELEY PROCESS CONTROL 300-003-873 PSU QU
capitolareatech NEW - $133.21 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00246 LAMBDA JWTT5-5FF/A PWRSP DC 5V@8A +15V@3.2A
capitolareatech NEW - $100.50 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00368 PWRSP DC 24V 5.2A 75W 18-36VDC-IN REGULA
capitolareatech NEW - $44.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00374 PSU,90-264V IP,12VDC 1.25A OP
capitolareatech NEW - $291.52 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00389 PWR SPLY DC DUAL CHANNEL 120.5W 24/5V OU
capitolareatech NEW - $153.47 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-00390 PWR SPLY DC 51.6W 12V OUT 85-265VAC/120-
capitolareatech NEW - $103.74 1 Dec/26/14 May/26/15
Description: APPLIED MATERIALS (AMAT) 1140-01011 Computer Products PM501 POWER SUPPLY, DC
capitolareatech NEW - $91.82 0 Dec/26/14 Nov/21/15
Description: Applied Materials (AMAT) 1140-01025 Wall LCS412-250 DC/DC Converter
capitolareatech NEW - $105.18 2 Dec/26/14 Jan/20/16
Description: Applied Materials (AMAT) 1140-01097 Cosel MMB50A-6 Power Supply, 100-120VAC, 2
capitolareatech NEW - $38.63 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 1140-01118 Power Supply Linear, Input: 100/120/215/2
capitolareatech NEW - $56.49 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-01138 KEPCO FAW5-10K Power Supply DC 5V @ 10A 50W
capitolareatech NEW - $28.69 3 Dec/26/14 Jul/25/15
Description: APPLIED MATERIALS (AMAT) 1140-01170 BANNER INDUSTRIES CP12RC Relay 12/220/240VA
capitolareatech NEW - $16.33 0 Dec/26/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 1140-01191 PWRSP DC 7 TO 35V IN 5V 1.6A OUT SW REG
capitolareatech NEW - $78.08 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-01194 PWRSP MODULE 120V AC OUTLET
capitolareatech NEW - $29.58 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-01318 PWRSP DC/DC CONV +24VIN +/-15VOUT 1W SIP
capitolareatech NEW - $89.15 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-01349 POWER SUPPLY
capitolareatech NEW - $263.52 2 Dec/26/14 May/26/15
Description: APPLIED MATERIALS (AMAT) 1140-90110 VICOR VI-LU3-EV Power Supply Unit; (VI-LU)
capitolareatech NEW - $175.28 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-90111 VICOR VI-LUL-CY PSU 50 to 600W 28V 1.6A 47-
capitolareatech NEW - $73.95 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1140-90132 PSU,48Vdc I/P,+5v,+/-15v O/P
capitolareatech NEW - $255.12 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1150-00016 PLATINUM EX ROTATING ELECTRODE FOR QLCA-
capitolareatech NEW - $120.00 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 1150-01031 PROBE TEST SPR PIN CONTACT .055DIA X .43
capitolareatech Refurbished - $225.00 1 Dec/26/14 Aug/24/15
Description: APPLIED MATERIALS (AMAT) 3030-01172 MKS 1159B-00050RV-S Mass Flow Controller, H
capitolareatech NEW - $36.20 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 1180-00004 Telemecanique DR5TF4V Rectifier for 24/48V
capitolareatech NEW - $225.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0730-00031 YASKAWA ELECTRONIC Converter Serial Module
capitolareatech NEW - $89.61 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0730-01008 IPD FRS2405 CONV 24VDC/5VDC 1000MA 2"X2"X.4
capitolareatech NEW - $152.74 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0730-01116 WATLOW 22725-100 DUAL DAC - ANAFAZE 0-10 VD
capitolareatech NEW - $125.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0740-00006 260-6509 Ferrite Core/Clamp
capitolareatech NEW - $3.86 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0740-01018 FAIR-RITE 443164251 BEAD SHLD FERRITE .275
capitolareatech NEW - $95.95 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0760-90005 COUPLING FLEXIBLE HIGH TORQUE
capitolareatech NEW - $35.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0760-90008 Flexiable Coupling SS
capitolareatech NEW - $26.26 0 Dec/27/14 Sep/23/15
Description: APPLIED MATERIALS (AMAT) 0760-90021 COUPLING PANAMECH HPC-BC24
capitolareatech NEW - $14.90 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0760-90025 SMC JB40-8-125 Flexible Joint, M8X1.25
capitolareatech NEW - $190.50 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0760-98001 Gerwah AKN 60 COUPLING, FLEX. 17/19MM BORE
capitolareatech NEW - $29.07 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0770-01071 HERAEUS 0978-4754 WFR 200MM NOTCH QUARTZ
capitolareatech NEW - $23.39 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0780-90001 SMC RBQC2007 SHOCK ABSORBER / DAMPER; (RBQ)
capitolareatech NEW - $225.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0820-00089 Flame Detector UV/IR with 2 LED, Input: 2
capitolareatech NEW - $110.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0820-00097 SUNIX EX-F30-PN DETECTOR LEAK NO PNP OUTPUT
capitolareatech NEW - $207.18 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0820-01040 ORATECH 6D-715-096-1203 DETCALIBRATION KIT
capitolareatech NEW - $1,599.61 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0820-01057 Vision Systems VRT-J00 VESDA LaserCOMPACT D
capitolareatech NEW - $20.34 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0820-90025 Resistor, End, Water Leak Detect
capitolareatech NEW - $22.68 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0840-00072 ALLEN-BRADLEY 100-FSD250-A DIODE Module Sur
capitolareatech NEW - $1.41 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0840-01035 DIODE PWR RECTIFIER SCHOTTKY 100V 10AMP
capitolareatech NEW - $36.59 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0840-90073 Diode, TRANSZORB
capitolareatech NEW - $26.62 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0840-01152 VARO H569 DIODE High Volt Power RECT Contro
capitolareatech NEW - $255.52 0 Dec/26/14 Jan/20/16
Description: APPLIED MATERIALS (AMAT) 0040-94808 Fixed Restraints, 200mm,
capitolareatech NEW - $263.51 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0850-00019 PUMP DISPLAY PLUG IN
capitolareatech NEW - $364.40 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 0850-00065 DSPL Chiller Controller
capitolareatech NEW - $28.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0870-01022 SMC NZHI07BS-07-07 Vacuum Enjector 26"HG Si
capitolareatech NEW - $20.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0870-00013 EJCTR VAC 26"HG .7MM-NOZ 1/4T X 1/4T X 5
capitolareatech NEW - $268.16 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0870-01088 SANYO DENKI PV1A015SM91P50 DRVR SERVOAMP 2
capitolareatech NEW - $500.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0870-01043 SMC ZHI108S-07-07-X121 EJCTR VACUUM 1/4T X
capitolareatech Used - $65.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0880-99000 ENCODER, SCANCON 2RM18SS-K
capitolareatech NEW - $500.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0900-00054 SCHAFFNER FN 258P-75-34 3PH FILTER,75A,FN25
capitolareatech NEW - $21.21 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0900-00055 FILTER,EMI,FN9222R-3/06,3A IEC
capitolareatech NEW - $46.46 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-00057 SCHAFFNER FN2060-16-06 FILTER,16A,0.65mH,25
capitolareatech NEW - $125.00 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 0900-00079 Shaffner FN 258P-55-34 3-Phase Filter, 3 X
capitolareatech NEW - $18.32 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0900-01012 SAE STB-20 Filter EMI Power Line 20AMP 50/6
capitolareatech NEW - $19.42 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0900-01019 DELTA 20DRGS5 FLTRRFI/EMI POWER LINE 20A 50
capitolareatech NEW - $102.34 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-01016 LAMBDA MBS-1210-22 FLTR RFI/EMI 250 VDC 10A
capitolareatech NEW - $2.43 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0900-01037 AMPHENOL (AMP) 842448-2 FLTR RFI PCB Mount
capitolareatech NEW - $91.98 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-01080 OMEGA 441.5NB2.0 Filter Bandpass VSBL 441.5
capitolareatech NEW - $67.74 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0900-01133 FLTR EMI POWER LINE 250VAC 40A 1PHASE
capitolareatech NEW - $30.80 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-01143 FLTR NOISE POWER LINE 10A 250VAC SCR-TER
capitolareatech NEW - $50.45 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-20003 ASSY, SENSOR LIFT CHAMBER
capitolareatech NEW - $19.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-90001 FILTER, EMI 20 AMP
capitolareatech NEW - $19.67 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0900-90003 CORCOM 3VR1 FILTER EMI 3A APF 31 SER; F7198
capitolareatech NEW - $18.74 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0900-90006 CORCOM 10VR1 RFI Power Line Filter10A Two-s
capitolareatech NEW - $22.91 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0900-90004 Corcom 5VR1 FILTER, EMI 5A APF531L; F7202
capitolareatech NEW - $11.75 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0900-90015 SCHAFFNER FN 321-6/05 Filter, 110/250VAC 50
capitolareatech NEW - $21.87 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0900-90019 Filter 6A IEC Switched & Fused, 250V~50/6
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-00091 FUSE RESETABLE 2.5AMP 30V
capitolareatech NEW - $1.49 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-00101 RESETABLE FUSE 3.0A
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-00120 FUSE RESETTABLE PTC .5A-HOLE 60V 40A .77
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-00121 FUSE RESETTABLE PTC 1.35A-HOLE 60V 40A R
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-00227 Fuse Resettable 0.1A 60V RXE
capitolareatech NEW - $1.22 0 Dec/27/14 Jun/11/15
Description: Applied Materials (AMAT) 0910-00254 Fuse, Polyswitch, 0.9A, 60V
capitolareatech NEW - $1.39 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-00289 FUSE LINK 3.15A 5 X 20MM 250V
capitolareatech NEW - $1.52 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-00291 FUSELINK 4A 5 X 20MM
capitolareatech NEW - $34.41 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0910-01015 GOULD A50P250-4 FUSE CUR LIMITING 250A 500V
capitolareatech NEW - $4.75 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01034 BUSSMANN MDL-6-1/4 5-pack Of Bussmann Fuse
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: Applied Materials (AMAT) 0910-01034 Bussmann MDL-6-1/4 Bussmann Fuse Slo-blo Ti
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-01035 AMAT USE 0910-01075 FUSE SLO-BLO TIME-DELAY
capitolareatech NEW - $1.88 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-01041 SCHROFF 69004-098 FUSE HLDR 6.3A 250V FOR T
capitolareatech NEW - $4.41 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01103 LITTELFUSE KLK-R-5 FUSE NON-DELAY 5A CARTRI
capitolareatech NEW - $4.50 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01105 LITTELFUSE 251001 FUSE PICO FAST ACT 1A 125
capitolareatech NEW - $12.94 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0910-01110 BUSSMANN JJN-10A CLASS T FUSE; FUSE PWR DIS
capitolareatech NEW - $6.62 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01132 BUSSMANN FNM-8/10 FUSETRON DUAL-ELEMENT FUS
capitolareatech NEW - $3.75 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01137 Resetable Fuse 1.1A RXE110, Pack of 4
capitolareatech NEW - $15.00 0 Dec/27/14 Dec/01/15
Description: Applied Materials (AMAT) 0910-01138 LITTLEFUSE 252010 FUSE PICO 10A 125V RADIAL
capitolareatech NEW - $3.79 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01232 LITTELFUSE 150079 FUSE HLDR IN-LINE BAY-KNO
capitolareatech NEW - $3.20 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01291 BUSSMANN BC6032S Fuse Block 2 POLE 600VAC 3
capitolareatech NEW - $5.00 3 Dec/27/14 May/26/15
Description: APPLIED MATERIALS (AMAT) 0910-01246 BUSSMANN JJS-25 FUSE 600VAC 25A (JJS) VERY
capitolareatech NEW - $10.82 0 Dec/27/14 Dec/01/15
Description: Applied Materials (AMAT) 0910-01320 Cooper Industries FNQ-1/8 Time-Delay Fuse
capitolareatech NEW - $2.99 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-01330 WICKMAN 19343-069-L0 FUSESLO-BLO TIME-DELAY
capitolareatech NEW - $7.95 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01338 PHOENIX CONTACT 921037 Fuse HLDR 5X20MM 20A
capitolareatech NEW - $5.66 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0910-01365 LITTELFUSE 273.00200000000001 Fuse Fast Act
capitolareatech NEW - $15.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0910-01369 LITTELFUSE 5710CC LITTELFUSE 600V 30A FUSE
capitolareatech NEW - $2.21 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-01371 SCHURTER 4301.1405000000004 FUSEDRAWER 5X20
capitolareatech NEW - $2.88 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-01407 LITTELFUSE 273 003 FUSE FAST-ACTING 3A 125V
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-01422 RAYCHEM SMD100 FUSE RESETTING RES POS TEMP
capitolareatech NEW - $10.26 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0910-01432 BUSSMANN CHCC1I FUSE HLDR 1P 30A 600V CLASS
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-01443 FUSE QUICK-ACTING 1A 125V SMT
capitolareatech NEW - $1.43 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-90023 BUSSMANN AAO10 FUSES, 10 AMP SIZE A2; 550VA
capitolareatech NEW - $1.65 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-90059 FUSE HOLDER 20 x 5MM
capitolareatech NEW - $2.96 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-90076 FUSE HOLDER P.MTD 1.25 x 0.25
capitolareatech NEW - $2.86 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-90086 Fuse, Power Transistor Base 1A
capitolareatech NEW - $1.15 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0910-98001 RESETABLE FUSE 1.85 AMP 30V
capitolareatech Used - $3,500.00 0 Dec/27/14 Jun/11/15
Description: Applied Materials (AMAT) 0920-00080 Advanced Energy VHF 2060 RF Generator Ovati
capitolareatech Refurbished - $605.63 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0920-01014 Advance Energy (AE) 7520572050 RF Generator
capitolareatech NEW - $5,500.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0920-00114 Advanced Energy (AE) A3L5L000BA140D111A APE
capitolareatech NEW - $2.12 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0940-90009 INDICATOR,NEON,220V
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0950-01053 IC OPTO ISOLATOR 4N33
capitolareatech NEW - $11.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0950-01076 IC Microprocessor 8085
capitolareatech NEW - $23.51 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0950-01187 ANALOG DEV AD524CD/+ IC AMPL PRCN AD524
capitolareatech NEW - $144.80 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0950-01202 MICRO NTWK MN5290 IC CONVERTER A/D 5290 16
capitolareatech NEW - $66.22 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0950-01279 Intel P8049AH IC POS STEP MTR CONTROLLER TT
capitolareatech NEW - $287.59 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0950-01257 CYBERNETIC CY525A IC Controller Step 525 TT
capitolareatech NEW - $20.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0950-01323 ANALOG DEVICES AD595CD IC Thermocouple Ampl
capitolareatech NEW - $10.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 0950-01325 NATIONAL LM78L12ACZ ICVOLT REGULATOR78L12
capitolareatech NEW - $2.21 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 0950-01430 TI LT1013DP IC AMPL OPNL DUAL LT1013 PLASTI
capitolareatech NEW - $81.67 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 0950-01445 MOTOROLA MC1466L IC RGLTR VOLT FLOATING 146
capitolareatech NEW - $4.20 2 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 0950-01457 LINEAR TEC. LT1014CN IC AMPL OPNL QUAD LT10
capitolareatech NEW - $20.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3060-00305 Ball Bearing with SEALS 25X62X17
capitolareatech NEW - $2.13 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3060-01012 BALL BEARING, .250-ID .625-ODBL SHIELD
capitolareatech NEW - $2.88 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3060-01103 BRG BALL .625 ID 1.375 OD .343 THK SST
capitolareatech NEW - $5.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-01129 BRG BALL .1875 ID .375 OD .125W SST KR
capitolareatech NEW - $5.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-01141 Bearing, Ball, 1.000OD X .750ID X .156W O
capitolareatech NEW - $67.76 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3060-01181 MRC 1910SFFP Ball Bearing 50MM-ID X 72MM-OD
capitolareatech NEW - $7.14 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-01228 BRG BALL BSHG .25ID X .50OD X .75L
capitolareatech NEW - $910.44 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3060-01238 BRG. MATCHED PAIR, KAYDON
capitolareatech NEW - $58.98 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3060-01240 BRG.SPHERICAL, 3/4"
capitolareatech NEW - $22.00 0 Dec/27/14 Nov/22/15
Description: Applied Materials (AMAT) KAYDON 3060-01349 Ball Bearing
capitolareatech NEW - $4.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-01435 Bearing SNAP RTNR .250ID X .50OD DBL SHIE
capitolareatech NEW - $35.37 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3060-01452 TIMKEN BRG ASSY TAPERED RLR 19.05MMBORE P
capitolareatech NEW - $7.77 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-01489 SKF 6001-2RSJEM Ball Bearing .4724 ID X 1.1
capitolareatech NEW - $4.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-01611 AMAT BEARING LEAD SCREW
capitolareatech NEW - $20.25 0 Dec/27/14 Oct/23/15
Description: APPLIED MATERIALS (AMAT) 3060-01656 BRG BALL .250X.500X.125 W/MCG109 GREAS
capitolareatech NEW - $15.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3060-01657 BRG BALL .1875X.375X.125 W/MCG109 GREA
capitolareatech NEW - $249.48 1 Dec/27/14 Jun/25/15
Description: APPLIED MATERIALS (AMAT) 3060-01692 KAYDON BRG BALL 2.125ODX1.75IDX.157W ANG
capitolareatech NEW - $4.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-01748 SKF 6203-2Z/C3GJN Bearing, Ball 17mm ID 40m
capitolareatech NEW - $2.85 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3060-90029 INA 608 2Z VA Ball Bearing, Deep Groove
capitolareatech NEW - $9.21 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-90061 HFLL BEARING, 19MMOD
capitolareatech NEW - $23.52 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3060-90090 INA KRV16PP Bearing Roller Track 1600
capitolareatech NEW - $18.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3060-90112 Bearing Track Role Stud Type, Pack of 3
capitolareatech NEW - $2.34 0 Dec/27/14 Jun/11/15
Description: Applied Materials (AMAT) 3060-90140 BEARING DEEP GROOVE TWO SHIELD
capitolareatech NEW - $11.78 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3060-90177 Timken NK30/20A ERG NEEDLE ROLLER
capitolareatech NEW - $14.00 0 Dec/27/14 Dec/01/15
Description: Applied Materials (AMAT) 3060-90178 INA IR25X30X26.5 Bearing Inner Ring
capitolareatech NEW - $4.77 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-90181 Bearing Dry Bush 10I/Dx14O/Dx8
capitolareatech NEW - $10.09 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3060-90206 LINEAR BEARING
capitolareatech NEW - $3.50 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-90225 BEARING BALL 2 BORE 60D
capitolareatech NEW - $834.35 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3060-90254 BRG TO KAYDON DRG 52261-001
capitolareatech NEW - $22.15 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3060-90303 Elges/Schaeffler Technologies GE35UK-2RS Be
capitolareatech NEW - $36.30 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3070-00005 BELLOWS, FLEX NW50XNW50 2"IDX
capitolareatech NEW - $5.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3080-01028 BELT TIMING 1/5P X 3/8W 60T NEOPRENE 1
capitolareatech NEW - $6.89 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3080-01029 BELT TMG 3MMP 6MMW 84T HTD NEOPRENE
capitolareatech NEW - $25.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3080-01030 Rotation Motor Belt
capitolareatech NEW - $5.41 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3080-01036 Timing Belt .080P,1/4W
capitolareatech NEW - $24.55 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3080-01037 TIMING BELT 1/5PX3/8W 45 TEETH
capitolareatech NEW - $8.61 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3080-01039 BELT TIMING .080 P 3/16W
capitolareatech NEW - $6.29 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3080-01051 BELT .080PITCH .37WX175T
capitolareatech NEW - $2.49 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3080-01105 BELT TMG 1/5 PITCH X 3/8W X 60GRV NEO
capitolareatech NEW - $5.19 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3080-01057 Timing Belt 3MMP 9MMW 158G
capitolareatech NEW - $18.75 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3080-01119 BELT MOTOR PLATEN GREEN AND WHITE(CAT) 63
capitolareatech Refurbished - $12.75 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3080-01119 BELT MOTOR PLATEN GREEN AND WHITE(CAT) 63
capitolareatech NEW - $3.75 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3080-01146 BELT TMG 80T X 15MMW URETHENE/MTL CO
capitolareatech NEW - $105.95 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3080-01182 BELT TMG 5MMP 16MMW 340MML 68T BRECOFLEX
capitolareatech NEW - $15.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3080-90008 BELT TIMING .375 PITCH
capitolareatech NEW - $9.60 0 Dec/27/14 Jul/30/15
Description: Applied Materials (AMAT) 3080-90030 Timing Belt
capitolareatech NEW - $85.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3010-01018 Abrasive Diamond Disk, 100 GRIT, 4"
capitolareatech NEW - $159.06 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 3020-00029 SMC CQ2WA80-10D Air Cylinder
capitolareatech NEW - $25.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3020-00093 SMC NCQ2KB20-20DM Air Cylinder, 20MM BORE 2
capitolareatech NEW - $35.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3020-00139 AIR CYLINDER SMC
capitolareatech NEW - $40.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3020-01022 BIMBA 029.5-DXP Air Cylinder, 9/16 BORE
capitolareatech NEW - $30.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3020-01083 CYLINDER, AIR BASE FULL HUSKY
capitolareatech NEW - $15.62 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3020-01132 SWITCH CYLINDER AIR WITH SWITCH
capitolareatech NEW - $20.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3020-01137 SMC CYL AIR 10MM STROKE 32MM BORE
capitolareatech NEW - $229.11 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3020-01177 SMC NCDQ2WB80D-G1858-110 Air Cylinder 80MM
capitolareatech NEW - $721.77 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3020-01160 CYL AIR 125MM BORE 75MM STRK DBL ACT W
capitolareatech NEW - $26.09 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3020-90011 EYE, ROD 10 DIA EYE CONN
capitolareatech NEW - $183.70 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3020-90022 FESTO DSN-1"-1/2"P *CYLINDER AIR DOUBLE ACT
capitolareatech NEW - $6.87 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3020-90045 Air Cylinder 10 BORE DBL REPLACES 3020-9
capitolareatech NEW - $21.39 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3020-90031 RADIUS-CLAMPING NOSE
capitolareatech NEW - $36.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3020-90051 SMC ECQ2B32-30D CQ2 COMPACT CYLINDER LIFT 3
capitolareatech NEW - $49.54 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3020-90061 CYLINDER PNEUM 16 DIAX125 STR
capitolareatech Used - $225.00 2 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-02330 STEC INC SEC-4500MO-UC Mass Flow Controller
capitolareatech Used - $225.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3030-03747 STEC INC SEC-4400MC-UC Mass Flow Controller
capitolareatech Refurbished - $250.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-04044 TYLAN 2902MEP-4V TYLAN Mass Flow Controller
capitolareatech Refurbished - $250.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-04340 UNIT 1660-100280 Mass Flow Controller; 1-SL
capitolareatech Used - $225.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-05704 STEC INC SEC-4500MO-UC Mass Flow Controller
capitolareatech Used - $225.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-07144 STEC INC SEC-4550MO Mass Flow Controller; R
capitolareatech NEW - $300.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-08005 HORIBA STEC LF-310A-EVD LIQUID MAQSS FLOW M
capitolareatech Refurbished - $400.00 0 Dec/27/14 Jul/25/15
Description: APPLIED MATERIALS (AMAT) 3030-08692 AERA FC-D980C MFC D980 5SLM AR 1/4VCR MTL N
capitolareatech NEW - $309.73 0 Dec/27/14 Jul/25/15
Description: APPLIED MATERIALS (AMAT) 3030-09813 MFC PRIMAERA PN980 1SLM AR DNET NC HOV 5
capitolareatech NEW - $309.73 0 Dec/27/14 Jul/25/15
Description: APPLIED MATERIALS (AMAT) 3030-09815 MFC PRIMAERA FC-PN980CBA 2SLM AR DNET NC
capitolareatech NEW - $220.00 0 Dec/27/14 Jul/25/15
Description: APPLIED MATERIALS (AMAT) 3030-09848 MFC PRIMAERA PN980 200SCCM NF3 DNET NC H
capitolareatech NEW - $309.73 0 Dec/27/14 Jul/25/15
Description: APPLIED MATERIALS (AMAT) 3030-10230 MFC PRIMAERA PN980 200SCCM SIF4 DNET NC
capitolareatech NEW - $309.73 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-10338 MFC PRIMAERA PN981 3SLM NF3 DNET NC 5RA
capitolareatech NEW - $309.73 0 Dec/27/14 Jul/25/15
Description: APPLIED MATERIALS (AMAT) 3030-10339 MFC PRIMAERA PN980 30SCCM SIF4 DNET NC H
capitolareatech NEW - $516.22 0 Dec/27/14 Jul/25/15
Description: Applied Materials (AMAT) 3030-10341 Aera FC-PN980CBA MFC PRIMAERA PN980 50SCCM S
capitolareatech NEW - $309.73 0 Dec/27/14 Jul/25/15
Description: APPLIED MATERIALS (AMAT) 3030-10537 MFC PRIMAERA PN980 100SCCM AR DNET NC 5R
capitolareatech NEW - $309.73 0 Dec/27/14 Jul/25/15
Description: APPLIED MATERIALS (AMAT) 3030-10531 MFC PRIMAERA PN980 3SLM NF3 DNET NC HOV
capitolareatech Refurbished - $450.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-11070 LFM LF-A30M-A-EVD TEB 0.5GPM 1/4FVCR-IN
capitolareatech Refurbished - $400.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-11071 STEC LF-A40M-A-EVD STEC, LF-A40M-A-EVD, LIQ
capitolareatech Refurbished - $450.00 1 Dec/27/14 May/26/15
Description: APPLIED MATERIALS (AMAT) 3030-11079 HORIBA LF-A30M-A-EVD HORIBA, LF-A30M-A-EVD,
capitolareatech NEW - $327.78 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3030-11598 MFC PRIMAERA PN981 50SLM N2 DNET N/C HOV
capitolareatech Used - $225.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3030-84218 STEC INC SEC-4400MC-SUC Mass Flow Controlle
capitolareatech NEW - $805.84 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 3040-98002 Ball Screw & Bearing Assembly
capitolareatech NEW - $2.31 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3060-00106 BALL CERAMIC 1/4"DIA PRECISION GRADE 25
capitolareatech NEW - $9.04 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-00119 RHP 608-2RS Bearing 8 x 22 x 7 Deep Groove
capitolareatech NEW - $44.43 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3060-00120 NSK 7201BEAT85 BEARING ANGULAR CONTACT 12x3
capitolareatech NEW - $4.62 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3060-00189 BRG,FLANGED PLASTIC 6IDX7ODX10
capitolareatech NEW - $30.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3060-00216 BEARING 85 O/D 65 I/D 10W
capitolareatech NEW - $20.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3060-00312 BALL BRG, 12X32X10W
capitolareatech NEW - $9.75 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3060-01102 BRG ROD END FEM .19 BORE STL 32UNF FR
capitolareatech NEW - $22.87 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3060-01227 BEARING BALL ANG CONT 25X52X15MM
capitolareatech NEW - $15.00 0 Dec/27/14 Oct/23/15
Description: APPLIED MATERIALS (AMAT) 3060-01657 BRG BALL .1875X.375X.125 W/MCG109 GREA
capitolareatech NEW - $135.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 2900-10767 BIAS PLUG
capitolareatech NEW - $158.34 1 Dec/27/14 Oct/23/15
Description: APPLIED MATERIALS (AMAT) 3700-04706 ORING ID 16.955 CSD .210 KALREZ 8085 BEI
capitolareatech NEW - $1.25 0 Dec/27/14 Jun/11/15
Description: Applied Materials (AMAT) 3700-07390 O-Ring, 0.614"ID X 0.070"CX, 15.60 X 1.78
capitolareatech NEW - $2.67 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90008 O-RING VITON BS-258
capitolareatech NEW - $2.29 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90011 O RING VIT 2.600IDX.210
capitolareatech NEW - $5.61 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90024 O-RING VITON BS.454 (CRYO PUMP)
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90034 O-Ring Viton BS.315
capitolareatech NEW - $45.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3700-90078 *O-Ring Cross Section 6.99MM Viton Chambe
capitolareatech NEW - $1.25 0 Dec/27/14 Jun/11/15
Description: Applied Materials (AMAT) 3700-90095 O-Ring, Viton BS312, 5.33 X 15.24
capitolareatech NEW - $9.45 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90098 *O-RING VITON BS NO. 381
capitolareatech NEW - $15.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3700-90116 *O-RING VIT.393 IDX7.0 C/S
capitolareatech NEW - $5.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90137 PARKER 2-349 O-RING 113.67IDX5.33 C/S
capitolareatech NEW - $4.20 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90162 O RING BS 371 215.271D
capitolareatech NEW - $9.60 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90184 O-RING BS 388 VITON
capitolareatech NEW - $3.62 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90210 O-RING
capitolareatech NEW - $6.38 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90207 O-RING
capitolareatech NEW - $9.17 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90221 O RING ID 319.31 CS 5.7 VITON
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90240 O RING 1.78C/Sx18.77ID VITON
capitolareatech NEW - $3.82 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90246 PARKER SEE DESCRIPTION O-RING, VITON 5.7MM
capitolareatech NEW - $1.24 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90257 SEAL
capitolareatech NEW - $1.43 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90256 SEAL
capitolareatech NEW - $1.34 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90260 O RING BS 242 101.19 ID X..
capitolareatech NEW - $2.86 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90282 O RING 196.44 I/DX3.53 VITON
capitolareatech NEW - $2.67 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90283 O RING 148.821I/DX3.53 VITON
capitolareatech NEW - $1.72 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90285 O RING 78.74 I/DX5.33 VITON
capitolareatech NEW - $4.01 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90288 O-Ring Viton BS354 129.5X5.33
capitolareatech NEW - $13.56 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3700-90292 O-Ring, 5.33D 532.26ID BS390..
capitolareatech NEW - $1.73 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90297 O-RING 45.61/DX2.4DIA-VITON..
capitolareatech NEW - $15.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3700-90305 O-RING BS276 279 X 3.53 VITON
capitolareatech NEW - $6.87 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90310 O RING 3.53 X 355.19 VITON
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90318 O-RING 1.78D 411D BS030
capitolareatech NEW - $2.67 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90316 O-RING, 41 1/ID X 2.62, VITON
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90320 AMAT 3700-90320 / NET MERCURY NM0003-2987
capitolareatech NEW - $90.51 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3700-90327 O-Ring 647.7 ID 7 SECT. VITON
capitolareatech NEW - $4.01 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90330 O-RING 2.78 CS*8.5 ID LOW.....
capitolareatech NEW - $25.00 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 3700-90371 O-RING VITON B.S.282.. Chamber
capitolareatech NEW - $6.68 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-90386 O-RING, 5.33D 253.37ID BS377
capitolareatech NEW - $2.20 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90424 O-RING
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-90467 O-RING VITON 6.07ID1.78D
capitolareatech NEW - $1.81 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-98016 O-Ring, 2.62 X 77.5, NO 641, VITON
capitolareatech NEW - $1.05 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3700-98021 O-RING, 2.62 X 47.3 BS 134, VITON
capitolareatech NEW - $31.98 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3710-00028 Shaft, Holder Cap
capitolareatech NEW - $65.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3710-00033 Shaft Lower Brush Core
capitolareatech NEW - $27.70 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 3710-01032 Thompson SS60L1X 21+3/4"LG Shaft GND 1" Dia
capitolareatech NEW - $6.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3720-00003 SPRIRA SPRIRA GD STRIP TYPE LS .103NC, 90
capitolareatech NEW - $45.63 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3720-00086 SHIELD, COVER WAFER LIFT
capitolareatech NEW - $145.48 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3750-01018 GASONICS A06-012-01 SHOWER HD QUARTZ DIFFUS
capitolareatech NEW - $1.15 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3750-90000 SLEEVING 14mm I/D MEGAMIDE
capitolareatech NEW - $339.48 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3750-01028 GASONICS A95-062-01 REV 1 CABLE ASSY CHAMBE
capitolareatech NEW - $2.10 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3750-90086 Sleeve, Clear, H/SHRINK, 6.4 I/D, 4 feet
capitolareatech NEW - $387.26 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3760-00062 Slide, Roller, R3x150LGx40STR.
capitolareatech NEW - $319.60 0 Dec/27/14 Jan/21/16
Description: Applied Materials (AMAT) 3760-00083 Slide Rail and Blocks, X Axis
capitolareatech NEW - $136.45 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3760-00148 SMC MXQ12-75B SMC MXQ12-75B; SLIDE LINEAR 1
capitolareatech NEW - $40.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3760-01033 JONATHAN MFR05236 JONATHAN SERVER RAIL KIT;
capitolareatech NEW - $400.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3760-01103 SLIDE KR TYPE 46 220MM LG W/CUSTOM LOCAT
capitolareatech NEW - $215.78 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3760-90060 SLIDE GUIDE,W34 X H24
capitolareatech NEW - $20.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3780-00062 SPRING,7.4"LG,.07"OD,SST
capitolareatech NEW - $10.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3780-00184 COMPRESSION SPRING
capitolareatech NEW - $150.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3780-00073 SPR GAS 12IN-STRK 31.11IN-EXT LG 1060LBS
capitolareatech NEW - $30.00 0 Dec/27/14 Jun/11/15
Description: Applied Materials (AMAT) 3780-00228 Industrial Gas Springs A11067 Pneumatic Spr
capitolareatech NEW - $15.00 0 Dec/27/14 Aug/24/15
Description: APPLIED MATERIALS (AMAT) 3780-00246 ACE 6/P1/100/135/P1/VVV/A-100N Gas Spring 1
capitolareatech NEW - $47.25 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3780-01011 EBERHARD EAA-8600-30 EBERHARD POWERISE EAA-
capitolareatech NEW - $1.43 0 Dec/27/14 Jun/11/15
Description: Applied Materials (AMAT) 3780-01045 Spring, Torsion
capitolareatech NEW - $39.73 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3780-01046 SPRING, TORSION
capitolareatech NEW - $7.50 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3780-01073 Spring ELASTOMER .25ID
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3780-01103 SPR FOR PEN PANEL FASTENER SST .500 LN
capitolareatech NEW - $8.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3780-01107 SPR EXT 1/2 FL .093OD PKG 14
capitolareatech NEW - $9.36 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3780-01125 Spring CPRSN 3/8FL.240 OD .22 Wire DIA SS
capitolareatech NEW - $13.13 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3780-01115 SPR PNEU 3.5" STROKE 20 LBS
capitolareatech NEW - $6.84 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3780-01199 SPR CPRSN .625FL X .600OD X .067WIRE D
capitolareatech NEW - $14.75 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3780-01326 SUSPA C16-18878 SPR GAS 16.14" M8 MALE THRD
capitolareatech NEW - $8.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3780-02394 CYL AIR 10MMBORE .4" STRK SGL ACT SPR E
capitolareatech NEW - $1.62 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3780-90001 *SPRING EXTN. 7.94MM OD X
capitolareatech NEW - $4.31 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3780-02401 SPR EXT 45MMFLX8MMODX1.2MMWIRE-DIA 90D
capitolareatech NEW - $2.67 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3780-90066 Spring Extension ST STL..
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3780-90076 SPRING DISC
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3780-90089 Spring, COMP, 6.10D X 19LG
capitolareatech NEW - $9.36 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3780-90091 DISC SPR.35.5 X 18.3 (2) S/S
capitolareatech NEW - $3.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3780-90125 Spring COMP 24.64 O/D X 31.75
capitolareatech NEW - $13.07 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3780-90127 Spring, EXT .3/4D X 0.085 Wire X 4.0LG
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-00129 STDF M/F 1/4HEX 4-40 X 1-1/4L SST
capitolareatech NEW - $1.43 0 Dec/27/14 May/26/15
Description: APPLIED MATERIALS (AMAT) 3790-01098 STDF F/F 3/8 DIA X 6.0 LGAL 10-32 X 1/2
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-01158 SHIM SHAFT 1.00OD .625ID .001CS
capitolareatech NEW - $10.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3790-01261 STDF F/F 1/4HEX 4-40 X 1/2L ALUM NO-FIN
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-01299 SPACER SST SHIM 10,95X8X0,25
capitolareatech NEW - $2.43 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-01378 STDF M/F 3/8HEX 10-32 X 4.0L SST NO-FIN
capitolareatech NEW - $2.22 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-01367 RAF 1903-632-A-24 Spacer F/F 1/2 DIA X 1-5/
capitolareatech NEW - $5.30 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3790-01392 STDF F/F HEX 1/4"8-32 THD 7/8LG SST
capitolareatech NEW - $1.99 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-01403 STDF SWAGE 1/4RND 4-40X1/16PCBX7/16L BR
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-01423 STDF M/F 3/16 HEX 6-32X 2.OL SST
capitolareatech NEW - $2.32 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-01506 SPACER RND 1/4 OD X 1.0LG 8-32 CLEAR SST
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-01572 SPACER RND 5/80D X.315IDX1.G5LG SST 303
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-01606 STDF M/F 1/4HEX 8-32 X 3/8THDXL SST
capitolareatech NEW - $4.97 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3790-01889 RAF 4625-1032-SS STDF M/F 3/8 HEX 10-32 X 9
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-02010 SPACER M4 X 25 ALL NYLON M/F
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-90060 SPACER HI STRENGTH INS'G
capitolareatech NEW - $5.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3790-90145 Spacer, HEX M/F, M4 X 12
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3790-90169 SPACER,NYLON FEMALE/FEMALE M3
capitolareatech NEW - $268.92 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3800-00037 VERIFLO SMSQMICRO3010 RGLTR PRESS SMSQMICRO
capitolareatech NEW - $225.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3800-00049 TESCOM 64-2640TA423-141 Regulator, UH PURIT
capitolareatech NEW - $150.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3800-00068 Testcom Corporation 12-1B11AAEE-011 Manual
capitolareatech NEW - $120.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 6000-00019 Cole Palmer Peristaltic PUMP Head HOSE ASS
capitolareatech NEW - $20.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 6000-00153 FILTER,NYLON SELF DRAIN 1/8 NPTF
capitolareatech NEW - $5.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 6000-00178 O-Ring, 8.737 ID 8.943 OD
capitolareatech NEW - $18.40 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 6401-00303 SUSPA C16-18972 SUSPA GAS SPRING
capitolareatech NEW - $280.00 1 Dec/27/14 Dec/22/15
Description: APPLIED MATERIALS (AMAT) 0020-31490 640A0242 GAS DISTRIBUTION PLATE
capitolareatech NEW - $1.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 661-090296-008 LENS, ROUND WHITE
capitolareatech NEW - $1.77 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3670-01003 RBR CRAFT 587 RBR EXTD 70 DUROMTR HDNS NPRN
capitolareatech NEW - $369.05 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3670-01022 ONTRAK PVA-33 BRUSH SPONGE .10X1.125X2.25(S
capitolareatech NEW - $1.99 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3680-01010 DISK FLOPPY 5-1/4 DS DD SOFT SECTOR
capitolareatech NEW - $7.50 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3690-00345 SCR FLT HD 3/8-16 X 3-1/2L HEX SKT 82DEG
capitolareatech NEW - $2.07 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-00391 CRAFTECH INDUSTRIES, INC. D251-51-40 SCR FL
capitolareatech NEW - $51.51 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3690-00407 SCR CAP SKT HD 1/4-20 X 3-1/4L HEX SKT TI
capitolareatech NEW - $1.72 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-00600 MCMASTER-CARR 92290A342 Screw CAP SKT HD M6
capitolareatech NEW - $21.83 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3690-00440 ASM CO 116060-1.00 SS 1 SCR CAPTIVE CAP SKT
capitolareatech NEW - $6.84 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-00649 SCR SET M12 X 90MMLG HEX SKT CUP-POINT SS
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01062 SCRMACH PAN HD 10-32 X 2-1/2L SLOT SST
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01068 SCR, MACH HEX HD10-32X1/4 SST
capitolareatech Refurbished - $4.11 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-01075 W PACIFIC 78062 SCR CAP SKT HD 1/4-20 X 1L
capitolareatech NEW - $2.54 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01117 STOCK DRIVE 9P55-12016 SCR MACH HEX HD 1/4-
capitolareatech NEW - $10.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3690-01153 U-C COMPONENTS F-606 Screw Cap Flat Head 6-
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01127 SCR MACH PAN HD 6-32 X 3/8L SLOT SST
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01162 SCR CAP FLT HD 4-40 X 1/4L HEX SKT 82DEG
capitolareatech NEW - $1.43 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01185 SCR CAP SKT HD 3/8-16X3/4L VENT HEX SKT S
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01257 SCR CAP SKT HD 1/4-20 X 1L HEX SKT SST
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01263 SCR MACH PAN HD 8-32 X 1/2L SLOT SST
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01299 SCR SET 10-32 X 1/4L VENTED HEX SKT SST C
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01395 SCR CAP FLT HD 10-32 X 7/8L SLOT SST
capitolareatech NEW - $1.00 0 Dec/27/14 May/26/15
Description: APPLIED MATERIALS (AMAT) 3690-01424 BERG MANUFACTURING PZ-10-3 SCR MACH SHLDR 8
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01474 SCHROFF 2110-268 SCR MACH KNRL HD M21.5 X 1
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01514 SCR MACH BDG HD 6-32 X 1/2L SLOT SST
capitolareatech NEW - $8.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-01629 SCREW MACH BTN HD 4-40 X 1/4L HEX SKT VEN
capitolareatech NEW - $1.55 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01760 STOCK DRIVE A7Y5-CF5 SCR MACH SHLDR 8-32 X
capitolareatech NEW - $4.20 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-01788 RAF 164-SS-.81-0 SCRCAPTIVE PANEL 10-32X13/
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01801 SCRCAP FLT HD 10-24 X 3/4L PHILLIP SST
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01818 SCRSET 4-40 X 5/8L HEX SKT SST CUP-POINT
capitolareatech NEW - $5.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-01880 Screw Cap SKT HD 5/16-18X2.25L HEX SKT SS
capitolareatech NEW - $7.50 0 Dec/27/14 Jul/30/15
Description: Applied Materials (AMAT) 3690-01885 screw CAP SKT HD 10-32X5/8L HEX SKT SST S
capitolareatech NEW - $1.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3690-01892 SCR CAP SKT HD 5/16-18X2.0L HEX SKT SST S
capitolareatech NEW - $1.11 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-01894 Screw CAP SKT HD 10-24 X 1.25L HEX SKT SS
capitolareatech NEW - $1.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3690-01945 AMAT SCRAPCAP SKT HD 5/16-18X1.0L HEX SKT
capitolareatech NEW - $4.08 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-01965 BERG MANUFACTURING PQH-38 Screw MACH THUMB
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-02071 SCRMACH HEX HD 1/4-20X2.0L FULLY THD'D SP
capitolareatech NEW - $1.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3690-02080 SCR CAP SKT HD 1/4-20X5/8L HEX SKT STL ZI
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-02115 SCRMACH BTN HD 2-56 X 1/4L PHIL SST
capitolareatech NEW - $1.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3690-02121 Screw MACH PAN HD 6-32 X 3/8L PHIL STL ZI
capitolareatech NEW - $8.00 0 Dec/27/14 Jul/30/15
Description: Applied Materials (AMAT) 3690-02149 SCR CAP FLT HD 6-32X1/4L 100DEG FLT CNTR
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-02157 SCRCAP SKT HD 8-32 X 7/8L HEX SKT SST SLV
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-02198 SCR CAP SKT HD 6-32 X 3/4L HEX SKT SST
capitolareatech NEW - $3.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-02275 Screw Cap Flat Head 4-40 X 1/4L SLOT 82 D
capitolareatech NEW - $3.20 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-02557 Screw CAP SKT HD 10-24 X 1-5/8L HEX SKT S
capitolareatech NEW - $10.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 3690-02571 Screw SET 1/4-28 X 3/4L HEX SKT SST OVAL-
capitolareatech NEW - $1.99 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-02583 BERG MANUFACTURING Z12-6-H SCR CAP PNL HEX
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-02599 SCR MACH FLT HD 6-32 X 5/16L PHH SST 100
capitolareatech NEW - $1.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3690-02667 SCR MACH HEX HD 5/16-18 X 1-1/4L SST
capitolareatech NEW - $1.52 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-02757 PIC DESIGN 2102 SCR SWVL SLTD 10-32 1.5"LG
capitolareatech NEW - $6.84 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-02760 BERG MANUFACTURING SC4-2 SCRSET 4-40 X 11/6
capitolareatech NEW - $1.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3690-02804 SCRCAP SKT HD 10-32 X 3/4L HEX SKT SST SL
capitolareatech NEW - $10.00 0 Dec/27/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3690-02944 SCR CAP SKT HD 4-40 X 1/4L HEX SKT SST SL
capitolareatech NEW - $55.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3690-02974 SCR CAP SKT HD 4-40 X 7/16L HEX SKT SST S
capitolareatech NEW - $3.75 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3690-03065 Screw Cap SKT HD 3/8-16X2L HEX SKT SST Si
capitolareatech NEW - $3.20 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-03087 SCRMACH SHLDR 4-40X.1245ODX1/8L HEX SKT S
capitolareatech NEW - $2.00 0 Dec/27/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 3690-03274 Screw Cap SKT HD 10-32 X 1-1/8L HEX SKT S
capitolareatech NEW - $55.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 3690-03399 SCR CAP FLT HD M3X6MML SLOT SST SLVR-PLT
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-03448 SCR MACH FLT HD 8-32 X 1/2L SLOTTED SST 1
capitolareatech NEW - $3.62 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3690-03766 SCR FLT HD 2-56 X 5/16L PHIL 82DEG 316SST
capitolareatech NEW - $1.99 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-03881 U-C COMPONENTS C-606K SCR CAP SKT HD 6-32 X
capitolareatech NEW - $2.10 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 3690-03883 U-C COMPONENTS C-804K SCR CAP SKT HD 8-32X1
capitolareatech NEW - $32.51 0 Dec/27/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 8100-08031 FAN, PCB ENCLOSURE
capitolareatech NEW - $2.25 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 5010-01005 LOCTITE 59231 ADH PIPE SEALANT 50CC TUBE
capitolareatech NEW - $3.75 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 5010-01028 LOCTITE 22231 ADH LOCTITE 22231 THD LKG LOW
capitolareatech NEW - $4.26 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 5060-00006 Foamex ASIA HT4734 UltraSOLV Sponge 3-5/8"
capitolareatech NEW - $30.27 2 Dec/27/14 Aug/24/15
Description: APPLIED MATERIALS (AMAT) 5070-00005 THK 4GU020 AFE Grease, Clean Room, Low Dust
capitolareatech NEW - $69.75 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 5070-01015 DOW CORNING M-77 Lubricant MOYKOTE Paste BL
capitolareatech NEW - $1.11 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 5070-01016 FISKE BROTHE 630-AA Lubriplate, 630-AA lith
capitolareatech NEW - $23.92 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 5075-90075 TAPE STARTUP SOFTWARE
capitolareatech NEW - $80.00 0 Dec/27/14 Jan/21/16
Description: APPLIED MATERIALS (AMAT) 5090-03474 CABLE, PLC ZL-4CBL4
capitolareatech NEW - $198.10 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 5201-01609 VACUUM SWITCH
capitolareatech NEW - $106.25 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 715-021469-001 PLATE DIVERTER FOR ISO CHAMBER
capitolareatech Refurbished - $325.00 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 715-801021-012 LAM UPPER GDP CHAMBER LINER
capitolareatech NEW - $150.00 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 716-011651-005 RING, EDGE, WAFER CLAMP, 5.00"
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 720-000934-002 720-000934-002 SCR, SET, CPT, KNRL, 6-
capitolareatech NEW - $25.00 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 734-010460-001 O-RING, VITON, SHAPED ROUND, 0.103/CS
capitolareatech NEW - $244.80 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 734-092038-003 O-Ring, 10-1/2ID*1/8CS CMRZ513 Outer
capitolareatech NEW - $1.70 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 754-007301-001 TENSION SPRING .25 0DX .56
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 756-007342-003 SCR SHLDR 0.1245X .188 SOC HD
capitolareatech NEW - $517.33 0 Dec/27/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 7791-01991 PROXIMITY SENSOR SET, IDE
capitolareatech NEW - $1,379.53 0 Dec/27/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 7791-01992 GEOPHONE BLOCK ASSY, VERT/HOR
capitolareatech NEW - $225.00 0 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 7791-02000 PLC D4-08B-1, BACKPLANE, 8 SLOTS
capitolareatech NEW - $90.00 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 7794-00113 OP-2CBL CABLE, RS232C, RJ12 6P6C, DB15_M,
capitolareatech NEW - $110.00 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 7794-00002 CABLE, PLC D4-DSCBL
capitolareatech NEW - $253.83 0 Dec/27/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 7794-00125 CABLE, ENCODER INTERFACE EXTENSION
capitolareatech NEW - $73.14 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 7794-00208 SENSOR HD PS-56
capitolareatech NEW - $1.00 0 Dec/27/14 Jun/11/15
Description: APPLIED MATERIALS (AMAT) 796-008354-007 SCREW, SOC HD, VENTED, 6-32 5/8 INCH
capitolareatech NEW - $8.00 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 0720-03614 AMPHENOL (AMP) 211770-2 CONNECTOR BULKHEAD
capitolareatech NEW - $106.25 0 Dec/27/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 670-091765-040 CIRCUIT BREAKER, 250 VAC, DC65V, 2210
capitolareatech NEW - $23.80 0 Dec/27/14 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 670-091765-050 CIRCUIT BREAKER ELL ARM 5A. 250 VAC,
capitolareatech NEW - $225.00 2 Dec/27/14 Nov/22/15
Description: APPLIED MATERIALS (AMAT) 670-099359-002 TELEMECANIQUE LC1F1154 COTACTOR; 4-POLE
athomemarket Used - $183.99 0 Dec/27/14 Jan/26/15
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket NEW - $199.99 0 Dec/27/14 Jan/26/15
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $2,364.99 0 Dec/27/14 Jan/26/15
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $428.99 0 Dec/27/14 Jan/26/15
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Scrap, for parts - $3,534.99 0 Dec/27/14 Jan/26/15
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
capitolareatech NEW - $8.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 3700-03076 GREENE TWEED M019000490SC513 0.193"(4.90mm)
capitolareatech NEW - $4.00 0 Dec/27/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 1010-01233 SPECIALTY OPTICAL SYSTEMS INC LSPD2W LAMP
capitolareatech NEW - $35.00 0 Dec/27/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01784 Humphrey H010E1 Solenoid Valve24V 3-WAY Dou
capitolareatech NEW - $18.90 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0190-70044 PREDYNE EH3112-MM SWITCH,24VDC,2WATT,100PS
capitolareatech Used - $350.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) MASS STORAGE MODULE MASS Storage Module 0190-75048 (
capitolareatech Used - $600.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1110-01006 Astech 3150086-003 Automatic Matching Netw
dr.dantom NEW - $380.00 0 Dec/28/14 Feb/12/15
Description: Applied Materials AMAT 0200-18074 SHEATH RPSC HDP-CVD
capitolareatech Used - $1,150.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 0190-02977 ETO ABX-X355 RF, ETO GEN EPS POWER SUPPLY I
capitolareatech NEW - $16.23 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 9150-02971 Cable Assembly GRND Focus Feedback PCB
capitolareatech NEW - $150.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9150-04023 CA F/O 3X7.F.TX/3X2D.RX.1
capitolareatech NEW - $100.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9150-04364 F/O,T1,3100,PX70B.B.TX/PX75C.B
capitolareatech NEW - $250.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9150-05438 CS PREA28 PXP500.HV/PXP24C.P4
capitolareatech NEW - $50.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-05130 CA PX20F. P1/E. BLADE
capitolareatech NEW - $180.88 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 734-092040-003 GREENE TWEED 9173-SC513 CHEMRAZ AS-568A
capitolareatech NEW - $1,006.35 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9240-00254 Retrofit Kit VME Upgrade
capitolareatech NEW - $1,017.68 0 Dec/28/14 Jan/27/15
Description: Applied Materials (AMAT) 9240-00325 Kit Cables Quad Rotate EXT
capitolareatech NEW - $9,000.00 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 9240-01257 KIT OPTION SDS ASH3/PH3 GAS
capitolareatech NEW - $907.05 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9240-02234 Retrofit SDS S CRYO EXH
capitolareatech NEW - $740.92 0 Dec/28/14 Jan/27/15
Description: Applied Materials (AMAT) 9240-03955 Kit, Retrofit Vacuum Pipe
capitolareatech NEW - $225.00 0 Dec/28/14 Jan/27/15
Description: Applied Materials (AMAT) 9240-04480 Grounding Bar Kit
capitolareatech NEW - $450.00 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 9240-04102 PX27A CRYO INTERFACE UNIT INSTALN
capitolareatech NEW - $250.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9240-05044 KIT VME CHASSIS FAN UPGRADE
capitolareatech NEW - $125.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9240-05384 TWIN FAN ASSEMBLY VME
capitolareatech NEW - $125.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9240-05598 SMC ISE40-01-62L Pressure Gauge Assembly, O
capitolareatech NEW - $1,500.00 0 Dec/28/14 Jan/27/15
Description: Applied Materials (AMAT) 9240-05846 Kit, EVR Extraction Rework
capitolareatech NEW - $2,500.00 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 9240-05923 ROBOT MOUNTING KIT
capitolareatech NEW - $250.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9240-06134 Ho Pfs Assembly Ox Plus Intel
capitolareatech NEW - $750.00 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 9240-06135 HD PFS ASSY, -QX PLUS
capitolareatech NEW - $5,000.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9240-06151 KIT, OPTION SDS ASH3/PH3, 4 TOR
capitolareatech NEW - $36.64 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 9500-90206 SDS SPARES (GASKETS)
capitolareatech NEW - $150.00 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 9150-04022 CA F/O 3X7.F.RX/3X2D.TX.1
capitolareatech NEW - $350.00 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 9150-04138 CA MOBILE PC UMBILICAL
capitolareatech NEW - $10.00 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 9150-04363 F/O,T1,3500,PX75C.A.TX/PX70B.A
capitolareatech NEW - $100.00 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 9150-04365 F/O,T1,3100,PX70B.B.RX/PX75C.B
capitolareatech NEW - $200.00 0 Dec/28/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 839-039317-003 ASSY, RTNR, SPR TL, 300MM, FLEX
capitolareatech NEW - $225.00 0 Dec/28/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 849-801425-393 AMAT KIT, O-RING, CLEAN CHEMRAZ, BAR,
capitolareatech NEW - $6.22 0 Dec/28/14 Jul/30/15
Description: APPLIED MATERIALS (AMAT) 853-006908-003 ASSY STRAP, BRAIDED GROUND, GROUND ST
capitolareatech NEW - $63.75 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 853-034471-002 ASSY CABLE ADAPTER STEPPER MOTOR
capitolareatech NEW - $132.00 0 Dec/28/14 Feb/25/16
Description: APPLIED MATERIALS (AMAT) 3400-01448 ADV MICROPO AMAT-0001-DTL ASSY HOSE DI WATE
capitolareatech NEW - $625.64 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-00763 PICK-UP LOOP ASSY, BUNCHER
capitolareatech NEW - $2,263.03 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-00729 clip actuator
capitolareatech NEW - $1,193.88 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9010-00897 ASSY FAST COOLED VAPRSR, QII
capitolareatech NEW - $500.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-01384 Pneumatic Panel, Gripper
capitolareatech NEW - $5,290.34 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-01157 EXTRACTION ROTATE/SLIDE MECH
capitolareatech NEW - $1,500.00 0 Dec/28/14 Nov/23/15
Description: Applied Materials (AMAT) 9010-01457 Extraction Rotate/Slide Mech
capitolareatech NEW - $125.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-01470 FESTO MFH-5-1/8-S GATE VALVE SOLENOID ASSY
capitolareatech NEW - $3,336.46 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-01460 LEYBOLD 800120V0002 ASSY, MAG TURBO Pump PU
capitolareatech NEW - $1,000.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-01517 FESTO CORP 1360026333-010 Lower Gate Soleno
capitolareatech NEW - $1,000.00 0 Dec/28/14 Feb/24/16
Description: Applied Materials (AMAT) 9010-01764 Motor Assembly, Wafer Arm
capitolareatech NEW - $2,500.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-01802 Load/Tilt Motor Assembly
capitolareatech NEW - $125.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-02069 BRUSH BLOCK FRAME ASSY
capitolareatech NEW - $1,000.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-02160 TILT CABLE CHAIN ASSEMBLY
capitolareatech NEW - $300.00 0 Dec/28/14 Feb/24/16
Description: Applied Materials (AMAT) 9010-02257 Boc-Edwards ACX75 Turbo Fan Assembly/Air Co
capitolareatech NEW - $6,500.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-02276 GAS MODULE WIRED HP-C02
capitolareatech NEW - $6,500.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-02455 GAS MOD'L, SA-HP GEF4
capitolareatech NEW - $6,500.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-02456 GAS MOD'L, SA-HP, SIF4
capitolareatech NEW - $25.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9010-02516 GRND Pin Assembly-TUNGSTEN 200 ESC
capitolareatech NEW - $6,500.00 2 Dec/28/14 May/27/15
Description: APPLIED MATERIALS (AMAT) 9090-00213 Boc Edwards STP-301/451 9090-00213..TURBO M
capitolareatech NEW - $2,821.41 0 Dec/28/14 Feb/24/16
Description: Applied Materials (AMAT) 9090-00442 Decel PSU Resistor Assembly
capitolareatech NEW - $750.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-00941 CONNECTION BOX ASSEMBLY
capitolareatech NEW - $223.13 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-00969 SW AMAT QUANTX MASTER SOFTWARE
capitolareatech NEW - $450.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-00988 9090-00988..BREAKER PANEL ASSEMBLY
capitolareatech NEW - $1,940.55 0 Dec/28/14 Mar/27/15
Description: APPLIED MATERIALS (AMAT) 9090-00969 QUANTUM X MASTER PC, INCLUDES SOFTWARE
capitolareatech NEW - $5,500.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-01095 FI CONTROL CHASSIS
capitolareatech NEW - $150.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-01119 N2 VAVLE ASSY
capitolareatech NEW - $30.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-01133 SLIP RING ASSY WAFER HEAD
capitolareatech Used - $125.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-01165 BATTERY B/UP ASSY STD
capitolareatech NEW - $1,500.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-01177 CHASSIS, B/L ACTIVE ION GAUGES
capitolareatech NEW - $2,000.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9090-01193 ASSY GAS PANEL CTRL (NO OVENS)
capitolareatech NEW - $4,000.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-01265 GLASSMAN SERIES ER DECEL PSU -6KV QUANTUM X
capitolareatech NEW - $230.74 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-01320 FFU CONTROLLER PX12A
capitolareatech NEW - $400.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9090-01335 FOCUS FEEDTHROUGH ASSY.
capitolareatech Refurbished - $2,000.00 0 Dec/28/14 Feb/27/15
Description: APPLIED MATERIALS (AMAT) 0200-40013 WEST COAST QUARTZ 91-01627A SILICON SLAB HD
capitolareatech NEW - $150.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9140-00253 LEMO ERA.3Y CFA 24C.J4/PCB HV.B
capitolareatech NEW - $137.61 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9140-00391 CABLE, W/SCAN RACK TO GRPR SOL
capitolareatech NEW - $90.27 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9140-00615 Loom Extraction 30KV
capitolareatech NEW - $175.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9140-00742 PX-SCNR38, TWIST ARM HARNESS
capitolareatech NEW - $24.77 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 9150-00094 CA Earth Strap 20A 130MM Long
capitolareatech NEW - $50.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-00139 Cable Assembly 3X12HA.P2/3X12H.P5
capitolareatech NEW - $90.51 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-00145 CA 3X2G.P5/3X7H #H5
capitolareatech NEW - $159.21 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-00420 CA G2 HV CONNECTION
capitolareatech NEW - $125.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-00421 CA G2 Resistor Cable
capitolareatech NEW - $20.00 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 9150-00545 C/A 3X11W.P1/3X11X.JI
capitolareatech NEW - $483.48 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-00606 CA #RF15M1 3X6B.P1/3X20B.S1
capitolareatech NEW - $29.22 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-00628 CA RF15M4 3X13C.P12/3X20A.TB
capitolareatech NEW - $37.05 0 Dec/28/14 May/27/15
Description: APPLIED MATERIALS (AMAT) 9150-00833 F/O,T1,3100mm,3X4A.A.TX/..
capitolareatech NEW - $159.50 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-00842 F/O,T1,3600mm,3X4A.F.RX/..
capitolareatech NEW - $544.85 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-01180 PATLITE LE-FBP CA ST4 5 Color (Red, Yellow,
capitolareatech NEW - $76.85 0 Dec/28/14 Feb/24/16
Description: Applied Materials (AMAT) 9150-01233 Cable Assembly, 3X4F .P4/3X7C
capitolareatech NEW - $185.92 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-01234 PRA45 3X4D.P6/3X7C
capitolareatech NEW - $150.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-01339 CA SCR TURBO X7-30E/J2
capitolareatech NEW - $93.19 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9150-02307 CFA,TOXIC GAS MODULE EDGE CONN
capitolareatech NEW - $18.99 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 9150-01796 FIL Protection, Source
capitolareatech NEW - $28.46 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-02969 CA HV Focus Feedback PCB
capitolareatech NEW - $16.26 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 9150-02970 CA SIGNAL FOCUS FEEDBACK PCB
capitolareatech NEW - $841.85 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-03316 C/A Remote PC Umbilical Cable
capitolareatech NEW - $65.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 9150-03925 FOCUS SUPPLY CABLE
capitolareatech NEW - $55.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 9150-03926 FOCUS FEEDBACK CABLE
capitolareatech NEW - $118.39 0 Dec/28/14 Dec/28/14
Description: APPLIED MATERIALS (AMAT) 0020-80587 LINER, END
capitolareatech NEW - $275.91 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10042 QUARTZ COVER,INNER,8",NOTCH,STEP3,ESC
capitolareatech NEW - $2,174.14 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10066 RING,CENT 125/115 1FL 42.5MM(125.5)SR,
capitolareatech NEW - $29.06 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10070 Plug, DC Quartz, POLY, 150MM
capitolareatech NEW - $245.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10087 RING, OUTER, 1.50",SSGD,R2 CHAMBER
capitolareatech NEW - $429.53 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10102 QUARTZ COVER, INNER, 6"STEP 3, ESC
capitolareatech NEW - $271.05 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10106 RING, OUTER, 1.75",SSGD, R2 CHAMBER*
capitolareatech Used - $50.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10111 PIN, WAFER LIFT, METAL HOOP, 200MM PLASM
capitolareatech NEW - $22.07 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0200-10112 T/C KEEPER, PLASMA CHUCK
capitolareatech NEW - $576.09 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10129 RING,ISOLATOR,200MM
capitolareatech NEW - $88.29 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10162 PIN, WAFER LIFT, CENTURA, DXZ
capitolareatech NEW - $827.72 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10187 RING, UNIV, 150/140MM, 57.5MM (151)SR,..
capitolareatech NEW - $347.28 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 0200-10192 SHIELD, DELTA NITRIDE, 200MM
capitolareatech NEW - $699.70 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 0200-10217 RING,UNIV,150/138MM,1JMF,(151) SR,BWCVD
capitolareatech NEW - $700.00 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 0200-10207 COVER, SXTL, 1500MM
capitolareatech NEW - $750.00 0 Dec/28/14 Feb/24/16
Description: Applied Materials (AMAT) 0200-10234 Ring, Clamp, CER 200/197MM, Notch, HEWEB
capitolareatech NEW - $14.58 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0200-10263 Washer, Insulating, Ceramic
capitolareatech NEW - $1,100.00 0 Dec/28/14 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0200-10267 COVER,QUARTZ,200MM FLAT,S-XTAL,HIGH PROF
capitolareatech NEW - $21.25 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0200-10359 BIAS Plug, SIC, Lowred Cathode
capitolareatech NEW - $597.80 0 Dec/28/14 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 0200-10292 COLLAR, OUTER, QUARTZ, 200MM ESC
capitolareatech NEW - $10.09 0 Dec/28/14 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0200-10372 PLUG,BIAS,EXT PED,GRAPHITE,LONG,ESC
springfieldsurplus2014 NEW - $18.60 0 Dec/28/14 Jan/27/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
athomemarket NEW - $99.99 0 Dec/28/14 Jan/27/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $101.99 0 Dec/28/14 Jan/27/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
capitolareatech NEW - $8.00 6 Sep/27/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 3700-04330 Copper Gasket DN40CF EDWARDS
capitolareatech NEW - $46.58 3 Sep/27/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 3700-01807 DUPONT AS568A-226 4079 O-Ring ID 1.987 CSD
capitolareatech NEW - $1.00 1 Sep/27/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 3690-90032 SCREEW CSX HD MS ZP M3-8 MMLG
capitolareatech NEW - $9.00 1 Sep/27/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 3300-02268 Swagelok SS-4-VCR-2-2 FTG TBG EL 90DEG 1/8M
capitolareatech NEW - $2.88 10 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 3060-01103 BRG BALL .625 ID 1.375 OD .343 THK SST
capitolareatech NEW - $103.74 2 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 1140-01011 Computer Products PM501 POWER SUPPLY, DC
capitolareatech NEW - $25.59 1 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0630-90188 CAPACITOR, FIXED, 40V, 33000 MF
capitolareatech NEW - $48.45 1 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0200-35296-003 PLUG INNER GAS FEED DPS A3
capitolareatech NEW - $31.19 12 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0100-00021 Board, Sensor, Optp
capitolareatech NEW - $21.68 1 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0050-75204 ASSY, LINE
capitolareatech NEW - $102.06 15 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0020-35245 Diffuser, XFER Chamber
capitolareatech NEW - $125.00 1 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0020-30482 COUPLING,FLEX,8",OXIDE
capitolareatech NEW - $45.00 10 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0020-23352 Disk, Hub, Heater
capitolareatech NEW - $20.00 1 Sep/26/14 Dec/25/14
Description: APPLIED MATERIALS (AMAT) 0020-03656 Vespel Screw, Pack of 6
dr.fantom Used - $250.00 2 Nov/02/14 Dec/25/14
Description: Applied Materials AMAT 0100-09286 REV 001 Seriplex 2 Slot Backplane
dr.fantom NEW - $190.00 1 Sep/29/14 Dec/23/14
Description: Applied Materials AMAT 0150-76174 Harness Cable Assy
dr.fantom Used - $850.00 2 Nov/12/14 Dec/23/14
Description: Applied Materials AMAT 0010-02604 TROTTLE VALVE ASSEMBLY ULTIMA HDP-CVD
imca00 NEW - $550.00 0 Dec/29/14 May/28/15
Description: Applied Materials AMAT Preclean Chamber 8" Shield 0020-22852 NEW IN BOX
imca00 NEW - $7,450.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 Used - $5,499.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $99.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $249.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $249.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 Used - $549.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Chamber Interconnect PCB 0100-20313
imca00 Used - $549.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Digital I/O Board PCB 0100-76124
imca00 Used - $1,449.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT 8 Slot Storage Elevator 0010-76001
imca00 NEW - $1,749.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $949.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Endura ZX Wafer Lift 0010-76136
imca00 NEW - $349.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 Used - $549.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Stepper Controller Board PCB 0100-20173
imca00 Used - $649.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
imca00 Used - $449.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT PVD Chamber DC Source Cable 0150-76288
imca00 NEW - $449.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $749.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 Used - $1,249.00 0 Dec/29/14 Jan/05/15
Description: Applied Materials AMAT Advanced Energy AC Input Module 0190-13068 ? See Photos
testeqe Used - $999.99 0 Dec/29/14 Dec/10/15
Description: Applied Materials AMAT PN: 0270-90168 Cassette-Blade Alignment Jig 200mm 8" xR80
athomemarket Used - $519.99 0 Dec/29/14 Jan/28/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $519.99 0 Dec/29/14 Jan/28/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $2,546.99 0 Dec/30/14 Jan/29/15
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket NEW - $417.99 0 Dec/30/14 Jan/29/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $2,274.99 0 Dec/30/14 Jan/29/15
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $2,728.99 0 Dec/30/14 Jan/29/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
visionsemi NEW - $395.00 1 Dec/30/14 Jun/09/15
Description: APPLIED MATERIALS AMAT 0090-09145 ASSY SUSCEPTOR TC OVERTEMP INTERLOCK
athomemarket Used - $415.99 1 Dec/30/14 Jan/29/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $1,214.99 0 Dec/31/14 Jan/30/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,131.99 0 Dec/31/14 Jan/30/15
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $468.99 0 Dec/31/14 Jan/30/15
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Scrap, for parts - $113.99 0 Dec/31/14 Jan/30/15
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,219.99 0 Dec/31/14 Jan/30/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $140.99 0 Dec/31/14 Jan/30/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket Used - $3,333.99 0 Jan/01/15 Jan/31/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $94.99 0 Jan/01/15 Jan/31/15
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
yayais2012 NEW - $75.00 0 Jan/01/15 Jan/31/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
trees_for_a_better_tomorrow NEW - $100.00 0 Jan/02/15 Jan/09/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow NEW - $95.00 0 Jan/02/15 Jan/09/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow Used - $95.00 0 Jan/02/15 Jan/09/15
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $55.00 0 Jan/01/15 Jan/08/15
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
trees_for_a_better_tomorrow NEW - $595.00 0 Jan/03/15 Jan/10/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
athomemarket Refurbished - $117.99 0 Jan/03/15 Feb/02/15
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $217.99 0 Jan/03/15 Feb/02/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
onlinesmt Refurbished - $425.00 0 Jan/03/15 Feb/02/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
onlinesmt Refurbished - $1,099.00 0 Jan/03/15 Feb/02/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD
onlinesmt Refurbished - $199.00 0 Jan/03/15 Feb/02/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
onlinesmt Refurbished - $999.00 0 Jan/03/15 Feb/02/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD, 8" BESC, TAPERED (ELECTRA IMP)
athomemarket Refurbished - $199.99 0 Jan/04/15 Feb/03/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket NEW - $268.99 0 Jan/04/15 Feb/03/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $219.99 0 Jan/04/15 Feb/03/15
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $199.99 0 Jan/04/15 Feb/03/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
supertechshop Used - $49.95 0 Jan/04/15 Feb/03/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
pete-sigep Used - $375.00 0 Jan/04/15 Feb/03/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
tommy_chou Used - $1,999.99 0 Jan/05/15 Mar/12/17
Description: Applied Materials AMAT 0190-35651 SERIPLEX CONTROL BUS SPX MUXADIO110
imca00 NEW - $749.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 Used - $1,249.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Advanced Energy AC Input Module 0190-13068 ? See Photos
imca00 Used - $549.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Stepper Controller Board PCB 0100-20173
imca00 Used - $449.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT PVD Chamber DC Source Cable 0150-76288
imca00 NEW - $249.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 Used - $549.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Digital I/O Board PCB 0100-76124
imca00 Used - $1,449.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT 8 Slot Storage Elevator 0010-76001
imca00 NEW - $1,749.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $349.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 Used - $949.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Endura ZX Wafer Lift 0010-76136
imca00 Used - $649.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
imca00 NEW - $449.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 Used - $549.00 1 Jan/05/15 Jan/05/15
Description: Applied Materials AMAT Chamber Interconnect PCB 0100-20313
chriswebber16 NEW - $399.99 0 Jan/05/15 Feb/04/15
Description: Applied Materials AMAT 0190-10004 BLF Bellow - SEALED!!
imca00 NEW - $7,450.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 Used - $5,499.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $249.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $99.00 0 Jan/05/15 Jan/12/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
ptb-sales Used - $3,000.00 0 Jan/05/15 Jan/15/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Jan/05/15 Jan/15/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Jan/05/15 Jan/15/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $750.00 0 Jan/05/15 Jan/15/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
athomemarket Refurbished - $211.99 0 Jan/05/15 Feb/04/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
capitolareatech Used - $350.00 0 Jan/05/15 Jun/11/15
Description: Applied Materials (AMAT) 0100-00003 VME Stepper Controller PCB Card
gigabitpartsolutions Used - $1,200.00 0 Jan/06/15 Jul/06/15
Description: eMAX RF Match AS IS 0010-39207 Applied Materials (AMAT)
capitolareatech Used - $375.00 0 Jan/06/15 Jun/11/15
Description: Applied Materials (AMAT) 0100-11002 Digital I/O Card
megawavz Used - $295.00 0 Jan/06/15 Feb/05/15
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
athomemarket NEW - $223.99 0 Jan/06/15 Feb/05/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Refurbished - $122.99 0 Jan/06/15 Feb/05/15
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $153.99 0 Jan/06/15 Feb/05/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $252.99 0 Jan/06/15 Feb/05/15
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $53.99 0 Jan/06/15 Feb/05/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Used - $153.99 0 Jan/06/15 Feb/05/15
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
esolutions1 NEW - $599.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials AMAT Flex, Hose, 3400-01113
esolutions1 NEW - $99.00 0 Jan/06/15 Feb/03/15
Description: APPLIED MATERIALS AMAT 0242-31877 MOUNTING BRACKET- MID MF-CH A/ FI LEFT,300
esolutions1 NEW - $499.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials AMAT 0242-40778 KIT RETROFIT FINAL LINES W/ FRC CH C SECT
esolutions1 NEW - $99.00 0 Jan/06/15 Feb/03/15
Description: APPLIED MATERIALS AMAT 0242-34634 KIT, MOUNTING BRACKET GAS LINE TO FI LEFT,300
esolutions1 Refurbished - $75.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials AMAT 0190-24803 SMC 11GPM RAD 300 Flow Switch PF2W511-N10-2
esolutions1 Used - $199.00 0 Jan/06/15 Feb/03/15
Description: Verity Laser Optical Module APD220 Applied Materials AMAT 0190-10026 FREE SHIP
esolutions1 NEW - $299.00 0 Jan/06/15 Feb/03/15
Description: Applied Materials AMAT 0050-40653 BY-PASS ROUGHING LINE NEW
athomemarket NEW - $130.99 0 Jan/07/15 Feb/06/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $514.99 0 Jan/07/15 Jan/14/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
onlinesmt Refurbished - $749.00 0 Jan/07/15 Feb/06/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Refurbished - $199.00 0 Jan/07/15 Feb/06/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
dr.fantom Refurbished - $80.00 0 Jan/08/15 Feb/12/15
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
dr.fantom Refurbished - $80.00 0 Jan/08/15 Feb/12/15
Description: Applied Materials AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
athomemarket Refurbished - $2,525.99 0 Jan/08/15 Feb/07/15
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $3,999.99 0 Jan/08/15 Feb/07/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $403.99 0 Jan/08/15 Feb/07/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,298.99 0 Jan/08/15 Feb/07/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
orcom2011 Used - $800.00 1 Jan/08/15 Jan/08/15
Description: APPLIED MATERIALS (AMAT) 0010-09174 BWCVD 200 THROTLL
athomemarket Used - $4,815.99 0 Jan/09/15 Feb/08/15
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $81.99 0 Jan/09/15 Feb/08/15
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $53.99 0 Jan/09/15 Feb/08/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Refurbished - $99.99 0 Jan/09/15 Feb/08/15
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
ecomicron NEW - $1,400.00 1 Dec/04/14 Jan/09/15
Description: 0100-09285, Applied Materials, AMAT, PCB, CENTURA APC I/O DISTRIBUTION BOARD
ainevjb NEW - $2,500.00 1 Jan/08/15 Jan/08/15
Description: Applied Materials AMAT Blade 6" 0010-76004, P-5000, Centura
yayais2012 NEW - $15.00 0 Jan/10/15 Feb/09/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket Used - $254.99 0 Jan/10/15 Feb/09/15
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
athomemarket Used - $4,443.99 0 Jan/10/15 Feb/09/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $97.99 0 Jan/10/15 Feb/09/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $132.99 0 Jan/10/15 Feb/09/15
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $199.99 0 Jan/10/15 Feb/09/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
bigbore66 Used - $6,850.00 0 Jan/11/15 Feb/10/15
Description: Applied Materials AMAT Endura Magnet 0010-20224 (used)
bigbore66 Refurbished - $9,500.00 0 Jan/11/15 Feb/10/15
Description: Applied Materials AMAT Endura Magnet 0010-20224
dr.fantom NEW - $499.99 0 Jan/12/15 Feb/12/15
Description: Applied Materials AMAT 0190-35388 P3 8" 200mm Emissometer High Emissivity Wafer
dr.fantom NEW - $499.99 0 Jan/12/15 Feb/12/15
Description: Applied Materials AMAT 0190-04665 001 8" 200mm Radiance Emissometer Wafer
bruce135 Used - $399.99 0 Jan/12/15 Feb/11/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
imca00 NEW - $7,450.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 Used - $5,499.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $99.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $249.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $249.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 Used - $1,449.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT 8 Slot Storage Elevator 0010-76001
imca00 Used - $549.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Digital I/O Board PCB 0100-76124
imca00 NEW - $449.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $349.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 NEW - $749.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 Used - $949.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Endura ZX Wafer Lift 0010-76136
imca00 NEW - $1,749.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $649.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
imca00 Used - $449.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT PVD Chamber DC Source Cable 0150-76288
imca00 Used - $549.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Stepper Controller Board PCB 0100-20173
imca00 Used - $1,249.00 0 Jan/12/15 Jan/19/15
Description: Applied Materials AMAT Advanced Energy AC Input Module 0190-13068 ? See Photos
athomemarket NEW - $98.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $79.99 0 Jan/12/15 Feb/11/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $321.99 0 Jan/12/15 Feb/11/15
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
mpd6996 Used - $100.00 0 Jan/13/15 Jan/26/15
Description: APPLIED MATERIALS (AMAT) 3400-01113 HOSE 20' F/F CRYO HE FLEX LINE 3/4" DIA
mpd6996 Used - $100.00 1 Jan/13/15 Jan/22/15
Description: APPLIED MATERIALS (AMAT) 3400-01109 Cryo HOSE FLEX 20' LINE HELIUM SST 3/4"DI
onelove10*10*08 NEW - $25.00 0 Jan/13/15 Jan/20/15
Description: Applied Materials (AMAT) 3400-01291 Swagelok SS-8BHT-24 SS Braided Hose Assembl
dr.fantom Used - $199.00 0 Jan/14/15 Feb/12/15
Description: Applied Materials AMAT 0100-76124 Digital I/O Board
dr.fantom NEW - $420.00 0 Jan/15/15 Feb/12/15
Description: Applied Materials AMAT 0225-30760 Manifold Vac LLC W/VCR Port
dr.fantom NEW - $120.00 1 Jan/15/15 Feb/11/15
Description: Applied Materials AMAT 0150-09807 Cable Assy Modified Wafer Position Sens
ptb-sales Used - $2,500.00 0 Jan/15/15 Jan/25/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
mpd6996 NEW - $50.00 0 Jan/15/15 Jan/26/15
Description: APPLIED MATERIALS AMAT 0020-01058 6" LOWER OVERLAY - NEW
ptb-sales Used - $750.00 0 Jan/15/15 Jan/25/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Jan/15/15 Jan/25/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $3,000.00 0 Jan/15/15 Jan/25/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $496.99 0 Jan/15/15 Feb/14/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $491.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $78.99 0 Jan/15/15 Feb/14/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket Used - $1,213.99 0 Jan/15/15 Feb/14/15
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $1,799.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $902.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $553.99 0 Jan/15/15 Feb/14/15
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $1,698.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $289.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $185.99 0 Jan/15/15 Feb/14/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
bruce135 Used - $134.10 0 Jan/16/15 Feb/15/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
athomemarket NEW - $1,073.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,073.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $65.99 0 Jan/16/15 Feb/15/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket Used - $3,999.99 0 Jan/16/15 Feb/15/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $303.99 0 Jan/16/15 Feb/15/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $102.99 0 Jan/16/15 Feb/15/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $503.99 0 Jan/16/15 Feb/15/15
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket Used - $6,854.99 0 Jan/18/15 Feb/17/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
benta09 NEW - $39.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0050-39339 //UPPER EXHAUST WXP
benta09 NEW - $450.00 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 4000-01006 //CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SN
benta09 NEW - $115.00 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0200-20164 //INSULATORS, LAMP COVER, RIGHT
benta09 NEW - $999.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0022-77519 //FIXTURE, PAD CENTERING
benta09 NEW - $59.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0021-77484 //MOTOR MOUNT
benta09 NEW - $99.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
benta09 NEW - $59.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
benta09 NEW - $29.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0040-09233 //BRACKET MOTOR LOAD ASSY
benta09 NEW - $34.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0040-00248 //Port Screen
benta09 NEW - $149.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0021-04317 //FLAP BOTTOM MAIN THROTTLE VALVE
benta09 NEW - $999.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 3870-03490 //VALVE BLOCK DIAPH 3WAY 1/4VCR-558824
benta09 NEW - $249.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
benta09 NEW - $84.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0020-78238 //RETAINER
onlinesmt Refurbished - $45.00 0 Jan/17/15 Feb/16/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $45.00 0 Jan/17/15 Feb/16/15
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT)
onlinesmt Refurbished - $45.00 0 Jan/17/15 Feb/16/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
onlinesmt Refurbished - $35.00 0 Jan/17/15 Feb/16/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
onlinesmt Refurbished - $79.00 0 Jan/17/15 Feb/16/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
athomemarket NEW - $170.99 0 Jan/17/15 Feb/16/15
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
athomemarket Used - $4,712.99 0 Jan/17/15 Feb/16/15
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
pete-sigep Used - $475.00 0 Jan/16/15 Feb/15/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
athomemarket Used - $6,549.99 0 Jan/19/15 Feb/18/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $102.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $197.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $197.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $62.99 0 Jan/19/15 Feb/18/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
gti-semi NEW - $690.00 1 Oct/08/14 Jan/19/15
Description: Applied Materials AMAT, PCB - I/O BOARD, p/n 0100-76124 Rev B, New
dr.fantom Used - $250.00 1 Nov/02/14 Jan/17/15
Description: Applied Materials AMAT 0100-13024 Interface Processor Distribution PCB
mayraytan NEW - $1,025.00 1 Jul/04/12 Jan/17/15
Description: Applied Materials AMAT Setra VCR Pressure XDCR, 2231100PABW2CD1M, 1350-00049
yayais2012 NEW - $170.00 0 Jan/20/15 Feb/19/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket NEW - $462.99 0 Jan/20/15 Feb/19/15
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
gti-semi NEW - $690.00 0 Jan/21/15 Nov/17/15
Description: Applied Materials AMAT, PCB - I/O BOARD, p/n 0100-76124 Rev B, New
mpd6996 Used - $1,250.00 1 Jan/21/15 Jan/22/15
Description: APPLIED MATERIALS AMAT 0010-36740 HEATER ASSY, HA-12 TIGHT RF MESH WITH CLAMP T
onelove10*10*08 NEW - $26.01 1 Jan/21/15 Jan/28/15
Description: Applied Materials (AMAT) 3400-01291 Swagelok SS-8BHT-24 SS Braided Hose Assembl
athomemarket NEW - $1,194.99 0 Jan/22/15 Feb/21/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,598.99 0 Jan/22/15 Feb/21/15
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket Used - $5,498.99 0 Jan/23/15 Feb/22/15
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
athomemarket Scrap, for parts - $3,788.99 0 Jan/24/15 Feb/23/15
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 Jan/24/15 Feb/23/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,899.99 0 Jan/24/15 Feb/23/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
trees_for_a_better_tomorrow NEW - $595.00 0 Jan/25/15 Feb/04/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
athomemarket NEW - $4,163.99 0 Jan/25/15 Feb/24/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,806.99 0 Jan/25/15 Feb/24/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $5,001.99 0 Jan/25/15 Feb/24/15
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
dr.fantom Used - $320.00 0 Jan/26/15 Feb/12/15
Description: Applied Materials AMAT 0100-35059 0110-35059 Remotes Distribution Board
dr.fantom Used - $600.00 1 Jan/26/15 Jan/26/15
Description: Applied Materials AMAT Robot Blade 0020-21105 0020-18327 0020-18328
ptb-sales Used - $780.00 0 Jan/26/15 Feb/05/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Jan/26/15 Feb/05/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Jan/26/15 Feb/05/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Jan/26/15 Feb/05/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
athomemarket Used - $2,337.99 0 Jan/26/15 Feb/25/15
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $399.99 0 Jan/26/15 Feb/25/15
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Used - $170.99 0 Jan/26/15 Feb/25/15
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $3,464.99 0 Jan/26/15 Feb/25/15
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket NEW - $185.99 0 Jan/26/15 Feb/25/15
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
gti-semi NEW - $115.00 1 Jan/26/15 Aug/22/18
Description: Applied Materials (AMAT), Window Viewport, p/n 0200-35224
imca00 NEW - $749.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 Used - $449.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT PVD Chamber DC Source Cable 0150-76288
imca00 Used - $549.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Stepper Controller Board PCB 0100-20173
imca00 NEW - $1,749.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $649.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
imca00 Used - $949.00 1 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Endura ZX Wafer Lift 0010-76136
imca00 NEW - $349.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 Used - $549.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Digital I/O Board PCB 0100-76124
imca00 Used - $1,249.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Advanced Energy AC Input Module 0190-13068 ? See Photos
imca00 NEW - $249.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $249.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 Used - $1,449.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT 8 Slot Storage Elevator 0010-76001
imca00 NEW - $449.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 NEW - $99.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 Used - $5,499.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $7,450.00 0 Jan/27/15 Feb/03/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket NEW - $99.99 0 Jan/27/15 Feb/26/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $89.99 0 Jan/27/15 Feb/26/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
springfieldsurplus2014 NEW - $12.50 0 Jan/27/15 Feb/26/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
dr.fantom Used - $250.00 0 Jan/28/15 Feb/12/15
Description: Applied Materials AMAT 0100-09286 REV 001 Seriplex 2 Slot Backplane
athomemarket Used - $397.99 0 Jan/28/15 Feb/27/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Used - $397.99 0 Jan/28/15 Feb/27/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $2,249.99 0 Jan/29/15 Feb/28/15
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Used - $2,524.99 0 Jan/29/15 Feb/28/15
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,677.99 0 Jan/29/15 Feb/28/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket NEW - $404.99 0 Jan/29/15 Feb/28/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $401.99 0 Jan/29/15 Feb/28/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
visionsemi Used - $1,300.00 1 Jan/29/15 Jan/29/15
Description: APPLIED MATERIALS AMAT VGA VIDEO PCB 0190-76050
visionsemi Used - $1,200.00 1 Jan/29/15 Jan/29/15
Description: APPLIED MATERIALS AMAT 0190-35652 SERIPLEX SPX MUXADIO PCB
athomemarket Used - $1,190.99 0 Jan/30/15 Mar/01/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,113.99 0 Jan/30/15 Mar/01/15
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $452.99 0 Jan/30/15 Mar/01/15
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,196.99 0 Jan/30/15 Mar/01/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Scrap, for parts - $99.99 0 Jan/30/15 Mar/01/15
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $126.99 0 Jan/30/15 Mar/01/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket Used - $3,276.99 0 Jan/31/15 Mar/02/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $79.99 0 Jan/31/15 Mar/02/15
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
yayais2012 NEW - $75.00 0 Feb/01/15 Mar/03/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
hunted_one NEW - $225.00 0 Feb/02/15 May/04/15
Description: APPLIED MATERIALS (AMAT) 3870-01307 VERIFLO 944MSFSFF VERIFLO 182819 Manual Dia
athomemarket Refurbished - $102.99 0 Feb/02/15 Mar/04/15
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $199.99 1 Feb/02/15 Mar/04/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
onlinesmt Refurbished - $1,099.00 0 Feb/02/15 Mar/04/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD
onlinesmt Refurbished - $199.00 0 Feb/02/15 Mar/04/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
onlinesmt Refurbished - $425.00 0 Feb/02/15 Mar/04/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
onlinesmt Refurbished - $999.00 0 Feb/02/15 Mar/04/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD, 8" BESC, TAPERED (ELECTRA IMP)
imca00 NEW - $1,749.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 NEW - $749.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 Used - $449.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT PVD Chamber DC Source Cable 0150-76288
imca00 Used - $649.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
imca00 Used - $549.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Stepper Controller Board PCB 0100-20173
imca00 Used - $549.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Digital I/O Board PCB 0100-76124
imca00 NEW - $349.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 Used - $1,249.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Advanced Energy AC Input Module 0190-13068 ? See Photos
imca00 NEW - $99.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 Used - $1,449.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT 8 Slot Storage Elevator 0010-76001
imca00 NEW - $449.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 Used - $5,499.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $249.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $249.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $7,450.00 0 Feb/03/15 Feb/10/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
visionsemi NEW - $325.00 1 Feb/03/15 Feb/04/15
Description: APPLIED MATERIALS AMAT MFC MASS FLOW CONTROLLER STEC-4400M 3030-01514 N2 20SCCM
athomemarket NEW - $253.99 0 Feb/03/15 Mar/05/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $184.99 0 Feb/03/15 Mar/05/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $185.99 0 Feb/03/15 Mar/05/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $199.99 0 Feb/03/15 Mar/05/15
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
pete-sigep Used - $375.00 0 Feb/03/15 Mar/05/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13895 MFC INTELLIFLOW II 300 SCCM ARG 1/4” VCR N/C
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13939 DSPAE100 MFC INTELLIFLOW II 50SCCM O2 1/4 VCR
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13898 DSPAE100 MFC INTELLIFLOW II 50SCCM AR$ 1/4 VCR
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13376 DSPAE100 MFC INTELLIFLOW II 2SLM AR 1/4 VCR NC
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13935 DSPAE100 MFC INTELLIFLOW 2 20SCCM SIH4 1/4 VCR
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13835 Mykrolis DSPAE100 MFC INTELLIFLOW 2 300SCCM AR
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13916 MFC INTELLIFLOW II 400SCCM O2 1/4 VCR NC
dr.fantom NEW - $300.00 0 Feb/04/15 Feb/12/15
Description: Applied Materials AMAT 3030-13909 MFC INTELLIFLOW II 200SCCM N2 1/4 VCR NC
athomemarket Refurbished - $198.99 0 Feb/04/15 Mar/06/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
capitolareatech NEW - $225.00 0 Feb/04/15 Feb/04/15
Description: APPLIED MATERIALS (AMAT) 0270-09299 TOOL,ASSY ALIGNMENT,SIMPLE CATHODE
visionsemi NEW - $4,250.00 0 Feb/04/15 Feb/07/15
Description: 0242-70220 APPLIED MATERIALS AMAT P 5000 ROBOT 0010-13321
athomemarket Refurbished - $122.99 0 Feb/05/15 Mar/07/15
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $53.99 0 Feb/05/15 Mar/07/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $223.99 0 Feb/05/15 Mar/07/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Used - $252.99 0 Feb/05/15 Mar/07/15
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $153.99 0 Feb/05/15 Mar/07/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $153.99 0 Feb/05/15 Mar/07/15
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
visionsemi Used - $2,900.00 1 Feb/05/15 Feb/16/15
Description: APPLIED MATERIALS AMAT RF GENERATOR COMDEL CPS-1001S 0190-70099 FP0213RK
jlx580 NEW - $350.00 0 Feb/05/15 Dec/02/15
Description: APPLIED MATERIALS (AMAT) 0200-00058 GAS RING
supertechshop Used - $49.95 0 Feb/05/15 Mar/07/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket NEW - $130.99 0 Feb/06/15 Mar/08/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
ptb-sales Used - $780.00 0 Feb/06/15 Feb/16/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Feb/06/15 Feb/16/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Feb/06/15 Feb/16/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Feb/06/15 Feb/16/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
wowsurplus NEW - $99.99 4 Feb/07/15 Feb/07/15
Description: Applied Materials AMAT 0021-34033 MEMBRANE, 300MM TITAN PROFILER 5-ZONE New
athomemarket Refurbished - $2,525.99 0 Feb/07/15 Mar/09/15
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $403.99 0 Feb/07/15 Mar/09/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,999.99 0 Feb/07/15 Mar/09/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Feb/07/15 Mar/09/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
trees_for_a_better_tomorrow Used - $500.00 0 Feb/08/15 Feb/15/15
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $75.00 0 Feb/08/15 Feb/15/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
trees_for_a_better_tomorrow Used - $75.00 0 Feb/08/15 Feb/15/15
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
athomemarket Refurbished - $99.99 0 Feb/08/15 Mar/10/15
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $4,815.99 0 Feb/08/15 Mar/10/15
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $53.99 0 Feb/08/15 Mar/10/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $81.99 0 Feb/08/15 Mar/10/15
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
trees_for_a_better_tomorrow NEW - $595.00 0 Feb/09/15 Feb/16/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
onlinesmt Refurbished - $749.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Refurbished - $199.00 0 Feb/09/15 Mar/11/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
yayais2012 NEW - $15.00 0 Feb/09/15 Mar/11/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $97.99 0 Feb/09/15 Mar/11/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 Feb/09/15 Mar/11/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,443.99 0 Feb/09/15 Mar/11/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Refurbished - $132.99 0 Feb/09/15 Mar/11/15
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $199.99 0 Feb/09/15 Feb/12/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm
athomemarket Used - $254.99 0 Feb/09/15 Mar/11/15
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
imca00 Used - $449.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT PVD Chamber DC Source Cable 0150-76288
imca00 Used - $649.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Endura Mainframe RF Power Cable 0150-01409 QTY. 2
imca00 NEW - $749.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 NEW - $1,749.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $549.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Stepper Controller Board PCB 0100-20173
imca00 Used - $1,449.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT 8 Slot Storage Elevator 0010-76001
imca00 NEW - $349.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 Used - $549.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Digital I/O Board PCB 0100-76124
imca00 NEW - $449.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 Used - $1,249.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Advanced Energy AC Input Module 0190-13068 ? See Photos
imca00 Used - $5,499.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $99.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $249.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $249.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $7,450.00 0 Feb/10/15 Feb/17/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
capitolareatech Used - $340.00 0 Feb/11/15 Feb/11/15
Description: APPLIED MATERIALS (AMAT) 0100-20100 PCB ASSY, ANALOG INPUT/OUTPUT
megawavz Used - $1,295.00 0 Feb/11/15 Mar/13/15
Description: Applied Materials AMAT 0090-90206 Data Aquisition Controller
capitolareatech NEW - $7.50 0 Feb/11/15 Feb/11/15
Description: APPLIED MATERIALS (AMAT) 0020-09229 SUPPORT MANIFOLD TUBE
athomemarket NEW - $98.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $79.99 0 Feb/11/15 Mar/13/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $321.99 0 Feb/11/15 Mar/13/15
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
bruce135 Used - $399.99 0 Feb/11/15 Mar/13/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
dr.fantom NEW - $650.00 1 Feb/12/15 Sep/15/17
Description: Applied Materials AMAT 0020-26312 Shield upper 8" Durasource
dr.fantom NEW - $285.00 0 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 3030-13939 DSPAE100 MFC INTELLIFLOW II 50SCCM O2 1/4 VCR
dr.fantom NEW - $285.00 0 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 3030-13935 DSPAE100 MFC INTELLIFLOW 2 20SCCM SIH4 1/4 VCR
dr.fantom NEW - $290.00 2 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 3030-13376 DSPAE100 MFC INTELLIFLOW II 2SLM AR 1/4 VCR NC
dr.fantom NEW - $285.00 0 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 3030-13895 MFC INTELLIFLOW II 300 SCCM ARG 1/4” VCR N/C
dr.fantom NEW - $300.00 3 Feb/12/15 Apr/05/18
Description: Applied Materials AMAT 3030-13916 MFC INTELLIFLOW II 400SCCM O2 1/4 VCR NC
dr.fantom NEW - $300.00 0 Feb/12/15 Apr/07/16
Description: Applied Materials AMAT 3030-13898 DSPAE100 MFC INTELLIFLOW II 50SCCM AR$ 1/4 VCR
dr.fantom NEW - $285.00 2 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 3030-13909 MFC INTELLIFLOW II 200SCCM N2 1/4 VCR NC
dr.fantom NEW - $285.00 0 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 3030-13835 Mykrolis DSPAE100 MFC INTELLIFLOW 2 300SCCM AR
dr.fantom Used - $320.00 0 Feb/12/15 Nov/07/17
Description: Applied Materials AMAT 0100-35059 0110-35059 Remotes Distribution Board
dr.fantom Used - $250.00 6 Feb/12/15 Oct/23/15
Description: Applied Materials AMAT 0100-09286 REV 001 Seriplex 2 Slot Backplane
dr.fantom NEW - $420.00 0 Feb/12/15 Feb/12/20
Description: Applied Materials AMAT 0225-30760 Manifold Vac LLC W/VCR Port
dr.fantom NEW - $499.99 1 Feb/12/15 May/04/15
Description: Applied Materials AMAT 0190-35388 P3 8" 200mm Emissometer High Emissivity Wafer
dr.fantom NEW - $499.99 2 Feb/12/15 Dec/05/17
Description: Applied Materials AMAT 0190-04665 001 8" 200mm Radiance Emissometer Wafer
dr.fantom Refurbished - $69.99 0 Feb/12/15 Feb/10/20
Description: Applied Materials AMAT 0040-37133 BELLOWS ASSY 200MM WAFER MOD 1 WITH PURG
dr.fantom Used - $1,600.00 1 Feb/12/15 Feb/18/16
Description: Applied Materials AMAT 0090-00193 0100-00313 0100-00373 OCLP Main Control Board
dr.fantom Refurbished - $69.99 0 Feb/12/15 Feb/10/20
Description: Applied Materials AMAT 0040-37134 200mm Bellows Assembly Wafer Mod
dr.fantom Used - $199.00 0 Feb/12/15 Dec/09/15
Description: Applied Materials AMAT 0100-76124 Digital I/O Board
dr.fantom Used - $1,600.00 0 Feb/12/15 Apr/23/15
Description: Applied Materials AMAT 0242-10487 0040-02055 KIT Tempmatch BBR2 RADIANCE RTP
dr.fantom NEW - $380.00 1 Feb/12/15 Mar/12/15
Description: Applied Materials AMAT 0200-18074 SHEATH RPSC HDP-CVD
dr.fantom Used - $150.00 0 Feb/12/15 Feb/09/20
Description: Applied Materials AMAT 0190-01954 ETO ABX-X299 12V Power Supply Board
dr.fantom Used - $199.00 0 Feb/12/15 Jul/25/17
Description: Applied Materials AMAT 0010-76097 Endura Monitor Base Assy
dr.fantom Used - $120.00 1 Feb/12/15 Jun/20/15
Description: Applied Materials AMAT 0100-09009 Rev H Buffer I/O Board
dr.fantom Used - $480.00 1 Feb/12/15 Jun/19/15
Description: Applied Materials AMAT Analog Input Board ASSY 0100-09054 REV H
dr.fantom Used - $750.00 0 Feb/12/15 Feb/09/20
Description: Applied Materials AMAT 0100-09071 SBC I/O BreakOut Board
dr.fantom Used - $550.00 1 Feb/12/15 Jan/19/16
Description: Applied Materials AMAT 0100-11001 0130-11001 Analog Output Board
dr.fantom Used - $280.00 1 Feb/12/15 Jan/25/16
Description: Applied Materials AMAT 0130-35059 SCHEM PCB REMOTES DISTRIBUTION
dr.fantom NEW - $80.00 0 Feb/12/15 Feb/09/20
Description: Applied Materials AMAT 0190-35961 Assy Optical Sensor/Cable
dr.fantom Used - $280.00 0 Feb/12/15 Dec/09/15
Description: Applied Materials AMAT 0100-09022 Mini AI/AO Board
dr.fantom Used - $350.00 1 Feb/12/15 Mar/13/15
Description: Applied Materials AMAT 0100-00003 0110-00077 Stepper Driver Board
dr.fantom Used - $450.00 6 Feb/12/15 Nov/03/15
Description: Applied Materials AMAT 0100-18043 Seriplex Interface I/O HDP-CVD ULTIMA Board
capitolareatech NEW - $3,500.00 0 Feb/12/15 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-05528 ADAPTER ELECTRA, IMP OU
moes_place_1983 NEW - $690.00 3 Feb/12/15 Feb/17/15
Description: Applied Materials AMAT 0190-37616 AS05111-08 KVM Video Control Switch NEW F.Seal
moes_place_1983 NEW - $640.00 1 Feb/12/15 Feb/17/15
Description: Applied Materials AMAT 0190-37616 AS05111-08 KVM Video Control Switch NEW
moes_place_1983 NEW - $590.00 1 Feb/12/15 Feb/17/15
Description: Applied Materials AMAT 0190-37616 AS05111-08 KVM Video Control Switch
moes_place_1983 NEW - $5,490.00 0 Feb/12/15 Feb/24/16
Description: Applied Materials AMAT 0190-06370 ASSEMBLY, MF DEVICENET IO/INTERLOCKS (MD) NEW
quest.managements Refurbished - $100.00 0 Feb/12/15 May/19/15
Description: Applied Materials AMAT 0020-26588 A Shield 8" PIK 2 Preclean Kit
quest.managements Refurbished - $850.00 0 Feb/12/15 May/19/15
Description: Applied Materials AMAT 0200-00221 005 Quartz Insulator 8" PIK 2 Preclean Kit
athomemarket Used - $396.99 0 Feb/14/15 Mar/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $491.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $1,213.99 0 Feb/14/15 Mar/16/15
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $78.99 0 Feb/14/15 Mar/16/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $1,799.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,698.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $902.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $553.99 0 Feb/14/15 Mar/16/15
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $185.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $289.99 0 Feb/14/15 Mar/16/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $1,073.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $1,073.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $503.99 0 Feb/15/15 Mar/17/15
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket NEW - $102.99 0 Feb/15/15 Mar/17/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $303.99 0 Feb/15/15 Mar/17/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $3,999.99 0 Feb/15/15 Mar/17/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket Used - $65.99 0 Feb/15/15 Mar/17/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
pete-sigep Used - $475.00 0 Feb/15/15 Mar/17/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
mpd6996 NEW - $2,000.00 4 Feb/16/15 Feb/16/15
Description: APPLIED MATERIALS AMAT 0190-01227 SPEC INTELIGENT MOTOR CONTROLLER VX2 - NEW
mpd6996 Used - $75.00 2 Feb/16/15 Feb/19/15
Description: APPLIED MATERIALS (AMAT) 3400-01113 HOSE 20' F/F CRYO HE FLEX LINE 3/4" DIA
mpd6996 Used - $2,500.00 0 Feb/16/15 Feb/19/15
Description: APPLIED MATERIALS AMAT 0090-75014 PCB ASSY VME CPU SYNERGY BD
mpd6996 NEW - $750.00 0 Feb/16/15 Feb/19/15
Description: APPLIED MATERIALS AMAT 0240-32994 KIT HV CABLE PSRP POWER SUPPLY
mpd6996 NEW - $2,250.00 8 Feb/16/15 Feb/16/15
Description: APPLIED MATERIALS AMAT 0190-76005 SPEC CNTR DWG INTELIGENT MOTOR CONTROLLER
athomemarket NEW - $170.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
athomemarket Used - $4,712.99 0 Feb/16/15 Mar/12/15
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assy. (Chemraz) Etch
ptb-sales Used - $780.00 0 Feb/16/15 Feb/26/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Feb/16/15 Feb/26/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Feb/16/15 Feb/26/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Feb/16/15 Feb/26/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
mpd6996 NEW - $40.00 0 Feb/16/15 Feb/19/15
Description: APPLIED MATERIALS AMAT 0020-01058 6" LOWER OVERLAY - NEW
benta09 NEW - $99.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
benta09 NEW - $115.00 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0200-20164 //INSULATORS, LAMP COVER, RIGHT
benta09 NEW - $999.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0022-77519 //FIXTURE, PAD CENTERING
benta09 NEW - $59.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
benta09 NEW - $39.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0050-39339 //UPPER EXHAUST WXP
benta09 NEW - $59.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0021-77484 //MOTOR MOUNT
benta09 NEW - $34.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0040-00248 //Port Screen
benta09 NEW - $84.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0020-78238 //RETAINER
benta09 NEW - $249.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
benta09 NEW - $29.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0040-09233 //BRACKET MOTOR LOAD ASSY
benta09 NEW - $450.00 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 4000-01006 //CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SN
benta09 NEW - $999.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 3870-03490 //VALVE BLOCK DIAPH 3WAY 1/4VCR-558824
benta09 NEW - $149.99 0 Feb/16/15 Mar/18/15
Description: NEW Applied Materials AMAT 0021-04317 //FLAP BOTTOM MAIN THROTTLE VALVE
bruce135 Used - $149.00 0 Feb/17/15 Mar/19/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
onlinesmt Refurbished - $45.00 0 Feb/17/15 Mar/19/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
onlinesmt Refurbished - $79.00 0 Feb/17/15 Mar/19/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $45.00 0 Feb/17/15 Mar/19/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $35.00 0 Feb/17/15 Mar/19/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
onlinesmt Refurbished - $45.00 0 Feb/17/15 Mar/19/15
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT)
athomemarket Used - $6,854.99 0 Feb/17/15 Mar/19/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
hot!cardz NEW - $8,500.00 0 Feb/18/15 Feb/25/15
Description: Applied Materials (AMAT) 0020-08745 LINER CATHODE Y203 W/SCREEN DPS II
athomemarket NEW - $62.99 0 Feb/18/15 Mar/20/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket Used - $6,549.99 0 Feb/18/15 Mar/20/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $197.99 0 Feb/18/15 Mar/20/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket NEW - $102.99 1 Feb/18/15 Feb/21/15
Description: NEW Applied Materials AMAT 0020-18927 Cathode Base Bottom Plate Ultima HDP-CVD
athomemarket NEW - $197.99 0 Feb/18/15 Mar/20/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $462.99 0 Feb/19/15 Mar/21/15
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
yayais2012 NEW - $170.00 0 Feb/19/15 Mar/21/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
gigabitpartsolutions NEW - $302.50 0 Feb/20/15 Jun/14/16
Description: Sensor APPLIED MATERIALS (AMAT) 0010-09780 ASSY LEVEL SENSOR TEOS/DOPANT PHASE 4
semitechs NEW - $500.00 0 Feb/20/15 Mar/13/15
Description: 0010-76997 ASSY CASSETTE PRESENT LOADLOCK 125MM 150MM Applied Materials AMAT
athomemarket NEW - $1,194.99 0 Feb/21/15 Mar/23/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $2,598.99 0 Feb/21/15 Mar/23/15
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket Used - $5,498.99 0 Feb/22/15 Mar/24/15
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
byron_tech1 Used - $466.56 1 Feb/23/15 Jul/23/17
Description: Applied Materials AMAT 0660-98011 SST 5136-DNP-PCI Devicenet,PCI PRO
athomemarket NEW - $5,899.99 0 Feb/23/15 Mar/25/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,788.99 0 Feb/23/15 Mar/25/15
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 Feb/23/15 Mar/25/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
imca00 NEW - $7,450.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
imca00 Used - $5,499.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 Used - $1,249.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT Advanced Energy AC Input Module 0190-13068 ? See Photos
imca00 NEW - $1,749.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $1,449.00 0 Feb/24/15 Mar/03/15
Description: Applied Materials AMAT 8 Slot Storage Elevator 0010-76001
waste-not-recycling Used - $1,699.99 0 Feb/24/15 Feb/27/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
megawavz Used - $295.00 0 Feb/24/15 Mar/26/15
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
athomemarket NEW - $4,163.99 0 Feb/24/15 Mar/26/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $5,806.99 0 Feb/24/15 Mar/26/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $5,001.99 0 Feb/24/15 Mar/26/15
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $170.99 0 Feb/25/15 Mar/27/15
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $3,464.99 0 Feb/25/15 Mar/27/15
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $399.99 0 Feb/25/15 Mar/27/15
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Used - $2,337.99 0 Feb/25/15 Mar/27/15
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $185.99 0 Feb/25/15 Mar/27/15
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
imca00 NEW - $449.00 0 Feb/26/15 Mar/05/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
imca00 Used - $549.00 0 Feb/26/15 Mar/05/15
Description: Applied Materials AMAT Digital I/O Board PCB 0100-76124
imca00 NEW - $349.00 0 Feb/26/15 Mar/05/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 Used - $549.00 0 Feb/26/15 Mar/05/15
Description: Applied Materials AMAT Stepper Controller Board PCB 0100-20173
imca00 Used - $449.00 0 Feb/26/15 Mar/05/15
Description: Applied Materials AMAT PVD Chamber DC Source Cable 0150-76288
athomemarket Used - $89.99 0 Feb/26/15 Mar/28/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $99.99 0 Feb/26/15 Mar/28/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
springfieldsurplus2014 NEW - $11.25 0 Feb/26/15 Mar/28/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
waste-not-recycling Used - $1,299.99 0 Feb/27/15 Mar/04/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
athomemarket Used - $397.99 0 Feb/27/15 Mar/29/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
ptb-sales Used - $2,500.00 0 Feb/27/15 Mar/09/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Feb/27/15 Mar/09/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Feb/27/15 Mar/09/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Feb/27/15 Mar/09/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
athomemarket Used - $2,249.99 0 Feb/28/15 Mar/30/15
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Used - $2,524.99 0 Feb/28/15 Mar/30/15
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket NEW - $404.99 0 Feb/28/15 Mar/30/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Scrap, for parts - $2,677.99 0 Feb/28/15 Mar/30/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $397.99 0 Feb/28/15 Mar/30/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $401.99 0 Feb/28/15 Mar/30/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
athomemarket Refurbished - $452.99 0 Mar/01/15 Mar/31/15
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Scrap, for parts - $99.99 0 Mar/01/15 Mar/31/15
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket Used - $1,190.99 0 Mar/01/15 Mar/31/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,113.99 0 Mar/01/15 Mar/31/15
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $1,196.99 0 Mar/01/15 Mar/31/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $126.99 0 Mar/01/15 Mar/31/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
trees_for_a_better_tomorrow Used - $60.00 0 Mar/01/15 Mar/08/15
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
trees_for_a_better_tomorrow NEW - $60.00 0 Mar/01/15 Mar/08/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow Used - $400.00 0 Mar/01/15 Mar/08/15
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
athomemarket Used - $3,276.99 0 Mar/02/15 Apr/01/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
athomemarket NEW - $79.99 1 Mar/02/15 Mar/06/15
Description: NEW Applied Materials AMAT 0020-20112 Wafer Clamp Ring 200mm/8" SNNF
trees_for_a_better_tomorrow NEW - $595.00 0 Mar/02/15 Mar/09/15
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
hot!cardz NEW - $8,500.00 0 Mar/02/15 Mar/09/15
Description: Applied Materials (AMAT) 0020-08745 LINER CATHODE Y203 W/SCREEN DPS II
visionsemi Used - $495.00 1 Sep/24/14 Feb/27/15
Description: APPLIED MATERIALS AMAT 0140-20575 HP PVD CENTURA CHAMBER HARNESS
mayraytan NEW - $5.50 7 Dec/19/11 Feb/25/15
Description: Applied Materials AMAT KEYENCE Sensor End Clamp, OP-26751, 0690-00552
xsysengineering NEW - $375.00 1 Oct/21/14 Feb/16/15
Description: Applied Materials (AMAT) P/N: 0200-09074 Quartz heater window 200mm
athomemarket NEW - $489.99 0 Mar/03/15 Mar/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
waste-not-recycling Used - $999.99 0 Mar/04/15 Mar/11/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
athomemarket Refurbished - $102.99 0 Mar/04/15 Apr/03/15
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $199.99 0 Mar/04/15 Apr/03/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
capitolareatech Used - $895.00 0 Mar/04/15 Feb/24/16
Description: Applied Materials (AMAT) 0090-04287 IBM X306 MT-M 8836-PCG; CPU: P4 3.00GHZ 1M 8
capitolareatech Used - $595.00 0 Mar/04/15 Feb/24/16
Description: Applied Materials (AMAT) 0090-04959 IBM X306 8491 SERVER; CPU: P4 640 SL8Q6 (3.2
capitolareatech Used - $295.00 1 Mar/04/15 Sep/30/15
Description: Applied Materials (AMAT) 0190-19328 1U Server; Motherboard: SuperMicro P4SCT+II,
onlinesmt Refurbished - $199.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
onlinesmt Refurbished - $1,099.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD
onlinesmt Refurbished - $999.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD, 8" BESC, TAPERED (ELECTRA IMP)
onlinesmt Refurbished - $425.00 0 Mar/05/15 Apr/04/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
imca00 Used - $449.00 0 Mar/05/15 Mar/12/15
Description: Applied Materials AMAT PVD Chamber DC Source Cable 0150-76288
yayais2012 NEW - $75.00 0 Mar/05/15 Apr/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Refurbished - $184.99 0 Mar/05/15 Apr/04/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket NEW - $253.99 0 Mar/05/15 Apr/04/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $185.99 0 Mar/05/15 Mar/23/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door MxP+ Oxide Etch (Copper CD)
athomemarket Refurbished - $199.99 0 Mar/05/15 Apr/04/15
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
pete-sigep Used - $375.00 0 Mar/06/15 Apr/05/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
athomemarket Refurbished - $198.99 0 Mar/06/15 Mar/23/15
Description: Applied Materials AMAT 0020-19002 Slit Valve Door for MxP+ Oxide Etch System
athomemarket NEW - $53.99 0 Mar/07/15 Apr/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Used - $153.99 0 Mar/07/15 Apr/06/15
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $223.99 0 Mar/07/15 Apr/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Refurbished - $122.99 0 Mar/07/15 Apr/06/15
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket Used - $252.99 0 Mar/07/15 Apr/06/15
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $153.99 0 Mar/07/15 Apr/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
supertechshop Used - $49.95 0 Mar/08/15 Apr/07/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket NEW - $130.99 0 Mar/08/15 Apr/07/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket NEW - $403.99 0 Mar/09/15 Apr/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Refurbished - $2,525.99 0 Mar/09/15 Apr/08/15
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $3,999.99 0 Mar/09/15 Apr/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Mar/09/15 Apr/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
pete-sigep Scrap, for parts - $750.00 0 Mar/09/15 Apr/08/15
Description: Applied Materials AMAT 0010-76001 Storage Elevator
ptb-sales Used - $750.00 0 Mar/10/15 Mar/20/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Mar/10/15 Mar/20/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $3,000.00 0 Mar/10/15 Mar/20/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Mar/10/15 Mar/20/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
gigabitpartsolutions Used - $115.50 1 Mar/10/15 Aug/02/16
Description: Controller APPLIED MATERIALS (AMAT) 0100-00011 w PWB, CHOPPER DRIVE I
catalystparts NEW - $175.00 4 Apr/15/14 Mar/10/15
Description: Applied Materials AMAT Ball Bearing, 3060-01068, Kaydon Reali Slim KA020XP4
xsysengineering NEW - $3,500.00 1 Oct/21/14 Mar/09/15
Description: Applied Materials (AMAT) 0100-35086 Gas Panel Dist PCB
athomemarket Used - $4,815.99 0 Mar/10/15 Mar/12/15
Description: Applied Materials AMAT 0010-01171 Slit Liner Door Clamp Lid Assembly Chemraz
athomemarket Used - $53.99 0 Mar/10/15 Apr/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $81.99 0 Mar/10/15 Apr/09/15
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
imca00 NEW - $7,450.00 0 Mar/10/15 Mar/17/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket Refurbished - $99.99 0 Mar/11/15 Apr/10/15
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
yayais2012 NEW - $15.00 0 Mar/11/15 Apr/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $489.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket Used - $254.99 0 Mar/11/15 Apr/10/15
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
onlinesmt Refurbished - $749.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Refurbished - $199.00 0 Mar/11/15 Apr/10/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
athomemarket NEW - $6,199.99 0 Mar/11/15 Apr/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $132.99 0 Mar/11/15 Apr/10/15
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $97.99 0 Mar/11/15 Apr/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $4,443.99 0 Mar/11/15 Apr/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
waste-not-recycling Used - $699.99 0 Mar/12/15 Mar/19/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
imca00 Used - $449.00 0 Mar/12/15 Mar/19/15
Description: Applied Materials AMAT PVD Chamber DC Source Cable 0150-76288
athomemarket NEW - $98.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $79.99 0 Mar/13/15 Apr/12/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $321.99 0 Mar/13/15 Apr/12/15
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
bruce135 Used - $399.99 0 Mar/16/15 Apr/15/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
electronicswest NEW - $500.00 0 Mar/16/15 Mar/26/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $1,000.00 0 Mar/16/15 Mar/26/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $1,000.00 0 Mar/16/15 Mar/26/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
imca00 NEW - $99.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 NEW - $249.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $249.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $749.00 0 Mar/16/15 Mar/22/15
Description: Applied Materials AMAT Feedthru Lamp 0040-20048 with extra parts NEW
imca00 Used - $1,449.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT 8 Slot Storage Elevator 0010-76001
imca00 NEW - $1,749.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $1,249.00 1 Mar/16/15 Mar/19/15
Description: Applied Materials AMAT Advanced Energy AC Input Module 0190-13068 ? See Photos
imca00 Used - $5,499.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 Used - $549.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Stepper Controller Board PCB 0100-20173
imca00 NEW - $349.00 0 Mar/16/15 Mar/22/15
Description: Applied Materials AMAT Endura Wide Body CH1 Elec Assy 0090-02989 NEW
imca00 Used - $549.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Digital I/O Board PCB 0100-76124
imca00 NEW - $449.00 0 Mar/16/15 Mar/23/15
Description: Applied Materials AMAT Centura Chamber Exhaust Line 0050-36463 NEW
athomemarket Used - $396.99 0 Mar/17/15 Apr/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $1,799.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket Used - $1,213.99 0 Mar/17/15 Apr/16/15
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $78.99 0 Mar/17/15 Apr/16/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $1,698.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket Refurbished - $553.99 0 Mar/17/15 Apr/16/15
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $902.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $491.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $289.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $185.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $1,073.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $3,999.99 0 Mar/17/15 Apr/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $1,073.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $503.99 1 Mar/17/15 Apr/09/15
Description: Applied Materials AMAT 0010-10033 Wafer Lift Ceramic Hoop Precision 5000 P5000
athomemarket NEW - $303.99 0 Mar/17/15 Apr/16/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $102.99 0 Mar/17/15 Apr/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $65.99 0 Mar/17/15 Apr/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
imca00 NEW - $7,450.00 0 Mar/17/15 Mar/24/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
electronicswest NEW - $250.00 0 Mar/18/15 Mar/28/15
Description: Applied Materials AMAT 0021-26274 Tetra Screen Flat
athomemarket NEW - $170.99 1 Mar/18/15 Apr/08/15
Description: NEW Applied Materials AMAT 0190-00543 Delatech CDO Fresh Water Low Flow Switch
benta09 NEW - $999.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0022-77519 //FIXTURE, PAD CENTERING
benta09 NEW - $59.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0242-34412 //MOUNTING BRACKET, MF,ETCH PROCESS 1 & 2
benta09 NEW - $39.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0050-39339 //UPPER EXHAUST WXP
benta09 NEW - $450.00 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 4000-01006 //CDCGE GUIDE RAIL 220MM DEEP NORYL BLK SN
benta09 NEW - $59.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0021-77484 //MOTOR MOUNT
benta09 NEW - $34.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0040-00248 //Port Screen
benta09 NEW - $99.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0020-31305 //INSERT, ALUM, OUTER, 200MM POLY, 218MM
benta09 NEW - $29.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0040-09233 //BRACKET MOTOR LOAD ASSY
benta09 NEW - $115.00 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0200-20164 //INSULATORS, LAMP COVER, RIGHT
benta09 NEW - $999.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 3870-03490 //VALVE BLOCK DIAPH 3WAY 1/4VCR-558824
benta09 NEW - $149.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0021-04317 //FLAP BOTTOM MAIN THROTTLE VALVE
benta09 NEW - $249.99 0 Mar/18/15 Apr/17/15
Description: NEW Applied Materials AMAT 0021-11186 //LINER EXHAUST,NI PLATED 200 MM SUPPLIER
waste-not-recycling Used - $699.99 0 Mar/19/15 Mar/26/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
bruce135 Used - $149.00 0 Mar/19/15 Apr/18/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
athomemarket Used - $6,854.99 0 Mar/19/15 Apr/18/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
ptb-sales Used - $3,000.00 0 Mar/20/15 Mar/30/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Mar/20/15 Mar/30/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $750.00 0 Mar/20/15 Mar/30/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Mar/20/15 Mar/30/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
pete-sigep Used - $475.00 0 Mar/20/15 Apr/19/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
athomemarket NEW - $197.99 0 Mar/20/15 Apr/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $62.99 0 Mar/20/15 Apr/19/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket Used - $6,549.99 0 Mar/20/15 Apr/19/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $197.99 0 Mar/20/15 Apr/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
onlinesmt Refurbished - $48.98 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $27.90 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $21.70 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
onlinesmt Refurbished - $27.90 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT)
onlinesmt Refurbished - $27.90 0 Mar/21/15 Apr/20/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
athomemarket NEW - $462.99 0 Mar/21/15 Apr/20/15
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
yayais2012 NEW - $170.00 0 Mar/21/15 Apr/20/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
athomemarket Used - $2,598.99 0 Mar/23/15 Apr/22/15
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,194.99 0 Mar/23/15 Apr/22/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
hot!cardz NEW - $8,500.00 0 Mar/24/15 Mar/31/15
Description: Applied Materials (AMAT) 0020-08745 LINER CATHODE Y203 W/SCREEN DPS II
athomemarket Used - $5,498.99 0 Mar/24/15 Apr/23/15
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
imca00 NEW - $7,450.00 1 Mar/24/15 Mar/25/15
Description: Applied Materials AMAT Centura 0010-75489 Fast Cooldown Chamber NEW IN BOX
athomemarket NEW - $5,899.99 0 Mar/25/15 Apr/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,788.99 0 Mar/25/15 Apr/24/15
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 Mar/25/15 Apr/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
megawavz Used - $995.00 0 Mar/26/15 Apr/25/15
Description: Applied Materials AMAT 0090-90206 Data Aquisition Controller
waste-not-recycling Used - $699.99 0 Mar/26/15 Apr/02/15
Description: Applied Materials AMAT 4" 5" 6" P5000 Robot Drive 0242-70219 w/Platt Case, Used
athomemarket Used - $5,001.99 0 Mar/26/15 Apr/25/15
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
electronicswest NEW - $500.00 0 Mar/26/15 Apr/05/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $250.00 0 Mar/26/15 Apr/05/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $500.00 0 Mar/26/15 Apr/05/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
athomemarket NEW - $5,806.99 0 Mar/26/15 Apr/25/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,163.99 0 Mar/26/15 Apr/25/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
sapph.advan NEW - $100.00 0 Mar/27/15 Apr/06/15
Description: 0200-03695 Applied Materials AMAT Window Endpoint
sapph.advan NEW - $259.00 0 Mar/27/15 Apr/26/15
Description: Sapphire window 0200-10346 Applied Materials AMAT unild GDP Window Solid
athomemarket Scrap, for parts - $3,464.99 0 Mar/27/15 Apr/26/15
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $2,337.99 0 Mar/27/15 Apr/26/15
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $170.99 0 Mar/27/15 Apr/26/15
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $399.99 0 Mar/27/15 Apr/26/15
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $185.99 0 Mar/27/15 Apr/26/15
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $89.99 0 Mar/28/15 Apr/27/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $99.99 0 Mar/28/15 Apr/27/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
springfieldsurplus2014 NEW - $12.50 0 Mar/29/15 Apr/28/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
electronicswest NEW - $125.00 0 Mar/30/15 Apr/09/15
Description: Applied Materials AMAT 0021-26274 Tetra Screen Flat
athomemarket Used - $397.99 1 Mar/30/15 Apr/20/15
Description: MKS Applied Materials AMAT 0190-24854 Rev 2 4Zone Regulator UPA 300mm 134500-G4+
athomemarket Scrap, for parts - $2,677.99 0 Mar/30/15 Apr/29/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,249.99 0 Mar/30/15 Apr/29/15
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket NEW - $404.99 0 Mar/30/15 Apr/29/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $2,524.99 0 Mar/30/15 Apr/29/15
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $397.99 0 Mar/30/15 Apr/29/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket NEW - $253.99 0 Apr/05/15 May/05/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $184.99 0 Apr/05/15 May/05/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
yayais2012 NEW - $75.00 0 Apr/04/15 May/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Refurbished - $199.99 0 Apr/04/15 May/04/15
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket NEW - $199.99 0 Apr/04/15 May/04/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Refurbished - $102.99 0 Apr/04/15 May/04/15
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
pete-sigep Used - $375.00 0 Apr/05/15 May/05/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
ustechno7 Used - $1,100.00 0 Apr/05/15 May/05/15
Description: Applied Materials AMAT 0010-04542 M WXZ HEATER ASSY 0040-04542, 200mm
electronicswest NEW - $300.00 0 Apr/06/15 May/06/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $200.00 0 Apr/06/15 Apr/16/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $300.00 0 Apr/06/15 May/06/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
onlinesmt Refurbished - $879.12 0 Apr/06/15 May/06/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD, 8" BESC, TAPERED (ELECTRA IMP)
onlinesmt Refurbished - $175.12 0 Apr/06/15 May/06/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
onlinesmt Refurbished - $374.00 0 Apr/06/15 May/06/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
onlinesmt Refurbished - $967.12 0 Apr/06/15 May/06/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD
imca00 Used - $1,449.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT 8 Slot Storage Elevator 0010-76001
imca00 NEW - $249.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT BESC RF Match Cable 0150-22587 & HTESC Kit 0242-76602 NEW
imca00 NEW - $249.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT BESC Heater Hub Kit 0240-27873 NEW
imca00 NEW - $99.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT Endura ALPS+ Chamber Manuals 0230-00215 and 00216 NEW
imca00 Used - $5,499.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
imca00 NEW - $1,749.00 0 Apr/06/15 Apr/13/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
athomemarket NEW - $53.99 0 Apr/06/15 May/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket NEW - $223.99 0 Apr/06/15 May/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Used - $252.99 0 Apr/06/15 May/06/15
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket Refurbished - $122.99 0 Apr/06/15 May/06/15
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket NEW - $153.99 0 Apr/06/15 May/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Used - $153.99 0 Apr/06/15 May/06/15
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $130.99 1 Apr/07/15 Apr/16/15
Description: NEW Applied Materials AMAT 0200-10118 Plasma Chuck Arm
athomemarket Used - $3,999.99 0 Apr/08/15 May/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $3,298.99 0 Apr/08/15 May/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,525.99 0 Apr/08/15 May/08/15
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket NEW - $403.99 0 Apr/08/15 May/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
pete-sigep Scrap, for parts - $750.00 0 Apr/09/15 May/09/15
Description: Applied Materials AMAT 0010-76001 Storage Elevator
athomemarket Used - $81.99 0 Apr/09/15 May/09/15
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $53.99 0 Apr/09/15 May/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
svcompucycle Used - $29.95 0 Apr/09/15 May/09/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket Refurbished - $99.99 0 Apr/10/15 May/10/15
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
yayais2012 NEW - $15.00 0 Apr/10/15 May/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket Used - $4,443.99 0 Apr/10/15 May/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket NEW - $97.99 0 Apr/10/15 May/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket NEW - $6,199.99 0 Apr/10/15 May/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Refurbished - $132.99 0 Apr/10/15 May/10/15
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $489.99 0 Apr/11/15 May/11/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket Used - $254.99 0 Apr/11/15 May/11/15
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
athomemarket NEW - $79.99 0 Apr/12/15 May/12/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $199.99 0 Apr/12/15 May/12/15
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
onlinesmt Refurbished - $199.00 0 Apr/13/15 May/13/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
onlinesmt Refurbished - $749.00 0 Apr/13/15 May/13/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Used - $53.20 0 Apr/13/15 May/13/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
athomemarket NEW - $98.99 0 Apr/13/15 May/13/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
electronicswest NEW - $75.00 0 Apr/13/15 Apr/23/15
Description: Applied Materials AMAT 0021-26274 Tetra Screen Flat
ptb-sales Used - $3,000.00 0 Apr/13/15 Apr/23/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Apr/13/15 Apr/23/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $750.00 0 Apr/13/15 Apr/23/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Apr/13/15 Apr/23/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
bruce135 Used - $399.99 0 Apr/15/15 May/15/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
dr.fantom NEW - $65.00 0 Apr/16/15 Feb/13/20
Description: Applied Materials AMAT 0150-76116 Robot Calibration Cable
electronicswest NEW - $100.00 0 Apr/16/15 Apr/26/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
athomemarket Used - $396.99 0 Apr/16/15 May/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $1,799.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket Used - $1,213.99 0 Apr/16/15 May/16/15
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $491.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $1,698.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket Refurbished - $553.99 0 Apr/16/15 May/16/15
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $78.99 0 Apr/16/15 May/16/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $902.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket NEW - $289.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $185.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $1,073.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $102.99 0 Apr/16/15 May/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,073.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $303.99 0 Apr/16/15 May/16/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $65.99 0 Apr/16/15 May/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket Used - $3,999.99 0 Apr/16/15 May/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
imca00 NEW - $1,749.00 0 Apr/18/15 Apr/25/15
Description: Applied Materials AMAT BESC RF Match 0010-01929 with support bracket kit NEW
imca00 Used - $5,499.00 0 Apr/18/15 Apr/25/15
Description: Applied Materials AMAT Hercules Lift 0010-17868 with parts and brackets
athomemarket Used - $6,854.99 0 Apr/18/15 May/18/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
athomemarket NEW - $197.99 0 Apr/19/15 May/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $62.99 0 Apr/19/15 May/19/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket Used - $6,549.99 0 Apr/19/15 May/19/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $197.99 0 Apr/19/15 May/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
pete-sigep Used - $475.00 0 Apr/19/15 May/19/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
bruce135 Used - $149.00 0 Apr/20/15 May/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
onlinesmt Refurbished - $21.70 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
onlinesmt Refurbished - $27.90 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $48.98 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $27.90 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
onlinesmt Refurbished - $27.90 0 Apr/20/15 May/20/15
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT)
athomemarket NEW - $462.99 0 Apr/20/15 May/20/15
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
yayais2012 NEW - $170.00 0 Apr/20/15 May/20/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
hollitronic Used - $75.00 2 Apr/21/15 May/25/21
Description: Applied Materials AMAT 0100-20458 Interlock Buffer
bobsgoodies NEW - $4,300.00 1 Apr/22/15 Nov/19/15
Description: Applied Materials (AMAT) MIRRA 0240-77490 ASSY, PLATEN DRIVE MOTOR
dr.fantom Used - $600.00 0 Apr/22/15 Nov/18/15
Description: Applied Materials AMAT 0190-02578 SMC INTERLOCK PCB P49822025
dr.fantom Refurbished - $570.00 0 Apr/22/15 Feb/13/20
Description: Boc Edwards Applied Materials AMAT 0020-22237 Cover Ring 8"
dr.fantom Refurbished - $600.00 0 Apr/22/15 Nov/18/15
Description: Boc Edwards Applied Materials AMAT 0020-23045 Lower Shield
dr.fantom Scrap, for parts - $420.00 0 Apr/22/15 Feb/13/20
Description: Applied Materials AMAT 0200-36105 Etch Chamber Ceramic Insert
dr.dantom Used - $580.00 1 Apr/22/15 Apr/18/18
Description: Applied Materials AMAT 0200-36524 Ceramic lid liner
dr.fantom NEW - $250.00 0 Apr/22/15 May/03/15
Description: Boc Edwards Applied Materials AMAT 0020-23041 CLAMP SHIELD 8 WAFER
dr.fantom Refurbished - $580.00 0 Apr/22/15 Feb/13/20
Description: Boc Edwards Applied Materials AMAT 0020-22196 Flame sprayed Pedestal 8" 200mm
dr.fantom NEW - $250.00 0 Apr/22/15 May/13/15
Description: Applied Materials AMAT 0190-18424 SMC HE PARTICLE FILTER COLD LOOP 4 UM A
dr.fantom NEW - $250.00 1 Apr/22/15 Oct/15/15
Description: Applied Materials AMAT 0190-18425 SMC HE PARTICLE FILTER HOT LOOP 20 UM A
athomemarket Used - $2,598.99 0 Apr/22/15 May/22/15
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,194.99 0 Apr/22/15 May/22/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
electronicswest NEW - $55.00 0 Apr/23/15 May/03/15
Description: Applied Materials AMAT 0021-26274 Tetra Screen Flat
athomemarket Used - $5,498.99 0 Apr/23/15 Apr/29/15
Description: Applied Materials AMAT 0010-30419 WxZ Heater 8" Assembly P5000 NLT .060
ptb-sales Used - $780.00 0 Apr/23/15 May/03/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Apr/23/15 May/03/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Apr/23/15 May/03/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Apr/23/15 May/03/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
mayraytan NEW - $795.00 3 Oct/03/11 Apr/22/15
Description: Applied Materials AMAT Chemraz O-Ring, 3700-02155
athomemarket NEW - $5,899.99 0 Apr/24/15 May/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket Scrap, for parts - $3,788.99 0 Apr/24/15 May/24/15
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 Apr/24/15 May/21/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm/8" Assembly JMF
athomemarket NEW - $5,806.99 0 Apr/25/15 May/25/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,163.99 0 Apr/25/15 May/25/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $5,001.99 0 Apr/25/15 May/25/15
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
dr.fantom NEW - $80.00 0 Apr/26/15 Feb/13/20
Description: Applied Materials AMAT 0190-05157 Low bias power specification
athomemarket Used - $2,337.99 0 Apr/26/15 May/26/15
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Scrap, for parts - $3,464.99 0 Apr/26/15 May/26/15
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $170.99 0 Apr/26/15 May/26/15
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Used - $399.99 0 Apr/26/15 May/26/15
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $185.99 0 Apr/26/15 May/26/15
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
jb-spectrafex Used - $500.00 1 Apr/27/15 May/22/15
Description: APPLIED MATERIALS AMAT 0190-09667 VME CPU SYNERGY BOARD
jb-spectrafex Used - $1,000.00 0 Apr/27/15 Apr/30/15
Description: APPLIED MATERIALS AMAT 0100-00003 Stepper Drive Board
jb-spectrafex Used - $800.00 1 Apr/27/15 May/23/15
Description: APPLIED MATERIALS AMAT 0090-75014 PCB Assembly VME CPU Synergy Board
athomemarket Used - $89.99 0 Apr/27/15 May/27/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $99.99 0 Apr/27/15 May/27/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
generalpublicsales NEW - $129.00 7 Apr/28/15 Apr/06/18
Description: NEW GENUINE OEM APPLIED MATERIALS AMAT 0190-07679 CONTROL STATION INTERFACE
athomemarket Used - $74.99 0 Apr/28/15 May/05/15
Description: Applied Materials AMAT 0100-35232 RF Match Detector 13.56 MHz Board Assembly
athomemarket Used - $397.99 0 Apr/29/15 May/29/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
athomemarket Used - $2,524.99 0 Apr/29/15 May/29/15
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Scrap, for parts - $2,677.99 0 Apr/29/15 May/29/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,249.99 0 Apr/29/15 May/29/15
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket NEW - $404.99 0 Apr/29/15 May/29/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
svcstore Used - $199.99 0 Apr/29/15 May/02/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $219.99 0 Apr/29/15 May/02/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
athomemarket Used - $401.99 0 Apr/30/15 May/30/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket Used - $154.99 0 Apr/30/15 May/07/15
Description: Applied Materials AMAT 0100-09203 Phase and Magnitude Detector PCB Board
athomemarket NEW - $1,196.99 0 Apr/30/15 May/30/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $1,190.99 0 Apr/30/15 May/30/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Refurbished - $452.99 0 Apr/30/15 May/30/15
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $1,113.99 0 Apr/30/15 May/30/15
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Scrap, for parts - $99.99 0 Apr/30/15 May/30/15
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
trees_for_a_better_tomorrow Used - $325.00 0 May/01/15 May/08/15
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $500.00 0 May/01/15 May/08/15
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
springfieldsurplus2014 NEW - $12.50 0 May/01/15 May/31/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
athomemarket NEW - $126.99 0 May/01/15 May/31/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket Used - $3,276.99 0 May/02/15 Jun/01/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Used - $219.99 0 May/02/15 May/05/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $199.99 0 May/02/15 May/05/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
onlinesmt Refurbished - $649.00 0 May/04/15 Jun/03/15
Description: APPLIED MATERIALS AMAT 0020-27708 8" CLAMP RING
athomemarket NEW - $199.99 0 May/04/15 Jun/03/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Refurbished - $102.99 0 May/04/15 Jun/03/15
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
ptb-sales Used - $3,000.00 0 May/04/15 May/14/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 May/04/15 May/14/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 May/04/15 May/14/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 May/04/15 May/14/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
yayais2012 NEW - $75.00 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket Refurbished - $184.99 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $199.99 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket NEW - $253.99 0 May/05/15 Jun/04/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
capitolareatech NEW - $7,310.41 0 May/05/15 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0190-18063 DC POWER SUPPLY, REMOTE PLASMA CLEAN, UL
capitolareatech NEW - $15,616.90 0 May/05/15 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3870-00421 NOR-CAL VACUUM PRODUCTS INC TPV-1400-JIS-350
capitolareatech NEW - $21,132.30 0 May/05/15 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0045-90010W Farnell Electronics Co. 0045-90010 Wallis
pete-sigep Used - $375.00 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
svcstore Used - $219.99 0 May/05/15 May/08/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
ustechno7 Used - $997.99 0 May/05/15 Jun/04/15
Description: Applied Materials AMAT 0010-04542 M WXZ HEATER ASSY 0040-04542, 200mm
svcstore Used - $199.99 0 May/05/15 May/08/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
athomemarket Used - $154.99 0 May/08/15 Jun/07/15
Description: Applied Materials AMAT 0100-09203 Phase and Magnitude Detector PCB Board
bobsgoodies NEW - $195.00 1 May/08/15 Sep/18/15
Description: Applied Materials AMAT 1080-01111 Oriental Motors A3723-9215 Vexta 5-Phase Step
electronicswest NEW - $150.00 0 May/07/15 May/17/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
athomemarket NEW - $223.99 0 May/07/15 Jun/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $153.99 0 May/07/15 Jun/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $53.99 0 May/07/15 Jun/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Used - $252.99 0 May/07/15 Jun/06/15
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket Used - $153.99 0 May/07/15 Jun/06/15
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Refurbished - $122.99 0 May/07/15 Jun/06/15
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
sapph.advan NEW - $175.00 0 May/08/15 May/15/15
Description: Sapphire window 0200-10346 Applied Materials AMAT unild GDP Window Solid
svcstore Used - $199.99 0 May/08/15 May/11/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $219.99 0 May/08/15 May/11/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
pete-sigep Scrap, for parts - $750.00 0 May/09/15 Jun/08/15
Description: Applied Materials AMAT 0010-76001 Storage Elevator
athomemarket NEW - $403.99 0 May/09/15 Jun/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,999.99 0 May/09/15 Jun/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,525.99 0 May/09/15 Jun/08/15
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $3,298.99 0 May/09/15 Jun/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
trees_for_a_better_tomorrow NEW - $31.80 3 May/09/15 Jun/06/15
Description: New 10 Pack Applied Materials AMAT Lamp 0190-14083
orcom2011 Scrap, for parts - $4,000.00 0 May/10/15 Jul/09/15
Description: APPLIED MATERIALS AMAT 0021-20830 0060-76188 BLOCK WATER ,ADAPTER
athomemarket Refurbished - $99.99 0 May/10/15 Jun/09/15
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $81.99 0 May/10/15 Jun/09/15
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $53.99 0 May/10/15 Jun/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
yayais2012 NEW - $15.00 0 May/10/15 Jun/09/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
onlinesmt Refurbished - $551.65 0 May/11/15 Jun/10/15
Description: APPLIED MATERIALS (AMAT) 0020-27708 8" CLAMP RING
onlinesmt Refurbished - $407.15 0 May/11/15 Jun/10/15
Description: APPLIED MATERIALS (AMAT) 0021-20718 A 8" LOWER PEDESTAL COVER
athomemarket Used - $254.99 0 May/11/15 Jun/10/15
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
athomemarket NEW - $489.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket NEW - $97.99 0 May/11/15 Jun/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Refurbished - $132.99 0 May/11/15 Jun/10/15
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $6,199.99 0 May/11/15 Jun/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,443.99 0 May/11/15 Jun/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $199.99 0 May/11/15 May/14/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $219.99 0 May/11/15 May/14/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
visionsemi Used - $875.00 0 May/12/15 Oct/09/15
Description: APPLIED MATERIALS AMAT 0100-35049 SYS RESET LINK SEL PCB CENTURA
svcompucycle Used - $29.95 0 May/12/15 Jun/11/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket NEW - $64.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $199.99 0 May/13/15 Jun/12/15
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket NEW - $98.99 0 May/13/15 Jun/12/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
onlinesmt Refurbished - $749.00 0 May/14/15 Jun/13/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Used - $53.20 0 May/14/15 Jun/13/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
onlinesmt Refurbished - $199.00 0 May/14/15 Jun/13/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
ptb-sales Used - $750.00 0 May/14/15 May/24/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 May/14/15 May/24/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $3,000.00 0 May/14/15 May/24/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 May/14/15 May/24/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
svcstore Used - $219.99 0 May/14/15 May/17/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $199.99 0 May/14/15 May/17/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
bruce135 Used - $399.99 0 May/15/15 Jun/14/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket NEW - $491.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $396.99 0 May/16/15 Jun/15/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket Used - $1,213.99 0 May/16/15 Jun/15/15
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $78.99 0 May/16/15 Jun/15/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $1,799.99 0 May/16/15 May/21/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 200mm TEOS 8" Ceramic
athomemarket NEW - $1,698.99 1 May/16/15 May/19/15
Description: NEW Applied Materials AMAT 0010-03175 Susceptor Assembly 8"/200mm TEOS
athomemarket NEW - $902.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $553.99 0 May/16/15 Jun/15/15
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $149.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $289.99 0 May/16/15 Jun/15/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $303.99 0 May/17/15 Jun/16/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket Used - $3,999.99 0 May/17/15 Jun/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $1,073.99 0 May/17/15 May/21/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket NEW - $102.99 0 May/17/15 Jun/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket NEW - $1,073.99 0 May/17/15 May/21/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $65.99 0 May/17/15 Jun/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore Used - $199.99 0 May/17/15 May/20/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $219.99 0 May/17/15 May/20/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
dr.dantom Used - $120.00 0 May/18/15 Feb/17/20
Description: Applied Materials AMAT 0100-20040 Remote Supply Distribution Board
athomemarket Used - $6,854.99 0 May/19/15 Jun/18/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
pete-sigep Used - $475.00 0 May/19/15 Jun/18/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
orcom2011 Used - $1,900.00 0 May/20/15 Jul/09/15
Description: APPLIED MATERIALS AMAT 0010-21736 HEATER ASSY
athomemarket NEW - $197.99 0 May/20/15 Jun/19/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
athomemarket Used - $6,549.99 0 May/20/15 Jun/19/15
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $197.99 0 May/20/15 Jun/19/15
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $62.99 0 May/20/15 Jun/19/15
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
capitolareatech Refurbished - $16,750.00 0 May/20/15 Feb/16/16
Description: APPLIED MATERIALS (AMAT) 0010-27095 ASSY, DOUBLE ENDED XFER CHAMBER, ENP
capitolareatech Refurbished - $25,000.00 0 May/20/15 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0010-11806 ASSY, DOUBLE ENDED XFER CHAMBER, NON-ENP
capitolareatech Refurbished - $25,000.00 0 May/20/15 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0010-11806 ASSY, DOUBLE ENDED XFER CHAMBER, NON-ENP
capitolareatech Refurbished - $23,450.00 0 May/20/15 Feb/16/16
Description: APPLIED MATERIALS (AMAT) 0242-87713 NARROW BODY TILTOUT LOADLOCK CHAMBER
capitolareatech Refurbished - $23,450.00 0 May/20/15 Feb/16/16
Description: APPLIED MATERIALS (AMAT) 0242-87713 NARROW BODY TILTOUT LOADLOCK CHAMBER
bruce135 Used - $149.00 0 May/20/15 Jun/19/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $219.99 0 May/20/15 May/23/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $199.99 0 May/20/15 May/23/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
onlinesmt Refurbished - $27.90 0 May/21/15 Jun/20/15
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT)
onlinesmt Refurbished - $27.90 0 May/21/15 Jun/20/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $48.98 0 May/21/15 Jun/20/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $21.70 0 May/21/15 Jun/20/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
onlinesmt Refurbished - $27.90 0 May/21/15 Jun/20/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
athomemarket NEW - $462.99 0 May/21/15 Jun/20/15
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
bntyhunter07 NEW - $679.15 4 May/22/15 Feb/25/16
Description: APPLIED MATERIALS AMAT 4060-00023 SMC VV5Q11-ULB990251 MANF 16STATION W/DNET
mayraytan NEW - $795.00 0 May/22/15 Nov/18/15
Description: Applied Materials AMAT Chemraz O-Ring, 3700-02155
yayais2012 NEW - $170.00 0 May/23/15 Jun/22/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
gonzolo999 NEW - $100.00 0 May/23/15 Jun/22/15
Description: Applied Materials (AMAT) 4020-00172 SMC IDG1-N02-P DRYER,20C CDA 1/4FNPT, 10LPM
athomemarket Used - $2,598.99 0 May/23/15 Jun/22/15
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $1,194.99 0 May/23/15 Jun/22/15
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
svcstore Used - $219.99 0 May/23/15 May/26/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $199.99 0 May/24/15 May/27/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
athomemarket Scrap, for parts - $3,788.99 0 May/25/15 Jun/24/15
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket NEW - $5,899.99 0 May/25/15 Jun/24/15
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm / 8" Assembly JMF
megawavz Used - $295.00 3 May/26/15 Jun/25/15
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
athomemarket Used - $5,001.99 0 May/26/15 Jun/25/15
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $5,806.99 0 May/26/15 Jun/25/15
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket NEW - $4,163.99 0 May/26/15 Jun/25/15
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
ptb-sales Used - $2,500.00 0 May/26/15 Jun/05/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 May/26/15 Jun/05/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 May/26/15 Jun/05/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 May/26/15 Jun/05/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
electronicswest NEW - $70.00 0 May/27/15 Jun/06/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $150.00 0 May/27/15 Jun/03/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $45.00 0 May/27/15 Jun/06/15
Description: Applied Materials AMAT 0021-26274 Tetra Screen Flat
electronicswest NEW - $100.00 0 May/27/15 Jun/06/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
megawavz Used - $995.00 0 May/27/15 Jun/26/15
Description: Applied Materials AMAT 0090-90206 Data Aquisition Controller
athomemarket Used - $170.99 0 May/27/15 Jun/26/15
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $3,464.99 0 May/27/15 Jun/26/15
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $2,337.99 0 May/27/15 Jun/26/15
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket NEW - $169.99 1 May/27/15 Jun/26/15
Description: Applied Materials AMAT 0100-35127 PLIS-O3 I/O Dist. Board Centura Seriplex
athomemarket Used - $399.99 0 May/27/15 Jun/26/15
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
mayraytan Used - $11.50 1 May/28/15 Feb/26/18
Description: Applied Materials AMAT Chemraz O-ring, 3700-01534
visionsemi Used - $950.00 0 May/28/15 Oct/25/15
Description: APPLIED MATERIALS AMAT AKT GAS BACKPLANE PCB 0100-71088
athomemarket NEW - $99.99 0 May/28/15 Jun/27/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
athomemarket Used - $89.99 0 May/28/15 Jun/27/15
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
vipermn Used - $450.00 0 May/29/15 Jul/13/15
Description: APPLIED MATERIALS AMAT 0100-00003 STEPPER DRIVE BOARD
athomemarket Used - $397.99 5 May/29/15 Jun/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm FW Rev1.8
svcstore Used - $199.99 0 May/29/15 Jun/01/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $219.99 0 May/29/15 Jun/01/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
vipermn NEW - $99.00 0 May/29/15 Jul/13/15
Description: APPLIED MATERIALS AMAT 0010-70338 ASSY AMATO/STEELHEAD H.EX. HOSE 50FT
athomemarket Used - $401.99 0 May/30/15 Jun/29/15
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket Used - $2,249.99 0 May/30/15 Jun/29/15
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
athomemarket Scrap, for parts - $2,677.99 0 May/30/15 Jun/29/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $2,524.99 0 May/30/15 Jun/29/15
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket NEW - $404.99 0 May/30/15 Jun/29/15
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket NEW - $126.99 0 May/31/15 Jun/30/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket NEW - $1,113.99 0 May/31/15 Jun/26/15
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $452.99 0 May/31/15 Jun/26/15
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Used - $1,190.99 0 May/31/15 Jun/26/15
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket NEW - $1,196.99 0 May/31/15 Jun/26/15
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Scrap, for parts - $99.99 0 May/31/15 Jun/26/15
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
mister_harddrive NEW - $1,595.00 1 Jun/01/15 Jun/02/15
Description: Applied Materials AMAT 0040-20194 - #561
mister_harddrive NEW - $39.95 0 Jun/01/15 Oct/20/17
Description: Applied Materials AMAT 0040-01260 Magnet, LP 3 Strong - #560
mister_harddrive NEW - $59.95 0 Jun/01/15 Oct/20/17
Description: Applied Materials AMAT 1030-90013 Magnet to AM Spec - #559
mister_harddrive NEW - $59.95 0 Jun/01/15 Oct/20/17
Description: Applied Materials AMAT 0190-19765 Specification for Spare Power Transis - #555
mister_harddrive NEW - $159.95 0 Jun/01/15 Nov/28/15
Description: Applied Materials AMAT 0190-19765 Specification for Spare Power Transis - #558
mister_harddrive NEW - $49.95 0 Jun/01/15 May/22/17
Description: Applied Materials AMAT 0190-19765 Specification for Spare Power Transis - #556
mister_harddrive NEW - $225.95 3 Jun/01/15 Jul/22/15
Description: Applied Materials AMAT 0010-11251 PROBE SPECIAL PH/ ORP PN#1150-01047 - #563
mister_harddrive NEW - $79.95 0 Jun/01/15 Oct/20/17
Description: Applied Materials AMAT 1370-00066 Power Transistor NPN - #565
mister_harddrive NEW - $199.00 0 Jun/01/15 Oct/20/17
Description: Applied Materials AMAT 1030-01028 Magnet - #568
athomemarket Used - $3,276.99 0 Jun/01/15 Jul/01/15
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore Used - $219.99 0 Jun/01/15 Jun/04/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $199.99 0 Jun/01/15 Jun/04/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
springfieldsurplus2014 NEW - $11.25 0 Jun/01/15 Jul/01/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
athomemarket Refurbished - $102.99 0 Jun/03/15 Jul/03/15
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $199.99 0 Jun/03/15 Jul/03/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
onlinesmt Refurbished - $402.38 0 Jun/03/15 Jul/03/15
Description: APPLIED MATERIALS AMAT 0020-27708 8" CLAMP RING
nps NEW - $17.99 1 Jun/04/15 Jun/11/15
Description: Applied Materials (AMAT) 0040-96157 300mm Slit Valve Door(15.75" x 2.75" x 1.5")
athomemarket Refurbished - $184.99 0 Jun/04/15 Jul/04/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket NEW - $253.99 0 Jun/04/15 Jul/04/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
athomemarket Refurbished - $199.99 0 Jun/04/15 Jul/04/15
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
svcstore Used - $219.99 0 Jun/04/15 Jun/07/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jun/04/15 Jun/07/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $199.99 0 Jun/04/15 Jun/07/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
ustechno7 Used - $947.99 1 Jun/05/15 Jul/03/15
Description: Applied Materials AMAT 0010-04542 M WXZ HEATER ASSY 0040-04542, 200mm
sapph.advan NEW - $99.00 0 Jun/05/15 Jun/12/15
Description: Sapphire window 0200-10346 Applied Materials AMAT unild GDP Window Solid
sapph.advan NEW - $245.00 0 Jun/05/15 Jun/12/15
Description: Sapphire tube 0200-18073 Applied Materials AMAT mwave source,HDP-CVD saphire
pete-sigep Used - $375.00 0 Jun/05/15 Jul/05/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
athomemarket Used - $74.99 0 Jun/05/15 Jul/05/15
Description: Applied Materials AMAT 0100-35232 RF Match Detector 13.56 MHz Board Assembly
ptb-sales Used - $3,000.00 0 Jun/05/15 Jun/15/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Jun/05/15 Jun/15/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Jun/05/15 Jun/15/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Jun/05/15 Jun/15/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
yayais2012 NEW - $75.00 0 Jun/06/15 Jul/06/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
onlinesmt Refurbished - $123.38 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
onlinesmt Refurbished - $263.50 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
onlinesmt Refurbished - $681.38 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD
onlinesmt Refurbished - $619.38 0 Jun/06/15 Jul/06/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD, 8" BESC, TAPERED (ELECTRA IMP)
athomemarket NEW - $223.99 0 Jun/06/15 Jul/06/15
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket Used - $153.99 0 Jun/06/15 Jul/06/15
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket Used - $252.99 0 Jun/06/15 Jul/06/15
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $153.99 0 Jun/06/15 Jul/06/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket NEW - $53.99 0 Jun/06/15 Jul/06/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $122.99 0 Jun/06/15 Jul/06/15
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
gelogistics NEW - $400.00 0 Jun/07/15 Jun/14/15
Description: Applied Materials AMAT 0021-03980 Outer Shield, CHB (1")
athomemarket Used - $154.99 0 Jun/07/15 Jul/07/15
Description: Applied Materials AMAT 0100-09203 Phase and Magnitude Detector PCB Board
svcstore Used - $199.99 0 Jun/07/15 Jun/10/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $219.99 0 Jun/07/15 Jun/10/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jun/07/15 Jun/10/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
pete-sigep Scrap, for parts - $750.00 0 Jun/08/15 Jul/08/15
Description: Applied Materials AMAT 0010-76001 Storage Elevator
visionsemi Used - $300.00 0 Jun/08/15 Jan/28/17
Description: APPLIED MATERIALS AMAT 0190-71353 PCA SPX24D24D200MA FWD 24IN/24OUT DIG
athomemarket Used - $3,298.99 0 Jun/08/15 Jul/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $403.99 0 Jun/08/15 Jul/08/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
athomemarket Used - $3,999.99 0 Jun/08/15 Jul/08/15
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Refurbished - $2,525.99 0 Jun/08/15 Jul/08/15
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
visionsemi NEW - $195.00 1 Jun/09/15 Dec/28/15
Description: APPLIED MATERIALS AMAT DC BIAS TIW SHOULDER SCREW 0020-21366 LOT OF 12
athomemarket Refurbished - $99.99 0 Jun/09/15 Jul/09/15
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
athomemarket Used - $81.99 0 Jun/09/15 Jul/09/15
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
athomemarket Used - $53.99 0 Jun/09/15 Jul/09/15
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
yayais2012 NEW - $15.00 0 Jun/10/15 Jul/10/15
Description: Applied Materials AMAT Centering Ring W-25-CR-SV WITH BROWN 3700-01543 LOT OF 2
athomemarket NEW - $489.99 0 Jun/10/15 Jul/10/15
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
athomemarket Used - $254.99 0 Jun/10/15 Jul/10/15
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
athomemarket NEW - $97.99 0 Jun/10/15 Jul/10/15
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Refurbished - $132.99 0 Jun/10/15 Jul/10/15
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $6,199.99 0 Jun/10/15 Jul/10/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $4,443.99 0 Jun/10/15 Jul/10/15
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
svcstore Used - $219.99 0 Jun/10/15 Jun/13/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jun/10/15 Jun/13/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $199.99 0 Jun/10/15 Jun/13/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
dr.dantom Refurbished - $450.00 0 Jun/11/15 Feb/17/20
Description: Applied Materials AMAT 0020-23549 REV 007 Shield Upper AL ARC-SPRAY SST 300mm
dr.dantom Refurbished - $180.00 0 Jun/11/15 Feb/17/20
Description: Applied Materials AMAT 0020-26588 PCII Shield 8" QMS 12-2-98-12
onlinesmt Refurbished - $479.00 0 Jun/11/15 Jul/11/15
Description: APPLIED MATERIALS (AMAT) 0021-20718 A 8" LOWER PEDESTAL COVER
onlinesmt Refurbished - $649.00 0 Jun/11/15 Jul/11/15
Description: APPLIED MATERIALS (AMAT) 0020-27708 8" CLAMP RING
svcompucycle Used - $29.95 0 Jun/12/15 Jul/12/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
athomemarket NEW - $98.99 0 Jun/12/15 Jul/12/15
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket Used - $199.99 0 Jun/12/15 Jul/12/15
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
athomemarket NEW - $64.99 0 Jun/12/15 Jul/12/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
svcstore Used - $199.99 0 Jun/13/15 Jun/16/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $219.99 0 Jun/13/15 Jun/16/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jun/13/15 Jun/16/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
gelogistics NEW - $600.00 0 Jun/14/15 Dec/11/15
Description: Applied Materials AMAT 0021-03980 Outer Shield, CHB (1")
gigabitpartsolutions NEW - $27.50 0 Jun/15/15 Jun/09/16
Description: Cable Appliede Materials (AMAT) 0150-15153 ASSY, DVR CH1 TO FI FDP MF1 CAMERA, A
bruce135 Used - $399.99 0 Jun/15/15 Jul/15/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket Used - $396.99 1 Jun/15/15 Jun/16/15
Description: MKS Applied Materials AMAT 0190-24854 4 Zone Flow Regulator UPA 300mm 134500-G4+
athomemarket NEW - $442.79 0 Jun/15/15 Jul/15/15
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $812.69 0 Jun/15/15 Jul/15/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $498.59 0 Jun/15/15 Jul/15/15
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket Used - $1,092.59 0 Jun/15/15 Jul/15/15
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
athomemarket NEW - $78.99 0 Jun/15/15 Jul/15/15
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $247.50 0 Jun/15/15 Jul/15/15
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $134.99 0 Jun/15/15 Jul/15/15
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
ptb-sales Used - $2,500.00 0 Jun/15/15 Jun/25/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jun/15/15 Jun/25/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Jun/15/15 Jun/25/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Jun/15/15 Jun/25/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
sale408away NEW - $49.95 0 Jun/15/15 Jun/22/15
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
megawavz Used - $295.00 0 Jun/16/15 Jul/16/15
Description: Applied Materials AMAT 0120-90586 Vacuum Control Feedback
megawavz Used - $295.00 0 Jun/16/15 Jul/16/15
Description: Applied Materials AMAT 0100-90031 Auxiliary Supplies Control Board
megawavz Used - $395.00 0 Jun/16/15 Jul/16/15
Description: Applied Materials AMAT 0120-91285 Board
megawavz Used - $495.00 0 Jun/16/15 Jul/16/15
Description: Applied Materials AMAT 0120-90785 Flood Gun Emission Control Board
megawavz Used - $395.00 0 Jun/16/15 Jul/16/15
Description: Applied Materials AMAT 0120-91103 Arc Switchmode Board
athomemarket Used - $3,599.99 0 Jun/16/15 Jul/16/15
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $273.59 0 Jun/16/15 Jul/16/15
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $92.69 0 Jun/16/15 Jul/16/15
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $59.39 0 Jun/16/15 Jul/16/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
svcstore Used - $199.99 0 Jun/16/15 Jun/19/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $219.99 0 Jun/16/15 Jun/19/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jun/16/15 Jun/19/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
onlinesmt Refurbished - $749.00 0 Jun/18/15 Jul/18/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Used - $53.20 0 Jun/18/15 Jul/18/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
onlinesmt Refurbished - $199.00 0 Jun/18/15 Jul/18/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
athomemarket Used - $5,142.99 0 Jun/18/15 Jun/06/17
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
pete-sigep Used - $475.00 0 Jun/19/15 Jul/19/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
athomemarket Used - $4,913.99 0 Jun/19/15 Jun/07/17
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
athomemarket NEW - $44.09 8 Jun/19/15 Aug/08/16
Description: NEW Applied Materials AMAT 0010-02408 Lift Pin Cathode Assy. Photomask DPS
athomemarket NEW - $149.99 0 Jun/19/15 Jun/08/17
Description: NEW Applied Materials AMAT 0010-02176 Pivot Arm Bearing LH Assy. 300mm Robot
athomemarket NEW - $197.99 1 Jun/19/15 Sep/16/15
Description: NEW Applied Materials AMAT 0010-02177 Pivot Arm Bearing RH Assy. 300mm Robot
svcstore Used - $199.99 0 Jun/19/15 Jun/22/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $219.99 0 Jun/19/15 Jun/22/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jun/19/15 Jun/22/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
athomemarket NEW - $370.39 1 Jun/20/15 Oct/28/15
Description: Applied Materials AMAT 9090-01392 Chassis IHC DC Rev A
yayais2012 NEW - $170.00 0 Jun/22/15 Jul/22/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
gonzolo999 NEW - $100.00 0 Jun/22/15 Jul/22/15
Description: Applied Materials (AMAT) 4020-00172 SMC IDG1-N02-P DRYER,20C CDA 1/4FNPT, 10LPM
bruce135 Used - $149.00 0 Jun/22/15 Jul/22/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
athomemarket NEW - $897.99 0 Jun/22/15 Jun/11/17
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
athomemarket Used - $1,950.99 0 Jun/22/15 Jun/10/17
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
svcstore Used - $219.99 0 Jun/22/15 Jun/24/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jun/22/15 Jun/24/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $199.99 0 Jun/22/15 Jun/24/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
electronicswest NEW - $70.00 0 Jun/23/15 Jul/03/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
onlinesmt Refurbished - $48.98 0 Jun/23/15 Jul/23/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $27.90 0 Jun/23/15 Jul/23/15
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT)
onlinesmt Refurbished - $27.90 0 Jun/23/15 Jul/23/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
onlinesmt Refurbished - $27.90 0 Jun/23/15 Jul/23/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $21.70 0 Jun/23/15 Jul/23/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
athomemarket NEW - $4,425.99 0 Jun/24/15 Jun/12/17
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm / 8" Assembly JMF
athomemarket Scrap, for parts - $3,031.19 0 Jun/24/15 Nov/21/15
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
athomemarket Used - $3,123.99 0 Jun/25/15 Jun/14/17
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket Used - $3,752.99 0 Jun/25/15 Jun/14/17
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $4,356.99 0 Jun/25/15 Jun/14/17
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
megawavz Used - $295.00 0 Jun/25/15 Jul/25/15
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
svcstore Used - $169.99 0 Jun/24/15 Jun/27/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $149.99 0 Jun/25/15 Jun/28/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
athomemarket Used - $170.99 1 Jun/26/15 May/08/18
Description: Applied Materials AMAT 0190-23557 Rev 004 CVD Interface PCB Board DeviceNet Card
athomemarket Scrap, for parts - $2,079.99 1 Jun/26/15 Jan/04/17
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
athomemarket Used - $300.99 0 Jun/26/15 Jun/15/17
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket Used - $1,754.99 0 Jun/26/15 Jun/15/17
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
athomemarket Used - $75.99 0 Jun/26/15 Jun/15/17
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $836.99 0 Jun/26/15 Jun/14/17
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Refurbished - $340.99 0 Jun/26/15 Jun/14/17
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket NEW - $898.99 0 Jun/26/15 Jun/15/17
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket NEW - $894.99 0 Jun/26/15 Jun/15/17
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
svcstore Used - $183.99 0 Jun/26/15 Jun/29/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $202.99 0 Jun/26/15 Jun/29/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
athomemarket Used - $67.49 3 Jun/27/15 Aug/07/18
Description: Applied Materials AMAT 0190-16372 Nano-Change MPIS DeviceNet Passive Drop Box
athomemarket NEW - $89.99 1 Jun/27/15 Jul/23/15
Description: NEW Applied Materials AMAT 0020-31016 Housing Quartz Tube BWCVD
sale408away NEW - $149.95 0 Jun/27/15 Jul/27/15
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
svcstore Used - $169.99 0 Jun/27/15 Jun/30/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
dr.dantom Used - $850.00 1 Jun/28/15 Jul/01/15
Description: Applied Materials AMAT 0010-21154 ENDURA Module MFG Interface Box w/ 0100-20059
dr.dantom NEW - $120.00 0 Jun/28/15 Nov/25/15
Description: Applied Materials AMAT 0225-10755 KF40 ELBOW W/1/4 FVCR PORT
dr.dantom NEW - $45.00 1 Jun/28/15 Jun/28/18
Description: Applied Materials AMAT 1400-01097 SNSR REFLEX W/MICRO CONN DC VSBL RED
svcstore NEW - $149.99 0 Jun/28/15 Jul/01/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
ptb-sales Used - $780.00 0 Jun/29/15 Jul/09/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Jun/29/15 Jul/09/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Jun/29/15 Jul/09/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Jun/29/15 Jul/09/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
athomemarket Scrap, for parts - $2,677.99 1 Jun/29/15 Dec/04/15
Description: (3) Applied Materials AMAT 0010-39207 Rev P7 e-MAX Etch Chamber RF Match (AS/IS)
athomemarket Used - $299.99 0 Jun/29/15 Jun/17/17
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket NEW - $404.99 1 Jun/29/15 Dec/27/16
Description: NEW Applied Materials AMAT 0040-54098 Input Manifold Lid Assy. PMD Producer SE
athomemarket Used - $2,524.99 0 Jun/29/15 Nov/26/15
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
athomemarket Used - $1,688.99 0 Jun/29/15 Jun/17/17
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
svcstore Used - $183.99 0 Jun/29/15 Jul/02/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
capitolareatech NEW - $473.78 0 Dec/26/14 Nov/21/15
Description: APPLIED MATERIALS (AMAT) 0100-90659 PWBA Flood Gun Bias ACC Replaces 0100-90
athomemarket NEW - $101.59 0 Jun/30/15 Nov/27/15
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
svcstore Used - $202.99 0 Jun/30/15 Jul/03/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
visionsemi NEW - $1,850.00 1 Jul/01/15 Jul/08/15
Description: APPLIED MATERIALS AMAT UNI-BODY MXP CHAMBER 0040-09723
lvc Used - $350.00 0 Jul/01/15 Sep/29/21
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
athomemarket Used - $2,458.99 0 Jul/01/15 Jun/20/17
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
svcstore NEW - $149.99 0 Jul/01/15 Jul/04/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
springfieldsurplus2014 NEW - $12.50 0 Jul/01/15 Jul/31/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
svcstore Used - $183.99 0 Jul/02/15 Jul/05/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
athomemarket Refurbished - $20.00 0 Jul/03/15 Jun/21/17
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket NEW - $159.99 0 Jul/03/15 Oct/31/15
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore Used - $202.99 0 Jul/03/15 Jul/06/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jul/03/15 Jul/06/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
athomemarket Refurbished - $199.99 0 Jul/04/15 Jun/22/17
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
athomemarket Refurbished - $147.99 0 Jul/04/15 Nov/01/15
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket NEW - $253.99 0 Jul/04/15 Sep/18/15
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
svcstore NEW - $149.99 0 Jul/04/15 Jul/07/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
athomemarket Used - $74.99 2 Jul/05/15 Jul/10/15
Description: Applied Materials AMAT 0100-35232 RF Match Detector 13.56 MHz Board Assembly
svcstore Used - $183.99 0 Jul/05/15 Jul/08/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
onlinesmt Refurbished - $649.00 0 Jul/06/15 Aug/05/15
Description: APPLIED MATERIALS AMAT 0020-27708 8" CLAMP RING
electronicswest NEW - $70.00 0 Jul/06/15 Aug/05/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
onlinesmt Refurbished - $1,099.00 0 Jul/06/15 Aug/05/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD
onlinesmt Refurbished - $999.00 0 Jul/06/15 Aug/05/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD, 8" BESC, TAPERED (ELECTRA IMP)
onlinesmt Refurbished - $425.00 0 Jul/06/15 Aug/05/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
onlinesmt Refurbished - $199.00 0 Jul/06/15 Aug/05/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
athomemarket NEW - $43.19 0 Jul/06/15 Nov/03/15
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Used - $123.19 0 Jul/06/15 Nov/03/15
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $123.19 0 Jul/06/15 Nov/03/15
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
athomemarket Refurbished - $98.39 0 Jul/06/15 Nov/03/15
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket Used - $202.39 0 Jul/06/15 Nov/03/15
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket NEW - $24.99 0 Jul/06/15 Jun/25/17
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
svcstore Used - $202.99 0 Jul/06/15 Jul/09/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jul/06/15 Jul/09/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
athomemarket Used - $154.99 1 Jul/07/15 Aug/12/15
Description: Applied Materials AMAT 0100-09203 Phase and Magnitude Detector PCB Board
svcstore NEW - $149.99 0 Jul/07/15 Jul/10/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
athomemarket Refurbished - $2,020.79 1 Jul/08/15 Feb/15/16
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
athomemarket Used - $1,000.00 0 Jul/08/15 Jun/27/17
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket Used - $1,000.00 0 Jul/08/15 Jun/26/17
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
athomemarket NEW - $403.99 2 Jul/08/15 Dec/04/15
Description: NEW Applied Materials AMAT 0010-06285 Plasma Cell In-Line Endpoint Detector Assy
svcstore Used - $183.99 0 Jul/08/15 Jul/11/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
ptb-sales Used - $2,500.00 0 Jul/09/15 Jul/19/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jul/09/15 Jul/19/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Jul/09/15 Jul/19/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Jul/09/15 Jul/19/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
yayais2012 NEW - $75.00 0 Jul/09/15 Aug/08/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
rat762 Used - $2,500.00 0 Jul/09/15 Jul/14/15
Description: 0010-77858 APPLIED MATERIALS AMAT MIRRA LOTO BREAKER BOX ASSEMBLY WITH CABLES
athomemarket Used - $41.99 0 Jul/09/15 Jun/27/17
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
athomemarket Used - $65.59 0 Jul/09/15 Nov/06/15
Description: Applied Materials AMAT 0140-10330 TxZ Chamber Illumination Harness Assembly
orcom2011 NEW - $50.00 5 Jul/09/15 Sep/04/15
Description: NEW APPLIED MATERIALS AMAT 0200-35293 Window Manometer DSPP MEC
athomemarket Refurbished - $79.99 0 Jul/09/15 Oct/26/15
Description: Applied Materials AMAT 0020-10187 Window Clamp Q Ring 200mm/8" (Refurb)
svcstore Used - $202.99 0 Jul/09/15 Jul/12/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jul/09/15 Jul/12/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
gelogistics NEW - $5,400.00 0 Jul/10/15 Mar/10/16
Description: Applied Materials AMAT APL 3870-04344 Rectangular Insert MONOVAT Direct
logansemi NEW - $499.00 2 Jul/10/15 Sep/22/15
Description: APPLIED MATERIALS (AMAT) 0224-43825 SWAGELOK 6LV-D1V222P-BA VALVE BLOCK 3WAY 1/
athomemarket NEW - $4,959.99 0 Jul/10/15 Nov/07/15
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $900.00 0 Jul/10/15 Jun/28/17
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
athomemarket Refurbished - $106.39 0 Jul/10/15 Nov/07/15
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket NEW - $78.39 1 Jul/10/15 Mar/10/16
Description: Lot of 2 NEW Applied Materials AMAT 0050-50826 Weldment 1/4" VCR Fittings 6LVV
athomemarket Used - $229.49 0 Jul/10/15 Nov/07/15
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
athomemarket Used - $489.99 1 Jul/10/15 Apr/05/16
Description: NEW Applied Materials AMAT 0190-10208 Loadlock 300mm Interlock Interface Card
svcstore NEW - $149.99 0 Jul/10/15 Jul/13/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $183.99 0 Jul/11/15 Jul/14/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
onlinesmt Refurbished - $649.00 0 Jul/12/15 Aug/11/15
Description: APPLIED MATERIALS (AMAT) 0020-27708 8" CLAMP RING
athomemarket NEW - $98.99 0 Jul/12/15 Jan/05/16
Description: NEW Applied Materials AMAT 0090-77063 Interlock Keyed Switch Assembly Key Rev. B
athomemarket NEW - $51.99 0 Jul/12/15 Nov/09/15
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket Used - $199.99 0 Jul/12/15 Oct/21/16
Description: Micro Memory MMI MM-6500 Board Applied Materials AMAT 0100-00137
onlinesmt Refurbished - $479.00 0 Jul/12/15 Aug/11/15
Description: APPLIED MATERIALS (AMAT) 0021-20718 A 8" LOWER PEDESTAL COVER
svcstore Used - $202.99 0 Jul/12/15 Jul/15/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcompucycle Used - $29.95 0 Jul/13/15 Aug/12/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
sapph.advan NEW - $50.00 0 Jul/13/15 Jul/20/15
Description: Sapphire window 0200-10346 Applied Materials AMAT unild GDP Window Solid
sapph.advan NEW - $400.00 0 Jul/13/15 Jul/20/15
Description: Sapphire tube 0200-18073 Applied Materials AMAT mwave source,HDP-CVD saphire
svcstore Used - $169.99 0 Jul/13/15 Jul/16/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $149.99 0 Jul/13/15 Jul/16/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
capitolareatech NEW - $13.90 0 Jul/14/15 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3700-01754 GREENE TWEED 9214-SC513 ORING ID .984 CSD .
rat762 Used - $2,500.00 0 Jul/14/15 Jul/19/15
Description: 0010-77858 APPLIED MATERIALS AMAT MIRRA LOTO BREAKER BOX ASSEMBLY WITH CABLES
svcstore Used - $183.99 0 Jul/14/15 Jul/17/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
megawavz Used - $995.00 0 Jul/15/15 Aug/14/15
Description: Applied Materials AMAT 0090-90206 Data Aquisition Controller
bruce135 Used - $399.99 0 Jul/15/15 Aug/14/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
athomemarket Used - $75.00 0 Jul/15/15 Jul/04/17
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket NEW - $722.39 0 Jul/15/15 Nov/12/15
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
athomemarket Refurbished - $416.99 0 Jul/15/15 Sep/13/16
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket Used - $78.99 0 Jul/15/15 Jul/04/17
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $100.00 0 Jul/15/15 Jul/03/17
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $99.99 0 Jul/15/15 Jul/03/17
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket Used - $49.99 0 Jul/15/15 Jul/04/17
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
svcstore Used - $202.99 0 Jul/15/15 Jul/18/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
dr.dantom Used - $800.00 1 Jul/16/15 Sep/01/15
Description: Applied Materials AMAT 0100-20173 PCB Assembly Stepper Controller
pete-sigep Used - $375.00 0 Jul/16/15 Aug/15/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
megawavz Used - $295.00 0 Jul/16/15 Aug/15/15
Description: Applied Materials AMAT 0100-90031 Auxiliary Supplies Control Board
athomemarket NEW - $20.00 0 Jul/16/15 Jul/05/17
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
athomemarket Used - $50.39 0 Jul/16/15 Nov/13/15
Description: Lot of 2 Applied Materials AMAT 0100-20458 Interlock Buffer w/ DeviceNet DIP180
athomemarket Used - $750.00 0 Jul/16/15 Jul/05/17
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $49.99 0 Jul/16/15 Jul/04/17
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
megawavz Used - $295.00 0 Jul/16/15 Aug/15/15
Description: Applied Materials AMAT 0120-90586 Vacuum Control Feedback
megawavz Used - $495.00 0 Jul/16/15 Aug/15/15
Description: Applied Materials AMAT 0120-90785 Flood Gun Emission Control Board
svcstore Used - $169.99 0 Jul/16/15 Jul/19/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $149.99 0 Jul/16/15 Jul/19/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $183.99 0 Jul/17/15 Jul/20/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $202.99 0 Jul/18/15 Jul/21/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
orcom2011 Used - $700.00 1 Jul/18/15 Feb/01/16
Description: Applied Materials AMAT 0020-33806 Upper Chamber REV P2 HLZ 319 005 0060-35209
svcstore Used - $169.99 0 Jul/19/15 Jul/22/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $149.99 0 Jul/19/15 Jul/22/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
dr.dantom Used - $185.00 1 Jul/20/15 Aug/17/15
Description: Applied Materials AMAT Chamber Interface Board 0100-35175 0190-35310
pete-sigep Scrap, for parts - $750.00 0 Jul/20/15 Aug/19/15
Description: Applied Materials AMAT 0010-76001 Storage Elevator
onlinesmt Used - $53.20 0 Jul/20/15 Aug/19/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
onlinesmt Refurbished - $749.00 0 Jul/20/15 Aug/19/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Refurbished - $199.00 0 Jul/20/15 Aug/19/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
ptb-sales Used - $3,000.00 0 Jul/20/15 Jul/30/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Jul/20/15 Jul/30/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Jul/20/15 Jul/30/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jul/20/15 Jul/30/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore Used - $183.99 0 Jul/20/15 Jul/23/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
pete-sigep Used - $475.00 0 Jul/20/15 Aug/19/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
semiconusa NEW - $6,500.00 1 Jul/21/15 Jun/10/16
Description: APPLIED MATERIALS (AMAT) 0190-09597 APPLICATOR
svcstore Used - $202.99 0 Jul/21/15 Jul/24/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
dr.dantom Used - $900.00 1 Jul/22/15 Mar/14/17
Description: Applied Materials AMAT 0010-09750 CVD RF Match Precision 5000 Module
dr.dantom Used - $450.00 0 Jul/22/15 Feb/17/20
Description: Applied Materials AMAT 9090-00093 REV A DAQ Mk11 Type H
gonzolo999 NEW - $100.00 1 Jul/22/15 Jan/26/17
Description: Applied Materials (AMAT) 4020-00172 SMC IDG1-N02-P DRYER,20C CDA 1/4FNPT, 10LPM
bruce135 Used - $149.00 0 Jul/22/15 Aug/21/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $169.99 0 Jul/22/15 Jul/25/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
yayais2012 NEW - $170.00 0 Jul/23/15 Aug/22/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
dr.dantom Used - $850.00 1 Jul/23/15 Oct/25/15
Description: Applied Materials AMAT 0010-21154 ENDURA Module MFG Interface Box w/ 0100-20059
aaaportal Used - $92.74 0 Jul/23/15 Oct/15/17
Description: APPLIED MATERIALS AMAT PN 0190-35198 Calibrated Optical Sensor Cable
aaaportal Used - $88.10 0 Jul/23/15 Nov/20/15
Description: APPLIED MATERIALS AMAT PN 0190-35961 Calibrated Optical Sensor Cable
aaaportal Used - $38.74 1 Jul/23/15 Nov/07/15
Description: APPLIED MATERIALS AMAT PN 0190-35425 SEKIDENKO PL100-3.6 Calibrated Sensor Cable
svcstore NEW - $149.99 0 Jul/23/15 Jul/26/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
onlinesmt Refurbished - $27.90 0 Jul/24/15 Aug/23/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
onlinesmt Refurbished - $27.90 0 Jul/24/15 Aug/23/15
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT)
onlinesmt Refurbished - $21.70 0 Jul/24/15 Aug/23/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
onlinesmt Refurbished - $48.98 0 Jul/24/15 Aug/23/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $27.90 0 Jul/24/15 Aug/23/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
svcstore Used - $169.99 0 Jul/25/15 Jul/28/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $149.99 0 Jul/26/15 Jul/29/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
eastsemi NEW - $6,500.00 0 Jul/26/15 Sep/19/15
Description: APPLIED MATERIALS (AMAT) 0190-09597 APPLICATOR
frostliquidation Used - $100.00 1 Jul/26/15 Aug/26/15
Description: APPLIED MATERIALS AMAT 0100-00003 STEPPER DRIVE BOARD
dr.dantom Used - $200.00 1 Jul/27/15 Nov/09/15
Description: Applied Materials AMAT 0090-91824 IHC SOURCE CHASSIS Motherboard arc control dsp
frostliquidation Used - $100.00 0 Jul/27/15 Sep/25/15
Description: APPLIED MATERIALS AMAT 0100-00825 ANALOG I/O BOARD
svcstore Used - $186.99 0 Jul/27/15 Jul/30/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Jul/27/15 Jul/30/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
frostliquidation Used - $2,250.00 1 Jul/27/15 Aug/07/15
Description: APPLIED MATERIALS AMAT 0010-30612 MFA HEATSINK ADAPTER ASSY 3155077-001B
svcstore Used - $169.99 0 Jul/28/15 Jul/31/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
sapph.advan NEW - $400.00 0 Jul/29/15 Aug/05/15
Description: Sapphire tube 0200-18073 Applied Materials AMAT mwave source,HDP-CVD saphire
svcstore NEW - $149.99 0 Jul/29/15 Aug/01/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
ptb-sales Used - $2,500.00 0 Jul/30/15 Aug/09/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Jul/30/15 Aug/09/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $3,000.00 0 Jul/30/15 Aug/09/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Jul/30/15 Aug/09/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
trees_for_a_better_tomorrow Used - $200.00 0 Jul/30/15 Aug/06/15
Description: APPLIED MATERIALS AMAT PNEUMATIC GATE ACTUATOR 0040-76764 SMC MXQ25-50
trees_for_a_better_tomorrow Used - $20.00 0 Jul/30/15 Aug/06/15
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
svcstore Used - $169.99 0 Jul/30/15 Aug/02/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $186.99 0 Jul/30/15 Aug/02/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
albelectric NEW - $49.99 0 Jul/31/15 Aug/30/15
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
trees_for_a_better_tomorrow NEW - $40.00 0 Jul/31/15 Aug/07/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
trees_for_a_better_tomorrow NEW - $60.00 0 Jul/31/15 Aug/07/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
frostliquidation NEW - $150.00 0 Aug/01/15 Sep/25/15
Description: APPLIED MATERIALS AMAT 0270-02510 OUTRIGGER RIGHT REAR 300MM E2 0060-00965
svcstore Used - $186.99 0 Aug/02/15 Aug/05/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Aug/02/15 Aug/05/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $156.99 0 Aug/04/15 Aug/07/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
springfieldsurplus2014 NEW - $11.25 0 Aug/05/15 Sep/04/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
onlinesmt Refurbished - $649.00 0 Aug/05/15 Sep/04/15
Description: APPLIED MATERIALS AMAT 0020-27708 8" CLAMP RING
svcstore Used - $186.99 0 Aug/05/15 Aug/08/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Aug/05/15 Aug/08/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
onlinesmt Refurbished - $199.00 0 Aug/06/15 Sep/05/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
onlinesmt Refurbished - $999.00 0 Aug/06/15 Sep/05/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD, 8" BESC, TAPERED (ELECTRA IMP)
onlinesmt Refurbished - $425.00 0 Aug/06/15 Sep/05/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
onlinesmt Refurbished - $1,099.00 0 Aug/06/15 Sep/05/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD
bobsgoodies Used - $295.00 1 Aug/07/15 Sep/16/15
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
bobsgoodies NEW - $375.00 1 Aug/07/15 Sep/16/15
Description: Applied Materials AMAT 3380-01034 HE2-PASS SSCFK Shell & Tube SS Heat Exchanger
electronicswest NEW - $70.00 0 Aug/07/15 Sep/06/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
svcstore Used - $156.99 0 Aug/07/15 Aug/10/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $169.99 0 Aug/08/15 Aug/11/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $186.99 0 Aug/08/15 Aug/11/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
eastsemi Used - $15,999.00 1 Aug/10/15 Mar/17/16
Description: APPLIED MATERIALS (AMAT) 0040-34865, 0040-34866
ptb-sales Used - $750.00 0 Aug/10/15 Aug/20/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Aug/10/15 Aug/20/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Aug/10/15 Aug/20/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Aug/10/15 Aug/20/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
svcstore Used - $156.99 0 Aug/10/15 Aug/13/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
sapph.advan NEW - $19.00 0 Aug/11/15 Aug/18/15
Description: Sapphire window 0200-10346 Applied Materials AMAT unild GDP Window Solid
sapph.advan NEW - $400.00 0 Aug/11/15 Aug/18/15
Description: Applied Materials AMAT sapphire tube 0200-18073 mwave source,HDP-CVD saphire
svcstore Used - $186.99 0 Aug/11/15 Aug/14/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Aug/11/15 Aug/14/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
yayais2012 NEW - $75.00 0 Aug/11/15 Sep/10/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
svcompucycle Used - $29.95 0 Aug/12/15 Sep/11/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
onlinesmt Refurbished - $479.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS (AMAT) 0021-20718 A 8" LOWER PEDESTAL COVER
onlinesmt Refurbished - $649.00 0 Aug/12/15 Sep/11/15
Description: APPLIED MATERIALS (AMAT) 0020-27708 8" CLAMP RING
megawavz Used - $395.00 0 Aug/13/15 Sep/12/15
Description: Applied Materials AMAT 0120-91103 Arc Switchmode Board
megawavz Used - $395.00 0 Aug/13/15 Sep/12/15
Description: Applied Materials AMAT 0120-91285 Board
svcstore Used - $156.99 0 Aug/13/15 Aug/16/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
bruce135 Used - $399.99 0 Aug/14/15 Sep/13/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Top Ceramic TxZ 300mm 103-2083841
sale408away NEW - $149.95 0 Aug/14/15 Sep/13/15
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
asset_asset Refurbished - $1,749.52 0 Aug/14/15 Nov/12/15
Description: Applied Materials AMAT 0010-02977
svcstore NEW - $149.99 0 Aug/14/15 Aug/17/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $186.99 0 Aug/14/15 Aug/17/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Aug/14/15 Aug/17/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
pete-sigep Used - $375.00 0 Aug/15/15 Sep/14/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
svcstore Used - $156.99 0 Aug/16/15 Aug/19/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $149.99 0 Aug/17/15 Aug/20/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $186.99 0 Aug/17/15 Aug/20/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $169.99 0 Aug/17/15 Aug/20/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
megawavz Used - $295.00 0 Aug/18/15 Sep/17/15
Description: Applied Materials AMAT 0100-90031 Auxiliary Supplies Control Board
megawavz Used - $295.00 0 Aug/18/15 Sep/17/15
Description: Applied Materials AMAT 0120-90586 Vacuum Control Feedback
megawavz Used - $495.00 0 Aug/18/15 Sep/17/15
Description: Applied Materials AMAT 0120-90785 Flood Gun Emission Control Board
pete-sigep Scrap, for parts - $750.00 0 Aug/19/15 Sep/18/15
Description: Applied Materials AMAT 0010-76001 Storage Elevator
svcstore Used - $156.99 0 Aug/19/15 Aug/22/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
pete-sigep Used - $475.00 0 Aug/20/15 Sep/19/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
svcstore Used - $169.99 0 Aug/20/15 Aug/27/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore NEW - $149.99 0 Aug/20/15 Aug/25/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $186.99 0 Aug/20/15 Aug/27/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
onlinesmt Used - $53.20 0 Aug/21/15 Sep/20/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
onlinesmt Refurbished - $749.00 0 Aug/21/15 Sep/20/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Refurbished - $199.00 0 Aug/21/15 Sep/20/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
bruce135 Used - $149.00 0 Aug/21/15 Sep/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
ptb-sales Used - $2,500.00 0 Aug/21/15 Aug/31/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Aug/21/15 Aug/31/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $3,000.00 0 Aug/21/15 Aug/31/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Aug/21/15 Aug/31/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
yayais2012 NEW - $170.00 0 Aug/22/15 Sep/21/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
svcstore Used - $156.99 0 Aug/22/15 Aug/27/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
dr.dantom Used - $480.00 1 Aug/24/15 Dec/24/15
Description: Applied Materials AMAT Analog Input Board ASSY 0100-09054 REV H
megawavz Used - $995.00 0 Aug/24/15 Sep/23/15
Description: Applied Materials AMAT 0090-90206 Data Aquisition Controller
onlinesmt Refurbished - $48.98 0 Aug/24/15 Sep/23/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $27.90 0 Aug/24/15 Sep/23/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $21.70 0 Aug/24/15 Sep/23/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
onlinesmt Refurbished - $27.90 0 Aug/24/15 Sep/23/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
onlinesmt Refurbished - $27.90 0 Aug/24/15 Sep/23/15
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT)
megawavz Used - $495.00 0 Aug/24/15 Sep/23/15
Description: Applied Materials AMAT 0100-90228 0120-90826 4-Phase Stepper Controller Board
megawavz Used - $295.00 0 Aug/25/15 Sep/24/15
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
svcstore NEW - $149.99 0 Aug/25/15 Aug/30/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
usedeqsales Used - $403.12 0 Aug/26/15 Nov/24/15
Description: Applied Materials AMAT XR80 0100-94006 Rev. A Spin Controller Board Used Working
usedeqsales Used - $602.11 0 Aug/26/15 Jan/10/19
Description: Applied Materials AMAT 0100-90890 Spin/Scan INTLK Board Used Working XR80
electronicswest NEW - $150.00 0 Aug/27/15 Sep/03/15
Description: Applied Materials AMAT 0040-81155 Tetra Liner Lower DPSII 300MM
electronicswest NEW - $100.00 0 Aug/27/15 Sep/06/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
svcstore Used - $169.99 0 Aug/27/15 Sep/01/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $186.99 0 Aug/27/15 Sep/01/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $156.99 0 Aug/27/15 Sep/01/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
sapph.advan NEW - $19.00 0 Aug/29/15 Sep/05/15
Description: Sapphire window 0200-10346 Applied Materials AMAT unild GDP Window Solid
sapph.advan NEW - $400.00 1 Aug/29/15 Sep/05/15
Description: Applied Materials AMAT sapphire tube 0200-18073 mwave source,HDP-CVD saphire
albelectric NEW - $49.99 0 Aug/30/15 Sep/29/15
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
ajnjj Used - $880.00 1 Aug/31/15 Sep/10/15
Description: Applied Materials AMAT 0190-35651 SERIPLEX CONTROL BUS SPX MUXADIO110 DMR Clean!
svcstore NEW - $133.99 0 Aug/31/15 Sep/05/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
ptb-sales Used - $750.00 0 Aug/31/15 Sep/10/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Aug/31/15 Sep/10/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Aug/31/15 Sep/10/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $3,000.00 0 Aug/31/15 Sep/10/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcstore Used - $156.99 0 Sep/01/15 Sep/06/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $151.99 0 Sep/02/15 Sep/07/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $167.99 0 Sep/02/15 Sep/07/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
mister_harddrive NEW - $225.95 0 Sep/03/15 Nov/02/15
Description: Applied Materials AMAT 0010-11251 PROBE SPECIAL PH/ ORP PN#1150-01047 - #563
springfieldsurplus2014 NEW - $12.50 0 Sep/04/15 Oct/04/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
onlinesmt Refurbished - $649.00 0 Sep/05/15 Oct/05/15
Description: APPLIED MATERIALS AMAT 0020-27708 8" CLAMP RING
svcstore NEW - $133.99 0 Sep/05/15 Sep/10/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $167.99 0 Sep/07/15 Sep/12/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $151.99 0 Sep/07/15 Sep/12/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $156.99 0 Sep/08/15 Sep/13/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
onlinesmt Refurbished - $999.00 0 Sep/08/15 Oct/08/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD 8" BESC TAPERED (ELECTRA IMP)
onlinesmt Refurbished - $1,099.00 0 Sep/08/15 Oct/08/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD - Mfg Refurb
onlinesmt Refurbished - $199.00 0 Sep/08/15 Oct/08/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD - Mfg Refurb
onlinesmt Refurbished - $425.00 0 Sep/08/15 Oct/08/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6" 6-Inch
electronicswest NEW - $100.00 0 Sep/09/15 Oct/09/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
electronicswest NEW - $70.00 0 Sep/09/15 Oct/09/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
ptb-sales Used - $2,500.00 0 Sep/10/15 Sep/20/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $3,000.00 0 Sep/10/15 Sep/20/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Sep/10/15 Sep/20/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Sep/10/15 Sep/20/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
svcstore NEW - $133.99 0 Sep/10/15 Sep/15/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
yayais2012 NEW - $75.00 0 Sep/11/15 Oct/11/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
dsudeal NEW - $500.00 0 Sep/11/15 Sep/16/15
Description: APPLIED MATERIALS (AMAT) 0020-48302 SHUTTER DISC-TI Cleanr coat 30
svcompucycle Used - $29.95 0 Sep/12/15 Oct/12/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
svcstore Used - $167.99 0 Sep/12/15 Sep/17/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $151.99 0 Sep/12/15 Sep/17/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $156.99 0 Sep/14/15 Sep/19/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
sapph.advan NEW - $19.00 0 Sep/14/15 Sep/21/15
Description: Sapphire window 0200-10346 Applied Materials AMAT unild GDP Window Solid
bruce135 Used - $319.99 0 Sep/14/15 Oct/14/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
pete-sigep Used - $375.00 0 Sep/14/15 Oct/14/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
onlinesmt Refurbished - $279.00 0 Sep/15/15 Oct/15/15
Description: APPLIED MATERIALS (AMAT) 0021-20718 A 8" LOWER PEDESTAL COVER
onlinesmt Refurbished - $449.00 0 Sep/15/15 Oct/15/15
Description: APPLIED MATERIALS (AMAT) 0020-27708 8" CLAMP RING
svcstore NEW - $133.99 0 Sep/15/15 Sep/20/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
sale408away NEW - $14.95 0 Sep/15/15 Sep/22/15
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
avizasales NEW - $99.00 0 Sep/17/15 Jul/24/17
Description: APPLIED MATERIALS AMAT 0150-10506 BACKPLANE VIDEO CABLE,VP3 CONTROLLER I/O CONN.
svcstore Used - $167.99 0 Sep/17/15 Sep/22/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $151.99 0 Sep/17/15 Sep/22/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
pete-sigep Scrap, for parts - $750.00 0 Sep/18/15 Oct/18/15
Description: Applied Materials AMAT 0010-76001 Storage Elevator
sapph.advan NEW - $400.00 1 Sep/18/15 Sep/25/15
Description: Applied Materials AMAT sapphire tube 0200-18073 mwave source,HDP-CVD saphire
svcstore Used - $156.99 0 Sep/19/15 Sep/24/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
semikorea Used - $2,600.00 0 Sep/21/15 Apr/09/23
Description: Applied Materials AMAT 0021-10223 Chamber Upper Liner S/N.A00309
pete-sigep Used - $475.00 0 Sep/21/15 Oct/21/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
ptb-sales Used - $750.00 0 Sep/21/15 Oct/01/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Sep/21/15 Oct/01/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Sep/21/15 Oct/01/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Sep/21/15 Oct/01/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
bruce135 Used - $149.00 0 Sep/21/15 Oct/21/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
yayais2012 NEW - $170.00 0 Sep/21/15 Oct/21/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
svcstore NEW - $133.99 0 Sep/20/15 Sep/25/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
dsudeal NEW - $300.00 1 Sep/20/15 Sep/24/15
Description: APPLIED MATERIALS (AMAT) 0020-48302 SHUTTER DISC-TI Cleanr coat 30
onlinesmt Refurbished - $499.00 0 Sep/20/15 Oct/20/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Refurbished - $99.00 0 Sep/20/15 Oct/20/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
onlinesmt Refurbished - $99.00 0 Sep/20/15 Oct/20/15
Description: APPLIED MATERIALS (AMAT) 0020-26822-001 0020-26822 SHIELD 8" LOW KNEE
onlinesmt Used - $29.00 0 Sep/20/15 Oct/20/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
megawavz Used - $495.00 0 Sep/22/15 Oct/22/15
Description: Applied Materials AMAT 0120-90785 Flood Gun Emission Control Board
svcstore Used - $151.99 0 Sep/23/15 Sep/28/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $167.99 0 Sep/22/15 Sep/27/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
logansemi NEW - $499.00 0 Sep/23/15 Sep/23/15
Description: APPLIED MATERIALS (AMAT) 0224-43825 SWAGELOK 6LV-D1V222P-BA VALVE BLOCK 3WAY 1/
megawavz Used - $395.00 0 Sep/23/15 Oct/23/15
Description: Applied Materials AMAT 0120-91103 Arc Switchmode Board
svcstore Used - $156.99 0 Sep/24/15 Sep/29/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
megawavz Used - $395.00 0 Sep/24/15 Oct/24/15
Description: Applied Materials AMAT 0100-90228 0120-90826 4-Phase Stepper Controller Board
megawavz Used - $295.00 0 Sep/24/15 Oct/24/15
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
onlinesmt Refurbished - $21.70 0 Sep/24/15 Oct/24/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
onlinesmt Refurbished - $27.90 0 Sep/24/15 Oct/24/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $27.90 0 Sep/24/15 Oct/24/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
onlinesmt Refurbished - $22.90 0 Sep/24/15 Oct/24/15
Description: APPLIED MATERIALS AMAT 0021-04252 OUTER CUP (COIL SUPPORT)
onlinesmt Refurbished - $48.98 0 Sep/24/15 Oct/24/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
svcstore NEW - $133.99 0 Sep/25/15 Sep/30/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
sale408away NEW - $14.95 0 Sep/26/15 Oct/03/15
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
frostliquidation NEW - $100.00 0 Sep/27/15 Nov/25/15
Description: APPLIED MATERIALS AMAT 0270-02510 OUTRIGGER RIGHT REAR 300MM E2 0060-00965
frostliquidation Used - $100.00 1 Sep/27/15 Oct/19/15
Description: APPLIED MATERIALS AMAT 0100-00825 ANALOG I/O BOARD
svcstore Used - $167.99 0 Sep/27/15 Oct/02/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $151.99 0 Sep/28/15 Oct/03/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
albelectric NEW - $49.99 0 Sep/29/15 Oct/29/15
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
svcstore Used - $156.99 0 Sep/29/15 Oct/04/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $133.99 0 Sep/30/15 Oct/05/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
ptb-sales Used - $3,000.00 0 Oct/02/15 Oct/12/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Oct/02/15 Oct/12/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Oct/02/15 Oct/12/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Oct/02/15 Oct/12/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore Used - $151.99 0 Oct/03/15 Oct/08/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $167.99 0 Oct/02/15 Oct/07/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $156.99 0 Oct/04/15 Oct/09/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
springfieldsurplus2014 NEW - $12.50 0 Oct/04/15 Nov/03/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
megawavz Used - $295.00 0 Oct/05/15 Nov/04/15
Description: Applied Materials AMAT 0120-90586 Vacuum Control Feedback
megawavz Used - $395.00 0 Oct/05/15 Nov/04/15
Description: Applied Materials AMAT 0120-91285 Board
onlinesmt Refurbished - $649.00 0 Oct/05/15 Nov/04/15
Description: APPLIED MATERIALS AMAT 0020-27708 8" CLAMP RING
svcstore NEW - $133.99 0 Oct/05/15 Oct/10/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
sale408away NEW - $14.95 0 Oct/06/15 Oct/13/15
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
megawavz Used - $295.00 0 Oct/07/15 Nov/06/15
Description: Applied Materials AMAT 0100-90031 Auxiliary Supplies Control Board
svcstore Used - $151.99 0 Oct/08/15 Oct/13/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $167.99 0 Oct/08/15 Oct/13/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
willi-camry NEW - $5,000.00 1 Oct/07/15 Dec/07/15
Description: Applied Materials 0010-03128 ASSY MCA+ AC BOX Applied Materials Amat
svcstore Used - $156.99 0 Oct/09/15 Oct/14/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
onlinesmt Refurbished - $199.00 0 Oct/10/15 Nov/09/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD - Mfg Refurb
onlinesmt Refurbished - $1,099.00 0 Oct/10/15 Nov/09/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD - Mfg Refurb
onlinesmt Refurbished - $999.00 0 Oct/10/15 Nov/09/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD 8" BESC TAPERED (ELECTRA IMP)
onlinesmt Refurbished - $425.00 0 Oct/10/15 Nov/09/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6" 6-Inch
svcstore NEW - $133.99 0 Oct/10/15 Oct/15/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
yayais2012 NEW - $75.00 0 Oct/11/15 Nov/10/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
svcompucycle Used - $29.95 2 Oct/12/15 Oct/29/15
Description: Applied Materials AMAT DNET Vacuum Gauge Interface Controller 0190-11432 / QTY
ptb-sales Used - $3,000.00 0 Oct/12/15 Oct/22/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Oct/12/15 Oct/22/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Oct/12/15 Oct/22/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Oct/12/15 Oct/22/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
svcstore Used - $151.99 0 Oct/13/15 Oct/18/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $167.99 0 Oct/13/15 Oct/18/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
electronicswest NEW - $70.00 0 Oct/13/15 Nov/12/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
electronicswest NEW - $100.00 0 Oct/13/15 Nov/12/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
katiil3 Used - $299.00 1 Oct/13/15 Oct/16/15
Description: Applied Materials AMAT Chamber Interface Board 0100-35175
pete-sigep Used - $375.00 0 Oct/14/15 Nov/13/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
bruce135 Used - $399.99 0 Oct/14/15 Nov/09/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $117.74 0 Oct/14/15 Oct/19/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
kokoy666 Used - $150.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS AMAT 0190-01458 RF POT ASSEMBLY COAXIAL CABLE 54ft
visionsemi Used - $2,450.00 1 Oct/15/15 Dec/28/15
Description: APPLIED MATERIALS AMAT 0100-00372 PCB EXPANSION I/O PCB TESTED WORKING
bobsgoodies NEW - $29.00 0 Oct/15/15 Nov/14/15
Description: Applied Materials AMAT Quick Coupling 3300-01956 Swagelok SS-QC6
onlinesmt Refurbished - $449.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS (AMAT) 0020-27708 8" CLAMP RING
onlinesmt Refurbished - $279.00 0 Oct/15/15 Nov/14/15
Description: APPLIED MATERIALS (AMAT) 0021-20718 A 8" LOWER PEDESTAL COVER
svcstore NEW - $133.99 0 Oct/16/15 Oct/21/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
frostliquidation Used - $250.00 0 Oct/18/15 Nov/17/15
Description: APPLIED MATERIALS AMAT 0100-11022 / MIZAR 75801 SIO PCB BOARD
pete-sigep Scrap, for parts - $750.00 0 Oct/19/15 Nov/18/15
Description: Applied Materials AMAT 0010-76001 Storage Elevator
svcstore Used - $135.99 0 Oct/19/15 Oct/24/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $156.99 0 Oct/19/15 Oct/24/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $150.99 0 Oct/20/15 Oct/25/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
bruce135 Used - $149.00 0 Oct/21/15 Nov/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore NEW - $133.99 0 Oct/21/15 Oct/26/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
onlinesmt Refurbished - $499.00 0 Oct/21/15 Nov/20/15
Description: APPLIED MATERIALS (AMAT) 0021-04929 SHIELD
onlinesmt Refurbished - $99.00 0 Oct/21/15 Nov/20/15
Description: APPLIED MATERIALS (AMAT) 0020-27220 PA 8" CLAMP RING
onlinesmt Refurbished - $99.00 0 Oct/21/15 Nov/20/15
Description: APPLIED MATERIALS (AMAT) 0020-26822-001 0020-26822 SHIELD 8" LOW KNEE
onlinesmt Used - $29.00 0 Oct/21/15 Nov/20/15
Description: APPLIED MATERIALS (AMAT) 0020-22813 REV PC 8" DUMMY WAFER (Set of 2)
yayais2012 NEW - $170.00 0 Oct/21/15 Nov/20/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
neilan1987 NEW - $199.99 1 Oct/22/15 Apr/18/16
Description: Applied Materials AMAT 0040-23526 300mm Slit Valve Door Faceplate NEW
neilan1987 NEW - $179.99 6 Oct/22/15 Apr/18/16
Description: Applied Materials AMAT 0040-23525 300mm Slit Valve Door Faceplate NEW
pete-sigep Used - $475.00 0 Oct/22/15 Nov/21/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
megawavz Used - $495.00 0 Oct/22/15 Nov/21/15
Description: Applied Materials AMAT 0120-90785 Flood Gun Emission Control Board
ptb-sales Used - $2,500.00 0 Oct/22/15 Nov/01/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Oct/22/15 Nov/01/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $750.00 0 Oct/22/15 Nov/01/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $3,000.00 0 Oct/22/15 Nov/01/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
neilan1987 NEW - $1,999.99 4 Oct/23/15 Apr/19/16
Description: Applied Materials AMAT 0200-06355 300mm Ceramic Robot Blades NEW SEALED
sale408away NEW - $89.95 0 Oct/24/15 Nov/23/15
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
svcstore Used - $156.99 0 Oct/24/15 Oct/29/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $135.99 0 Oct/24/15 Oct/29/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
frostliquidation Used - $100.00 0 Oct/25/15 Dec/08/15
Description: APPLIED MATERIALS AMAT 0100-00049 AC CURRENT SENSE
frostliquidation Used - $100.00 0 Oct/25/15 Dec/08/15
Description: APPLIED MATERIALS AMAT 0100-00049 ANALOG SIGNAL CONDITIONER
frostliquidation Used - $400.00 0 Oct/25/15 Dec/08/15
Description: APPLIED MATERIALS AMAT 0010-09340 SUSCEPTER LIFT ASSY
neilan1987 NEW - $299.99 0 Oct/25/15 Apr/26/17
Description: Applied Materials AMAT 0100-02955 Dual TC Amp PCB 300mm PVD PRE-CLEAN
neilan1987 Used - $29.99 0 Oct/25/15 Apr/26/17
Description: Applied Materials AMAT 0100-00574 PVD IMP Chamber Interlock Card USED
svcstore Used - $150.99 0 Oct/25/15 Oct/30/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
ssssayag NEW - $250.00 0 Oct/26/15 Nov/25/15
Description: Applied Materials AMAT 0020-75731 Water Manifold 3 PTFE HDP-CVD
onlinesmt Refurbished - $21.70 0 Oct/26/15 Nov/25/15
Description: APPLIED MATERIALS (AMAT) 0200-00911 LABYRINTH FEED THRU COIL SUPPORT for ELECTRA
onlinesmt Refurbished - $27.90 0 Oct/26/15 Nov/25/15
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT)
onlinesmt Refurbished - $27.90 0 Oct/26/15 Nov/25/15
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP, RIGID COIL SUPPORT for VECTRA
onlinesmt Refurbished - $48.98 0 Oct/26/15 Nov/25/15
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $22.90 0 Oct/26/15 Nov/25/15
Description: APPLIED MATERIALS AMAT 0021-04252 OUTER CUP (COIL SUPPORT)
megawavz Used - $295.00 0 Oct/26/15 Nov/25/15
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
megawavz Used - $395.00 0 Oct/26/15 Nov/25/15
Description: Applied Materials AMAT 0120-91103 Arc Switchmode Board
neilan1987 NEW - $99.99 0 Oct/26/15 Nov/25/15
Description: Applied Materials AMAT 3320-01026 Conflat Gasket 10 CFF OFC Copper
frostliquidation Used - $100.00 0 Oct/26/15 Nov/24/15
Description: APPLIED MATERIALS AMAT 0050-10058 WELD VALVE MODIF. VCR1/2 - LOT OF 2
frostliquidation NEW - $250.00 0 Oct/26/15 Nov/24/15
Description: APPLIED MATERIALS AMAT 0050-51444 GAS MANIFOLD W VERIFLO VALVES (1X)
neilan1987 NEW - $1,499.99 0 Oct/25/15 Nov/24/15
Description: Applied Materials AMAT 0200-05638 300mm Ceramic Robot Blades NEW SEALED
ssssayag Used - $150.00 0 Oct/26/15 Nov/25/15
Description: Applied Materials AMAT 0010-93023 LIFT AND ROTATE ASSEMBLY
svcstore NEW - $133.99 0 Oct/26/15 Oct/31/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
neilan1987 NEW - $599.99 1 Oct/28/15 Mar/28/16
Description: Applied Materials AMAT 0190-15905 RF Cable SQS(M) R/A to SQS(M) R/A CXN3233
ab-international NEW - $50.00 0 Oct/28/15 Nov/04/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
ab-international NEW - $50.00 0 Oct/28/15 Nov/04/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
albelectric NEW - $49.99 0 Oct/29/15 Nov/27/15
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
ptb-sales Used - $750.00 0 Nov/02/15 Nov/12/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Nov/02/15 Nov/12/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ssssayag NEW - $27.00 0 Nov/02/15 Dec/02/15
Description: Applied Materials AMAT 3690-01412 Screw, lot of 15
ptb-sales Used - $3,000.00 0 Nov/02/15 Nov/12/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Nov/02/15 Nov/12/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
svcstore NEW - $133.99 0 Oct/31/15 Nov/05/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $140.99 0 Oct/30/15 Nov/04/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $150.99 0 Oct/30/15 Nov/04/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $135.99 0 Oct/29/15 Nov/03/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
springfieldsurplus2014 NEW - $12.50 0 Nov/04/15 Dec/04/15
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
svcstore Used - $140.99 0 Nov/04/15 Nov/09/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
megawavz Used - $395.00 0 Nov/05/15 Dec/05/15
Description: Applied Materials AMAT 0120-91285 Board
svcstore NEW - $133.99 0 Nov/05/15 Nov/10/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
neilan1987 NEW - $49.99 0 Nov/07/15 Apr/19/16
Description: Applied Materials AMAT 0200-02398 Ceramic Lift Pins
onlinesmt Refurbished - $649.00 0 Nov/08/15 Dec/08/15
Description: APPLIED MATERIALS AMAT 0020-27708 8" CLAMP RING
svcstore Used - $135.99 0 Nov/08/15 Nov/13/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
bruce135 Used - $399.99 0 Nov/09/15 Nov/13/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $150.99 0 Nov/09/15 Nov/14/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $140.99 0 Nov/09/15 Nov/14/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
megawavz Used - $295.00 0 Nov/10/15 Dec/10/15
Description: Applied Materials AMAT 0100-90031 Auxiliary Supplies Control Board
svcstore NEW - $133.99 0 Nov/10/15 Nov/15/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
yayais2012 NEW - $75.00 0 Nov/10/15 Dec/10/15
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
ptb-sales Used - $3,000.00 0 Nov/12/15 Nov/22/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Nov/12/15 Nov/22/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $750.00 0 Nov/12/15 Nov/22/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Nov/12/15 Nov/22/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
onlinesmt Refurbished - $999.00 0 Nov/11/15 Dec/11/15
Description: APPLIED MATERIALS (AMAT) 0020-01616 SHIELD 8" BESC TAPERED (ELECTRA IMP)
onlinesmt Refurbished - $425.00 0 Nov/11/15 Dec/11/15
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6" 6-Inch
onlinesmt Refurbished - $1,099.00 0 Nov/11/15 Dec/11/15
Description: APPLIED MATERIALS (AMAT) 0020-29344 A QMS SHIELD - Mfg Refurb
onlinesmt Refurbished - $199.00 0 Nov/11/15 Dec/11/15
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD - Mfg Refurb
electronicswest NEW - $100.00 0 Nov/12/15 Dec/12/15
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
electronicswest NEW - $70.00 0 Nov/12/15 Dec/12/15
Description: Applied Materials AMAT 0200-00933 Tetra Cover Screw Cothode Liner Ceramic 300MM
katiil3 Used - $1,100.00 1 Nov/13/15 Jan/31/16
Description: Applied Materials AMAT 0020-33806 Upper Chamber
bruce135 Used - $399.99 0 Nov/13/15 Nov/23/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $135.99 0 Nov/13/15 Nov/18/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
jermac17 NEW - $400.00 2 Nov/14/15 Jul/29/16
Description: Applied Materials AMAT MKS Pressure Transducer 872B12PMD2MT1, 1350-00032
pete-sigep Used - $375.00 0 Nov/14/15 Dec/14/15
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
svcstore Used - $150.99 0 Nov/14/15 Nov/19/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $140.99 0 Nov/14/15 Nov/19/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $133.99 0 Nov/15/15 Nov/20/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
kokoy666 Used - $150.00 2 Nov/16/15 Dec/15/15
Description: APPLIED MATERIALS AMAT 0190-01458 RF POT ASSEMBLY COAXIAL CABLE 54ft
mayraytan Used - $7.00 2 Nov/17/15 Mar/23/22
Description: Applied Materials AMAT Kalrez O-Ring, 3700-01643
mayraytan NEW - $1.00 8 Nov/17/15 Dec/28/15
Description: Applied Materials AMAT Kalrez O-Ring, 3700-01040
mayraytan Used - $29.50 4 Nov/18/15 May/11/23
Description: Applied Materials AMAT Kalrez O-Ring, 3700-02688
mayraytan Used - $103.00 1 Nov/18/15 Jul/06/23
Description: Applied Materials AMAT Kalrez O-Ring, 3700-02271
pete-sigep Scrap, for parts - $750.00 0 Nov/18/15 Dec/18/15
Description: Applied Materials AMAT 0010-76001 Storage Elevator
svcstore Used - $135.99 0 Nov/18/15 Nov/23/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
surplusssam NEW - $999.99 0 Nov/19/15 Nov/29/15
Description: NEW APPLIED MATERIALS AMAT 0010-02171 RIGHT HAND ASSY PIVOT & BEARING
surplusssam NEW - $999.99 0 Nov/19/15 Nov/29/15
Description: NEW APPLIED MATERIALS AMAT 0010-02170 LEFT HAND ASSY PIVOT & BEARING
svcstore Used - $150.99 0 Nov/19/15 Nov/24/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $140.99 0 Nov/19/15 Nov/24/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
rdj1prop000 Used - $175.00 1 Nov/20/15 May/18/21
Description: APPLIED MATERIALS AMAT 0100-11000 ANALOG INPUT PWB
rdj1prop000 Used - $300.00 1 Nov/20/15 Apr/18/18
Description: APPLIED MATERIALS AMAT 0100-00007 INTERFACE P.W.B. V.M.E. CONTROLLER
pruebrad NEW - $6,452.12 0 Nov/20/15 Aug/19/16
Description: Applied Materials AMAT 9090-00659
pruebrad NEW - $5,391.12 0 Nov/20/15 Apr/10/18
Description: APPLIED MATERIALS AMAT 3870-03182 / MKS 253B-22666
pruebrad NEW - $13.88 0 Nov/20/15 Apr/10/18
Description: APPLIED MATERIALS AMAT 0020-95176
pruebrad NEW - $933.30 0 Nov/20/15 Apr/10/18
Description: APPLIED MATERIALS AMAT 0090-77032 / WHITMAN CONTROL J205V-1S-C12L-DIS
pruebrad Refurbished - $240.00 0 Nov/20/15 May/04/16
Description: APPLIED MATERIALS AMAT 0010-93142
pruebrad NEW - $875.63 0 Nov/20/15 Apr/10/18
Description: Applied Materials AMAT 0100-09099
bruce135 Used - $149.00 0 Nov/20/15 Dec/20/15
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore NEW - $133.99 0 Nov/20/15 Nov/25/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
yayais2012 NEW - $170.00 0 Nov/21/15 Dec/21/15
Description: Applied Materials AMAT Fujikin Valve FPR-NHDT-21-6.35-PA-AYT 0190-24355
pete-sigep Used - $475.00 0 Nov/23/15 Dec/23/15
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
ptb-sales Used - $2,000.00 0 Nov/23/15 Dec/03/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $750.00 0 Nov/23/15 Dec/03/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,500.00 0 Nov/23/15 Dec/03/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Nov/23/15 Dec/03/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
sale408away NEW - $89.95 0 Nov/23/15 Dec/23/15
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
megawavz Used - $495.00 0 Nov/23/15 Dec/23/15
Description: Applied Materials AMAT 0120-90785 Flood Gun Emission Control Board
bruce135 Used - $279.99 0 Nov/23/15 Dec/23/15
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
mayraytan NEW - $85.00 3 Nov/23/15 Jul/22/17
Description: Applied Materials AMAT RF Shield, Qty = 10, 3320-01102
svcstore Used - $135.99 0 Nov/23/15 Nov/28/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $150.99 0 Nov/24/15 Nov/29/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $140.99 0 Nov/24/15 Nov/29/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
ssssayag NEW - $198.00 1 Nov/25/15 Dec/15/15
Description: Applied Materials AMAT 0020-75731 Water Manifold 3 PTFE HDP-CVD
megawavz Used - $295.00 0 Nov/25/15 Dec/25/15
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
svcstore NEW - $133.99 0 Nov/25/15 Nov/30/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
albelectric NEW - $49.99 0 Nov/27/15 Dec/27/15
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
svcstore Used - $135.99 0 Nov/28/15 Dec/03/15
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $150.99 0 Nov/29/15 Dec/04/15
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $140.99 0 Nov/29/15 Dec/04/15
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
surplusssam NEW - $999.99 0 Nov/30/15 Dec/10/15
Description: NEW APPLIED MATERIALS AMAT 0010-02170 LEFT HAND ASSY PIVOT & BEARING
surplusssam NEW - $999.99 0 Nov/30/15 Dec/10/15
Description: NEW APPLIED MATERIALS AMAT 0010-02171 RIGHT HAND ASSY PIVOT & BEARING
capitolareatech NEW - $65.00 0 Nov/30/15 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 3860-01029 , TUBING,1/4POLY 100FT
capitolareatech NEW - $1,150.00 0 Nov/30/15 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0050-52785 PRECLEAN LONG TURBO ADAPTER
capitolareatech Used - $420.00 0 Nov/30/15 Dec/01/15
Description: Applied Materials (AMAT) 3030-08525 STEC INC SEC-4400MC-RUC-G2 Mass Flow Control
capitolareatech NEW - $3,000.00 0 Nov/30/15 Dec/01/15
Description: Applied Materials (AMAT) 0190-05276 316-11855-00 CDO,LWR-TOWER,C-276,HAST
capitolareatech Refurbished - $350.00 0 Nov/30/15 Dec/01/15
Description: Applied Materials (AMAT) 0010-09340 IMR,ASSY, SUSCEPTOR, LIFT
capitolareatech Used - $17.50 0 Nov/30/15 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0870-01022 SMC ZHI07B 2 Port Vacuum Enjector 26"HG Sile
capitolareatech NEW - $70.00 0 Nov/30/15 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0040-95826 PIPE,GAS,EXTRACT,CLEAR
capitolareatech NEW - $7.50 0 Nov/30/15 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0020-09229 SUPPORT MANIFOLD TUBE
capitolareatech Used - $38.07 0 Nov/30/15 Dec/01/15
Description: APPLIED MATERIALS (AMAT) 0100-70019 PCB, CONTROLLER DIST WPS BD
capitolareatech Used - $375.00 0 Nov/30/15 Dec/01/15
Description: Applied Materials (AMAT) 0100-11002 Digital I/O Card
capitolareatech Used - $350.00 0 Nov/30/15 Dec/01/15
Description: Applied Materials (AMAT) 0100-00003 VME Stepper Controller PCB Card
capitolareatech NEW - $566.45 1 Dec/26/14 Nov/26/15
Description: APPLIED MATERIALS (AMAT) 0015-01765 FEEDTHROUGH MODIFIED,4PIN KF40
svcstore NEW - $119.99 0 Dec/01/15 Dec/06/15
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
ssssayag NEW - $27.00 0 Dec/02/15 Jan/01/16
Description: Applied Materials AMAT 3690-01412 Screw, lot of 15
mayraytan NEW - $155.00 7 Dec/02/15 Feb/02/17
Description: Applied Materials AMAT Hoke SS Plug Valve,7387001S, 0190-13448
ptb-sales Used - $750.00 0 Dec/03/15 Dec/13/15
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,000.00 0 Dec/03/15 Dec/13/15
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Dec/03/15 Dec/13/15
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Dec/03/15 Dec/13/15
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ssssayag Used - $990.00 0 Dec/04/15 Jan/03/16
Description: Applied Materials AMAT 0010-09978 Assy Lamp Module 5000 CVD , Au Plated
svcstore Used - $199.99 0 Dec/04/15 Jan/03/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
svcstore Used - $199.99 0 Dec/04/15 Jan/03/16
Description: Applied Materials AMAT 0100-20100 Analog Input/Output AIO I/O Card PCB Board
svcstore Used - $140.99 0 Dec/04/15 Jan/03/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
springfieldsurplus2014 NEW - $12.50 0 Dec/06/15 Jan/05/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
ab-international NEW - $40.00 0 Dec/06/15 Dec/16/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
ab-international Used - $40.00 0 Dec/06/15 Dec/16/15
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
ab-international NEW - $40.00 0 Dec/06/15 Dec/16/15
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
svcstore NEW - $119.99 0 Dec/06/15 Jan/05/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
ptb-sales Used - $780.00 0 Dec/28/15 Jan/07/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Dec/28/15 Jan/07/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $750.00 0 Dec/28/15 Jan/07/16
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,000.00 0 Dec/28/15 Jan/07/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
albelectric NEW - $49.99 0 Dec/27/15 Jan/26/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
pete-sigep Used - $475.00 0 Dec/27/15 Jan/26/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
sale408away NEW - $37.95 0 Dec/24/15 Dec/31/15
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
bruce135 Used - $399.99 0 Dec/24/15 Jan/23/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
bornalliancecom NEW - $500.00 0 Dec/31/15 Jan/30/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
bornalliancecom NEW - $500.00 0 Dec/31/15 Jan/30/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
ssssayag NEW - $27.00 0 Jan/01/16 Jan/31/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
visionsemi NEW - $195.00 1 Dec/28/15 Dec/28/15
Description: APPLIED MATERIALS AMAT DC BIAS TIW SHOULDER SCREW 0020-21366 LOT OF 12
ssssayag Used - $750.00 0 Jan/03/16 Feb/02/16
Description: Applied Materials AMAT 0010-09978 Assy Lamp Module 5000 CVD , Au Plated
svcstore Used - $199.99 0 Jan/03/16 Feb/02/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
svcstore Used - $199.99 1 Jan/03/16 Jan/21/16
Description: Applied Materials AMAT 0100-20100 Analog Input/Output AIO I/O Card PCB Board
svcstore Used - $140.99 0 Jan/04/16 Feb/03/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
megawavz Used - $295.00 0 Jan/04/16 Feb/03/16
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
svcstore NEW - $119.99 0 Jan/05/16 Feb/04/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
f2d-express NEW - $45.00 1 Jan/05/16 Feb/05/16
Description: Applied Materials AMAT Hose Assy. 3/8 T Adapter 18.5" -- 3400-01151 -- New
springfieldsurplus2014 NEW - $12.50 0 Jan/05/16 Feb/04/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
f2d-express NEW - $175.00 1 Jan/05/16 Nov/06/17
Description: Applied Materials AMAT 3300-05658 Georg Fischer -- SFMT005 -- New
vipermn NEW - $100.00 0 Jan/07/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0090-77001 ASSY SENSOR VAC PT-613 PM2 - NEW
vipermn NEW - $50.00 0 Jan/07/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0010-01191 ASSY AIR FLOW MMF PRESSURE SWITCH - NEW
ptb-sales Used - $750.00 1 Jan/07/16 Jan/17/16
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,000.00 0 Jan/07/16 Jan/17/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Jan/07/16 Jan/17/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Jan/07/16 Jan/17/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
sale408away NEW - $49.95 0 Jan/09/16 Feb/08/16
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
retechtronics2 NEW - $100.00 0 Jan/09/16 Jan/18/16
Description: APPLIED MATERIALS AMAT 0270-02510 OUTRIGGER RIGHT REAR 300MM E2 0060-00965
retechtronics2 Used - $175.00 0 Jan/09/16 Jan/18/16
Description: APPLIED MATERIALS AMAT 0100-11022 / MIZAR 75801 SIO PCB BOARD
retechtronics2 Used - $50.00 0 Jan/09/16 Jan/31/16
Description: APPLIED MATERIALS AMAT 0100-00049 ANALOG SIGNAL CONDITIONER
retechtronics2 Used - $50.00 0 Jan/09/16 Feb/02/16
Description: APPLIED MATERIALS AMAT 0100-00049 AC CURRENT SENSE
retechtronics2 Used - $250.00 0 Jan/09/16 Feb/02/16
Description: APPLIED MATERIALS AMAT 0010-09340 SUSCEPTER LIFT ASSY
vbaltazar209 Refurbished - $999.99 1 Jan/10/16 Nov/22/16
Description: Applied Materials AMAT 0010-09961 Universal TEOS DELTA SACVD Gas Box 5000 CVD
retechtronics2 NEW - $75.00 0 Jan/10/16 Jan/31/16
Description: APPLIED MATERIALS AMAT 0270-02510 OUTRIGGER RIGHT REAR 300MM E2 0060-00965
svcstore Used - $121.99 0 Jan/10/16 Feb/09/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $134.99 0 Jan/10/16 Feb/09/16
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
katiil3 Used - $999.00 1 Nov/11/15 Jan/09/16
Description: APPLIED MATERIALS AMAT 0010-70271WAFER LIFT
retechtronics2 Used - $150.00 0 Jan/11/16 Feb/02/16
Description: APPLIED MATERIALS AMAT 0100-11022 / MIZAR 75801 SIO PCB BOARD
capitolareatech NEW - $120.00 3 Dec/27/14 Jan/05/16
Description: APPLIED MATERIALS (AMAT) 3870-03405 PARKER 930AOPLPNCSFSFF Veriflo Low-Pressure
capitolareatech NEW - $25.00 1 Dec/26/14 Jan/03/16
Description: APPLIED MATERIALS (AMAT) 0020-03044 Clamp, Ceramic Blade, 4-Bar Clamp
yayais2012 NEW - $75.00 0 Jan/12/16 Feb/11/16
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
athomemarket NEW - $39.99 0 Jan/12/16 Jan/28/18
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
tjtechseller Used - $45.00 0 Jan/13/16 Jan/20/16
Description: 0020-31016 Applied Materials AMAT Centura P5000 HOUSING QUARTZ TUBE BWCVD
tjtechseller NEW - $6,500.00 0 Jan/13/16 Jan/20/16
Description: 0021-35008 Applied Materials AMAT Centura RTP XE XE+ PLATE REFLECTOR 200MM XE CH
tech-tonica NEW - $150.00 0 Jan/13/16 Jan/18/16
Description: applied materials AMAT 0190-13219 HOSE ASSY FLEX COND REV.P1 320 18223 17393201
f2d-express Used - $30.00 0 Jan/13/16 Oct/05/23
Description: Applied Materials AMAT FTB,TBG Union Blkhead 3/8 T -- 3300-05756 -- New
f2d-express Used - $30.00 0 Jan/13/16 Oct/05/23
Description: Applied Materials AMAT -- 0050-00539 -- New
pete-sigep Used - $375.00 0 Jan/13/16 Feb/12/16
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
ab-international NEW - $25.00 0 Jan/13/16 Jan/20/16
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
ab-international NEW - $25.00 0 Jan/13/16 Jan/20/16
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
mayraytan Used - $850.00 1 Apr/26/12 Jan/13/16
Description: Applied Materials AMAT MKS Pressure Transducer 722A12TCD2FA 1350-01330
ab-international Used - $40.00 0 Jan/13/16 Jan/23/16
Description: New Tenta AS00860-01 FDD-0860 Rev E2 Board Applied Materials AMAT 0660-00170
ab-international NEW - $40.00 0 Jan/13/16 Jan/23/16
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
ab-international NEW - $40.00 0 Jan/13/16 Jan/23/16
Description: New Applied Materials AMAT 0021-24349 Gasket SEALED PACKAGING
tech-tonica NEW - $300.00 0 Jan/15/16 Jan/25/16
Description: NEW (Set of 2) Applied Materials AMAT Flex Hose Assembly: 0240-03809 0050-41415
f2d-express NEW - $45.00 1 Jan/15/16 Jan/19/16
Description: Applied Materials AMAT Hose, Braid ¼ ID x 36" L ¼ VCR FFSST -- 3400-01289 -- New
jeepblack Used - $500.00 3 Jan/16/16 Jan/19/16
Description: Applied Materials AMAT 0100-11001 0130-11001 Analog Output Board.
tech-tonica NEW - $199.00 0 Jan/18/16 Jan/28/16
Description: Applied Materials AMAT Flex Hose Assembly 3400-01289 3100 psi swagelok Two Pack
eduard1705 Used - $169.00 0 Jan/17/16 Jan/24/16
Description: Applied Materials AMAT Valve 3870-03651 220 PSIG
eduard1705 Used - $169.00 0 Jan/17/16 Jan/24/16
Description: Applied Materials AMAT Valve 3870-03652 220 PSIG
ecomicron NEW - $700.00 0 Jan/15/16 Feb/07/18
Description: 0100-01028, Applied Materials, AMAT, REM RACK CONTROL
wafersystemsolution Used - $1,899.00 2 Jan/15/16 Jul/03/17
Description: Applied Materials AMAT 0500-01083, 3-Channel Heater/Susceptor Leveling System
tech-tonica NEW - $150.00 0 Jan/18/16 Jan/28/16
Description: applied materials AMAT 0190-13219 HOSE ASSY FLEX COND REV.P1 320 18223 17393201
pete-sigep Scrap, for parts - $750.00 0 Jan/18/16 Feb/17/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
ptb-sales Used - $750.00 0 Jan/18/16 Jan/28/16
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $2,000.00 0 Jan/18/16 Jan/28/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $780.00 0 Jan/18/16 Jan/28/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Jan/18/16 Jan/28/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
bruce135 Used - $149.00 0 Jan/20/16 Feb/19/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
tjtechseller Used - $45.00 0 Jan/20/16 Jan/27/16
Description: 0020-31016 Applied Materials AMAT Centura P5000 HOUSING QUARTZ TUBE BWCVD
tjtechseller NEW - $6,500.00 0 Jan/20/16 Jan/27/16
Description: 0021-35008 Applied Materials AMAT Centura RTP XE XE+ PLATE REFLECTOR 200MM XE CH
electronicswest NEW - $85.00 0 Jan/22/16 Feb/21/16
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
ciarasheltie NEW - $19.99 0 Jan/21/16 Jan/28/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
capitolareatech NEW - $198.10 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 5201-01609 VACUUM SWITCH
capitolareatech NEW - $80.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 5090-03474 CABLE, PLC ZL-4CBL4
capitolareatech NEW - $69.75 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 5070-01015 DOW CORNING M-77 Lubricant MOYKOTE Paste BL
capitolareatech NEW - $325.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3930-01014 OMRON E5AX-VAA02-F Temperature Controller D
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3930-00149 FUTURE DESIGN CONTROLS FDC-7L-Z259 FUTURE D
capitolareatech NEW - $1,701.32 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3930-00140 GRANVILLE PHILLIPS 20347057 Controller, Gau
capitolareatech NEW - $53.68 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3920-90076 Daniels MFG MS24256R16 Tool Contact Extract
capitolareatech NEW - $165.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3880-01741 ASSOCIATED SPRING W3917-042-S WSHRWAVE SPRG
capitolareatech NEW - $35.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3880-00408 Washer, Flat U/M4, Brass, Gold Plated PKG
capitolareatech NEW - $28.84 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90398 VALVE AIR 3-PORT N/C 1/8BSP
capitolareatech NEW - $102.94 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90304 SMC VZ110-5MNZ-M5 Valve, MIN. Solenoid, 3 P
capitolareatech NEW - $27.59 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90299 3-PORT Pneumatic Valve, Supply: 0.15~0.7M
capitolareatech NEW - $29.50 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90285 BASE, MANIFOLD-VALVE 3- PORT
capitolareatech NEW - $54.61 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90283 SMC SYJ712-5LOU-01 3-PORT Pneumatic Valve
capitolareatech NEW - $33.99 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90224 SMC VF5120-5DZ-02F-Q Solenoid Valve, 5 Port
capitolareatech NEW - $46.98 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90166 SMC VZ512-5G-01 VALVE, 3 PORT N/C PNEU SOLE
capitolareatech NEW - $66.96 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90129 VALVE SOLENOID VZ512
capitolareatech NEW - $119.54 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90114 VALVE DOUBLE SOLENOID 3 POSN
capitolareatech NEW - $171.37 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90111 PARKER VALVE 5/2 SOL OP BOTH DIR
capitolareatech NEW - $230.68 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90048 VALVE, RELIEF 30MM
capitolareatech NEW - $1,288.68 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-05023 ACTUATOR KIT ASSEMBLED
capitolareatech NEW - $350.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-04851 VAT 304269 VALVE.VAC,ANGLE SOFT PUMP
capitolareatech NEW - $1,500.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-04722 VAT 0360X-CA24-BJA1/0023 VALVE, SLIT, DUAL,
capitolareatech NEW - $382.06 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-04721 PURGE/VENT VALVE (SRC), PNEU.
capitolareatech NEW - $845.67 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-04640 GATE VALVE, INSERT - DN160, 12144-YA24-AJ
capitolareatech NEW - $30.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-04385 SOLENOID VALVE 3/2 DIRECT ACTI
capitolareatech NEW - $30.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-04301 VALVE SMC SY5120-5LOZ-C6F-Q
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-04027 Parker 12LO-B8AJ-V-SSPLDOVSS3121 Ball Valve
capitolareatech NEW - $220.73 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-04017 VALVE CHECK MODEL UNS-20A
capitolareatech NEW - $257.51 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-04016 CKD AB4X VALVE SOLENOID EG/DI WATER 0-0.9MP
capitolareatech NEW - $125.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03944 SMC IR4-498-P269 Solenoid Valve BACKFLOW As
capitolareatech NEW - $35.26 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03848 SMC SYJA314-M5 3 Port Air Operated Valve; n
capitolareatech NEW - $52.52 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03787 VE, MANUAL LOTG PNEU SHUTOFF
capitolareatech NEW - $1,304.61 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03786 Leybold 800120V0002 Pneumatic Valve PURGE a
capitolareatech NEW - $130.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03767 SMC VM130-01-34R VALVE, MECH TWIST, 2 POSIT
capitolareatech NEW - $1,400.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03765 VAT 0360X-CA24-BCY1/0024 VALVE, SLIT, DUAL
capitolareatech NEW - $255.12 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03609 BONNET W/CAP BOLT, 350MM NORCAL GATE VAL
capitolareatech NEW - $552.30 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03577 CASE KIT, 350MM VTEX GATE VALVE
capitolareatech NEW - $220.38 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03513 SMC LVQ40-S13 PNEU VALVE, 2-WAY, 1/2" I,
capitolareatech NEW - $187.66 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03452 SMC LVQH20-S07 VALVE, 1/4" MANUAL
capitolareatech NEW - $187.66 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03451 SMC LVQ20-S07 VALVE, PNUE 1/4"I, 1/8 RPT AC
capitolareatech NEW - $45.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03250 Parker SPN400B Needle Valve, 1/4FNPT X 1, 2
capitolareatech NEW - $55.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03225 SMC VQZ115-5LO-M5-PR-Q Pneumatic Valve
capitolareatech NEW - $746.05 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03151 Parker 45700780 VERIFLO Valve V-BLOCK Pneum
capitolareatech NEW - $56.88 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-03065 AMER CONTROL 631-475N VALVE SOL 3WAY NC 1/8
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02887 Fujikin FUDDFL-71-9.52-2-316LP Valve SS . A
capitolareatech NEW - $130.67 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02835 SWAGELOK B-18RM8-F8 VALVE MNL NEEDLE 1/2NPT
capitolareatech NEW - $419.82 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02827 NOR-CAL VACUUM PRODUCTS INC 980324-1 VALVE
capitolareatech NEW - $704.11 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02826 NOR-CAL VACUUM PRODUCTS INC 980219-4 Pneuma
capitolareatech NEW - $146.56 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02580 FLUOROWARE 202-73 Pneumatic Valve Diaphragm
capitolareatech NEW - $62.24 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02566 OMEGA SVCOIL-24 VDC VALVE COIL 24VDC FOR OM
capitolareatech NEW - $3,098.98 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3870-02563 VAT 15028-FA24-1002 No-Friction Gate Valve
capitolareatech NEW - $102.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02501 GEORGE FISCH 199.226.194 VALVE PNEU BALL 2-
capitolareatech NEW - $75.97 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3870-02442 Parker V500SS-16 2-Way Ball Valve, 1.00NPT-
capitolareatech NEW - $354.04 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02428 VERIFLO 45300297 VALVE PNEU DIAPH 1/4-F/BW/
capitolareatech NEW - $401.28 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02427 VERIFLO 45300697 VALVE PNEU DIAPH 1/4-F/BW/
capitolareatech NEW - $2,983.30 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02345 MKS INSTRUMENTS 253B-14110 VALVE THROTTLE B
capitolareatech NEW - $154.78 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02318 Swagelok 6LV-DAFR4-P-A VALVE MNL DIAPH 1/4V
capitolareatech NEW - $177.86 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02317 FUJIKIN FUSDL-71-6.35-2L-316LP VALVE MNL DI
capitolareatech NEW - $60.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02296 PARKER PV-3-1232 VALVE PNEU HI PRESS 2-WAY
capitolareatech NEW - $3,697.65 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02202 LINTECH VU-106-V-3.3-430 VALVE AIR-ACT HEAT
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02138 KITZ SCT NA-3/4 VALVE GLOBE 3/4"BRS MALE TO
capitolareatech NEW - $303.28 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02082 Swagelok 6LV-BNB111-P-C VALVE PNEU BLWS 3PO
capitolareatech NEW - $304.37 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-02005 Swagelok 6LV-DAG111-P-C VALVE PNEU DIAPH 14
capitolareatech NEW - $125.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01989 Fujikin Incorporated FUDDFL-51-6.35BW-316LP-
capitolareatech NEW - $191.59 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01940 Swagelok 6LV-DABW4-P-O VALVE PNEU DIAPH 145
capitolareatech NEW - $192.78 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01894 VAT 219589 NI COATED SLIT VALVE DOOR WITH V
capitolareatech NEW - $211.45 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01836 VERIFLO 424 00 679 VALVE PNEU DIAPH N/C 1/4
capitolareatech NEW - $1,167.88 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3870-01835 HVA 1121-9259 Valve Gate 2.5" G RSTD/PNU NO
capitolareatech NEW - $125.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01829 FUJIKIN FPR-UDDF-51-6.35BW-316LP-KAG Pneuma
capitolareatech NEW - $283.50 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01753 Swagelok 6LV-DAG311-P-C VALVE PNEU DIAPH 14
capitolareatech NEW - $95.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01727 VAT VALVES D-1743-KE21-X VALVE GATE KIT REB
capitolareatech NEW - $588.44 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01699 HPS/MKS LP2-25-S1-C0VS Valve PNEU Air 90PSI
capitolareatech NEW - $216.31 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01672 FUJIKIN FP-UDDF-71-6.35-UP VALVE PNEU DIAPH
capitolareatech NEW - $165.54 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01606 BOC EDWARDS PT172V010 CKD AG31-02-2 Pneumat
capitolareatech NEW - $29.36 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01547 Swagelok B-2P4V VALVE SHUT OFF 1/8 SWAGELOK
capitolareatech NEW - $42.38 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01532 Parker 46300550 VERIFLO Valve Relief 10-550
capitolareatech NEW - $125.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01496 Swagelok SS-BN8VCR8-DU-C 316L SS High-Purit
capitolareatech NEW - $254.36 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01486 VERIFLO 944AOP-HPSFSMM VALVE AIR-ACTUATED D
capitolareatech NEW - $450.87 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01483 VERIFLO 944AOP-LP-NCSFSMM VALVE AIR PRESS O
capitolareatech NEW - $345.21 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01450 MDC VACUUM 311074-02 VALVE RT ANGLE WITH M
capitolareatech NEW - $143.44 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01441 MDC KAV-150-P311074 Valve Vacuum Bellows AI
capitolareatech NEW - $275.02 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01418 Swagelok SS-BN3420-C VALVE NUPRO BN 2-WAY N
capitolareatech NEW - $40.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01340 SMC NYJ114-5LZ-X25 Valve SOL 3-WAY NC 24VDC
capitolareatech NEW - $121.40 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01311 Swagelok 6LV-BNBW4-AB VALVE MANUAL SS-BNBWA
capitolareatech Used - $125.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01307 VERIFLO 944MSFSFF VERIFLO 182819 Manual Dia
capitolareatech NEW - $37.52 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01285 SMC VZ2250 Valve Directional Air 2 POS 24VD
capitolareatech NEW - $312.55 2 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01277 NORCAL CSVP-1502-NW VALVE PNEU RTANG 2-3/4C
capitolareatech NEW - $231.81 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01195 PARKER V405P-6-8 VALVE TRUCK ANGLE 1/2MPT 3
capitolareatech NEW - $125.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01185 NUPRO SS-4BK-10-319 VALVE BLWS 1/4IN VCR SS
capitolareatech NEW - $175.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01130 NORCAL ESVP-200-NW VALVE BLWS PNEU 2IN 90 D
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01094 Swagelok SS-4BK-10-9ALAA VALVE PNEU BLWS 3
capitolareatech NEW - $119.19 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01037 Swagelok SS-4BK-91NO VALVE BLWS 1/4 SWAGELO
capitolareatech NEW - $59.60 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-01011 ASCO 8262G212 VALVE Solenoid Brass, 1/4 IN
capitolareatech NEW - $231.76 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00860 BOC-EDWARDS VACUUM B75110000 VALVE TURBO C
capitolareatech NEW - $180.31 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00686 VERIFLO 45900180 VALVE MANUAL MINI LEVER DM
capitolareatech NEW - $186.33 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00684 VERIFLO 45800986 VALVE PNEU NO DM(S) DIAPH
capitolareatech NEW - $149.60 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00682 VERIFLO 45900596 VALVE PNEU NC DM(S) DIAPH
capitolareatech NEW - $135.27 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00609 BENKAN TD4CL-VFWC-1114-FA VALVE PNEU DIAPH
capitolareatech NEW - $135.27 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00608 BENKAN TD4CL-VFWC-1113-FA VALVE PNEU DIAPH
capitolareatech NEW - $751.56 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00559 EDWARDS VACUUM NXC 28400 VALVE, 3 WAY
capitolareatech NEW - $34.54 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-00492 SMC AP100-01 VALVE PRESSURE RELIEF ADJ
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3920-00047 HARTING 114-7728 Pin Extraction Tool, HAN D
capitolareatech NEW - $63.36 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3890-00046 FootMaster GD-80F Castor, Swivel, 500KG, Lo
capitolareatech NEW - $35.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-99997 WIKAI 4303998 Gauge SS VCR Assembly, Pedes
capitolareatech NEW - $42.78 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-90005 MANIFOLD 6 BANK (VALVE MTG)
capitolareatech NEW - $1,026.37 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-01157 SMC VV5Q11-ULB990098 MANF ASSY PNEU 8STATIO
capitolareatech NEW - $50.84 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-01153 SMC SS5Y3-42-06-N3T MANF INDIVIDUAL WIRING
capitolareatech NEW - $70.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00558 CTI 8043538G001 Elbow Cryogenics HELIUM M/F
capitolareatech NEW - $100.41 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00507 AMAT MANF VALVE 9-STA 3/8LQ FLOW 1/4LQ DI
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00411 Manifold Outlet
capitolareatech NEW - $1,055.35 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00396 Manifold Helium Bottom Fold
capitolareatech NEW - $662.18 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00375 SMC LLC3A-03-S11-X7 Manifold Valve 3-STA.W/
capitolareatech NEW - $653.09 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00288 SAINT GOBAIN 1110003-CUST MANF Assembly CHE
capitolareatech NEW - $234.41 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00237 SMC LLC4A-06-S MANF 6 STATION
capitolareatech NEW - $43.92 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00191 MANIFOLD, 6 WAY
capitolareatech NEW - $350.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00068 SMC US3089 MANF ASSY PNEU 8 STATION VQ1000
capitolareatech NEW - $1,227.27 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00052 SMC US4853 Manifold Assembly Valve 16-STATI
capitolareatech NEW - $1,930.50 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00023 SMC VV5Q11-ULB990251 MANF 16STATION W/DNET
capitolareatech NEW - $500.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00008 CKD TEC-07-5-X9-VU-SB-FL-252158 Controller
capitolareatech NEW - $130.50 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 4020-90125 WTR FILTER NW32L 1/8NPT PORTS
capitolareatech NEW - $253.68 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-90020 MILLIPORE WG2F36WC1 FILTER WAFERGUARD IN LI
capitolareatech NEW - $122.78 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-90014 MILLIPORE WGGB 40S 01 FILTER CRTRDG 40 STAC
capitolareatech NEW - $49.27 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-90009 FILTER, DRAIN
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-01276 SAES PS11-MT1-R FLTR MICROTORR 1/4VCR M/M A
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-01275 SAES PS11-MT1-N FLTR MICROTORR 1/4VCR M/M N
capitolareatech NEW - $407.26 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 4020-01187 Mott POU-05-NSV1 Filter In-Line Gas, 3750 P
capitolareatech NEW - $262.66 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-01154 MOTT POU-015-SV1-5RA FLTR IN-LINE GAS 3750P
capitolareatech NEW - $284.29 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-01153 LEE SPRING CO JETA1975130H FLTR INSR 13000
capitolareatech NEW - $25.34 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-01088 HILLIARD HPSL875BP10 Filter Spin on 10 Micr
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-00220 SMC AFM40-F03B Filter, 03uM, SMC AFM40-03B
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-00184 FILTER, HIGH PURITY, 1/4 VCR
capitolareatech NEW - $251.63 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-00172 SMC IDG1-N02-P DRYER,20C CDA 1/4FNPT, 10LPM
capitolareatech NEW - $81.55 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4020-00130 WATFORD L991502 D.I. Cartridge, Type D330
capitolareatech NEW - $40.58 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 4020-00001 Filter Sleeve, Pack of 5, NW50, NW62, NW7
capitolareatech NEW - $125.03 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 4060-00231 PARKER CASY-1461 MANF 4 STATION W/PASS THRU
capitolareatech NEW - $135.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 2900-10767 BIAS PLUG
capitolareatech NEW - $251.63 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3510-00002 MOTT CLS100-10C ORF Flow Restrictor 50SLM N
capitolareatech NEW - $70.63 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3480-01245 MOUNT LEVELING FOOT 3NOIA 1-1
capitolareatech NEW - $187.17 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3470-00004 Plate, Slider, Spindle
capitolareatech NEW - $44.59 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-01172 INSUL 1/2ID ELBOW 90DEG UL94-V0
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-01152 INSULATION BPSG INLET LINE TO FIRS
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-01144 INSulation Pipe .75IDX1.500D .96L EL SI
capitolareatech NEW - $47.12 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-01118 INSUL VELCRO
capitolareatech NEW - $31.99 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-01110 INSUL VELCRO
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-01059 MKS 9599-0319 INSUL ISOLATION VALVE
capitolareatech NEW - $97.98 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-00020 INSUL 3WAY VALVE AND FLTR SILICONE RBR F
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-90148 HOSE, MOTOR RETURN - QUANTUM
capitolareatech NEW - $50.03 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-90097 Hose, Top Eentry DI Flow
capitolareatech NEW - $37.52 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-90096 HOSE, TOP ENTRY DI RETURN
capitolareatech NEW - $38.09 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-90093 HOSE, CLR-FLWMTR 6MMx2.04M BLK
capitolareatech NEW - $131.11 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-01503 HOSE ASSY FLEX TFE/SST 5/16IDX136L 3/8T
capitolareatech NEW - $105.75 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-01470 NOR-CAL VACUUM PRODUCTS INC FH-200-9-2NW Ho
capitolareatech NEW - $311.22 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3400-01463 Swagelok SS-7R8TA8TA8-600 Hose Assembly, Th
capitolareatech NEW - $45.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-01352 Flexible Hose BLWS 3/8ID X 29"L Corrugate
capitolareatech NEW - $45.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-01315 Hose Assembly Flexible COND TFE/SST 3/8ID
capitolareatech Used - $350.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-01108 Cryo HOSE FLEX HELIUM SST .75ID X 1.14OD
capitolareatech NEW - $190.26 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-01081 HILLIARO 0546-00-039A Hose Flexible 5/8 I.D
capitolareatech NEW - $356.08 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3400-00381 Flexline 1/2" FEL +45 DEG. MEL
capitolareatech NEW - $356.08 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3400-00380 Flexline 1/2" MST +45 DEG. FEL
capitolareatech NEW - $338.94 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00377 FLEXLINE 1/2" FST x MEL 14", 350 PSIG
capitolareatech NEW - $60.22 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00375 HOSE 40D - BOTTOM ENTRY (RETN)
capitolareatech NEW - $94.11 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00374 Drain Hose, 6 feet long
capitolareatech NEW - $56.28 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00372 HOSE 10D-DRY PUMP RETURN
capitolareatech NEW - $73.55 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00371 HOSE 10D-DRY PUMP FLOW
capitolareatech NEW - $63.35 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00370 HOSE 6D-PFS RETURN
capitolareatech NEW - $63.35 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00369 HOSE 6D-PFS FLOW
capitolareatech NEW - $183.44 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00366 HOSE 25D - MANIFOLD/SCAN RETN
capitolareatech NEW - $183.44 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00365 HOSE 25D - MANIFOLD/SCAN FLOW
capitolareatech NEW - $75.91 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00364 HOSE 32D-MANIFOLD/BLINE RETN
capitolareatech NEW - $75.91 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00363 HOSE 32D-MANIFOLD/BLINE FLOW
capitolareatech NEW - $76.86 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00360 HOSE 32D-RETURN MANIFOLD
capitolareatech NEW - $70.38 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3400-00359 Hose 32D, Flow Manifold
capitolareatech NEW - $412.95 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00356 FLEX LINE, 1/2 FST X MST 32' HP
capitolareatech NEW - $83.45 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00015 Flexible Hose Assembly .75OD X .006WALL X
capitolareatech NEW - $145.51 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00011 HOSE ASSY VISIFLOW 565 LONG
capitolareatech NEW - $95.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3400-00003 PARKER 919JCJC0606060C-12 Hose TFE/SST-BRA
capitolareatech NEW - $1,231.68 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3380-90029 Heat Exchanger UX008
capitolareatech NEW - $2,500.37 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3380-00013 NOR-CAL VACUUM PRODUCTS INC 000430-1 HE COL
capitolareatech NEW - $55.17 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3370-01184 ACCURATE SCREW MACHINE 8112-4.500-832 SS 12
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3370-01170 SOUTHCO B8-45 HDL GRAB REAR MTG M6 SCR 550N
capitolareatech NEW - $392.45 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3350-50001 RORZE 313FN-5534 (AMJ) Finger for CENTURA a
capitolareatech NEW - $29.30 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3330-90052 Gear,108T, 0.5M, Modified TO
capitolareatech NEW - $158.36 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3330-01090 ORIENTAL MOTOR GFB5G15 GEAR BOX REDUCTION 1
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3330-00002 ZERO-MAX C154806 GEAR DRIVE Right Angle 2:1
capitolareatech NEW - $34.25 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3320-02242 Norcal G-133 Gasket 1.33 CFF OFHC Copper PK
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3320-01115 HPS/MKS 100889022 Viton Gasket 2-3/4 CF Fla
capitolareatech NEW - $48.32 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3320-01028 HOKE 4GA-316 GSKTVCR GLAND .484OD X .219ID
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3320-01005 Gasket, Use 3320-()163, VCR 1/4 SST Conto
capitolareatech NEW - $71.80 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-90041 Parker FL.69311 Gauge, Fluid Level;QA-2417-
capitolareatech NEW - $389.84 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-90027 GAUGE,2 STAGE,CGA580 INLET...
capitolareatech NEW - $121.58 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-01267 Ametek IPS-200-HA Gauge Press Flow Monitor
capitolareatech NEW - $67.54 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-01186 NO SHOK 25.410-160PMC GAUGE PRESS 2-1/2DIA
capitolareatech NEW - $70.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-01174 MCDANIEL SCUB W/10-32 TAP GAUGE PRESS 1-1/2
capitolareatech NEW - $500.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-01168 GRANVILLE PHILLIPS 275905 GAUGE CONVECTRON
capitolareatech NEW - $29.60 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-01079 VALIN 146201W/UCLAMPP562U0-30PSI Pressure G
capitolareatech NEW - $1,000.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3310-00127 Gauge Bourdon Pressure SI Unit
capitolareatech NEW - $30.84 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-99055 FITTING, QC 3/8 TUBE FEMALE
capitolareatech NEW - $36.94 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-98153 Fitting, Bulkhead 10MM
capitolareatech NEW - $44.40 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-98095 UNION TEE, 12MM TUBE
capitolareatech NEW - $32.18 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-97150 FESTO CORP FESTO 150050 LR-3/8-S-B, FTG, R
capitolareatech NEW - $27.48 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-92174 FTG, 16MM BOTTOM ENTRY FLOW
capitolareatech NEW - $27.48 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-92173 FTG, 16MM BOTTOM ENTRY RETURN
capitolareatech NEW - $91.28 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91768 TEE REDUCER 40/25 ST STL
capitolareatech NEW - $247.86 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91655 CONNECTOR (2) IS0-100, (1) KF-40, (1) KF-
capitolareatech NEW - $92.43 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91488 Fitting Flexible Hose, KF25 SST 0.5M, 19
capitolareatech NEW - $45.86 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91483 FITTING, INTERMEDIATE-KF40
capitolareatech NEW - $93.81 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91482 FITTING FLEXIBLE KF40 (1.0M)
capitolareatech NEW - $30.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91328 ISO MALE CONNECTOR
capitolareatech NEW - $31.89 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91264 ELBOW UNION 12MM SS-12MO-9
capitolareatech NEW - $45.83 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-91255 Swagelok Fitting Union, Elbow, 3/4 Tube, S
capitolareatech NEW - $34.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-90593 PARKER 8M-Q8CY-SSP FTG INSTRUMENT QUICK..
capitolareatech NEW - $71.04 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-90583 FTG FLEX SOOL KFI4O ENDS
capitolareatech NEW - $197.64 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-90339 Fitting Feed Through 19 Pin
capitolareatech NEW - $39.72 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-90283 FTG CONN QUICK 60 SERIES 1/4
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3300-90039 19-Pin Feedthru
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-09480 FTG, PLUG SOC HD O-RING SEAL
capitolareatech NEW - $29.50 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-06388 PARKER FTCR-66RF4RF Tubing Fitting TEE RDCR
capitolareatech NEW - $36.02 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP-NPT Fitting Coupling QDIS
capitolareatech NEW - $71.27 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3300-04654 Parker 16-1.0 LHW3-SS FTG Pipe Conn Seal-LO
capitolareatech NEW - $48.77 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-04590 Swagelok SS-4-WVCR-1-2 FTG TBG CONN 1/4FVCR
capitolareatech NEW - $51.65 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-04535 PARKER FS-372-6FP FTG PIPE NIP 3/8FP QDISC
capitolareatech NEW - $25.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-04313 PARKER 6HB-Q8VY-SS FTG HOSE STEM QDISK 3/8H
capitolareatech NEW - $25.94 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-04186 MCMASTER-CARR 4452K438 PIPE TEE 1-1/2FNPT,
capitolareatech NEW - $40.84 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-04058 PARKER 10C5OX-SS FTGTBG ADPTR EL 7/8-14UNF-
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-03650 MS25, 5-way, Cross
capitolareatech NEW - $31.23 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-03434 SWAGELOK 6LV-4-HVCR-3-01902P FTG TBG GLAND
capitolareatech NEW - $31.78 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-03387 SWAGELOK SS-QC6-B-6ANK5 Fitting QDISC Body
capitolareatech NEW - $40.17 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-03386 SWAGELOK SS-QC6-B1-600K5 FTG QDISC BODY 3/8
capitolareatech NEW - $33.66 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-03385 SWAGELOK SS-QC6-D1-600K5 Fitting QDISC STEM
capitolareatech NEW - $37.86 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-03384 SWAGELOK SS-QC6-D-6ANK5 Fitting QDISC STEM
capitolareatech NEW - $77.14 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-03180 ARROW HART 26404 FTG ADPTR 45 DEG BOX TO CO
capitolareatech NEW - $46.35 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-03162 PARKER 1XFG-B16-12RA-B FTG PIPE ADPTR RDCR
capitolareatech NEW - $36.31 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-02846 Swagelok SS-8-VCO-9P-6ST FTG TBG EL 3/8 VCO
capitolareatech NEW - $25.16 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-02153 TAE KWANG DM-8T1-ME-4T1 FTG TBG ELBOW 90DEG
capitolareatech NEW - $66.22 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3300-01934 Swagelok 316L-4-VCR-3AS FTG TBG GLD SHORT 1
capitolareatech NEW - $30.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3600-10001 SNAP-TITE 021383-TP SOLENOID VALVE; ORIF: 1
capitolareatech NEW - $26.57 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3550-00111 CARR LANE CL-8-BLPT-2.00-C Pin Ball Lock T-
capitolareatech NEW - $102.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3510-01065 MOTT (HPS) 5140-1/4-SS-300-CLS100-10RA-30 O
capitolareatech NEW - $85.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3510-01056 MOTT (HPS) 5140-1/4-SS-1SLM-CLS100-10RA,2 F
capitolareatech NEW - $294.84 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3510-01043 MOTT 5140-1/4-SS-100- ORF RSTR FLOW 100SCCM
capitolareatech NEW - $226.75 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3510-01006 MOTT 5000511 RES FLOW 15L/M N2 @ 10PSIG 400
capitolareatech NEW - $450.07 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3510-00045 TALON mfr-24-1hf-3-1-s-h-n2-200slm-- FLOW R
capitolareatech NEW - $416.73 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3510-00044 Talon MFR-18-1-3-2-S-H-N2-50SLM-90-0 Flow R
capitolareatech NEW - $224.85 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3510-00016 MOTT 5140-1/4-SS-20SLM-HE@30PSI-CL-S100-10RA
capitolareatech NEW - $35.16 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3500-00002 BALL SCREW & ACTUATORS BN5010 Nut Ball Scre
capitolareatech NEW - $262.63 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3480-00268 LCD Monitor Mounting Arm Assembly
capitolareatech NEW - $72.75 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3480-00255 Mount Wafer Lift Bracket--not in original
capitolareatech NEW - $97.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 3480-00255 Mount Wafer Lift Bracket
capitolareatech NEW - $39.73 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3420-01089 INSUL 1/2VCR UNION LOW PROFILE SILICONE
capitolareatech NEW - $41.44 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-92710 XYCARB CERAMICS 219970A RWK VALVE ISO NW40
capitolareatech Refurbished - $3,500.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0190-77284 NOVA ELECTRONIC MATERIALS LTD 210-48000-01-R
capitolareatech NEW - $100.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3630-01124 TRUARC 5108-75-H retaining ring; EXT 3/4 SF
capitolareatech NEW - $46.77 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3620-90158 SMC ZX-07 Vacuum Ejector
capitolareatech Used - $400.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3620-02332 CTI TM2500-001M Controller Cryo-Pump - look
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01596 HTR JKT 30 MIL B LAYER ZONE 3 USG CHAMBE
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01595 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01594 HEATER JACKET, 30 MIL B. LAYER, ZONE 3, U
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01585 WATLOW Heater Jacket 30 MIL B Layer PLIS
capitolareatech NEW - $550.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01583 HTR JKT 30 MIL B LAYER PLIS USG ZONE 5 C
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01581 Heater Jacket 30 MIL 8 LAYER PLIS USG ZON
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01576 WATLOW Heater Jacket 30 MIL B Layer PLIS,
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01574 HTR JKT 30 MIL B LAYER PLIS USG ZONE 5 C
capitolareatech NEW - $220.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01572 HEATER JACKET, 30 MIL B.LAYER, PLIS USG,
capitolareatech NEW - $220.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01570 HEATER JACKET, 30 MIL B.LAYER, PLIS USG,
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01567 WATLOW Heater Jacket 30 MIL B LAYER PLIS
capitolareatech NEW - $45.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01536 WATLOW Heater Jacket, 7V, 6W
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01506 Watlow S022029502H Heater Jacket, Lower/Byp
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01480 Watlow S008019501H Heater Jacket, 30 MIL B
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01472 WATLOW Heater Jacket 30 MIL B Layer Upper
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01467 WATLOW, Heater Jacket 30 MIL B Layer Uppe
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01465 Watlow S029039500H Heater Jacket, 30 Mil B
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01461 WATLOW Heater Jacket 30 MIL B Layer Upper
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01459 Watlow S012013507H Heater Jacket, 30 Mil B
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01444 Heater Jacket, 30 Mil B Layer Upper Zone
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01442 WATLOW Heater Jacket 30 MIL B Layer Upper
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01440 WATLOW, Heater Jacket 30 MIL B Layer Uppe
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01439 Heater Jacket 30 MIL B Layer Upper Zone 2
capitolareatech NEW - $130.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01429 Heater Jacket 30 MIL B Layer Upper Zone 2
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01427 WATLOW, Heater Jacket, 30 MIL B Layer Upp
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01423 Watlow S085095500H Heater Jacket, 30 Mil B
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01421 Watlow S012013504H Heater Jacket, 30 MIL B
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01418 Watlow S051066500H Heater Jacket, 30 Mil B
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01416 HTR JKT 30 MIL B LAYER UPPER ZONE 1CHAM
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01414 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01410 Heater Jacket 30 MIL B Layer Upper, 6V, 6
capitolareatech NEW - $140.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01408 HTR JKT 30 MIL B LAYER UPPER
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01405 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01393 HTR JKT 30 MIL B LAYER UPPER ZONE 2 CHAM
capitolareatech NEW - $500.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01391 WATLOW Heater Jacket, 30 MIL B Layer Upp
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01388 WATLOW Heater Jacket 30 MIL B LAYER UPPER
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01387 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $120.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01386 WATLOW Heater Jacket 30 MIL B Layer Upper
capitolareatech NEW - $220.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01385 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01384 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $250.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01383 HTR JKT 30 MIL B LAYER UPPER ZONE 1 CHAM
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01369 HEATER JACKET 30 MIL B LAYER LOWER/BY PAS
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01368 WATLOW Heater Jacket, 30 Mil B Layer Lowe
capitolareatech NEW - $130.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01364 Heater Jacket 30 MIL B Layer Lower/BYPAS,
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01363 Heater Jacket 30 MIL B Layer, 12V, 6W
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01361 Watlow S027029500H Heater Jacket, 30 Mil B
capitolareatech NEW - $140.82 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01355 HTR INSOLATION JKT 2.75X1.62X2.75 FOR
capitolareatech NEW - $164.22 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01353 Heater Insolation Adapter Alum for Iso Va
capitolareatech NEW - $75.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01345 HEATER THROTTLE VALVE
capitolareatech NEW - $50.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01341 Heater Jacket, 30 MIL B Layer Lower/BYPAS
capitolareatech NEW - $178.31 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01306 HTR JACKET ISO VALVE 120V 6.50X3.60
capitolareatech NEW - $36.31 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01270 TERM FORK TNG LOCK #6 RED22-16 AWG INSUL
capitolareatech NEW - $270.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01131 HTR 208VAC 2.5W/SQ IN
capitolareatech NEW - $131.11 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-01033 HTR IMRS 240VAC 3PH DELTA 4KW 11" 1/2MNP
capitolareatech NEW - $66.85 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-01023 Heater Pad (LARGE)
capitolareatech NEW - $1,250.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00976 GAS LINE HEATER JACKET
capitolareatech NEW - $35.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00934 WATLOW Heater Jacket, 30 MIL B Layer Upper
capitolareatech NEW - $45.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00930 WATLOW Heater Jacket, 7V, 6W
capitolareatech NEW - $2,227.67 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00796 GUN HEATER JACKET
capitolareatech NEW - $125.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00792 WATLOW 035040511 HEATER JACKET, ZONE 5, USG
capitolareatech NEW - $869.92 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00767 HTR JACKET, PALLET INTERCONNECT, CHAMBER
capitolareatech NEW - $441.42 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00716 HEATER JACKET, UPPER ZONE 2, CH.C, ITEM
capitolareatech NEW - $238.45 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00715 HEATER JACKET, UPPER ZONE 2, CH.C, ITEM
capitolareatech NEW - $7,328.48 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00237 HEATEFLEX LH1-1-5.6-n-A01-P561 Heateflex LH
capitolareatech NEW - $38.96 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00158 HTR CARTRIDGE .371D X 7.0L 240V 500W R
capitolareatech NEW - $200.08 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00145 HTR TBG JACKET 2"IDX2"L 208V
capitolareatech NEW - $627.17 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00031 MKS 9599-0408 HEATER JACKET BEL 208VAC FORE
capitolareatech NEW - $640.10 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00030 HTR JKT STRAIGHT 208VAC FORELINE 0050-
capitolareatech NEW - $739.43 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00029 HTR JKT ELBOW 208VAC FOR 0050-46226
capitolareatech NEW - $697.49 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1410-00028 Heater Jacket, Elbow 208VAC for 0050-4344
capitolareatech NEW - $618.03 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00027 MKS 99515-0487 Heater Jacket STRAIGHT 208VA
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00016 BRISKHEAT APM215014 HEATER JACKET;BRISKHEAT
capitolareatech NEW - $225.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1410-00012 BRISK HEAT APM215010 Heater Jacket Liquid 3
capitolareatech NEW - $477.39 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-98005 BAUMER UNDK 30U9112/S14 ULTRASONIC DISTANCE
capitolareatech NEW - $59.96 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-90038 KEYENCE PS-55R SENSOR, THRU-BEAM, INFRARED
capitolareatech NEW - $66.84 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-90022 SENSOR,LED,TYPE L33008
capitolareatech NEW - $38.19 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-90012 SKAN-A-MATIC P34035 SENSOR LIGHT TYPE P34 S
capitolareatech NEW - $87.25 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-90011 SKAN-A-MATIC L34035 SENSOR, LIGHT, TYPE L34
capitolareatech NEW - $132.37 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-50013 KEYENCE PS2-61 (AMJ) SNSR AMPL OPTICAL 12-2
capitolareatech NEW - $190.22 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-01340 SIE SENSOR SK1-TM-6-M12/60-P-NB-O-PTFE SNSR
capitolareatech NEW - $240.12 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-01325 SMC PSE520-01 SNSR PRESS 0-1MPA 1/8PT 3WIRE
capitolareatech Refurbished - $26.03 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-01097 CUTLER-HAMMER 14102AS5171 CUTLER-HAMMER, 14
capitolareatech NEW - $150.00 6 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-01030 LEVELITE GLL101S1N One Channel Electro Opti
capitolareatech NEW - $125.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-00334 LIGHTPEN, S/ST 2.5M
capitolareatech NEW - $63.76 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-00142 YAMATAKE HPQ-T1 LIQUID SENSOR, PHOTOELECTRI
capitolareatech NEW - $242.23 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-00102 EATON 13104RS0123 SNSR PHOTO REFL 10-30VDC
capitolareatech NEW - $429.75 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1400-00006 PCT 40-100-0007 DOUBLE DIAPHRAGM LEVEL SENS
capitolareatech NEW - $150.00 0 Jan/23/16 Feb/24/16
Description: Applied Materials (AMAT) 1390-90114 Cable, Hi Voltage, Max 40kV, 150 Ft. Spoo
capitolareatech NEW - $67.98 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1390-01950 Flat Cable 28AWG 15COND 7X36 300V Black
capitolareatech NEW - $40.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1390-01032 CABLE FLAT 28AWG 50COND 7X36 300V GRY PV
capitolareatech NEW - $61.11 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1390-00380 WIRE,1DIAX350L ANNLD 99.1% Ag
capitolareatech NEW - $175.00 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1360-90071 500VA Tx TO SPEC
capitolareatech NEW - $34.37 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1360-90038 XFORMER-TORDOIL OUPUTS 60v/70v
capitolareatech NEW - $134.64 0 Jan/23/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1360-01202 XFMR 208/240/277/380/480VAC PRI 24VAC S
capitolareatech NEW - $29.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0770-01071 HERAEUS 0978-4754 WFR 200MM NOTCH QUARTZ
capitolareatech NEW - $190.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0760-98001 Gerwah AKN 60 COUPLING, FLEX. 17/19MM BORE
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0760-90008 Flexiable Coupling SS
capitolareatech NEW - $152.74 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0730-01116 WATLOW 22725-100 DUAL DAC - ANAFAZE 0-10 VD
capitolareatech NEW - $89.61 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0730-01008 IPD FRS2405 CONV 24VDC/5VDC 1000MA 2"X2"X.4
capitolareatech Refurbished - $93.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-06148 TAB CLAMP RING
capitolareatech NEW - $95.95 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0760-90005 COUPLING FLEXIBLE HIGH TORQUE
capitolareatech NEW - $225.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0730-00031 YASKAWA ELECTRONIC Converter Serial Module
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1201-04735 LAMP, QUARTZ, HALOGEN, 6V, 20W
capitolareatech NEW - $948.95 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-90205 RELAY 50KV SPDT GAS FILLED
capitolareatech NEW - $35.18 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-90198 ABB A16-30-10 RELAY CONTACT 16A 24V
capitolareatech NEW - $34.85 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-90179 TIMER DELAY ON 1-30min 24vdc
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-50048 FUJI 4NC0H0B11CIRCUIT AC200V1A1B (AMJ) RLY
capitolareatech NEW - $32.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01603 EECONTROLS B05-H RLY PLUG-ON 1.7-2.4A
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01601 SIEMENS V23106-M2005-B201 RLY DPDT MONOSTAB
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01539 Cutler-Hammer E45R240D45 Relay Solid State,
capitolareatech NEW - $60.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01531 CUTLER-HAMMER CE15BNS3TB-T16 Relay Contacto
capitolareatech NEW - $2,582.85 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01514 SQUARED LC1-F500 RLY CNTOR 3P AC/DC CONTROL
capitolareatech NEW - $29.58 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01507 ENTRELEC 3002.23 Relay SPDT 24VAC/DC Sealed
capitolareatech NEW - $361.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01457 KILOVAC HC-4 Relay VAC 8KV 26.5VDC COIL
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01308 POTTER & BLUMFIELD CB-1038D-38 Time Delay R
capitolareatech NEW - $319.61 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01261 FUJI ELECTRIC 2NC4F0222 RLY CTOR 3P 200-240
capitolareatech NEW - $66.22 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-01129 SPRECHER & SCHUH CA3-16C-10-24D-RT RLY CNTO
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-00353 RELAY, 10A, 2 N.O. CONTACTS, 24VDC COIL
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-00295 ALLEN-BRADLEY 100-C30DJ10 Relay Contactor 3
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-00247 Crouzet Tur3 Timer Relay, 0,1-100h, Volts:1
capitolareatech NEW - $64.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-00132 Telemecanique CA3DN40BD RLY CNTRL 24VDC COI
capitolareatech NEW - $53.89 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-00103 IDEC CORP RTE-B21-24V Relay timer, DPDT .1S
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-00097 RELAY TIMER 24VDC DUAL VOLTAGE
capitolareatech NEW - $30.28 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1200-00021 TELEMECANIQUE CA3KN40BD SQUARE D, Control R
capitolareatech NEW - $77.25 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1190-01002 FAIRCHILD 10232 Model 10 Pneumatic Precisio
capitolareatech NEW - $225.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1180-90015 RECTIFIER STACK ASSY
capitolareatech NEW - $36.20 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1180-00004 Telemecanique DR5TF4V Rectifier for 24/48V
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1150-01031 PROBE TEST SPR PIN CONTACT .055DIA X .43
capitolareatech NEW - $255.12 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1150-00016 PLATINUM EX ROTATING ELECTRODE FOR QLCA-
capitolareatech NEW - $110.38 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-90132 PSU,48Vdc I/P,+5v,+/-15v O/P
capitolareatech NEW - $261.61 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-90111 VICOR VI-LUL-CY PSU 50 to 600W 28V 1.6A 47-
capitolareatech NEW - $133.06 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-01349 POWER SUPPLY
capitolareatech NEW - $44.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-01318 PWRSP DC/DC CONV +24VIN +/-15VOUT 1W SIP
capitolareatech NEW - $116.54 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-01194 PWRSP MODULE 120V AC OUTLET
capitolareatech NEW - $84.32 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-01138 KEPCO FAW5-10K Power Supply DC 5V @ 10A 50W
capitolareatech NEW - $38.63 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-01118 Power Supply Linear, Input: 100/120/215/2
capitolareatech NEW - $156.98 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1140-01097 Cosel MMB50A-6 Power Supply, 100-120VAC, 2
capitolareatech NEW - $91.82 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1140-01025 Wall LCS412-250 DC/DC Converter
capitolareatech NEW - $229.06 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00390 PWR SPLY DC 51.6W 12V OUT 85-265VAC/120-
capitolareatech NEW - $291.52 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00389 PWR SPLY DC DUAL CHANNEL 120.5W 24/5V OU
capitolareatech NEW - $44.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00374 PSU,90-264V IP,12VDC 1.25A OP
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00368 PWRSP DC 24V 5.2A 75W 18-36VDC-IN REGULA
capitolareatech NEW - $1,797.03 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00351 BERKELEY PROCESS CONTROL 300-003-873 PSU QU
capitolareatech NEW - $198.82 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00246 LAMBDA JWTT5-5FF/A PWRSP DC 5V@8A +15V@3.2A
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00228 Power-One LWN 2660-6 250 Watt AC-DC and DC-
capitolareatech NEW - $2,800.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00214 GLASSMAN HIGH VOLTAGE PS/ER06N50.0G01 PSU D
capitolareatech NEW - $350.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00132 POWER SUPPLY LINEAR 100/120/220/240VAC IN
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00098 PWRSP 24VDC 0.64A 15W
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00091 XP POWER AEH80US24 POWER SUPPLY PUP80; A MO
capitolareatech NEW - $750.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1140-00087 Cosel PAA300F-24 Power Supply, 24V, 14A
capitolareatech NEW - $255.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1140-00072 PWRSP 24VDC FOR O2 ANALYZER
capitolareatech NEW - $47.24 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-90032 FIBRE OPTIC SENSOR FT-FM2
capitolareatech NEW - $1,000.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-01126 CAMR B&W 2/3"CCD 752X582PIXEL W/RESTART
capitolareatech NEW - $305.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-01086 OPT FLTR BP 253.7NM CTR 2NM BW
capitolareatech Refurbished - $350.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-01080 Fiber Optic Cable 600MICRON Diameter 6M L
capitolareatech NEW - $262.66 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1120-01051 Pen Light EXT XMTR/RCVR 5VDC 150MA
capitolareatech NEW - $973.40 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-01048 CAMR TV BLK&WHT 768H X 493V X 580HZTL-RE
capitolareatech NEW - $708.53 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-01037 PEN LIGHT S-BUS
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1120-00310 Particle Measuring Systems 90659501 Fiber O
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00230 Andover Corp ANDV9078 FILTER OPTIC BANDPASS
capitolareatech NEW - $275.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1120-00229 Filter Optic Bandpass, 777NM-CW/L FWHM 2.
capitolareatech NEW - $1,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00228 Andover Corporation ANDV9049 AM-57049 Optic
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00227 Andover Corporation ANDV9048 AM-57948 Optic
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00225 Andover Corp ANDV9046 FLTR OPTIC BANDPASS 3
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00224 Andover Corporation ANDV9045 Optical Filter
capitolareatech NEW - $750.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1120-00192 Hamamatsu R7400U-04 Opt Photomultiplier Tub
capitolareatech NEW - $685.52 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00099 Cable Fiber OPT 61 FIBERS, SMA/BICONIC
capitolareatech NEW - $69.51 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00031 Beam Splitter 12.5 X 17.5 X 1 50R/50T
capitolareatech NEW - $120.96 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 1120-00023 Andover ANDV7257 Optical Filter
capitolareatech NEW - $123.71 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00021 SUNX FX-D1J FIBER-OPTIC AMPLIFIER 12BIT PLU
capitolareatech NEW - $25.62 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00012 OPT FLTR 685NM +/-2NM 1/2"DIA RING MNT
capitolareatech NEW - $29.03 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1120-00002 OPT Filter Clear 1.5X2.2 BEZEL with Clam
capitolareatech NEW - $661.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1110-00076 NETWORK SWITCH GIGABIT ETHERNET WORKGROU
capitolareatech NEW - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1110-00072 3COM 3C16470 Ethernet Hub, 16 Port, 3C16470
capitolareatech NEW - $173.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-90039 BROOK CROMPTON EDA63MG MOTOR 1/8HP 120V 60H
capitolareatech NEW - $36.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-90019 MOTOR, 110V AC
capitolareatech NEW - $174.81 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-51000 ORIENTAL MOTOR E3902-464 MOTOR WITH BRAKE
capitolareatech NEW - $225.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01326 REGENERATIVE UNIT FOR SGMP SIGMA SERVOMO
capitolareatech NEW - $550.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01314 ENCODER HEAD 1UM DIGITAL
capitolareatech NEW - $175.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01306 MOTOR DC BRUSHLESS 1000 LINE ENCODER VIT
capitolareatech NEW - $490.01 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01274 MOTOR DC DIST PNL INTEG (SPARE FOR
capitolareatech NEW - $907.69 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01257 SANYO DENKI P50B05020DCS00M MOTOR SERVO 200
capitolareatech NEW - $530.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01244 BL SUPER P50B05010DXS00M MOTOR SERVO 100W 2
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01242 Teknic M-2330-FH MOTOR STEPPING 24VDC 160 O
capitolareatech NEW - $92.26 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01178 MOTOR STEPPING 6VDC 1.2A/PH 2-PHASE
capitolareatech NEW - $83.43 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-01092 VEXTA PH264-01B Phase-2 Stepping Motor, 1.8
capitolareatech NEW - $1,000.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-00300 SANYO DENKI SANYO DENKI PV2A015SMT1P50-C1,
capitolareatech Refurbished - $750.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-00195 DRIVER SERVO, 300MM HEATER LIFT, PV1
capitolareatech NEW - $350.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-00132 Yaskawa Electric SGDF-A2CP Driver Servo Am
capitolareatech NEW - $971.90 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1080-00082 SANYO DENKI P50B07030DCS00M DRVR SERVO AMP
capitolareatech NEW - $721.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1070-00006 MONITOR POWER 3000 MASTER MODULE, DNET
capitolareatech NEW - $359.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1060-00003 GROUP 3 FTR CONV RS232/ Fiber Optic VERSALI
capitolareatech NEW - $213.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1040-90060 FLOWMETER,16-160LHR 1/4"NPT
capitolareatech NEW - $206.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1040-90058 FLOWMETER,1000 L/H,+MAGNET
capitolareatech NEW - $187.62 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1040-01188 METER FLOW LIQ 3%ACC .1-2L/MIN SI TREATE
capitolareatech NEW - $567.26 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1040-01163 Proteus Industries 9203SS24F3P3 METER FLOW
capitolareatech NEW - $292.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 1040-01121 MKS LDM-14378 Pressure Transducer Local Dis
capitolareatech NEW - $362.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-75366 EXHAUST THROTTLE VALVE ADAPTER
capitolareatech NEW - $550.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-38340 AUTOMATED LOAD LOCK, COR-RES RETROFIT KI
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-38116 KIT GAS FLOW LABEL
capitolareatech NEW - $187.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-23580 KIT, CHAMBER, TOP SOURCE INTEGRSATION
capitolareatech NEW - $1,975.73 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-18955 KIT, 300MM PCII PROCESS KIT
capitolareatech NEW - $1,302.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-15112 KIT, 300MM SA BPSG PROD, ISO VALVE INSUL
capitolareatech NEW - $5,100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-15018 KIT,IS,CONS,PLANAR,200MM NOTCH,KALREZ
capitolareatech NEW - $135.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-11542 KIT, STANDARD PM REPLACEMENT
capitolareatech Used - $350.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-04297 5200 CENTURA PYROMETER RTP 0190-35093
capitolareatech NEW - $1,620.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0242-00715 KIT, ALPS+ GRND DARK SPACE SHIELD
capitolareatech Used - $2,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-99438 KIT, SCR LINERS XR ENLARG CHMR
capitolareatech NEW - $96.68 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0240-76264 Kit, Retrofit, OMS Eprom Version 2.09, 01
capitolareatech Used - $61.36 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-76249 KIT, HP 101% PEDESTAL LIFT
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-60045 KIT, SPEED REDUCTION
capitolareatech NEW - $367.73 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0240-40031 Emitter/Receiver Kit
capitolareatech NEW - $750.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0240-35701 Kit, Calibration Disk, 0020-36561 Wafer D
capitolareatech Used - $4,000.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0240-33232 Susceptor Calibration Kit 8"
capitolareatech NEW - $2,222.70 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0240-32712 Kit, Temperature Controller Addition
capitolareatech NEW - $400.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-31219 Proteus 9100C24P3 Kit Flow sensor, Lamp Mod
capitolareatech NEW - $301.21 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-27672 PIK, ORIENTER/DEGAS EP SCR KIT
capitolareatech NEW - $1,000.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-25238 KIT, CLEAR LID, PCII
capitolareatech NEW - $402.60 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-24029 KIT, RGA VALVE PNEU CONTROL PER CH
capitolareatech NEW - $964.57 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-22345 KIT, VENT LINE, PCII, CH C
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-20067 KIT, ADDITIONAL RELAY CONTROL PCBA
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-17049 KIT, LIFT SWITCH
capitolareatech NEW - $1,601.42 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-14678 KIT, OPTION, ADVANCED UPS WITH ROBOT X B
capitolareatech NEW - $301.21 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-13131 KIT, DI/O DISTRIBUTION BD. RETROFIT
capitolareatech Used - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0240-00636 Alignment KIT,8300 GENERIC TOOL
capitolareatech NEW - $260.46 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0230-00147 TIXZ Centura Chamber Option Manual
capitolareatech NEW - $280.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-99689 BRACKET,SUPPORT,LOWER ENCLOSURE BOTTOM
capitolareatech NEW - $90.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-99578 RTRON Heater Cable, BCL3, 51.00 INCH,DPS
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-98397 Cable, 120V Power Tee, 2nd BCL3 Gas Stic
capitolareatech NEW - $259.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-97952 VALVE PNEU DIAPH 145PSI NO 1/4 VCR-M 1/8
capitolareatech NEW - $106.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-97768 GAS LINE, SPOOL, CAJON, M/F 6.1L
capitolareatech NEW - $106.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-97767 GAS LINE, SPOOL, CAJON, M/M 2.78 L
capitolareatech NEW - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-96291 DWYER INSTRUMENTS 3001MR-TP 0-1.0 PHOTOHELI
capitolareatech NEW - $1,500.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-96042 Kit, Centura Controller, ETI Discrpancy
capitolareatech NEW - $1,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-95578 XDCR PRESS 1000 TORR MKS 627 A 8VCR FEMA
capitolareatech NEW - $5,421.01 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-95226 WEIGHT, 200MM CASSETTE, CLAMPING
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-64935 Patlight LIGHT TOWER, panel mount R-G-Y W
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-64465 C/A, TURBO CONTROL, 14FT, 5200 MCC IPS
capitolareatech NEW - $43.99 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-64214 Fitting 45 Degree Elbow 1/2SWG-1/2NPT, SS
capitolareatech NEW - $2,341.89 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-64040 MANIFOLD, 7WAY FUJIKIN 8.66L
capitolareatech NEW - $473.60 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-57786 AIRLOGIC INTRLK KIT, SiH4/O2, F/F MOD., P
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-46930 Tem Tech Lab HYPTFV-WD420 Pressure Xducer,
capitolareatech NEW - $86.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-46831 CABLE,SIGNAL TOWER 15FT EXT;MAINT. SW.
capitolareatech NEW - $350.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-46709 Cable, 100 Ft. RF Coaxial 13.56 MHz W/Con
capitolareatech NEW - $1,503.14 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-46708 EMC COMP.,CABLE ASSY,RF GEN. INTERFACE
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-46414 WELDMENT, CHAMBER LINE B, PORT 2
capitolareatech NEW - $657.76 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-46412 Weldment, Chamber Line A, Port 2
capitolareatech NEW - $1,790.08 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-45784 Jenoptik Loader Signal
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-45700 Weldment, Manifold
capitolareatech NEW - $516.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-44975 CABLE ASSY, 79FT, COAX SOURCE GENERATOR
capitolareatech NEW - $273.26 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-44821 WELDMENT, SPOOL, CAJON, TF SLD
capitolareatech NEW - $353.16 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-44090 IND XDCR 3.5DIG 7-SEG-LCD 0250PSI
capitolareatech NEW - $71.96 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-43218 Cable Assembly, Signal Light Tower
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-42992 BRACKET, SINGLE VALVE SLD BOX
capitolareatech NEW - $191.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-42689 CABLE COAXIAL, 79FT
capitolareatech NEW - $117.16 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-38393 MYKROLIS LR050-10-M-2-4 IND XDCR 24VDC, 40I
capitolareatech NEW - $47.83 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-34476 CABLE, LAMP OVER TEMP/PRESS DISPLAY
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-34265 CABLE ASSY, REMOTE FRAME TO UPS BOX INTF
capitolareatech NEW - $584.92 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-32887 MKS Baratron Pressure Transducer, 60 PSIA
capitolareatech NEW - $633.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-32817 GAS LINE,A3,UHP,SEGMENT 1,CENTURA CMF,5J
capitolareatech NEW - $325.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-30334 MKS 852B72KCJ2GC MKS, 852B72KCJ2GC, BARATRO
capitolareatech NEW - $63.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-30333 MKS LDM-A72KA2CC1 METER, PRESS TRANSDUCER,
capitolareatech NEW - $65.56 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-30333 MKS LDM-A72KA2CC1 Display METER, PRESS TRAN
capitolareatech NEW - $150.98 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-30267 EMP Comp. Cable, Turbo Controller
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-30142 Dwyer 3000MR Photohelic Pressure Switch/Gag
capitolareatech NEW - $50.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-29662 Bracket, CENTURA II BF SLD, Bottom STABI
capitolareatech NEW - $50.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-29659 Plate, MTG, Upper Valves, CENTURA II BF
capitolareatech NEW - $80.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-29658 PLATE, MTG, LOWER VALVES, CENTURA II BF
capitolareatech NEW - $595.96 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-28170 GAS LINE,SLD,TF5,A2,B2,D2,CAJON
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-27071 CABLE,ASSY RF GEN DC PWR INTCNT 75 FT
capitolareatech NEW - $216.75 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-13022 TC Extension, 50 Ft.
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-12599 HARNESS ASSY, INTERLOCK, 5200, TF SLD, S
capitolareatech NEW - $140.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-12335 CABLE ASSY, EV MANIFOLD, APC
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-12122 0227-12122..ASSEMBLY DOLLIES 5200 CENTURA
capitolareatech NEW - $1,564.94 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-12021 EMC COMP.,CBL ASSY, LL UMBILICAL, 48 FT
capitolareatech NEW - $97.98 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-11464 Weldment, NW25 Centura Ring to 1/4 Tube
capitolareatech NEW - $149.21 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-10378 HOSE ASSY FLEX COND TFE/SST 3/8ID X
capitolareatech NEW - $1,726.07 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-10215 EMC Comp., Cable Assembly, Gas PNL #1 UMB
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-10073 Millipore WGMSA1HRU WAFERGARD II SF MINI SS
capitolareatech NEW - $240.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-07103 BRACKET, SMIF-ASYST PCB MOUNTING
capitolareatech NEW - $2,639.87 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-06816 ASSY, RETROFIT FINGERS KIT
capitolareatech NEW - $86.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-06543 75 FT OZONATOR TO REMOTE AC BOX
capitolareatech NEW - $463.52 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-06540 EMC COMP., REMOTE DIGITAL I'FACE CABLE
capitolareatech NEW - $412.76 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0227-06507 EMC Comp., Remote Analog Interface Cable
capitolareatech NEW - $52.09 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-06058 CABLE, DB15 THUMBSCREW, M/F, 5FT
capitolareatech NEW - $45.67 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-05924 FAST RESPONSE TC W/SELF-ADHESIVE
capitolareatech NEW - $112.57 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-05665 CABLE, ECM COMP, 100FT AMAT1
capitolareatech NEW - $357.13 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-05487 EMO SWITCH ASSEMBLY, LOCKING
capitolareatech NEW - $90.06 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-05470 CABLE, ASSEMBLY, EMO, HT-EXCHNESLAB STD
capitolareatech NEW - $229.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-05441 CB, 5 MA GFCI 20A 1 POLE QUICKLAG
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-05400 CABLE EMO FROM PROCESS PUMP TO PROCESS P
capitolareatech NEW - $1,800.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-04585 PARKER 45400171 RGLTR, VERIFLO SQ60 MM 100P
capitolareatech NEW - $441.01 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-04445 CABLE, COAXIAL DELTA, 75FT 0221
capitolareatech NEW - $28.36 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0227-03559 COVER,SIGNAL LAMP TOWER
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00591 Electrometer, E403
capitolareatech NEW - $550.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00559 ASSY, PCB, NEW POWER BRIDGEPORT 9010
capitolareatech NEW - $67.74 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00556 ASSY,PCB,PHOTOSENSOR-Z ,9010
capitolareatech NEW - $129.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00377 Moxa C104P Universal 4-port RS-232 board (I
capitolareatech NEW - $800.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00277 CARD CONTROLLER FORTH LC4 64K W/BASIC EP
capitolareatech NEW - $2,000.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00223 BTOS ADVANTECH IPPC-9150T-T Industrial Pane
capitolareatech NEW - $40.80 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00197 MODULE DIGITAL 4 CH 5-60VDC OUTPUT, 5VDC
capitolareatech NEW - $232.04 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00183 PCB, END EFFECTOR I/O, BDS
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0660-00177 Backplane, CPCI 6-Slot 24HP, Rear T
capitolareatech NEW - $27.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00119 MODULE 8 SGL-ENDED INPUT FOR 1794 ANALO
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00111 RACK, 16 MODULE W/ EXTERNAL TERMINALS, B
capitolareatech NEW - $348.39 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-00039 CARD FOUR CHANNEL DEVICENET CPCI 3U
capitolareatech Used - $38.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0650-01091 CYBEX PVRE-0 CMPTR PC EXPANDER PLUS ROMOTE
capitolareatech NEW - $68.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0650-01091 CYBEX PVRE-0 CMPTR PC EXPANDER PLUS ROMOTE
capitolareatech NEW - $50.01 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0650-01048 NETWORK TECH SUKEXT-30 CMPTR SPLITTER KEYBO
capitolareatech NEW - $2,836.32 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0650-00024 CMPTR, FLT PNL, PIII-800 MHZ, 256 MB MEM
capitolareatech NEW - $25.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0630-90188 CAPACITOR, FIXED, 40V, 33000 MF
capitolareatech NEW - $88.73 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0630-01604 ATC 173E800JV3600X CAP FIX 3.6KV 80PF 5% RF
capitolareatech NEW - $99.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0630-01274 +/-5% R16 CAP FIX 15KV 100PF 35A 5% CER-PL
capitolareatech NEW - $28.58 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0630-01267 MALLORY 32KB6601 CAP FIX 66V 6% 1MF TYPE MS
capitolareatech NEW - $62.69 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0630-01249 Cornell 292100B102J00 Cap Fix 1000PF 10KV T
capitolareatech NEW - $28.69 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0630-01104 High Energy HT57Y500KA Ceramic Capacitors,
capitolareatech NEW - $156.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0630-00163 PREP/SUB, SINGLE ORANGE CAP (CDX-2520-J)
capitolareatech NEW - $110.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-98075 DNET 5.0M TRUNK M-STR/F-STR
capitolareatech NEW - $95.12 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-98059 DNET 3.0M TRUNK M-STR/F-STR
capitolareatech NEW - $91.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-98057 DNET 2.0M TRUNK M-STR/F-STR
capitolareatech NEW - $41.35 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-90029 TENMA 76-107 Oscilloscope Probe Set (W1/10)
capitolareatech NEW - $219.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-90000 CABLE, COAX
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-50110 BLACK BOX CORP EMN25C-0035-MF (AMJ) CABLE R
capitolareatech NEW - $58.73 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-03293 CABLE,HARNESS ,HEAD PWR, W/Q-IMAGING CCD
capitolareatech NEW - $50.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-03054 CABLE ASSY DNET SPLTR DROP .5
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-03007 TURCK RSCV RKCV 572 - 1 M interkinlBT, ID N
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-03005 CABLE ASSY DNET DROP 1.9M 300V 80C RSM-W
capitolareatech NEW - $350.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02955 Turbo Pump CONTROL CABLE Lybold MAG1300 6
capitolareatech NEW - $869.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02914 CBL ASSY SHLD FULLY GND 5KV 6M LG ELCTRN
capitolareatech NEW - $36.64 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02902 INTERLINKBT CABLE ASSY DNET TRUNK .5M 300V
capitolareatech NEW - $215.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02872 CABLE, ASSY 1FT 3POS-MALE/FEM F
capitolareatech NEW - $53.42 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02811 SATCON TECH 1001660-1 CABLE ASSY GROUND 10F
capitolareatech NEW - $39.73 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02808 TURCK WSC-RKC 5715-10.0M CABLE ASSY DNET TR
capitolareatech NEW - $30.90 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02743 MARINCO 4570-AM10 Cable Assembly 15A 208V L
capitolareatech NEW - $32.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02728 BLACK BOX CBCY95409 CABLE ASSY PS/2 BLKHD-F
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02681 CKD TEC-W-FL-15-FL-240936 Cable Assembly
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02680 CKD TEC-W-EC-15-FL-241091 Cable Assembly
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02679 CKD TEC-W-AC-15-FL-241093 CABLE 15M AC PWR
capitolareatech NEW - $162.61 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02621 EQUIPE TECHNOLOGIES 2002-9006-15 CABLE ASSY
capitolareatech NEW - $159.36 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02618 EQUIPE TECHNOLOGIES 2002-0031-10SCE CABLE A
capitolareatech NEW - $43.93 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02602 0.4M/S630 CABLE ASSY DNET TRUNK .4METER 30
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0620-02601 InterlinkBT WSC WKC 572-0.15M Cable Assembl
capitolareatech NEW - $33.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02541 S630 CABLE ASSY DNET DROP .7METER 300V 80C
capitolareatech NEW - $135.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02447 DAIHEN HACC-75 CABLE AC HEATER 75FT FILAMEN
capitolareatech NEW - $69.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02445 DAIHEN PDC-75 Power Cable Detector 75FT
capitolareatech NEW - $212.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02443 DAIHEN HCC-75 CABLE FILAMENT CONTROL 75FT
capitolareatech NEW - $336.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02392 AMPHENOL (AMP) 443929-1 CABLE ASSY 22AWG SG
capitolareatech NEW - $80.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02233 MARINCO 3015-AM1 CABLE ASSY 30A 250V L15-30
capitolareatech NEW - $174.37 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02202 EBARA TECHNOLOGIES INC CET09-4428 CABLE HEA
capitolareatech NEW - $56.95 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02201 EBARA TECHNOLOGIES INC CET01-4409 CABLE POW
capitolareatech NEW - $224.70 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02199 OSAKA VACUUM N119554 CABLE FAN AIR COOLING
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0620-02088 CA PX75D.O-P/PX75E.(-HV)
capitolareatech NEW - $321.35 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0620-02079 Cable Assembly, PX80B.P4/PX70E.SK3
capitolareatech NEW - $158.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-02074 Primary CTL Extender Cable 1M, CBL024-501
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0620-02039 CA Ion Gauge G. Phillips 25 Ft.
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01916 CABLE,POWER CORD
capitolareatech NEW - $55.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01911 CABLE, COMMUNICATION
capitolareatech NEW - $37.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01764 SUN 530-1871-04 CABLE ASSY RJ45-R
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01745 CKD TEC-W-VA-15-FL-240934 Cable Assembly
capitolareatech NEW - $1,200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01611 TURCK RS 4.4T-0.3 Cable Assembly DNET I/O 3
capitolareatech NEW - $490.96 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01547 CABLE ASSY SPECTROMETER 18FT NANOSPEC900
capitolareatech NEW - $401.10 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01546 CABLE ASSY NMIO 18FT NANOSPEC9000I
capitolareatech NEW - $160.18 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01545 Cable Assembly COAX 18 feet NANOSPEC9000I
capitolareatech NEW - $645.93 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01544 Cable Assembly CCD 18FT NANOSPEC9000I
capitolareatech NEW - $645.93 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01543 CABLE ASSY Z-AXIS 18FT NANOSPEC9000I
capitolareatech NEW - $645.93 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01542 CABLE ASSY Y-AXIS 18FT NANOSPEC9000I
capitolareatech NEW - $645.93 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01541 CABLE ASSY X-AXIS 18FT NANOSPEC9000I
capitolareatech NEW - $976.71 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01540 CABLE ASSY STP PUMP RTANG TO CONTROLLER
capitolareatech NEW - $51.80 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01483 INTERLINK BT RSM WSC 572-4M CABLE ASSY DNET
capitolareatech NEW - $54.14 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01480 10' CABLE F/ PHOTOHELIC CELL
capitolareatech NEW - $65.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01468 CABLE ASSY DNET SPLITTER DROP 10METER RS
capitolareatech NEW - $80.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01456 CABLE ASSY 30A 250V L15-30 PLUG CIRC-CON
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01446 CABLE ASSY DNET TRUNK 4.OM 300V 80C RSC
capitolareatech NEW - $127.98 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01401 CABLE ASSY DNET DROP 1M 300V 80C WSM-RKF
capitolareatech NEW - $98.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01360 Cable Assembly Parallel 75FT DB25-M/F IEE
capitolareatech NEW - $68.42 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01282 DAIHEN TCC-50 Cable Turner/Controller Conne
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01231 NETWORK TECH VDKEXT-50 CABLE ASSY EXTENSION
capitolareatech NEW - $56.35 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01183 SUN 530-1442/D3 CABLE ASSY KYBD CIRC-MINI-D
capitolareatech NEW - $49.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01155 BELDEN 49674 CABLE DB25 M/F 10'L FOIL SHLD
capitolareatech NEW - $122.28 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01122 ITT SELECT 069-188-6938-020 CABLE ASSY SMA
capitolareatech NEW - $63.85 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01095 MISCO PP0254-3 Cable RS232 9F/25M 25FT long
capitolareatech NEW - $52.09 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01081 ENI 1005-029 CABLE MATCH/FILTER INTERCONNEC
capitolareatech NEW - $86.97 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01020 LEYBOLD 721-27-705 CABLE ASSY CONT TURBOPUM
capitolareatech NEW - $59.60 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-01019 AM KEY 100-0237 Cable Assembly 8 COND 26-28
capitolareatech NEW - $751.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-00928 NF SENSOR ASSEMBLY CLASS 1 (3M); 315-6803
capitolareatech NEW - $88.55 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-00886 CABLE ASSY CONVECTRON GAUGE 8FT DNET
capitolareatech NEW - $28.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-00874 Dry NOVA Power Cable 125V 10A 6.5M
capitolareatech NEW - $51.12 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-00870 Cable Assembly 15A, 208V, L6-15P / RING-T
capitolareatech NEW - $43.06 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-00838 iinterlinkBT RSM RKM 572- 0.3 M/C1126 Cable
capitolareatech NEW - $64.01 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-00819 CABLE ASSY, AT MODEM, 75FT, DB9F/DB25M
capitolareatech NEW - $165.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-00814 DRY NOVA SPI/COM CABLE ASSY 0.5M
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0620-00809 DRY NOVA XE CABLE ASSY 6.5M
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01962 POTTER & BRUMFILED W23-X1A1G-20 Circuit Bre
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01873 WESCO 1B01889-G-01 CBASSY W/ LINE FLTR ZERO
capitolareatech NEW - $48.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01846 CUTLER-HAMMER BAB2020HTS1 CBMAG THERM 2P 24
capitolareatech NEW - $64.89 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01804 SQUARE D MG24518 CBSUPPL PROTECT CUR-LIMIT
capitolareatech NEW - $211.45 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01769 WESTINGHOUSE F3S05 CB K-FRAME FLEX SHAFT HA
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01733 SQUARE D MG17444 Merlin Gerin C60N multi9;
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01720 E CB MAG 1P 250VAC 30A SERIES TRIP #8-32-T
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01619 CUTLER-HAMMER QC2035T CB MAG THERM 2P 120/2
capitolareatech NEW - $37.37 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01605 SQUARE D QOB210-5252 Bolt-on Circuit Breake
capitolareatech NEW - $65.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01585 SQUARE-D QOB230GF15237 CBGFI 2P 240VAC 30A
capitolareatech NEW - $121.40 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01550 Cutler-Hammer C370BG3 Circuit Breaker; THER
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01503 WESTINGHOUSE QC2045HT CB MAG THERM 2P 120/2
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01500 CUTLER-HAMMER A1X3PK CUTLER-HAMMER AUXILIAR
capitolareatech NEW - $33.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01475 SQUARE D QOB230-5252 SQUARE D QOB230-5252;
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01450 SQUARE D QOB210-5253 CB MAG THERM 2P 240VAC
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01401 AIRPAX UPL11-1-62-203 CBMAG/HYD 2P 20A 250V
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01318 WESTINGHOUSE QC3020H CBMAG THERM 3P 240V 20
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01311 AIRPAX UPL-111-1-62-302 Circuit Breaker MAG
capitolareatech NEW - $60.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01177 WESTINGHOUSE BAB3030H CBMAG THERM 3P 240VAC
capitolareatech NEW - $130.98 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01157 AIRPAX 229-3-1-66-8-7-35 CB MAG 3P 480VAC 3
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01124 SQUARE D QOB320 CB MAG 3P 240VAC 20A 50/60H
capitolareatech NEW - $33.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01121 SQUARE D QOB315 CB MAG THERM 3P 240VAC 15A
capitolareatech NEW - $280.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00665 ABB AUTOMATION Tmax T1B 160 Circuit Breaker
capitolareatech NEW - $43.12 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00602 ABB LP2 K16A smissline Circuit Breaker PRO-
capitolareatech NEW - $43.12 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00601 ABB LP2 K25A Smissline Circuit Breaker PRO-
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00596 QUICKLAG Breaker Base MOTJNTIN
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00583 CB THERM MAG 2P 240VAC 20A 22KAIC RINGLU
capitolareatech NEW - $54.63 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00433 SQURE D QOUR2205283 CB 2P 20A 240VAC RING T
capitolareatech NEW - $26.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00244 Potter & Brumfield W58-XB1A4A-3 Circuit Bre
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00242 CB THERM MAG 3P 480VAC 80A RING-LUG BOLT
capitolareatech NEW - $146.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00184 CB MAG/HYD 2P 10A 480VAC 50/60HZ SHORT-D
capitolareatech NEW - $47.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00149 Allen Bradley 1492-CB2F020 Circuit Breaker
capitolareatech NEW - $28.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00145 Allen Bradley 1492-CB1F050 Circuit Breaker
capitolareatech NEW - $47.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00144 ALLEN-BRADLEY 1492-CB2H005 CB MAG THERM 2P
capitolareatech NEW - $92.25 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00142 ABB 1492-CB2H010 2-pole 1A Circuit Breaker;
capitolareatech NEW - $90.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00085 SQUARE D MG 17426 SQUARE D MERLIN GERIN MUL
capitolareatech NEW - $51.05 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00079 CARLINGSWITCH, INC. BA1-B0-11-610-221D CB M
capitolareatech NEW - $46.80 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00078 CB MAG/HYD 2P 5A 480VAC 50/60HZ SHORT-DL
capitolareatech NEW - $46.80 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00077 CB MAG/HYD 2P 25A 480VAC 50/60HZ SHORT-D
capitolareatech NEW - $58.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00075 CARLINGSWITCH, INC. CA2-B0-42-615-121-D CB
capitolareatech NEW - $27.14 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00037 Replace Cover Finger Safe 1-POLE for Low
capitolareatech NEW - $1,455.98 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-00014 USE 0680-01760 CB 111AB 15A 1P
capitolareatech NEW - $1,520.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0670-01006 IN USA 820-1010-02 CELL OZONE ABSORB 400G/C
capitolareatech NEW - $311.26 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-90120 CARD ISO PGM & FEEDBACK AMP
capitolareatech NEW - $419.67 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-90010 Astrosyn ADC118E Card-Drive, Universal Unip
capitolareatech NEW - $206.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01860 AXION TECH C104H CARD INTERFACE RS-232 4 PO
capitolareatech NEW - $220.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01852 D.I.P. CDN115-7 CARD ANALOG I/O DNET MODULE
capitolareatech NEW - $490.01 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01851 D.I.P. CDN115 CARD ANALOG I/O DNET MODULE 2
capitolareatech NEW - $234.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01839 OPTO22 SNAP-AOA-3 OPTO22 CARD I/O MO SGL-CH
capitolareatech NEW - $349.65 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01836 OPTO22 SNAP-AIV CARD I/O MOD 2-CH ANLG VOL
capitolareatech NEW - $633.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01835 OPTO22 B3000 Card Brain Board A/D Mistic/Op
capitolareatech NEW - $2,613.38 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01642 SYNERGY SV44138-A CARD VME SNGL BRD CMPTR 6
capitolareatech NEW - $59.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01547 WATLOW 9/1/2300 CARD CNTRLR BURST FIRING 1-
capitolareatech NEW - $136.85 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01505 APC SPX-MB-A-208 CARD APC ANALOG MOTHERBOAR
capitolareatech NEW - $66.57 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01426 APC SPX-D-120-3 CARD APC DIGITAL I/O 120 2O
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01425 APC SPX-D-118-3 CARD APC DIGITAL I/O 118 2O
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01424 APC SPX-D-116-3 CARD APC DIGITAL I/O 116 2O
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01423 APC SPX-D-114-3 CARD APC DIGITAL I/O 114 2O
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01422 APC SPX-D-112-3 CARD APC DIGITAL I/O 112 2O
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01421 APC SPX-D-110-3 Square D 110-111; CARD APC
capitolareatech NEW - $76.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01419 APC SPX-D-106-3 CARD APC DIGITAL I/O 106 2O
capitolareatech NEW - $76.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01418 APC SPX-D-104-3 CARD APC DIGITAL I/O 104 2O
capitolareatech NEW - $76.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01417 APC SPX-D-102-3 CARD APC DIGITAL I/O 102 2O
capitolareatech NEW - $76.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01416 APC SPX-D-100-3 CARD APC DIGITAL I/O 100 2O
capitolareatech NEW - $70.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01407 APC SPX-D-082-3 Card APC Digital I/O 082 2
capitolareatech NEW - $76.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01403 APC SPX-D-074-3 CARD APC DIGITAL I/O 074 2O
capitolareatech NEW - $66.57 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01402 APC SPX-D-072-3 CARD APC DIGITAL I/O 072 2O
capitolareatech NEW - $104.98 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01401 APC SPX-D-070-3 Card APC Digital I/O 070 2O
capitolareatech NEW - $66.57 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01397 APC SPX-D-062-3 CARDAPC DIGITAL I/O 0622OUT
capitolareatech NEW - $76.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01395 APC SPX-D-058-3 CARDAPC DIGITAL I/O 0582OUT
capitolareatech NEW - $76.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01394 APC SPX-D-056-3 CARDAPC DIGITAL I/O 0562OUT
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01393 APC SPX-D-054-3 CARDAPC DIGITAL I/O 0542OUT
capitolareatech NEW - $76.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01389 APC SPX-D-04603 CARD APC DIGITAL I/O 046 2O
capitolareatech NEW - $76.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01388 APC SPX-D-044-3 CARD APC DIGITAL I/O 044 2O
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01387 SQUARE D SPX-D-042-3 CARDAPC DIGITAL I/O 04
capitolareatech NEW - $66.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01177 APC SPX-D-098-1 CARD APC DIGITAL I/O 098 2I
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01176 SQUARE-D 096-097 CARD APC DIGITAL I/O 096 2
capitolareatech NEW - $66.68 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0660-01175 APC SPX-D-094-1 Digital APC Card, I/O 094 2
capitolareatech NEW - $66.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01174 APC SPX-D-092-1 CARD APC DIGITAL I/O 092 2I
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01173 SQUARE-D 090-091 CARD APC DIGITAL I/O 090 2
capitolareatech NEW - $85.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01172 APC SPX-D-088-1 CARD APC DIGITAL I/O 088 2I
capitolareatech NEW - $66.22 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01152 APC SPX-D-048-1 CARDAPC DIGITAL I/O 0482IN
capitolareatech NEW - $70.63 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01148 APC SPX-D-040-1 CARDAPC Digital I/O 0402IN
capitolareatech NEW - $50.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01057 GASTECH 82-1003 CARD EXTENDER GASTECH LEAK
capitolareatech NEW - $275.91 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0660-01041 HYBRICON J1 BACKPLANE 12 SL Card VME BACKPL
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0690-90277 PIPE CLAMP U 20 NOM OD
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0690-02002 BERG MANUFACTURING CG1-15-A CLAMP SPLIT HUB
capitolareatech NEW - $46.79 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0690-01834 CARR LANE CL-350-SPC CLAMP PLUNGER 2"TRAVEL
capitolareatech NEW - $33.70 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-90185 CUIT BREAKER,50A.3-POLE
capitolareatech NEW - $131.98 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-90089 CUTLER-HAMMER HQP3010H QUICKLAG P 3-POLE; 2
capitolareatech NEW - $73.71 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-90022 WESTINGHOUSE HQP3030H CIRCUIT 3 POLE 30 AMP
capitolareatech NEW - $67.64 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01993 ABB AUTOMATION S282-K20W CB SPCL 2P 480VAC
capitolareatech NEW - $26.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01932 AIRPAX PP21-62-5.00A-XX-V Circuit Breaker M
capitolareatech NEW - $44.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0680-01851 CUTLER-HAMMER SPCL1C16T CB SUPPL PROTEC CUR
capitolareatech NEW - $50.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00986 HARN. ASSY., PUMP RACK 2ND EMO INTERCONN
capitolareatech NEW - $545.19 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00769 HARN. ASSY., DCELL B DIGITAL PNEU.
capitolareatech NEW - $333.29 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00754 Harness Assembly., MF UP/LOW Panel INTLK
capitolareatech NEW - $255.72 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00716 Harness, Rack 2(B) Heater Driver AC with
capitolareatech NEW - $66.22 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00651 HARN. ASSY., LIFT HOME / BRAKE
capitolareatech NEW - $505.46 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00644 HARN. ASSY., DCELL A DIGITAL PNEU.
capitolareatech NEW - $72.84 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00641 HARN.ASSY., SRD LIFT MOTOR BRAKE/HOME SE
capitolareatech NEW - $375.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00617 HARN. ASSY., MAINFRAME SENSORS
capitolareatech NEW - $59.60 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00616 HARN. ASSY., MF ROBOT / LINK MASTER POW
capitolareatech NEW - $229.55 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00592 HARN. ASSY., CHEM CAB SERIAL COMM. CON
capitolareatech NEW - $227.35 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00575 HARN. ASSY., RETURN TANK SENSORS
capitolareatech NEW - $209.69 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00538 Harness Assembly., SRD Sensors
capitolareatech NEW - $231.76 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00434 Cable Harness Assembly, CASS. Position WL
capitolareatech NEW - $52.97 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00265 HARN. ASSY., DNET I/O DRAWER AC
capitolareatech NEW - $80.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00258 K-TEC H/A K4/K5 CONTACTOR INTER GFCI; K-TE
capitolareatech NEW - $33.13 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00203 HARNESS,PS INTERCONN B
capitolareatech NEW - $33.13 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00202 HARNESS,QUALIDYNE INTERCONN
capitolareatech NEW - $49.44 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00169 CABLE ASSY. E/P MODULE DC DISTRIBUTION
capitolareatech NEW - $25.16 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00163 Harness Assembly. E/P Module MONOCHROMATO
capitolareatech NEW - $425.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00138 Harness Assembly, Indexer
capitolareatech NEW - $125.55 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00134 Harness Assembly Heater AC Power Anneal C
capitolareatech NEW - $72.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00132 HARNESS ASSY ANNEAL MOTOR BREAKERS
capitolareatech NEW - $33.13 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00113 HARN ASSY DEPR MTR EXTSN
capitolareatech NEW - $51.65 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00090 HARNESS ASSY. DEPRESSOR SENSOR
capitolareatech NEW - $28.58 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00085 HARNESS ASSY, HOME POS SENSOR
capitolareatech NEW - $182.32 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00060 HRNS PWR CORD HEATER CONTROLLER
capitolareatech NEW - $165.10 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00054 AIR FLOW SW HARNESS ASSY
capitolareatech NEW - $59.43 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00050 SWITCH, BELL JAR OPEN/CL
capitolareatech NEW - $25.71 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00047 Z AXIS HOME HARNESS
capitolareatech NEW - $37.52 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0140-00018 HOUSING DRIVE HARN ASSY
capitolareatech NEW - $85.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0110-76038 PCB FAB, LED-NB, FAST WAFER MAPPING
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0110-09108 PCB
capitolareatech Refurbished - $472.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0110-00164 MONOCHROMATOR, PC INTERFACE
capitolareatech NEW - $300.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0100-94078 PWBA Argon Control
capitolareatech NEW - $725.81 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-91139 DECEL CONTROL MOTHERBOARD
capitolareatech NEW - $459.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-91137 A.MAGNET CONTROL MOTHERBOARD
capitolareatech NEW - $542.32 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-91128 PWB Assembly GND PDU M/Board
capitolareatech NEW - $1,012.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-91119 PWBA ARC VOLT CONTROL
capitolareatech NEW - $750.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-91095 PWBA PNEUMATICS CONTROL L & R
capitolareatech NEW - $574.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-91085 Guiding Tube PCB
capitolareatech NEW - $855.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-91077 PWBA ANALYSING MGNT.AMPLIFIER
capitolareatech NEW - $304.01 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0100-91032 PCB PSU, uDischarge, S.Bar I/LK
capitolareatech NEW - $551.87 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90975 PCB H1 Ground PDU Mother Board
capitolareatech NEW - $654.99 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90967 PWBA B/L INSTRUMENTATION M/BD
capitolareatech NEW - $350.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90962 PWB SPIN SCAN M/B (XR/STD)
capitolareatech NEW - $159.69 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90870 PWBA 4 PHASE STEPPER
capitolareatech NEW - $536.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90855 PWBA SPIN SCAN I/L NONVA **
capitolareatech NEW - $650.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90853 PWBA INDEX SERVO
capitolareatech NEW - $225.00 1 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90852 A.MAGNET CONTROL M/B (XR/STD)
capitolareatech NEW - $225.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90790 PWBA ARM POSITION SENSOR
capitolareatech NEW - $429.66 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90780 PWB Assembly DAQ Expansion Type H
capitolareatech NEW - $490.05 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90773 BOARD,PC ASSY 8" ARM SERVO
capitolareatech NEW - $365.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90755 PWBA SERIAL INTERFACE M'BD
capitolareatech NEW - $240.80 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90743 PWBA WAFER ARM LED
capitolareatech NEW - $473.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90659 PWBA Flood Gun Bias ACC Replaces 0100-90
capitolareatech NEW - $280.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90468 PWBA CHARGE VOLTAGE C.L.C.C.
capitolareatech NEW - $222.66 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90441 PWBA 117 M/BOARD H1-REJ
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90438 PWBA SOURCE MAGNET CONTROL ***
capitolareatech NEW - $169.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90385 PWBA CONTACTOR DRIVE
capitolareatech NEW - $675.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0100-90285 PWBA Video Control
capitolareatech NEW - $1,416.90 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90273 PWB ASSY SPIN SCAN MOTHERBOARD
capitolareatech NEW - $949.54 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90269 PWB ASSY GRIPPER INTER
capitolareatech NEW - $259.70 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90259 PWB ASSY OPTO ISOLATOR
capitolareatech NEW - $598.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90211 FLOOD GUN PWB ASSY
capitolareatech NEW - $316.61 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90163 PWB ASSY, WAFER ARM POS.
capitolareatech NEW - $1,455.72 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90149 PWBA SPIN SCAN REGULATOR
capitolareatech NEW - $271.54 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90141 PWBA WAFER ARM INTERLOCK
capitolareatech NEW - $1,305.38 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90135 PWB ASSY ANALYSING MAG MB
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90095 HEATSINK PWS ARC, PWBA
capitolareatech NEW - $1,090.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0100-90092 PWBA Control Switch Mode PWS
capitolareatech NEW - $249.44 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90077 PWBA CONTROLLER THERMSTR
capitolareatech NEW - $448.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90052 PWBA MB MOTOR POT
capitolareatech NEW - $292.93 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-90036 PWBA CONTACTOR DRIVE
capitolareatech NEW - $3,191.68 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0100-89004 PCB Assembly, Lamp Fail Detector
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-77037 PCB INTERLOCK #1 IN CONTROLLER MIRRA CMP
capitolareatech NEW - $110.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-77034 Assy, PCB Head
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-77011 LIGHT TOWER RELAY ASSEMBLY
capitolareatech NEW - $776.63 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-76294 PCB,SMOKE/WATER DISTRIBUTION 300MM
capitolareatech NEW - $450.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-76257 PCBA, VI RF Probe Board
capitolareatech NEW - $828.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-76137 Assembly, CENTURA MCVD Chamber B,D Interf
capitolareatech Refurbished - $600.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-76124 DIGITAL INPUT OUTPUT PCB
capitolareatech NEW - $2,962.13 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-76119 PCB Assembly, Power Supply
capitolareatech Refurbished - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-76091 ASSY, PCB SBC/WPS/CF DISTRIBUTION
capitolareatech Used - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-76027 ASSEMBLY, PCB, CENTERFINDER EXTENDER
capitolareatech Used - $38.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-70019 ASSY CONTROLLER DISTRIBUTION/WPS BD
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-70019 Assembly Controller Distribution/WPS Boar
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-70019 Assembly Controller Distribution/WPS Boar
capitolareatech NEW - $721.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-65513 ASSY FACILITY GAS BACKPLANE PCB
capitolareatech NEW - $158.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-50008 Divider PCB
capitolareatech NEW - $325.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-40045 PCBA,WAFER LIFT SENSOR BD
capitolareatech NEW - $1,300.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0100-40044 Remote Chamber Interface Board
capitolareatech NEW - $1,129.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0100-40039 PCB Assembly, High Den Plas CH
capitolareatech NEW - $300.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-40037 PCBA,SOURCE SIGNAL CONDI
capitolareatech NEW - $719.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-40025 PCBA Mainframe Interconnect
capitolareatech NEW - $650.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0100-40013 PCBA MF Interlock
capitolareatech NEW - $445.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-38042 PCB,ASSY,LIGHT SOURCE
capitolareatech Used - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-35393 PCB ASSEMBLY, WAFER ROTATION INTERFACE
capitolareatech NEW - $300.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-35267 PCBA, GAS PALLET INTERLOCKS MATRIX,
capitolareatech Refurbished - $3,000.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-35207 PCB, CHAMBER INTERFACE IPS, 5200
capitolareatech NEW - $1,483.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-35201 ASSY, PCB, MAINFRAME INTERFACE
capitolareatech NEW - $350.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-35148 PCBA, TWO CHBR GAS BACKPLANE
capitolareatech Used - $495.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0100-35124 SERIPLEX I/O DISTRIBUTION BOARD
capitolareatech NEW - $102.74 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91741 F/O,SPARES TG,2000MM/SMA -SMA
capitolareatech NEW - $112.28 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91740 F/O,SPARES TF,1500MM/SMA -SMA
capitolareatech NEW - $45.03 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91735 CA TOXIC AL.J1/TOXIC AL.T/B
capitolareatech NEW - $30.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91668 CFA 22E.CEP.3/20A
capitolareatech NEW - $170.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91666 CFA 22E.CEP.1/6A.GND
capitolareatech NEW - $40.10 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91641 CFA DONGLE/30B.MP4
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91485 7ft C/A 1CP2/3AP10
capitolareatech NEW - $124.12 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91443 C/A 3DJ26/2A.IG2
capitolareatech NEW - $109.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91424 Cable, Connector, D-TYPE, 2G 3DJ7/2GJ8
capitolareatech NEW - $26.16 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91390 Earth Braid, Grounding Bar
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0150-91342 F/O, T8, 7640mm, 10A, .SPRX 14E.SPRX
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0150-91341 FO, T8, 7640MM, 10A.SPRX 14E.SPRX
capitolareatech NEW - $37.52 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91284 CFA CB15/K9 AUX BEAMLINE PDU
capitolareatech NEW - $123.57 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91211 Cable Assembly "I/F.P2-4A.P9"
capitolareatech NEW - $96.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91191 Fiber Optic, T8, 7600mm, 10A.H.RX/14E.H.R
capitolareatech NEW - $96.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91189 FO, T8, 7600mm, 10A.F.RX/14E.F.RX
capitolareatech NEW - $135.20 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91188 FO,T8,7600mm,10A.E.RX/14E.E.RX
capitolareatech NEW - $118.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91187 FO,T8,7600mm,10A.D.RX/14E.D.RX
capitolareatech NEW - $135.20 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91183 FO,T7, 7600mm, 10A.E.TX/14E.E.TX
capitolareatech NEW - $118.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91182 FO, T7, 7600mm, 10A.D.TX/14E.D.TX
capitolareatech NEW - $96.62 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91179 FO, T8, 7640mm, 10A.C.RX/14E.C.RX
capitolareatech NEW - $135.20 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91178 FO, T8, 7640mm, 10A.B.RX/14E.B.RX
capitolareatech NEW - $120.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91177 FO,T8,7640mm,10A.A.RX/14E.A.RX
capitolareatech NEW - $120.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91175 FO,T7,7640mm,10A.0.TX/14E.0.TX
capitolareatech NEW - $115.58 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91174 FO,T7,7640mm,10A.C.TX/14E.C.TX
capitolareatech NEW - $135.20 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91173 Fiber Optic, T7, 7640mm, 10A.B.TX/14E.B.T
capitolareatech NEW - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91172 Fiber Optic,T7,7640mm,10A.A.TX/14E.A.Tx
capitolareatech NEW - $59.58 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91136 CAB ASSY J12-P3/M
capitolareatech NEW - $69.13 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91131 F/O,T2,520mm,E4.Tx/5A.Tx
capitolareatech NEW - $65.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-91130 F/O,T4, 390mm, E3.Tx/E4.Rx
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90773 CABLE ASSY"3D.J27/2G.J6"
capitolareatech NEW - $108.43 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90762 Cable Assembly 33C.J2/33A.P1
capitolareatech NEW - $60.73 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90725 Cable Assembly 30B.P5/30D.P2
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90679 F/O, T2, 600MM, B2.Tx/30B. Tx
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90678 F/O,T3,600MM,B2.Rx/30B. Rx
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90677 F/O,T4,330MM,A2.Tx/A3. Rx
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90674 F/O,T2,600MM,B4.Tx/30F. Tx
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90671 F/O,T3,450MM,B1.Rx/30G. Rx
capitolareatech NEW - $95.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90668 DEUTSCH LIMITED 454501-51 F/O,T1,3400MM,4F.
capitolareatech NEW - $315.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90512 CABLE ASSY,ENCODER/2GP4
capitolareatech NEW - $54.61 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90495 CFA 24C.J1/24C.HV FB & 0V
capitolareatech NEW - $126.80 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90493 CFA 24C.J2/24C.PCB-HV1
capitolareatech NEW - $37.43 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90422 FO, 33C.Rx/33A.Rx 1900MM LG
capitolareatech NEW - $37.43 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90421 FO, 33C.Tx/33A.Tx 1900MM LG
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90412 Fiber Optic Options Chassis
capitolareatech NEW - $79.44 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90396 F/O, T2,1000MM, EMER. REPAIR CHAS
capitolareatech NEW - $94.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90392 F/O, T1, 1000MM Repair "A"
capitolareatech NEW - $106.94 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90390 FIBER OPTIC 10A.P5/4F.RX
capitolareatech NEW - $95.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90386 F/O,T1,3600mm,10A.H.Tx/4B.Rx
capitolareatech NEW - $95.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90385 FIBER OPTIC4A.TX/10A.GRX, 12' 10"
capitolareatech NEW - $95.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90384 F/O,T1,3000MM,4A.Rx/3D. P31
capitolareatech NEW - $95.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90380 FIBER OPTIC5F.TX/10A.FRX
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90356 FIBER OPTIC SERVO ARM CONTROLLER
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90355 FIBER OPTIC SERVO ARM CONTROLLER
capitolareatech NEW - $70.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90348 FIBER OPTIC WAFER LOADER CTRL
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90346 FIBER OPTIC WAFER LOADER CTRL
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90344 FIBER OPTIC TARGET CHMBR INST
capitolareatech NEW - $70.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90340 Fiber Optic Spin Scan CTRL FWI
capitolareatech NEW - $74.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90339 FIBER OPTIC SPIN SCAN CTRL STD
capitolareatech NEW - $100.82 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90314 Fiber Optic, T1, 4350MM, 30B.Tx/28A Rx
capitolareatech NEW - $96.24 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90313 F/O,T1,2900MM,30F.Tx/30B Rx
capitolareatech NEW - $96.24 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90312 F/O,T1,2450MM,30G.Tx/30F Rx
capitolareatech NEW - $43.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-90135 FIBER OPTIC 10A.C.TX/ 7C.RX
capitolareatech NEW - $212.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-77472 C/A FP BLKHD Power MF Standalone INTERCO,
capitolareatech NEW - $144.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-77339 C/A MON Keyboard Mouse Signal B
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76991 C/A ROBOT CNTLR MLTST, CLDWN CHBR,
capitolareatech Refurbished - $60.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76832 CABLE ASSY, AMPLIFIER FIBER OPTIC
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0150-76832 Keyence FS-T1 Keyence CABLE ASSY, with AMPL
capitolareatech NEW - $27.81 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76524 Cable Assembly, 300MM Mainframe Water Sen
capitolareatech NEW - $37.86 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76514 CABLE ASSY,CONTR INTLK PCB,J3-BP8,CENTUR
capitolareatech NEW - $78.17 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76467 MTR DRV DIST TO MTR DRIVE MONITOR
capitolareatech NEW - $300.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76213 EMC COMP.,CABLE ASSY,SYSTEM MONITOR,EXTE
capitolareatech Used - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76183 EMC COMP.,HARNESS ASSY PNEUMATIC'S
capitolareatech Used - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76182 EMC COMP.,HARNESS ASSY LOAD LOCK
capitolareatech Used - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76181 EMC COMP.,HARNESS ASSY MAIN FRAME
capitolareatech Used - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76180 EMC COMP.,HARNESS ASSY MAIN FRAME
capitolareatech Used - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76179 EMC COMP.,HARNESS ASSY MAIN FRAME
capitolareatech NEW - $41.76 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76165 C/A EMC COMP, HEAT EXCHANGER, 25FT CSI
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76116 15076116 ROBOT CALIBRATION CABLE
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76098 NEUTRAL BAR TO TRANSFORMER CABLE
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-76059 CABLE ASSY, ENDURA STATUS LAMP F/P/UL
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-75131 CABLE ASSY,H2 SENSOR TO CUSTOMER TB9
capitolareatech NEW - $67.54 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-70189 Pump EMO Interconnect Cable
capitolareatech NEW - $119.19 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-70164 CABLE ASSY, ENDURA STATUS LAMP F/L/P
capitolareatech NEW - $43.81 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-70139 CABLE-1 LAMP CONTROL
capitolareatech NEW - $80.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-70065 CBL ASSY MAIN FEEDER WIRES 2
capitolareatech NEW - $48.12 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-70030 Cable MAG TO AC Chamber B
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-70009 CABLE HEAT EXCHANGER
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-70002 CABLE FLAT ASSY SCSI 50P EXTENDED
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-51305 TC Cable
capitolareatech NEW - $37.19 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-39357 Cable Assembly, Warning INTLK, MERFEI INT
capitolareatech NEW - $48.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-39342 Cable Assembly, Water Leak Detector Senso
capitolareatech NEW - $80.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-39337 C/A GAS PANEL 300MM OXIDE
capitolareatech NEW - $308.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-39333 CABLE ASSY,CHAMBER PNUEMATIC MANIFOLD,30
capitolareatech NEW - $433.97 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-38931 CABLE ASSY,VHP+ TRANSF MOTOR CNTRL TO M/
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-38853 CABLE ASSY, 25 FT M/F EMO UMBILICAL, RTP;
capitolareatech NEW - $34.02 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-38676 CABLE,POWER,SPILL PCB,CVD TI/TIN
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-38555 Cable Assembly GPLIS Injection Valve Heat
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-38552 CBL ASSY,CH A SERIPLEX VME,MEI CONT
capitolareatech NEW - $130.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-38422 ASSY CABLE PHOTO I/O INTERCONNECT
capitolareatech NEW - $83.54 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-38420 CABLE ASSY,CATHODE MAINTENANCE INTERFACE
capitolareatech NEW - $44.55 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0150-38412 Cable Assembly, Water Flow Switch, 300MM
capitolareatech NEW - $908.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-80693 Manifold Toxic Exhaust
capitolareatech NEW - $98.70 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-80276 ADAPTOR FLOW METER 640
capitolareatech NEW - $619.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-80141 GRIPPER CLAW,UPPER,LH,300mm
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-79057 Envelope RF Filter
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-77462 AXLE, WRIST GEAR ROBOT
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-77435 SHROUD, LAMP NOVA INTEGRATION
capitolareatech NEW - $520.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-77367 MOUNT PLATE
capitolareatech Used - $2,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-76520 SMC NCDQ2WB63-U1A970110 lift assembly Assem
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-75100 BRACKET, CB PANEL MTG
capitolareatech NEW - $608.22 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-70623 Valve PNEU Bellows N/C 1/2FVCO X 1/2FVCO
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-70524 WELDMENT, HOSE H2
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-70379 BRACKET, ICE I/L, IMP RF MATCH
capitolareatech NEW - $119.96 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-63185 BRACKET, RF COVER, ALUM. MANIFOLD, LOW K
capitolareatech NEW - $55.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-61956 PLATE
capitolareatech Refurbished - $65.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-61876 REFURBISHED COMPOSITE RETAINING RING, 8"
capitolareatech NEW - $114.17 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-60891 STOP, DOUBLE, WAFER LIFT ASSEMBLY, 300MM
capitolareatech NEW - $460.65 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-54322 TUBE, FEEDTHRU, PROCESS GAS, ALD TAN 300
capitolareatech Refurbished - $6,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-53718 ESC 300mm , AMAT 0040-53718 and 0040-8066
capitolareatech NEW - $80.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-50758 0040-50758..ADAPTER, PRECURSOR FEEDTHROUG
capitolareatech NEW - $158.18 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-49268 RF Bias Feed, Axiom
capitolareatech NEW - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-46441 o swill 5.3 fi endura
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-46343 Base, Wrist 300mm Robot TI LCF+
capitolareatech NEW - $142.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-45696 EXTENSION VENT LEVEL SENSOR ERT IECP
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-45299 CAM Arm
capitolareatech NEW - $225.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-44833 V-Block, Mounting, Load Lock, Producer SE
capitolareatech NEW - $206.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-44502 SERVO DRIVE AND PNUE BLOCK, SWLL-A
capitolareatech NEW - $340.20 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-44187 BRACKET, SUPPORT, LOWER, COLD TRAP HT CV
capitolareatech NEW - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-44071 Manifold
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-43939 Fin Spacer, Symmetric, Swll, Centura
capitolareatech NEW - $275.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-42314 Finger Assembly Peek, Long Walking Beam 3
capitolareatech NEW - $126.83 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-42182 COVER, LOWER WTR BOX, ANNL CHMBR 300MM
capitolareatech Used - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-41703 door, slit valve, DPS 2
capitolareatech NEW - $115.02 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-41417 Retainer Plate, Robot Controller
capitolareatech NEW - $1,633.49 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-41189 LP-Liner, Cathode, Mag Ring, Waffle-Less
capitolareatech NEW - $1,413.98 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-40863 UPPER PLATE HEATER ECP 300MM ANNEAL
capitolareatech NEW - $750.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-40322 Weldment, Screen Frame, Pump Port Liner
capitolareatech NEW - $130.30 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39945 Bracket, Gags Spring, Pull 300mm Producer
capitolareatech NEW - $637.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39559 INSERT, LINER HT TIN CVD 200MM
capitolareatech Refurbished - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39558 SWAGELOK LHF GASLINE INSERT, SHORT CHBR FEE
capitolareatech NEW - $233.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39558 SWAGELOK LHF GASLINE INSERT, SHORT CHBR FEE
capitolareatech NEW - $76.29 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39557 GASLINE INSERT, LONG CHBR FEED THRU HT T
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39547 LINER, REAR L.H. UPPER
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39520 BRACKET,TANTALUM VAPORIZER,200MM
capitolareatech NEW - $196.20 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-39099 MANIFOLD GAS INLET UWAVE WXZ
capitolareatech NEW - $207.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-36965 ENCLOSURE CH-SET SERIPLEX INTERCONNECT A
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring
capitolareatech NEW - $115.12 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-36690 Bracket, Sensor, MST FMK-Style
capitolareatech NEW - $394.66 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-36308 BRACKET DC POWER SUPPLY 5200
capitolareatech Used - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-36192 WELDMENT, MANIFOLD 2ND GROUP TYPE XXXSS,
capitolareatech NEW - $35.24 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-36189 Clamp, Support Bracket, ENDPOINT
capitolareatech NEW - $4,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-35851 Pedestal, ESC 195mm, Flat, DPS Chamber
capitolareatech NEW - $31.91 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-35656 Housing, TMS, SEIKO SEIKI, FAC BOX-DPS ME
capitolareatech NEW - $225.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-35543 FTG, LONG ULTRA-TORR UNION, 1/4 TUBE SIZ
capitolareatech NEW - $390.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-34926 CATHODE END POINT DETECTOR
capitolareatech NEW - $130.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-34827 CGA 320 PIGTAIL
capitolareatech NEW - $55.37 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-34816 Cover, RF Heater Filter Producer
capitolareatech NEW - $102.04 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-34160 COVER, INNER, SLIT LINER DOOR, 300MM EMA
capitolareatech NEW - $69.57 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-32764 BRKT SUPPORT N2 PURGE NULTI-SLOT LL PROD
capitolareatech NEW - $101.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-32691 BLOCK ELBOW GAS BOX MICROWAVE REMOTE PLA
capitolareatech Refurbished - $750.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-32463 RING, MAGNET UPPER
capitolareatech NEW - $1,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-32463 RING, MAGNET, UPPER
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-24128 Housing
capitolareatech NEW - $233.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-24114 ADJUSTMENT CERAMIC SKIRT
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-23765 Plate, not in original packaging
capitolareatech Used - $32.53 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-22879 ASSY, VALVE WITH HOSES
capitolareatech NEW - $251.63 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-22858 BRACKET, LEFT GAMMA 2 PROCESS GAS LINE
capitolareatech NEW - $55.18 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-22140 VECTRA IMP MAGNET
capitolareatech NEW - $1,188.62 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-22026 WAFER COMB RIGHT LL 28 SLOT
capitolareatech NEW - $165.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-21704 Manifold, Single Top Oulet
capitolareatech NEW - $99.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-21368 Bracket, Left Adapter, Water Cover
capitolareatech NEW - $454.69 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-21216 BRACKET PCB MOUNT REMOTE DISTR
capitolareatech NEW - $427.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-18178 TRAY, PLATE SOURCE BOX OT H20 LEAK
capitolareatech NEW - $76.38 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-13881 DOOR,BACKING 300MM SLITVALVE PROCESS AL
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-13880 DOOR,BACKING 300MM SLIT VALVE LLC AL REV
capitolareatech NEW - $1,095.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-13380 Robot, Arm, Right, HP
capitolareatech NEW - $1,380.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-13378 Wing, RT, Enhanced HP
capitolareatech NEW - $450.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-13318 Retainer Ring
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-13316 Plate
capitolareatech NEW - $288.95 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-13142 STAND, STEC INJECTOR, REINFORCED
capitolareatech NEW - $650.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09963 Pedestal, 150mm Flat, IS, NI Lift 2, HVCE
capitolareatech NEW - $700.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09961 Pedestal, 200mm Notch, IS, NI Lift2, HVCE
capitolareatech NEW - $750.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09918 BASE, CONNECTOR, HEATER
capitolareatech NEW - $838.76 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09721 45"POST LIFT ASSY DPS CHAMBER 300MM SYST
capitolareatech NEW - $202.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09549 SHIELD, REAR, UNIVERSAL
capitolareatech NEW - $34.02 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09476 Clamp, TC, Vapor Line, H20 VDSII
capitolareatech NEW - $170.84 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09277 Front Panel, Cooling, Heat Exchanger
capitolareatech NEW - $119.19 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09253 WELDMENT,R/F GND, TOP MOUNT SUSC.
capitolareatech NEW - $40.55 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09223 Bracket Motor (Load)
capitolareatech NEW - $38.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09222 Bracket Motor (Tune)
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09210 Plunger, Soft Tip Hinge Head Lock, 300 M
capitolareatech NEW - $250.74 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-09026 MANIFOLD BLOCK 2:1
capitolareatech NEW - $933.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-08918 COVER TOP PAN, MEG HVM
capitolareatech NEW - $3,315.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-08917 PROTECTOR, MEG HVM
capitolareatech NEW - $1,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-08845 Pin, Lift, RF INTLK, 300MM DPS DTCU
capitolareatech NEW - $495.54 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-80090 Toxic Exhaust Manifold
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-78263 RETAINING RING COMPOSITE 8" TITAN HEAD
capitolareatech NEW - $283.52 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-75563 Manifold, Loadlock Roughing
capitolareatech NEW - $58.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-70063 Bracket N2 Manifold Pump
capitolareatech NEW - $176.53 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-64336 Ring, Retaining Flanged PPS AEP, 300MM P
capitolareatech NEW - $550.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-62420 0040-62420..CAP, LID, 200MM ALD TAN
capitolareatech NEW - $351.54 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-61340 ENCLOSURE,PWR MODULE,END PT,200/300 PROD
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0040-55477 Crescent Drive, VDPM, 300MM Desica, CMP
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-52393 SHIELD, LEFT ENLARGE SRC BSH
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-52304 PANEL, LOWER ENLARGE
capitolareatech NEW - $1,377.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-51825 SUPPORT, CENTER RPSII. PMD PRODUCER SE
capitolareatech NEW - $362.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-50564 PLATE COMP MTG G2 PROTECTION
capitolareatech NEW - $64.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-50452 MOUNTING BRKT FRAME ASSY, 300MM ULTIMA X
capitolareatech NEW - $92.09 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-48647 DRAIN MNFLD, 3"OD SEC CONTAINMENT WET CL
capitolareatech NEW - $119.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-46594 GAS EXTRACT PIPE (THRO FLOOR)
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-46087 TOOL, KEY INSTALLATION, 300MM DPS2
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-45298 CAM Arm
capitolareatech NEW - $275.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-42315 Finger Assembly Peek Short Walking Beam 3
capitolareatech NEW - $2,800.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-41834 DYNETIC SYSTEMS 330064A MOTOR COVER, 15:1 M
capitolareatech NEW - $26.62 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-41085 Foreline, Vertical Producer 200MM
capitolareatech NEW - $7,892.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-40945 Plate ClampLID, EMAX 300MM
capitolareatech NEW - $4,525.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-40865 Heater Mounting Plate 300MM Anneal
capitolareatech NEW - $272.06 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-40488 BLOCK, VEE, FI INTERFACE 300MM CENTURA M
capitolareatech Used - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-36191 WELDMENT, MANIFOLD 2ND GROUP TYPE XXXSS,
capitolareatech NEW - $225.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0040-32548 Blade, Spherical, Throttle Valve, 10 INC
capitolareatech NEW - $260.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10506 Clamp, Rail, Rear, Polisher Side
capitolareatech NEW - $300.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10505 CLAMP, RAIL, FRONT, POLISHER SIDE
capitolareatech NEW - $53.42 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10432 PANEL EXHAUST BOX REAR UPPER
capitolareatech NEW - $93.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10431 PANEL EXHAUST BOX REAR LOWER
capitolareatech NEW - $309.90 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0020-10194 Plate Lower Tray Elevator
capitolareatech Used - $225.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10191 PLATE BLOCKER 200MM
capitolareatech NEW - $54.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10133 CVD, Block RF Ground
capitolareatech NEW - $80.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10126 BRACKET, VALVE MOUNTING, 18 DROP, CENTUR
capitolareatech NEW - $100.41 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09967 BRACKET, WATER BOX
capitolareatech NEW - $38.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09965 R.F. Output Block
capitolareatech NEW - $306.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09944 *ELECTRODE E4
capitolareatech NEW - $31.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09785 COMB CENTERFINDER CALIBRATION 5 AND 6 I
capitolareatech NEW - $50.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09701 PLATE PLUG
capitolareatech NEW - $672.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09657 Rear Panel CBL Liquid Source
capitolareatech NEW - $160.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09655 DDF3 HUB FR2 PAD CONDITIONER
capitolareatech NEW - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09629 QCDVATX0F COVER, GENERATOR CONN HOUSING
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09575 Finger
capitolareatech NEW - $44.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09472 CLAMP, SHAFT GUIDE
capitolareatech NEW - $32.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09416 SHIM .003
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09412 seal bellows sleeve
capitolareatech NEW - $1,300.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-09152 PEDESTAL, 200MM, SHOWER DBL NOTCH
capitolareatech NEW - $26.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09102 SHAFT, THROTTLE VALVE
capitolareatech NEW - $66.22 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09100 FRONT PANEL,INTELLIGENT INTFC
capitolareatech NEW - $42.99 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09042 Actuator, Crit, Installer
capitolareatech NEW - $37.74 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-08976 *ELECTRODE APERTURE 12mm
capitolareatech NEW - $311.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-08936 BRACKET, CONNECTOR MTG.
capitolareatech NEW - $182.66 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-08311 SHUTTER,MRS
capitolareatech NEW - $101.21 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-08275 CONNECTOR EXTRACTION LEAP 2
capitolareatech NEW - $1,005.55 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-08184 GUIDE BRACKET,UPPER MOTOR
capitolareatech NEW - $135.44 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07927 Bellows Shield, Upper, ECP ANNEAL
capitolareatech NEW - $608.72 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07926 BELLOWS SHIELD, LOWER, ECP ANNEAL
capitolareatech NEW - $28.71 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07869 OPTO BRACKET
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07774 Lower Plate Magnet, Ring Flux Shape, 200m
capitolareatech NEW - $60.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07616 Aperture Linger, G1
capitolareatech NEW - $610.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07594 Clamp Ring, 8" SNNF, ELECTRA CU E/E 2.5,
capitolareatech NEW - $206.55 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07458 LINER, CHAMBER, BOTTOM
capitolareatech NEW - $379.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07382 LH COVER TOP
capitolareatech NEW - $33.39 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07212 Washer, Compression Quad Ring 300mm HDP
capitolareatech NEW - $50.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-07008 Bracket, Monitor Mount, P5 Servo Lift
capitolareatech NEW - $126.74 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06997 PEDESTAL, 125MM OXIDE
capitolareatech NEW - $48.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06973 COVER ACCESS
capitolareatech NEW - $65.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06967 DOME GRAPHITE
capitolareatech NEW - $726.19 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06963 LEFT ARM SUPPORT-WAFER COUNTER
capitolareatech NEW - $338.70 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06916 BLADE RF MATCH
capitolareatech NEW - $50.94 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06912 BRACKET, GROUNDING
capitolareatech NEW - $41.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06894 Spacer RF Connector RF Micro Match
capitolareatech NEW - $108.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06890 Spring, 100mm Gripper
capitolareatech NEW - $90.94 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06888 FINGER, 100MM GRIPPER
capitolareatech NEW - $50.36 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06831 Block, Spring Guide Geneva Drive
capitolareatech NEW - $86.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06815 Semiconductor Part, Insulator, Ground Pla
capitolareatech NEW - $137.29 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06779 BRACKET, FLAT FNDR MOTOR
capitolareatech NEW - $30.02 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06771 MOUNT STRIP, LOWER VERT.
capitolareatech NEW - $1,287.28 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06732 Shaft, Z-AXIS
capitolareatech NEW - $36.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06713 Suspension Lower
capitolareatech NEW - $27.70 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06712 Upper Suspention
capitolareatech NEW - $120.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06574 SDS BOTTLE BACKREST
capitolareatech NEW - $366.45 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06534 BRACKET MTG WIPER SW
capitolareatech NEW - $56.51 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06518 WIPER, RF MICRO MATCH
capitolareatech NEW - $291.25 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06470 Crit, Pedestal, 150 MM Oxide
capitolareatech NEW - $300.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06470 Crit, Pedestal, 150 MM Oxide
capitolareatech NEW - $27.81 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06438 HINGE
capitolareatech NEW - $60.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06414 PAD SLEEVE COVER
capitolareatech NEW - $143.18 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06192 FLAG, HOME EXTENSION
capitolareatech NEW - $95.14 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06190 FLAG, SENSOR, 7/8 EXTEN.
capitolareatech NEW - $536.76 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06142 Arm Left Hand Shuttle
capitolareatech NEW - $77.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06096 Clamp, Shaft, Gripper
capitolareatech NEW - $54.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06090 INSULATOR SPINDLE SCREW SPINNING HEAD RE
capitolareatech NEW - $29.18 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06079 HEATER COVER 16.00 LONG
capitolareatech NEW - $32.45 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06065 LOCK NUT
capitolareatech NEW - $33.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06057 HOUSING,BEARING
capitolareatech NEW - $750.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06049 SHAFT, THROTTLE VALVE
capitolareatech NEW - $507.87 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06002 Cap, Shuttle
capitolareatech NEW - $80.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05973 BUSHING, ESC GROUNDING PIN
capitolareatech NEW - $59.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05922 TARGET, SENSOR
capitolareatech NEW - $1,370.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05760 Lower Plate - Guide Tube Assembly (PFS) C
capitolareatech NEW - $118.25 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05388 Base Cap Valve HDL NUPRO
capitolareatech NEW - $102.45 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05340 CLAMP EMISSOMETER PYROMETER RADIANCE CHA
capitolareatech NEW - $30.38 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05334 Spacer Photo Diode Pyrometer Radiance CH
capitolareatech NEW - $72.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05333 Reflector Lower Pyrometer Radiance CHAMB
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05025 PIVOT HOUSING-QUANTUM
capitolareatech NEW - $170.10 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04878 MRS FIXED SLIT
capitolareatech NEW - $116.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04877 FRONT PLATE, GRAPHITE
capitolareatech NEW - $47.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04578 PRESSURE CAP
capitolareatech NEW - $64.32 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04449 GRAPHITE PLATE
capitolareatech NEW - $99.23 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04432 Pipe, Support, Bottom
capitolareatech NEW - $1,573.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04423 VIEWPOINT HOUSING, DUAL EMISSION E.P.
capitolareatech NEW - $137.84 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04367 Support, Blade, 100MM
capitolareatech NEW - $72.09 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04361 Cover, Hexode 8300, 150MM, Anti Arc
capitolareatech NEW - $138.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04360 Lever, Indexer
capitolareatech NEW - $143.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04348 BRACKET,MOUNTING,SHUTTLE DRIVE ASSY
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04346 Ring, Ground Plate 8300 HEXODE
capitolareatech NEW - $53.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04345 Insulator, Hexode, Antiarc
capitolareatech NEW - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04344 Cover, Hexode, 150MM ANTI ARC
capitolareatech NEW - $105.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04343 Insulator, Ground Plate
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04306 *INSERT,200MM EXT CATHOD
capitolareatech NEW - $325.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04277 2004277 *INSULATING FLANGE, TAPERED (EXT.
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04276 Upper Cap Insulator Oxide System
capitolareatech NEW - $42.53 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04261 Anti-Static Shield, Wafer Gripper 150MM
capitolareatech NEW - $74.89 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04245 BAR, DOOR HINGE UPPER
capitolareatech NEW - $226.43 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04235 BAR, MONOCHROMATER SUPPORT MTG.
capitolareatech NEW - $32.09 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-10038 BRKT SWITCH
capitolareatech NEW - $153.30 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09808 PLATE LATCH
capitolareatech NEW - $187.37 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09687 PLATE XFMR CLAMP
capitolareatech NEW - $55.76 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-09617 Bushing, Rear
capitolareatech NEW - $142.84 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-08247 CLAMP PLATE,LEAP 2
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06956 Blade Insul RF Match
capitolareatech NEW - $108.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06837 ARM, GENEVA LOCKING GENEVA DRIVE
capitolareatech NEW - $81.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06826 Shaft, Arm PivotT Geneva Drive
capitolareatech NEW - $145.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06721 Shield
capitolareatech NEW - $300.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06083 Kit, Base Plate, Shield
capitolareatech NEW - $141.18 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-06003 Robot, Arm, Right Hand, Shuttle
capitolareatech NEW - $904.37 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05764 COVER, HOUSING 200mm
capitolareatech NEW - $27.13 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05345 Spacer EMISSIOMETER Pyrometer Radiance C
capitolareatech NEW - $64.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-05332 Reflector Upper Pyrometer Radiance CHAMB
capitolareatech NEW - $75.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04523 BUSHING, FLANGED, LOCK PIN, GENEVA DRIVE
capitolareatech NEW - $158.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-04368 COVER, HEXODE, 125MM ANTI ARC-MOLECULAR,
capitolareatech NEW - $737.10 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-88714 COUPLING,BALLNUT
capitolareatech NEW - $57.29 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-86219 EXTENSION,ELECTRICAL
capitolareatech NEW - $88.32 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-84504 CLAMP,BRAID
capitolareatech NEW - $59.58 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-84358 PNL Front DECEL/FOCUS PSU PCB
capitolareatech NEW - $177.21 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-84188 Upper Handle
capitolareatech NEW - $70.16 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-84013 BRACKET SCAVENGE SYSTEM
capitolareatech NEW - $25.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83925 Post MRS Slit
capitolareatech NEW - $76.54 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83795 Finger, Long
capitolareatech NEW - $53.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83604 BEARING CAP
capitolareatech NEW - $76.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83592 INSULATOR
capitolareatech NEW - $185.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83569 SCREEN,SIDE (ENTRY TUBE)
capitolareatech NEW - $412.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83568 SCREEN,(ENTRY TUBE)
capitolareatech NEW - $85.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83382 ARM, FINGER - STANDARD
capitolareatech Used - $750.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83331 DISC, 125MM
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83161 RIB SUPPORT TOP COVERS
capitolareatech NEW - $32.35 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83146 ARM, FINGER - STANDARD
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83099 SHAFT,ROLLER,PUSHER DOOR
capitolareatech NEW - $58.60 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83011 Mounting Pillar
capitolareatech NEW - $123.52 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82823 APERTURE, EPG2
capitolareatech NEW - $203.82 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82813 APERTURE, EH0
capitolareatech NEW - $139.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82809 PRE-MRS SLIT
capitolareatech NEW - $273.83 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82808 HOLDER,PRE-DEF.APERTURE
capitolareatech NEW - $257.12 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82805 ELECTRODE, GROUND
capitolareatech NEW - $48.63 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82804 ELECTRODE, SUPPRESSION
capitolareatech NEW - $84.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82647 BRACKET,SOURCE TURBO
capitolareatech NEW - $140.16 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82646 ADAPTOR,SHORT KF40
capitolareatech NEW - $272.05 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82644 SPACER,LEYBOLD MAGNET TURBO
capitolareatech NEW - $36.19 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82398 SHAFT,JIG,BACKPLATE,L.C.BEAMST
capitolareatech NEW - $132.52 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82392 SPUR GEAR,PNEUMATIC
capitolareatech NEW - $149.29 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82379 GRAPHITE BLOCK,L.C.BEAMSTOP
capitolareatech NEW - $900.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82325 MOUNTING, EH3 (GRAPHITE)
capitolareatech NEW - $44.10 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82238 TOOL 2, SUPPRESSION ELECTRODE
capitolareatech NEW - $500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82131 CLAMP, 2.4 DIA LH FILAMENT
capitolareatech NEW - $66.84 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82104 SPACER, SENSOR, 150mm
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-82075 Extractor Shroud (ABS)
capitolareatech NEW - $206.36 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0020-81871 Plate, Beam Dump
capitolareatech NEW - $70.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-81575 Block, Mounting PCB
capitolareatech NEW - $25.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-81432 Rail, CONTACTOR Mounting
capitolareatech NEW - $50.41 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-81229 PLATE,MOUNTING
capitolareatech NEW - $48.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-81051 PANEL FRONT FILAMENT SUPPLY
capitolareatech NEW - $40.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-80783 PAD INSULATED, FEEDTHRU
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-80639 SCREW FILAMENT GUIDE
capitolareatech NEW - $65.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-80632 SHIELD,GUIDE TUBE,SIDE
capitolareatech NEW - $101.19 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-80629 FILAMENT CLAMP (LEFT HAND)
capitolareatech NEW - $135.20 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-80589 LOCATION BLOCK ***
capitolareatech NEW - $29.53 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-80522 ACTUATOR,SAFETY VALVE
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-80431 KEEP PLATE-BOTTOM
capitolareatech NEW - $37.43 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-80042 FILAMENT RETURN CONN
capitolareatech NEW - $116.87 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-80002 COVER, INSULATOR
capitolareatech NEW - $520.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-79477 CROSS WHELL
capitolareatech NEW - $172.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-79346 BRACKET, ANTI-ROTATION
capitolareatech NEW - $190.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-79345 Bracket
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-79313 GEAR, ROTATION
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-79279 SLIDE TRANSFER STATION ON-TRACK INTEGRAT
capitolareatech NEW - $50.33 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-79225 BACKING PLATE, CONTROLLER
capitolareatech NEW - $50.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0020-79162 Seal Clamp
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-79091 8" WAFER RING, SENSOR HEAD
capitolareatech NEW - $40.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-79073 DRIVE PULLEY
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78960 Z-AXIS MOTOR MOUNT
capitolareatech NEW - $90.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78879 BRACKET, TRACK BEARING
capitolareatech NEW - $240.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78871 MOTOR MOUNT, ROBOT X-AXIS
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78849 HOLDER
capitolareatech NEW - $353.60 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78782 SUPPORT MEMBRANE FOR FLATTED WAFER
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78597 Z-AXIS BRACKET
capitolareatech NEW - $50.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78596 Panel, Ceiling, Right, Rear 18 3/8" X 17
capitolareatech NEW - $90.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78594 Panel, Ceiling Left
capitolareatech NEW - $280.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78435 CLAMP,DRIVE BELT
capitolareatech NEW - $440.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78283 MOTOR MOUNT, ROBOT X-AXIS
capitolareatech NEW - $97.06 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78214 VINYL SKIRT
capitolareatech NEW - $650.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77519 FIXTURE PAD CENTERING
capitolareatech NEW - $125.45 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77426 Wafer Base Center
capitolareatech NEW - $129.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77421 SHOULDER PIN LOCK
capitolareatech NEW - $230.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77338 REED
capitolareatech NEW - $120.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77300 SPACER,ROBOT
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77298 Retainer Drive Bely Pulley PULLEY
capitolareatech NEW - $25.53 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77292 MAIN CUP
capitolareatech NEW - $32.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77210 FLAG, SENSOR, CROSS SWEEP
capitolareatech NEW - $28.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77118 ROD, SUPPORT PANEL
capitolareatech NEW - $86.94 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76673 WAFER, ALUMINUM CALIBRATION
capitolareatech NEW - $30.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76431 CENTER PLATE UPPER FRAME REAR CENTURA
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76396 STANDOFF,PANEL BD BRKT,LONG
capitolareatech Used - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76390 ENCLOSURE, AC, CENTURA CHMBR FAC
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76382 BRACKET, EMO SWITCH
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76181 Cover, Cool down, Chamber Non-Enp
capitolareatech NEW - $1,212.65 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76180 Chamber Body Cool Down
capitolareatech NEW - $280.26 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76179 Housing, Lifter, Non-Enp Cooldown Chamber
capitolareatech NEW - $51.54 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76046 HOME AND COMB FLAG LFT STORAGE ELEVATOR
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75913 USE 0040-60541 HOOP 300MM PVD COOLDOWN C
capitolareatech NEW - $135.07 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75905 Hoop Pins, Advanced 101 Lift
capitolareatech NEW - $30.46 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75901 Motor Brace, P5000 MC Robot
capitolareatech NEW - $250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75852 Plate Top Platform WBLL CMF CENTURA
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75740 Top Plate
capitolareatech NEW - $97.80 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75581 Stop, Lift, Lower
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0020-75428 Bracket, 2 Phase Driver
capitolareatech NEW - $55.79 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75272 COVER 400 CB LOWER
capitolareatech Used - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75200 C137-CTB CENTURA CHAMBER TRAY
capitolareatech NEW - $65.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75171 Block Cylinder Mount Loadlock Door
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75164 MEMBRANE TITAN OXIDE SILICON
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-75023 CONNECTING ROD
capitolareatech NEW - $26.59 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-84913 Pin Anti Rotation
capitolareatech NEW - $59.58 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-84220 CABLE DUCT MOUNTING PLATE LWR
capitolareatech NEW - $85.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-84036 LOWER CROSS MEMBER
capitolareatech NEW - $350.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83428 ELECTRODE,SUPPRESSION(60 LEB)
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83309 Clamp Lower NON-Grounded Pedestal
capitolareatech NEW - $27.40 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83162 ROD, END CONNECTOR (150)
capitolareatech NEW - $96.99 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83027 PILLAR-LONG
capitolareatech NEW - $25.40 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-83017 FLAG,BLADE POSN.
capitolareatech NEW - $760.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78959 SHAFT DRIVE WRIST ROBOT
capitolareatech NEW - $44.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-78304 SLEEVE WHEEL DOOR
capitolareatech NEW - $60.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-77215 SPLASH GUARD RETAINER
capitolareatech NEW - $958.10 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-76182 Housing, Pedestal, Cooldown, Non-Enp
capitolareatech Refurbished - $1,500.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0020-25731 TOSOH SET-805-2E6K-SA Endura 8" SET-805-2E
capitolareatech NEW - $349.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13794 SHIELD, BACK, UPPER, 200MM, IECP
capitolareatech NEW - $130.56 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13695 PLATE HARD STOP 200MM CWXZ
capitolareatech Refurbished - $286.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13612 LINER, CATHODE W/ LWR LINER, 300MM DPS I
capitolareatech NEW - $103.68 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13376 Hinge, Body, Left, 300MM Producer
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13323 ORING TRNSFR CHMBR LID VITON 75DURO BLK
capitolareatech NEW - $51.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13145 SPACER, PNEUM VALVE, HE, 200MM RADIANCE
capitolareatech NEW - $150.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13109 Temperature Block SW 200MM CWXZ
capitolareatech NEW - $35.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-13099 TERMINAL BLOCK, SHORT, VAC LAMPHEAD PCB,
capitolareatech NEW - $273.48 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12851 CLAMP DOME DPS 300MM
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12759 BRACKET SUPPORT GAS LINES SST HTR 300MM
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12758 CLAMP GAS LINE 200MM CWXZ
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12611 KEEPER LIFT TUBE RING 200MM CWXZ
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-12279 Shaft, Direct Drive Throttle Valve, 300M
capitolareatech NEW - $135.31 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11807 Clamp, Quick Release, EP Window
capitolareatech NEW - $90.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11729 DATUM BLOCK NARROW FACTORY INTERFACE
capitolareatech NEW - $106.11 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11666 PLATE, MOUNNTING, CHANNEL, DUAL IHC, SUP
capitolareatech NEW - $172.44 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11657 COUPLING, NON-FLEX, LID HINGE 300MM CENT
capitolareatech NEW - $37.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11502 Cap, Adjustable Elbow, 300mm Producer
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11375 Sleeve Plunger SRD, Flywheel, 200MM SRD
capitolareatech NEW - $45.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11311 Bracket, price each
capitolareatech NEW - $166.69 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11295 ADAPTER, CONNECTOR, 750W MOTOR, ECP
capitolareatech NEW - $700.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11187 SPACER, EXHAUST
capitolareatech NEW - $485.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-11186 LINER, EXHAUST, NI PLATED, 200MM TICL4
capitolareatech NEW - $168.75 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10951 BLOCK, COOLING
capitolareatech NEW - $54.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10789 BRACKET, TXZ SLIT WINDOW
capitolareatech NEW - $160.25 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10732 VESPEL, MAGNET RING
capitolareatech NEW - $200.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10726 Clamp
capitolareatech NEW - $56.06 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10695 STEM, HE FEED
capitolareatech Refurbished - $50.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10693 INSERT, SLIT VALVE
capitolareatech NEW - $36.97 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10682 Plate, LID, Hybird, GIGA-FILL, SACVD
capitolareatech NEW - $233.53 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10608 COVER,TEMP SENSOR VDS LINE
capitolareatech NEW - $113.45 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10606 Clamp,Temperature Sensor VDS LINE
capitolareatech NEW - $36.69 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10418 Plate, Back, Throttle Drive
capitolareatech NEW - $80.34 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-10168 Shoe, Cushion, Spindle, Plunger Clamp
capitolareatech NEW - $886.84 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-09925 Brackat, Horizontal Upper, MXP DPS
capitolareatech NEW - $115.66 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-09834 BLOCK, TAPPERED RETAINER, R2 CHAMBER
capitolareatech NEW - $55.08 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-09453 TOOL,CLEANING,PLATE,THROTTLE VALVE,DPS
capitolareatech NEW - $115.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-09434 0021-09434 ACTUATOR, RF SAFETY SWITCH, BUL
capitolareatech NEW - $262.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-09016 2109016 LID, TRANSPARENT, MXP +
capitolareatech NEW - $58.81 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08883 Cover G2 PROT. Resistor Case
capitolareatech NEW - $100.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08774 Guide Cassette Universal MNL FEND 200MM
capitolareatech NEW - $690.87 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08722 PLUNGER, SPINDLE, 200MM IBC, IECP
capitolareatech NEW - $88.05 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08666 Body, Lightpipe, Pyrometer, Int. Bushing
capitolareatech NEW - $71.97 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08292 BRACKET ADJ WAFER SLIDE SENSOR LOADLOCK
capitolareatech NEW - $60.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08241 SPACER,HEXAGONAL M8
capitolareatech NEW - $202.50 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08228 Adapter, Handle, Clamp Ring, 200mm PRE-C
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08154 Latch Guide
capitolareatech NEW - $125.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-08144 PLUG, SST HTR 300MM TXZ
capitolareatech NEW - $224.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07984 Shield Outer, TXZ CVD 300MM
capitolareatech NEW - $223.13 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07981 LIFTER 8" INSULATED O/D HIGH UNIFORMITY
capitolareatech NEW - $456.90 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07748 BRACKET, MAGNET SUPPORT, EMAX 200MM
capitolareatech NEW - $67.49 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07715 Plug, Chamber Insert, 300 TXZ
capitolareatech NEW - $42.47 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07704 FLAG OPTO ATMOSPH ELEVATOR
capitolareatech NEW - $90.72 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07328 Bearing Housing, Throttle Valve
capitolareatech NEW - $205.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-07173 SHIELD, SPLASH, PVDF SPINNING HEAD REV 5
capitolareatech NEW - $1,004.61 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-06873 CLAMP RING, 6", SMF, SST, 3.4MM, 10405AR
capitolareatech NEW - $61.15 0 Jan/24/16 Feb/24/16
Description: Applied Materials (AMAT) 0021-06365 Access Cover, Front UPS Disconnect
capitolareatech NEW - $79.27 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-06364 SIDE COVER, UPS DISCONNECT, PRODUCER
capitolareatech NEW - $271.94 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-06306 200MM MDP Right Weather Support Fin
capitolareatech NEW - $271.94 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-06305 200MM MDP LEFT Wafer Support Fin
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-06302 Bracket
capitolareatech NEW - $55.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-06137 Clamp, Dual Cell Tank, ECP
capitolareatech NEW - $548.78 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04905 SHIELD, SHAFT SRD, ECP
capitolareatech NEW - $33.15 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04871 Blank, Chamber Leak U Wave WXZ
capitolareatech NEW - $75.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04740 Clamp, Spindle Arm
capitolareatech NEW - $32.88 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04687 Nut, Lock, Heater Lift
capitolareatech NEW - $750.60 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04686 PLATE, HEATER LEVELING, 200MM UNIVERSAL
capitolareatech NEW - $132.30 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04679 PLATE, LOCK, HEATER LIFTER
capitolareatech NEW - $41.24 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04669 Spacer, Limited Extension, Upper Carrier
capitolareatech Refurbished - $65.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP COIL SUPPORT ELECTRA
capitolareatech NEW - $88.73 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-04217 KEY, STAINLESS
capitolareatech NEW - $47.79 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-03601 PIN LIFT HANDLE BALL REMOVAL TOOL RTP
capitolareatech NEW - $30.92 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-03349 CLAMP,N2 PURG,LOWER,31"GP,ETCH
capitolareatech NEW - $56.77 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-03303 GASKET, RF SHIELD, MAC ICP
capitolareatech Refurbished - $405.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-03066 MIDDLE LINER,250MM THROTTLE VALVE,SPHERI
capitolareatech NEW - $422.58 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-02818 FLANGE, THROTTLE VALVE, 200MM SACVD PROD
capitolareatech NEW - $824.42 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-02747 INSERT, SLIT VALVE FOR BUFFER
capitolareatech NEW - $98.82 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-02624 CLAMP ISOLATOR, VIEW LID, 200MM CUXZ
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-02623 Mount, Bracket
capitolareatech NEW - $273.70 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-02497 RETAINING RING
capitolareatech NEW - $26.25 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-02489 SHIELD, HIGH VOLTAGE COMPARTMENT, MW CLE
capitolareatech NEW - $30.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-02452 CHAMBER BUSHING, LID ALIGN
capitolareatech NEW - $25.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-01810 SHIM SACVD
capitolareatech NEW - $2,250.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-01602 MANIFOLD,INPUT,WATER,NI PL, TI-XZ
capitolareatech NEW - $54.26 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-01561 CONNECTOR, DC FEEDTHROUGH, CERAMIC ESC
capitolareatech NEW - $47.39 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-01040 hinge, body, left, 300mm, producer
capitolareatech NEW - $47.39 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-01039 Hinge, Body, RT, 300MM Producer
capitolareatech NEW - $232.64 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-00838 PLUNGER, LID INTERLOCK CUXZ
capitolareatech NEW - $3,000.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-00271 MOUNTING RING FOR POLY R2 DTCU
capitolareatech NEW - $40.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 0021-00152 BRACE, SIMPLE CATHODE HE FEED GASLINE
capitolareatech NEW - $35.00 0 Jan/24/16 Feb/24/16
Description: APPLIED MATERIALS (AMAT) 3870-90283 SMC VZ512 Solenoid, 3-Way Valve, Pressure:
bruce135 Used - $329.99 0 Jan/25/16 Feb/24/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
vipermn Used - $2,000.00 1 Jan/25/16 Feb/27/16
Description: APPLIED MATERIALS AMAT 0190-76043 (V4410) SBC SYNERGY BOARD
pete-sigep Used - $475.00 0 Jan/26/16 Feb/25/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
datacom2014 NEW - $70.00 2 Jan/27/16 Jan/07/20
Description: Applied Materials AMAT 0150-76116 Robot Calibration Cable
albelectric NEW - $49.99 0 Jan/27/16 Feb/26/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
datacom2014 NEW - $20.00 1 Jan/27/16 Apr/13/16
Description: APPLIED MATERIALS AMAT 0270-20066 JIG ROBOT LOWER DRIVE REMOVAL
orcom2011 Scrap, for parts - $2,500.00 1 Jan/28/16 Jan/28/16
Description: APPLIED MATERIALS AMAT 0010-93146 , POWER SUPPLY 15V
tech-tonica NEW - $199.00 0 Jan/28/16 Feb/04/16
Description: Applied Materials AMAT Flex Hose Assembly 3400-01289 3100 psi swagelok Two Pack
tech-tonica NEW - $234.00 0 Jan/28/16 Feb/07/16
Description: NEW (Set of 2) Applied Materials AMAT Flex Hose Assembly: 0240-03809 0050-41415
tech-tonica NEW - $150.00 0 Jan/28/16 Feb/04/16
Description: applied materials AMAT 0190-13219 HOSE ASSY FLEX COND REV.P1 320 18223 17393201
visionsemi Used - $2,985.00 1 Jan/28/16 Feb/01/16
Description: APPLIED MATERIALS AMAT DxZ CENTURA CHAMBER LID ASSY W/LID COVER 0010-38107 200MM
visionsemi Used - $1,675.00 0 Jan/28/16 Jan/22/17
Description: APPLIED MATERIALS AMAT P5000 0010-09297 TUNGSTEN POWER SUPPLY 6"
ptb-sales Used - $750.00 0 Jan/29/16 Feb/08/16
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
ptb-sales Used - $780.00 0 Jan/29/16 Feb/08/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Jan/29/16 Feb/08/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $2,000.00 0 Jan/29/16 Feb/08/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
eduard1705 Used - $169.00 0 Jan/31/16 Feb/07/16
Description: Applied Materials AMAT Valve 3870-03651 220 PSIG
eduard1705 Used - $169.00 0 Jan/31/16 Feb/07/16
Description: Applied Materials AMAT Valve 3870-03652 220 PSIG
ssssayag NEW - $27.00 0 Jan/31/16 Mar/01/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
bornalliancecom NEW - $500.00 0 Feb/01/16 Mar/02/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
bornalliancecom NEW - $500.00 0 Feb/01/16 Mar/02/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
ciarasheltie NEW - $19.99 0 Feb/02/16 Feb/09/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
katiil3 Used - $1,100.00 1 Feb/02/16 Feb/23/16
Description: Applied Materials AMAT 0020-33806 Upper Chamber
ssssayag Used - $490.00 0 Feb/02/16 Mar/03/16
Description: Applied Materials AMAT 0010-09978 Assy Lamp Module 5000 CVD , Au Plated
tjtechseller Used - $45.00 0 Feb/03/16 Aug/28/16
Description: 0020-31016 Applied Materials AMAT Centura P5000 HOUSING QUARTZ TUBE BWCVD
tjtechseller NEW - $5,700.00 0 Feb/03/16 Nov/10/16
Description: 0021-35008 Applied Materials AMAT Centura RTP XE XE+ PLATE REFLECTOR 200MM XE CH
svcstore Used - $199.99 0 Feb/03/16 Mar/04/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
svcstore Used - $125.99 0 Feb/04/16 Mar/05/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
visionsemi NEW - $6,750.00 0 Feb/04/16 Sep/02/16
Description: APPLIED MATERIALS AMAT HPCVD CENTURA DOME TEMP CONTROLLER 0010-35633
springfieldsurplus2014 NEW - $12.50 0 Feb/05/16 Mar/06/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
tech-tonica NEW - $199.00 0 Feb/06/16 Feb/13/16
Description: Applied Materials AMAT Flex Hose Assembly 3400-01289 3100 psi swagelok Two Pack
tech-tonica NEW - $150.00 0 Feb/06/16 Feb/13/16
Description: applied materials AMAT 0190-13219 HOSE ASSY FLEX COND REV.P1 320 18223 17393201
d-dog0818 Used - $224.95 0 Feb/06/16 Feb/13/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
eduard1705 Used - $160.00 0 Feb/07/16 Feb/14/16
Description: Applied Materials AMAT Valve 3870-03652 220 PSIG
eduard1705 Used - $160.00 0 Feb/07/16 Feb/14/16
Description: Applied Materials AMAT Valve 3870-03651 220 PSIG
ptb-sales Used - $780.00 0 Feb/08/16 Feb/18/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Feb/08/16 Feb/18/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $2,000.00 0 Feb/08/16 Feb/18/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
tech-tonica NEW - $300.00 0 Feb/08/16 Mar/09/16
Description: NEW (Set of 2) Applied Materials AMAT Flex Hose Assembly: 0240-03809 0050-41415
vipermn Used - $3,000.00 0 Feb/09/16 Feb/24/16
Description: APPLIED MATERIALS AMAT 0010-66276 REV 4 AKT-1600 PECVD VACUUM ROBOT
vipermn Used - $1,000.00 1 Feb/09/16 Feb/17/16
Description: APPLIED MATERIALS AMAT 0010-70264 ENDURA ASSY HP ROBOT DRIVER
vipermn Used - $1,000.00 1 Feb/09/16 Feb/17/16
Description: APPLIED MATERIALS AMAT 0010-70264 ENDURA ASSY HP ROBOT DRIVER
vipermn Used - $700.00 0 Feb/09/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0020-31999 ESC ASSM NOTCHED
ciarasheltie NEW - $19.99 0 Feb/09/16 Feb/16/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
brookric_sgvsij Used - $699.50 1 Feb/09/16 Feb/10/16
Description: Applied Materials AMAT 0100-11001 0130-110345601 Analog Output Board USED
svcstore NEW - $106.99 0 Feb/09/16 Mar/10/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
tin242015 Used - $147.50 1 Feb/09/16 Feb/12/16
Description: APPLIED MATERIALS AMAT 0010-13274 ENDURA ASSY HP ROBOT DRIVER
tjtechseller Used - $5,000.00 0 Feb/10/16 Sep/01/17
Description: 0660-01805 Applied Materials AMAT CARD PENTIUM 133MHZ 32MB RAM VME BUS DOUBLE
svcstore Used - $108.99 0 Feb/10/16 Mar/11/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $120.99 0 Feb/10/16 Mar/11/16
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
ypspare NEW - $75.00 1 Feb/11/16 Apr/01/17
Description: Applied Materials AMAT PCII Pin Antenna 300mm 0200-07761 Lot of 3 New
tjtechseller NEW - $100.00 0 Feb/11/16 Aug/28/16
Description: 3300-02279 Applied Materials AMAT 1/8T X 1/8MNPT Elbow tube Fitting
pete-sigep Used - $375.00 0 Feb/13/16 Mar/14/16
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
d-dog0818 Used - $199.95 0 Feb/13/16 Feb/20/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
ssssayag NEW - $55.00 0 Feb/20/16 Mar/21/16
Description: Applied Materials AMAT 0021-39648 Sleeve Lower RF Match
bruce135 Used - $149.00 0 Feb/19/16 Mar/20/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
megawavz Used - $395.00 0 Feb/18/16 Mar/19/16
Description: Applied Materials AMAT 0100-90228 0120-90826 4-Phase Stepper Controller Board
megawavz Used - $395.00 0 Feb/18/16 Mar/19/16
Description: Applied Materials AMAT 0120-91103 Arc Switchmode Board
megawavz Used - $295.00 0 Feb/18/16 Mar/19/16
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
tjtechseller NEW - $75.00 0 Feb/18/16 Aug/14/17
Description: 0200-09002 Applied Materials AMAT CENTURA P5000 WINDOW SLIT CVD CHAMBER
tjtechseller NEW - $800.00 1 Feb/18/16 Aug/11/17
Description: 0021-01813 Applied Materials AMAT FACEPLATE TEOS PRODUCER 200MM SHOWERHEAD
pete-sigep Scrap, for parts - $750.00 0 Feb/17/16 Mar/18/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
ssssayag NEW - $95.00 0 Feb/21/16 Mar/22/16
Description: Applied Materials AMAT 0021-40918 insulator gas feed
ssssayag NEW - $95.00 0 Feb/21/16 Mar/22/16
Description: Applied Materials AMAT O-Ring 3700-02945
eduard1705 Used - $160.00 0 Feb/21/16 Feb/28/16
Description: Applied Materials AMAT Valve 3870-03651 220 PSIG
eduard1705 Used - $160.00 0 Feb/21/16 Feb/28/16
Description: Applied Materials AMAT Valve 3870-03652 220 PSIG
d-dog0818 Used - $174.95 0 Feb/21/16 Feb/28/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
tjtechseller NEW - $70.00 0 Feb/22/16 Jun/06/19
Description: 0020-09545 Applied Materials AMAT CENTURA P5000 FILTER SLIT-WINDOW CVD
tjtechseller NEW - $2,800.00 4 Feb/22/16 Sep/06/16
Description: 0140-12121 Applied Materials AMAT PRODUCER HARNESS ASSY VME PC HD/FLOPPY/CD-RO
tjtechseller Used - $1,800.00 2 Feb/22/16 May/22/21
Description: 0010-09301 Applied Materials AMAT P5000 THROTTLE VALVE ASSEMBLY TEOS DOWNSTREAM
tjtechseller Used - $650.00 0 Feb/22/16 Jan/24/18
Description: 3870-02764 Applied Materials AMAT PRODUCER ROUGH VALVE PNEU BLWS ANGLE NC
tjtechseller NEW - $800.00 0 Feb/22/16 Aug/14/17
Description: 3870-02764 Applied Materials AMAT PRODUCER ROUGH VALVE PNEU BLWS ANGLE NC
tjtechseller Used - $65.00 8 Feb/22/16 Dec/13/17
Description: 0200-09035 Applied Materials AMAT CENTURA P5000 CVD PIN WAFER LIFT 6"
netmercurysales Refurbished - $2,000.00 0 Feb/22/16 Dec/08/17
Description: Applied Materials AMAT 0100-76181 Wiring Distribution Board CVD Etch 5000 PCB
ptb-sales Used - $2,000.00 0 Feb/22/16 Mar/03/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Feb/22/16 Mar/03/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Feb/22/16 Mar/03/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
electronicswest NEW - $45.00 0 Feb/23/16 Mar/24/16
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
visionsemi NEW - $550.00 0 Feb/23/16 Jan/18/17
Description: APPLIED MATERIALS AMAT 0140-09295 BARATRON HARNESS ASSEMBLY C
nps NEW - $14.99 1 Feb/23/16 Mar/01/16
Description: Applied Materials (AMAT) 0040-96157 300mm Slit Valve Door 15.75" x 2.75" x 1.5"
vipermn Used - $8,000.00 0 Feb/23/16 Feb/24/16
Description: APPLIED MATERIALS AMAT 0090-76133 ASSY, ELECT, SBC SYNERGY 68040 CPU BOARD
visionsemi NEW - $255.00 0 Feb/23/16 Jan/18/17
Description: APPLIED MATERIALS AMAT 0150-16842 INTERFACE CABLE NEW
gesemiconductor Used - $500.00 0 Feb/23/16 Sep/23/19
Description: Applied Materials AMAT 0100-90546 PCB Filament Control
tjtechseller Used - $300.00 1 Feb/23/16 Nov/19/16
Description: 0100-00400 Applied Materials AMAT PRODUCER CVD PCB MONITOR/KB//SERIAL/LIGHT PEN
vipermn Used - $5,000.00 0 Feb/23/16 Feb/24/16
Description: APPLIED MATERIALS AMAT 0190-35076 POWER SUPPLY DRAWER - 5200 - SOLD AS IS
vipermn Used - $5,500.00 0 Feb/23/16 Feb/24/16
Description: APPLIED MATERIALS AMAT 0190-35076 POWER SUPPLY DRAWER - 5200
ciarasheltie NEW - $19.99 0 Feb/23/16 Mar/01/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
moes_place_1983 Used - $295.00 1 Feb/24/16 Sep/02/21
Description: Applied Materials AMAT 0190-06370 ASSEMBLY, Controller Mainframe AS00822-01
capitolareatech NEW - $300.00 0 Feb/24/16 Jun/24/16
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
vipermn Used - $4,000.00 0 Feb/25/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0190-35076 POWER SUPPLY DRAWER - 5200 - SOLD AS IS
vipermn Used - $8,000.00 1 Feb/25/16 Feb/25/16
Description: APPLIED MATERIALS AMAT 0090-76133 ASSY, ELECT, SBC SYNERGY 68040 CPU BOARD
vipermn Used - $4,500.00 0 Feb/25/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0190-35076 POWER SUPPLY DRAWER - 5200
bruce135 Used - $329.99 0 Feb/25/16 Mar/26/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
pete-sigep Used - $475.00 0 Feb/25/16 Mar/26/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
visionsemi NEW - $13,500.00 0 Feb/25/16 Jun/24/16
Description: APPLIED MATERIALS AMAT ENDURA 5500 0010-01388 LTESC CONTROLLER NIB
sale408away NEW - $29.95 1 Feb/26/16 Mar/04/16
Description: APPLIED MATERIALS (AMAT) 0010-09063 wSUSCEPTOR calibration display assembly
albelectric NEW - $49.99 0 Feb/26/16 Mar/27/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
vipermn NEW - $1,700.00 0 Feb/27/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0010-20300 ASSY, LIFT PVD - NEW
vipermn NEW - $1,500.00 0 Feb/27/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0010-20300 ASSY, LIFT PVD - NEW
vipermn Used - $2,500.00 0 Feb/27/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0010-20285 REV G LEFT AUTOMATIC LOADLOCK - ENDURA (273)
vipermn Used - $2,500.00 0 Feb/27/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 1110-01043 RF MATCH DOME AE 3155086-001 REV C(267)
vipermn NEW - $1,500.00 0 Feb/27/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0020-32128 ESC CHUCK 200MM NOTCH HV IB NI - NEW (14)
vipermn NEW - $1,500.00 0 Feb/27/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0020-32128 ESC CHUCK 200MM NOTCH HV IB NI - NEW (15)
d-dog0818 Used - $149.95 0 Feb/28/16 Mar/06/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
eduard1705 Used - $160.00 0 Feb/29/16 Mar/07/16
Description: Applied Materials AMAT Valve 3870-03652 220 PSIG
eduard1705 Used - $160.00 0 Feb/29/16 Mar/07/16
Description: Applied Materials AMAT Valve 3870-03651 220 PSIG
visionsemi Used - $355.00 0 Feb/29/16 Jan/24/17
Description: APPLIED MATERIALS AMAT 0021-70280 COVER, CHAMBER A, B W/O RGA PORT
visionsemi NEW - $500.00 0 Feb/29/16 Jan/24/17
Description: APPLIED MATERIALS AMAT 3620-02332 KYPD REMOTE W/CABLE FOR ONBOARD CTI CRYO PUMP
visionsemi NEW - $5,000.00 0 Feb/29/16 Jul/12/16
Description: APPLIED MATERIALS AMAT ADVANCED ENERGY 0190-13321 POWER SUPPLY 1.5kV 5500 PVD
visionsemi NEW - $3,450.00 0 Feb/29/16 Jul/12/16
Description: APPLIED MATERIALS AMAT ADVANCED ENERGY 0190-13068 AC INPUT HTESC HI-VOLTAGE
discount_computer_parts NEW - $299.95 1 Feb/29/16 Dec/21/16
Description: Applied Materials AMAT Flex Helium Pressurized Hose 3400-01118
tjtechseller Used - $450.00 6 Mar/01/16 May/01/22
Description: 0010-20348 0100-20097 Applied Materials AMAT Endura Centua WATER LEAK DETECTOR
tjtechseller NEW - $890.00 0 Mar/01/16 Jan/15/19
Description: 0100-20234 Applied Materials AMAT Endura Centua PCB ASSY,SERIAL/VIDEO INTERCONNE
tjtechseller Used - $890.00 4 Mar/01/16 Jan/01/22
Description: 0100-16009 Applied Materials AMAT Endura Centua PCB SMIF-ASYST WIDE BODY INTERLO
tjtechseller NEW - $450.00 0 Mar/01/16 Sep/25/17
Description: 0100-76029 Applied Materials AMAT Endura Centua PCB CHBR TRAY INTC A & C CENTURA
tjtechseller NEW - $1,250.00 0 Mar/01/16 Jan/19/18
Description: 0150-36954 Applied Materials AMAT CABLE LIFT HEATER DXZ CENTURA
tjtechseller NEW - $1,350.00 1 Mar/01/16 Jan/19/18
Description: 0150-38910 Applied Materials AMAT CENTURA DXZ HEATER CABLE, DXZ CENTURA
ssssayag NEW - $27.00 0 Mar/01/16 Mar/31/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
ciarasheltie NEW - $19.99 0 Mar/01/16 Mar/08/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
tjtechseller NEW - $1,450.00 0 Mar/02/16 Jan/19/18
Description: 0150-76286 Applied Materials AMAT CABLE ASSY HEATER AXZ/WXZ COMMON MF,
tjtechseller NEW - $1,200.00 11 Mar/02/16 Feb/02/20
Description: 4020-01214 Applied Materials AMAT Centura ETCH MOTT FILTER 1/4VCR-MM 30SL
tjtechseller Used - $1,500.00 0 Mar/02/16 Apr/20/16
Description: 0100-20018 Applied Materials AMAT Endura wPCB ASSY SYSTEM ELECTRONICS BACKPLANE
bornalliancecom NEW - $500.00 0 Mar/02/16 Apr/01/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
bornalliancecom NEW - $500.00 0 Mar/02/16 Apr/01/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
ssssayag Used - $490.00 0 Mar/03/16 Apr/02/16
Description: Applied Materials AMAT 0010-09978 Assy Lamp Module 5000 CVD , Au Plated
visionsemi Used - $1,500.00 1 Mar/03/16 Sep/05/16
Description: 0190-09479 APPLIED MATERIALS AMAT MUX ADIO PCB
dr.dantom Refurbished - $8,000.00 0 Mar/06/16 Feb/19/20
Description: Applied Materials AMAT 0010-42640 Rev 01
dr.dantom NEW - $399.00 0 Mar/06/16 Feb/19/20
Description: Applied Materials AMAT 0021-12738 14" Centering Fixture 300MM Titan Head
dr.dantom NEW - $1,850.00 0 Mar/06/16 Feb/19/20
Description: Applied Materials AMAT 0021-53267 SHIELD Lower Monolithic FO
dr.dantom NEW - $2,500.00 1 Mar/06/16 Feb/19/20
Description: Applied Materials AMAT 0021-80332 Flux Optimizer Monolithic 3.10
dr.dantom NEW - $1,000.00 1 Mar/06/16 Oct/15/19
Description: Applied Materials AMAT 0200-05638 Blade Ceramic open 300MM pocket Conductive
dr.dantom NEW - $350.00 0 Mar/06/16 Feb/19/20
Description: Applied Materials AMAT 0240-13190 Procedure/Fixture Kit for 300MM TITAN SP HEAD
dr.dantom Used - $400.00 1 Mar/06/16 Aug/27/18
Description: Applied Materials AMAT 0041-06180 Rev 04
tjtechseller NEW - $1,850.00 1 Mar/06/16 Oct/27/17
Description: 3020-01059 APPLIED MATERIALS AMAT ENDURA CENTURA WIDEBODY CYCLINDER CYL RODLESS
tjtechseller Used - $2,300.00 0 Mar/06/16 Mar/22/16
Description: 0010-36405 0190-35774 0100-00379 APPLIED MATERIALS AMAT CENTURA SERIPLEX ASSY B
tjtechseller Used - $2,300.00 0 Mar/06/16 Mar/22/16
Description: 0010-36404 0190-35653 0100-00379 APPLIED MATERIALS AMAT CENTURA SERIPLEX ASSY A
tjtechseller NEW - $700.00 0 Mar/06/16 Nov/02/16
Description: 0090-03860 APPLIED MATERIALS AMAT CENTURA INDEXER BRAKE ASSY - ENDURA
tjtechseller NEW - $1,350.00 1 Mar/06/16 Nov/01/16
Description: 0090-09165 APPLIED MATERIALS AMAT CENTURA ETCH DPS RF PEAK VOLTAGE CATHODE
tjtechseller NEW - $120.00 0 Mar/06/16 Feb/14/18
Description: 0020-40779 APPLIED MATERIALS AMAT ENDURA CENTURA BLOCK CYL MT LLC
tjtechseller NEW - $1,450.00 2 Mar/06/16 Mar/31/17
Description: 0100-35065 Applied Materials AMAT CENTURA PCB ASSY SERIAL ISOLATOR
tjtechseller NEW - $850.00 1 Mar/06/16 Oct/02/16
Description: 0100-13024 Applied Materials AMAT CENTURA ASSY, PCB INTERFACE PROCESSOR DIST.
springfieldsurplus2014 NEW - $12.50 0 Mar/07/16 Apr/06/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
visionsemi Used - $1,700.00 1 Mar/08/16 Sep/05/16
Description: APPLIED MATERIALS AMAT 0190-09466 SERIPLEX SPX-MUXADIO-001 PCB
visionsemi NEW - $399.00 10 Mar/08/16 Mar/29/17
Description: APPLIED MATERIALS AMAT 0100-00397 DIGITAL I/O PCB D/IO
visionsemi Used - $425.00 1 Mar/08/16 Aug/01/16
Description: APPLIED MATERIALS AMAT 0100-00396 DIGITAL I/O PCB D/IO
visionsemi Used - $2,350.00 1 Mar/08/16 Sep/05/16
Description: APPLIED MATERIALS AMAT 0190-09478 SERIPLEX MUXADIO PCB
visionsemi NEW - $2,100.00 0 Mar/08/16 Jun/04/18
Description: APPLIED MATERIALS AMAT ENDURA PRECLEAN II CERAMIC SKIRT 0240-08727 0010-01245
dr.dantom NEW - $3,500.00 0 Mar/08/16 Mar/09/16
Description: Applied Materials AMAT 0010-22641 Head Assy 8" Titan II 200MM Profiler No Cooper
dr.dantom NEW - $800.00 0 Mar/08/16 Feb/19/20
Description: Applied Materials AMAT 0040-43634 Housing 300mm Titan Head Cooper!
dr.dantom NEW - $1,200.00 0 Mar/08/16 Feb/19/20
Description: Applied Materials AMAT 0020-94079 AI 6061 Chamber Liner BB
dr.dantom NEW - $150.00 0 Mar/08/16 Feb/19/20
Description: Applied Materials AMAT 0040-38282 OUTER SHIELD CHAMBER EXHAUST
dr.dantom NEW - $650.00 3 Mar/08/16 Jul/03/16
Description: Applied Materials AMAT 0020-48628 AI Ring Edge 300mm BB
semiconductorsolution NEW - $4,500.00 0 Mar/07/16 Apr/06/16
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
ptb-sales Used - $2,500.00 0 Mar/07/16 Mar/17/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Mar/07/16 Mar/17/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,000.00 0 Mar/07/16 Mar/17/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ciarasheltie NEW - $19.99 0 Mar/08/16 Mar/16/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
dr.dantom Used - $2,000.00 0 Mar/09/16 Mar/09/16
Description: Applied Materials AMAT 0010-22641 Head Assy 8" Titan 200MM Profiler Non Cooper
bobsgoodies NEW - $74.00 0 Mar/09/16 Jun/09/16
Description: Applied Materials AMAT 0150-76156 Cable Assy, Insertion Flow Sensor
bobsgoodies NEW - $135.00 0 Mar/09/16 Sep/21/18
Description: Applied Materials AMAT 0140-00572 Pneumatic hose assembly
vipermn NEW - $1,750.00 0 Mar/09/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0010-09954 ESC CHUCK - NEW (16)
visionsemi NEW - $1,750.00 0 Mar/09/16 Sep/05/16
Description: APPLIED MATERIALS AMAT TXZ HEATER LEVELING KIT 0242-38486
visionsemi NEW - $550.00 0 Mar/09/16 Mar/16/16
Description: APPLIED MATERIALS AMAT ENDURA SUBZERO LIFT PINCH GUARD KIT 0240-05828 0040-07937
visionsemi NEW - $850.00 0 Mar/09/16 May/26/17
Description: APPLIED MATERIALS AMAT CVD REMOTE GAS BOX KIT 0240-23842 1400-01162 UV SENSOR
visionsemi NEW - $411.00 0 Mar/09/16 Apr/08/16
Description: APPLIED MATERIALS AMAT CHAMBER HOUSING POSITION D 0020-22351
vipermn Used - $1,750.00 0 Mar/10/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0010-20440 REV. A / 31290049 R.F. MATCH ASSY (33)
tech-tonica NEW - $300.00 0 Mar/10/16 Apr/09/16
Description: NEW (Set of 2) Applied Materials AMAT Flex Hose Assembly: 0240-03809 0050-41415
vipermn Used - $5,000.00 0 Mar/10/16 Mar/12/16
Description: APPLIED MATERIALS AMAT 0010-21616 PB / 0020-28589 PA, ( 286 MAGIC 8554 ) (21)
onlinegaragesale03 NEW - $84.99 1 Mar/11/16 Jul/14/16
Description: Applied Materials AMAT 0020-09933 Gas Distribution Plate Sputter ma 1 D23
pete-sigep Used - $375.00 0 Mar/14/16 Apr/13/16
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
arizindo Used - $425.00 1 Mar/16/16 Apr/04/18
Description: Applied Materials AMAT Assy. FCW Valve, 300mm Centura -- 0010-02433 -- Used
allbusindustrial Used - $750.00 0 Mar/17/16 Mar/30/16
Description: APPLIED MATERIALS (AMAT) 0010-09416 RF MATCH ETCH
allbusindustrial Used - $1,750.00 1 Mar/17/16 Mar/21/16
Description: APPLIED MATERIALS (AMAT) 0010-70441 / 0020-23437 MOTORIZED LIFT PVD
allbusindustrial NEW - $650.00 0 Mar/17/16 Apr/28/16
Description: APPLIED MATERIALS (AMAT) 0010-09297 MODULE MFG ASSY 15 VOLT POWER SUPPLY
allbusindustrial Used - $4,000.00 1 Mar/17/16 Mar/26/16
Description: APPLIED MATERIALS (AMAT) 0240-28070 HP ROBOT 0010-70264 (2X) ENDURA UPPER LOWER
allbusindustrial Used - $1,000.00 0 Mar/17/16 Mar/30/16
Description: APPLIED MATERIALS (AMAT) 0010-02979 RF MATCH SUB ZERO BESC H.E. PVD
allbusindustrial Used - $3,000.00 0 Mar/17/16 Mar/27/16
Description: APPLIED MATERIALS (AMAT) 0010-20768 "A" MAGNET
visionsemi NEW - $75.00 0 Mar/18/16 May/26/17
Description: APPLIED MATERIALS AMAT GENERATOR CABLE 0150-09593
visionsemi NEW - $550.00 0 Mar/18/16 Mar/25/16
Description: APPLIED MATERIALS AMAT ENDURA SUBZERO LIFT PINCH GUARD KIT 0240-05828 0040-07937
ptb-sales Used - $2,000.00 0 Mar/18/16 Mar/28/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Mar/18/16 Mar/28/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Mar/18/16 Mar/28/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
pete-sigep Scrap, for parts - $750.00 0 Mar/19/16 Apr/18/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
vipermn NEW - $4,500.00 0 Mar/19/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0010-20225 MAGNET "8" 13.0 AL ASSY - NEW SECOND SOURCE
ssssayag NEW - $40.00 0 Mar/21/16 Apr/20/16
Description: Applied Materials AMAT 0021-39648 Sleeve Lower RF Match
bruce135 Used - $149.00 0 Mar/21/16 Apr/20/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
vipermn Used - $800.00 0 Mar/21/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0010-20664 SLIT VALVE ASSY / DOOR
vipermn Used - $750.00 0 Mar/21/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0010-20664 SLIT VALVE ASSY
vipermn NEW - $250.00 1 Mar/21/16 Mar/22/16
Description: APPLIED MATERIALS AMAT 0020-21664 INSULATOR SOURCE 13 - NEW
vipermn Used - $175.00 0 Mar/21/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0010-70162 ASSY ACTUATOR SLIT VALVE
vipermn Used - $150.00 0 Mar/21/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0020-20558 BLANK SLIT VALVE - LOT OF 2
svcstore Used - $120.99 0 Mar/21/16 Apr/20/16
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $125.99 0 Mar/21/16 Apr/20/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $108.99 0 Mar/21/16 Apr/20/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $159.99 0 Mar/21/16 Apr/20/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
vipermn Used - $200.00 0 Mar/22/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0040-20313 HOOP LIFT 6"
ssssayag NEW - $75.00 0 Mar/22/16 Apr/21/16
Description: Applied Materials AMAT 0021-40918 insulator gas feed
ssssayag NEW - $75.00 0 Mar/22/16 Apr/21/16
Description: Applied Materials AMAT O-Ring 3700-02945
vipermn Used - $200.00 0 Mar/22/16 Mar/28/16
Description: APPLIED MATERIALS AMAT 0020-23209 LIFT HOOP 8" WIDE BODY
vipermn NEW - $1,000.00 1 Mar/22/16 Mar/22/16
Description: APPLIED MATERIALS AMAT 0040-21068 CYRO ELBOW WITH VIEWPORT - NEW
svcstore NEW - $106.99 0 Mar/22/16 Apr/21/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $99.99 0 Mar/22/16 Apr/21/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $599.99 0 Mar/23/16 Apr/22/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
eastsemi Used - $18,999.00 0 Mar/23/16 Mar/26/21
Description: APPLIED MATERIALS (AMAT) 0040-34865, 0040-34866
megawavz Used - $295.00 0 Mar/23/16 Apr/22/16
Description: Applied Materials AMAT 0100-90228 0120-90826 4-Phase Stepper Controller Board
orcom2011 Used - $200.00 1 Mar/24/16 Apr/17/18
Description: Applied Materials AMAT 0200-36105 Ceramic Insert
allbusindustrial Scrap, for parts - $300.00 0 Mar/24/16 Apr/28/16
Description: ADVANCED HIVOLT APPLIED MATERIALS (AMAT) OL1000/103/04 0090-93024
allbusindustrial NEW - $1,250.00 0 Mar/24/16 Mar/27/16
Description: APPLIED MATERIALS (AMAT) 3060-01694 PLATEN BEARING W/LUBE - 300MM
allbusindustrial Used - $200.00 1 Mar/26/16 Apr/07/16
Description: APPLIED MATERIALS AMAT 0010-30094 RF MATCH PEAK - PARTS
d-dog0818 Used - $74.95 0 Mar/26/16 Apr/02/16
Description: Applied Materials AMAT P5000 TEOS Status Board 0100-09129
d-dog0818 Used - $74.95 0 Mar/26/16 Apr/02/16
Description: Applied Materials AMAT Ozonator Distribution Bd Assy 0100-09235
d-dog0818 Used - $124.95 0 Mar/26/16 Apr/02/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
albelectric NEW - $49.99 0 Mar/27/16 Apr/26/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
lwltech Used - $275.00 1 Mar/27/16 Sep/22/16
Description: Applied Materials AMAT Shim, Clamping Gamma, P/N 0021-21443, Cleaned / Bagged
bruce135 Used - $329.99 0 Mar/28/16 Apr/27/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
pete-sigep Used - $475.00 0 Mar/28/16 Apr/27/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
ptb-sales Used - $2,000.00 0 Mar/28/16 Apr/07/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $2,500.00 0 Mar/28/16 Apr/07/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $780.00 0 Mar/28/16 Apr/07/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
capitolareatech NEW - $99.00 0 Mar/29/16 Jun/27/16
Description: Applied Materials (AMAT) 0190-24845 0190-24845 AMAT LAMP [PACKS OF 10]
ptb-sales Used - $10,000.00 0 Mar/30/16 Apr/09/16
Description: Applied Materials AMAT 0040-33914 Endura Chamber
ptb-sales Used - $2,000.00 0 Mar/30/16 Apr/09/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
megawavz Used - $395.00 0 Mar/30/16 Apr/29/16
Description: Applied Materials AMAT 0120-91103 Arc Switchmode Board 0100-90092
ssssayag NEW - $25.00 0 Apr/01/16 May/01/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
markcooperassociates Used - $3,000.00 1 Apr/01/16 May/05/16
Description: Applied Materials AMAT Hercules Lift 0010-17868
bornalliancecom NEW - $500.00 0 Apr/01/16 May/01/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
bornalliancecom NEW - $500.00 0 Apr/01/16 May/01/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
outback6stk NEW - $100.00 0 Apr/01/16 Apr/08/16
Description: Z125754 Applied Materials AMAT 0020-19973 Hoop 300MM w/ (3) 0020-27999 - NEW
ssssayag Used - $395.00 0 Apr/02/16 May/02/16
Description: Assy Lamp Module 5000 CVD Applied Materials AMAT 0010-09978 , Au Plated
ciarasheltie NEW - $19.99 0 Apr/04/16 Apr/11/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
megawavz Used - $295.00 0 Apr/04/16 May/04/16
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
electronicswest NEW - $35.00 0 Apr/04/16 Apr/04/16
Description: Applied Materials AMAT 0021-26273 Liner Cathode 300MM DPSII Tetra
d-dog0818 Used - $99.95 0 Apr/04/16 Apr/11/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
onlinesmt Refurbished - $89.00 0 Apr/05/16 May/05/16
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
capitolareatech NEW - $28.00 0 Apr/05/16 Jul/04/16
Description: APPLIED MATERIALS (AMAT 0680-00145 Allen Bradley 1492-CB1F050 Circuit Breaker MA
socotek1 NEW - $180.00 6 Apr/05/16 Dec/05/17
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
allbusindustrial Used - $700.00 0 Apr/05/16 Apr/28/16
Description: APPLIED MATERIALS AMAT 0010-02927 RF MATCH SUB ZERO BESC H.E. PVD
socotek1 NEW - $170.00 0 Apr/05/16 May/25/22
Description: Applied Materials AMAT Weldment Chamber Process Line, 0050-39232 REV A
socotek1 NEW - $80.00 0 Apr/05/16 Apr/06/21
Description: Applied Materials AMAT Weldment Spool ASP Chamber Process Line, 0050-37424 REV A
socotek1 NEW - $126.00 0 Apr/05/16 Apr/06/21
Description: Applied Materials AMAT Weldment, N2 5PSI, POS B/D ETCH COMM, 0050-33306
socotek1 NEW - $112.00 4 Apr/05/16 Apr/19/16
Description: Applied Materials AMAT Weldment, HE Post Connector, ETCH COMM, 0050-33302
d-dog0818 Used - $54.95 0 Apr/06/16 Apr/13/16
Description: Applied Materials AMAT P5000 TEOS Status Board 0100-09129
d-dog0818 Used - $54.95 0 Apr/06/16 Apr/13/16
Description: Applied Materials AMAT Ozonator Distribution Bd Assy 0100-09235
csi.usa NEW - $2,500.00 0 Apr/06/16 Jan/26/18
Description: APPLIED MATERIALS (AMAT) 0020-84776 EDGE RING
semiconductorsolution NEW - $4,500.00 0 Apr/07/16 May/07/16
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
socotek1 NEW - $112.00 0 Apr/07/16 Apr/07/21
Description: Applied Materials AMAT Weldment Chamber Process Line, 0050-37282
socotek1 NEW - $435.00 1 Apr/07/16 Jun/29/16
Description: Applied Materials AMAT 0100-35511 Centura Chamber PID Controller Kit #0242-35718
socotek1 NEW - $182.00 1 Apr/07/16 Aug/30/16
Description: NEW Applied Materials AMAT KT3400T Mainframe Main AC, 400A Trip Unit 0242-75003
socotek1 NEW - $136.50 1 Apr/07/16 Feb/22/18
Description: Applied Materials AMAT Harness Assembly EMO Extension, Rear to Front 0150-35851
socotek1 NEW - $112.00 0 Apr/07/16 Apr/07/21
Description: Applied Materials AMAT Weldment CHMB D, Process Chamber Line 0050-30493
socotek1 NEW - $126.00 0 Apr/07/16 Apr/07/21
Description: Applied Materials AMAT Weldment N2 30-PSI Regulator, ETCH 0050-31595
socotek1 NEW - $98.00 0 Apr/07/16 Apr/15/21
Description: Applied Materials AMAT Hose Flex 3/8 OD TFE/SST Braid 48LG TBG/F CONN 3400-01191
socotek1 NEW - $147.00 0 Apr/07/16 Apr/08/21
Description: Applied Materials AMAT Hose HX Tubing Kit CHMB C SP3 Centura CMF FAC, 0242-70193
socotek1 NEW - $147.00 0 Apr/07/16 Apr/15/21
Description: Applied Materials AMAT Hose Flex 5/16ID TFE/SST Braid 30LG 37DEG F/F 3400-01192
socotek1 NEW - $147.00 0 Apr/07/16 Apr/15/21
Description: Applied Materials AMAT Hose HX Tubing Kit CHMB D SP1 Centura CMF FAC, 0242-70194
socotek1 NEW - $147.00 0 Apr/07/16 Apr/15/21
Description: Applied Materials AMAT Hose HX Tubing Kit CHMB C SP1 Centura CMF FAC, 0242-70191
socotek1 NEW - $84.00 0 Apr/07/16 Apr/15/21
Description: Applied Materials AMAT Hose HX Supply CHMB C SP1 Centura CMF 0190-35683
ptb-sales Used - $2,000.00 0 Apr/08/16 Apr/18/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
mayraytan NEW - $625.00 1 Apr/08/16 Dec/28/18
Description: Applied Materials AMAT CMP Manifold Flange , 0020-79086
mayraytan NEW - $59.50 5 Apr/08/16 Nov/08/17
Description: Applied Materials AMAT Kalrez O-Ring, 3700-01443
ptb-sales Used - $780.00 0 Apr/08/16 Apr/18/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $2,500.00 0 Apr/08/16 Apr/18/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
socotek1 NEW - $129.50 1 Apr/08/16 Mar/15/18
Description: Applied Materials AMAT W ASSY, EMO Turn To Release CE MARK 0190-15074 -LOT OF 2-
socotek1 NEW - $112.00 0 Apr/08/16 Apr/15/21
Description: Applied Materials AMAT Weldment N2 Vent LN, ASP POS D 10RA 0050-33736
socotek1 NEW - $129.50 0 Apr/08/16 Apr/15/21
Description: Applied Materials AMAT Leak-Check Throttle Valve Kit, Blank-Off, DPS 0242-38184
socotek1 NEW - $122.40 1 Apr/08/16 Apr/26/17
Description: Applied Materials AMAT 0090-00966, SMC ISE6B-A2-67L Elect Assy Pressure Sensor
springfieldsurplus2014 NEW - $12.50 0 Apr/09/16 May/02/16
Description: APPLIED MATERIALS (AMAT) 0040-36755 Plastic Bearing, with O-Ring new (US SELLER)
outback6stk NEW - $75.00 1 Apr/11/16 Mar/09/17
Description: Z125754 Applied Materials AMAT 0020-19973 Hoop 300MM w/ (3) 0020-27999 - NEW
d-dog0818 Used - $84.95 0 Apr/11/16 Apr/18/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
ciarasheltie NEW - $19.99 0 Apr/12/16 Apr/19/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ptb-sales Used - $1,500.00 0 Apr/12/16 Apr/22/16
Description: Applied Materials AMAT 0010-09416 Automatic Matching Network Phase IV P5000
surplusfreight555 NEW - $215.00 1 Apr/13/16 Apr/28/16
Description: Applied Materials Amat 0040-09004 Bellows Weldment New
surplusfreight555 NEW - $100.00 0 Apr/13/16 May/13/16
Description: APPLIED MATERIALS AMAT 0040-75904 BELLOWS
d-dog0818 Used - $49.95 0 Apr/13/16 Apr/20/16
Description: Applied Materials AMAT P5000 TEOS Status Board 0100-09129
d-dog0818 Used - $49.95 0 Apr/13/16 Apr/20/16
Description: Applied Materials AMAT Ozonator Distribution Bd Assy 0100-09235
socotek1 NEW - $98.00 0 Apr/14/16 Apr/15/21
Description: Applied Materials AMAT Hose Flex 3/8 OD TFE/SST Braid 42LG TBG CONN 3400-01189
socotek1 NEW - $84.00 0 Apr/14/16 Apr/15/21
Description: Applied Materials AMAT Weldment Process Chamber Line 0050-39192 Rev-001
socotek1 NEW - $80.00 1 Apr/14/16 Oct/26/19
Description: Applied Materials AMAT CB 2P 240VAC 15A Ring Term Westinghouse BAB 0680-01537
socotek1 NEW - $34.30 0 Apr/14/16 Apr/15/21
Description: Applied Materials AMAT 3/8" HOSE, HX RETURN CHMB-C SP1 CENTURA CMF 0190-35684
socotek1 NEW - $105.00 0 Apr/14/16 Apr/15/21
Description: Applied Materials AMAT Weldment N2 5PSI POS A/C ETCH COMM 0050-33309
socotek1 NEW - $112.00 0 Apr/14/16 Apr/15/21
Description: Applied Materials AMAT Weldment N2 30PSI POS A/C ETCH COMM 0050-33308
gelogistics NEW - $125.00 10 Apr/16/16 Aug/16/20
Description: Applied Materials AMAT 0021-03980 Outer Shield
pete-sigep Used - $375.00 0 Apr/17/16 May/17/16
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
ptb-sales Used - $780.00 0 Apr/18/16 Apr/28/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $10,000.00 0 Apr/18/16 Apr/28/16
Description: Applied Materials AMAT 0040-33914 Endura Chamber
ptb-sales Used - $2,000.00 0 Apr/18/16 Apr/28/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $2,500.00 0 Apr/18/16 Apr/28/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $2,000.00 0 Apr/18/16 Apr/28/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
pete-sigep Scrap, for parts - $750.00 0 Apr/18/16 May/18/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
jygdiy1976 Used - $728.00 2 Apr/19/16 Apr/19/16
Description: APPLIED MATERIALS AMAT P/N 0190-14926 SCR POWER CONTROL CONCEPTS MODEL 3096-1000
onlinesmt Refurbished - $24.99 0 Apr/19/16 May/19/16
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT) – Lot of 2
onlinesmt Refurbished - $45.00 0 Apr/19/16 May/19/16
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP RIGID COIL SUPPORT for VECTRA (Lot of 4)
onlinesmt Refurbished - $45.00 0 Apr/19/16 May/19/16
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
d-dog0818 Used - $74.95 0 Apr/19/16 Apr/26/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
onlinesmt Refurbished - $45.00 0 Apr/19/16 May/19/16
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT) – Lot of Three 3
ssssayag NEW - $30.00 0 Apr/20/16 May/20/16
Description: Applied Materials AMAT 0021-39648 Sleeve Lower RF Match
situmeijian Used - $960.00 0 Apr/20/16 Oct/17/17
Description: 0100-40033, Applied Materials, AMAT, ELECTROSTATIC CHUCK POWER SUPPLY BOARD
bruce135 Used - $149.00 0 Apr/20/16 May/20/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $108.99 0 Apr/20/16 May/20/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $120.99 1 Apr/20/16 May/17/16
Description: Applied Materials AMAT Precision 5000 Stand Alone VGA Monitor Base 0010-75090
svcstore Used - $125.99 0 Apr/21/16 May/21/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $199.99 0 Apr/20/16 May/20/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
svcstore NEW - $106.99 0 Apr/21/16 May/21/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
ssssayag NEW - $50.00 0 Apr/21/16 May/21/16
Description: Applied Materials AMAT O-Ring 3700-02945
ssssayag NEW - $50.00 0 Apr/21/16 May/21/16
Description: Applied Materials AMAT 0021-40918 insulator gas feed
d-dog0818 Used - $44.95 0 Apr/21/16 Apr/28/16
Description: Applied Materials AMAT Ozonator Distribution Bd Assy 0100-09235
d-dog0818 Used - $44.95 0 Apr/21/16 Apr/28/16
Description: Applied Materials AMAT P5000 TEOS Status Board 0100-09129
av-surplus1 Scrap, for parts - $53.99 0 Apr/22/16 Dec/13/17
Description: Applied Materials AMAT ASSY NO 0100-01005 Schem NO 0130-01005 Board AS IS
exper-tech NEW - $275.00 0 Apr/22/16 Jun/21/16
Description: Applied Materials AMAT 0020-23045 Lower Shield, 101% Tin Coverage
allpart2016 Used - $2,900.00 0 Apr/24/16 Sep/02/19
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer
ciarasheltie NEW - $19.99 0 Apr/24/16 May/01/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
d-dog0818 Used - $69.95 0 Apr/26/16 May/03/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
albelectric NEW - $49.99 0 Apr/26/16 May/26/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
megawavz Used - $295.00 0 Apr/27/16 May/27/16
Description: Applied Materials AMAT 0120-90809 Beam Line Interface 0100-90224
pete-sigep Used - $475.00 0 Apr/27/16 May/27/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
bruce135 Used - $329.99 0 Apr/27/16 May/27/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
allbusindustrial Used - $550.00 0 Apr/28/16 Jun/13/16
Description: APPLIED MATERIALS AMAT 0010-00548 RF MATCH-1
allbusindustrial NEW - $650.00 0 Apr/28/16 Jun/13/16
Description: APPLIED MATERIALS (AMAT) 0010-09297 MODULE MFG ASSY 15 VOLT POWER SUPPLY
allbusindustrial Used - $600.00 0 Apr/28/16 May/16/16
Description: APPLIED MATERIALS AMAT 0010-09416 RF MATCH ETCH
allbusindustrial Used - $700.00 0 Apr/28/16 May/22/16
Description: APPLIED MATERIALS AMAT 0010-02927 RF MATCH SUB ZERO BESC H.E. PVD
allbusindustrial Scrap, for parts - $300.00 0 Apr/28/16 Jun/13/16
Description: ADVANCED HIVOLT APPLIED MATERIALS (AMAT) OL1000/103/04 0090-93024
exper-tech NEW - $400.00 0 Apr/28/16 May/28/16
Description: Applied Materials AMAT 0020-23045 Lower Shield, 101% Tin Coverage, NEW
ptb-sales Used - $780.00 0 Apr/29/16 May/09/16
Description: Applied Materials AMAT 0010-14049 Heat Exchanger Plate Assembly, Serial # 343
ptb-sales Used - $10,000.00 0 Apr/29/16 May/09/16
Description: Applied Materials AMAT 0040-33914 Endura Chamber
ptb-sales Used - $2,000.00 0 Apr/29/16 May/09/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $2,500.00 0 Apr/29/16 May/09/16
Description: Applied Materials AMAT 0010-02977 Automatic Matching Network RF SUB ZERO BESC
ptb-sales Used - $2,000.00 0 Apr/29/16 May/09/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
d-dog0818 Used - $44.95 0 Apr/30/16 May/07/16
Description: Applied Materials AMAT Ozonator Distribution Bd Assy 0100-09235
d-dog0818 Used - $44.95 0 Apr/30/16 May/07/16
Description: Applied Materials AMAT P5000 TEOS Status Board 0100-09129
ssssayag NEW - $25.00 0 May/01/16 May/31/16
Description: Applied Materials AMAT 3690-01412 Screw,1 lot of 15
allpart2016 Used - $200.00 0 May/01/16 Sep/02/19
Description: Applied Materials AMAT 0100-09136 REV E
allpart2016 Used - $245.00 0 May/01/16 Sep/02/19
Description: Applied Materials AMAT 0100-09022 REV J Mini AI/AO Board
ciarasheltie NEW - $19.99 0 May/01/16 May/08/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
srctech Used - $800.00 0 May/02/16 Jan/23/18
Description: Applied Materials AMAT CENTURA APC MATRIX BD ASSY. 0100-09287
srctech Used - $8,500.00 1 May/02/16 Dec/20/17
Description: Applied Materials AMAT Synergy V452 VME SBC 0090-03467, 0090-76133
ssssayag Used - $175.00 4 May/02/16 May/28/16
Description: Assy Lamp Module 5000 CVD Applied Materials AMAT 0010-09978 , Au Plated
ecomicron NEW - $800.00 0 May/02/16 May/16/18
Description: 0100-76053, Applied Materials, AMAT, ROBOT INTERCONNECT BOARD
srctech Used - $399.99 1 May/03/16 Oct/02/23
Description: Applied Materials AMAT INTELLIGENT INTERFACE 0100-09006
surplusssam NEW - $637.49 0 May/03/16 Jul/18/19
Description: NEW APPLIED MATERIALS AMAT 0050-40761 EXHAUST WELDMENT
neilan1987 Used - $49.99 0 May/03/16 Jan/10/17
Description: Applied Materials AMAT 0010-21740 TC Amplifier 300MM USED
bornalliancecom NEW - $500.00 0 May/03/16 Jun/02/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
bornalliancecom NEW - $500.00 0 May/03/16 Jun/02/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
onlinesmt Refurbished - $89.00 0 May/05/16 Jun/04/16
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
toolsplusmore83 NEW - $249.99 4 May/05/16 Nov/14/17
Description: New Applied Materials AMAT 0100-09203 PCB Phase Magnitude Detector Circuit Board
surplusssam NEW - $69.99 0 May/05/16 Jan/24/23
Description: NEW APPLIED MATERIALS AMAT 0050-40762 TBG ELBOW LL
surplusssam NEW - $149.99 1 May/05/16 Nov/02/17
Description: NEW APPLIED MATERIALS AMAT COVER RING 6" PN 0020-23181
surplusssam Used - $89.99 0 May/05/16 Mar/22/21
Description: APPLIED MATERIALS AMAT CRYO PUMP N2 PURGE 0090-90948
surplusssam NEW - $249.99 0 May/05/16 Dec/26/19
Description: NEW APPLIED MATERIALS AMAT 0090-00494 SW ASSY PRES
surplusssam NEW - $594.99 0 May/05/16 Jul/19/19
Description: NEW APPLIED MATERIALS AMAT 0225-30760 MANIFOLD VAC LLC
megawavz Used - $295.00 0 May/05/16 Jun/04/16
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
visionsemi NEW - $411.00 1 May/06/16 Sep/30/16
Description: APPLIED MATERIALS AMAT CHAMBER HOUSING POSITION D 0020-22351
semiconductorsolution NEW - $4,500.00 0 May/09/16 Jun/08/16
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
ciarasheltie NEW - $19.99 0 May/09/16 May/16/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
neilan1987 NEW - $29.99 0 May/11/16 May/25/16
Description: Applied Materials AMAT 3320-01026 Conflat Gasket 10 CFF OFC Copper
svcstore Used - $59.99 0 May/12/16 Jun/11/16
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Input Output Block
surplusfreight555 NEW - $100.00 0 May/13/16 Jun/12/16
Description: APPLIED MATERIALS AMAT 0040-75904 BELLOWS
tjtechseller Used - $4,000.00 0 May/15/16 May/08/17
Description: 0190-17952 0190-10017 0660-01879 Applied Materials AMAT 300mm Board Assy CDN491
srctech Used - $800.00 0 May/16/16 Nov/09/21
Description: Applied Materials AMAT STEPPER DRIVE ASSY 0100-00003 REV. C
d-dog0818 Used - $64.95 0 May/16/16 May/23/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
ciarasheltie NEW - $19.99 0 May/17/16 May/24/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
pete-sigep Used - $375.00 1 May/17/16 May/26/16
Description: Applied Materials AMAT 0100-20012 Isolation Amplifier
onlinesmt Refurbished - $399.00 0 May/18/16 Jun/09/16
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
robynbrown NEW - $50.00 1 May/18/16 May/21/16
Description: Applied Materials AMAT Hoke SS Plug Valve,7387001S, 0190-13448
visionsemi Used - $1,875.00 2 May/18/16 May/19/16
Description: APPLIED MATERIALS AMAT NSK 300MM ROBOT DRIVER 0190-25030 ELA-B014CG1-04
svcstore NEW - $106.99 0 May/21/16 May/28/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $125.99 0 May/21/16 May/26/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $108.99 0 May/21/16 May/28/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
pete-sigep Scrap, for parts - $750.00 0 May/22/16 Jun/21/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
svcstore Used - $199.99 0 May/22/16 May/25/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
surplusssam NEW - $26.99 0 May/23/16 Aug/26/20
Description: APPLIED MATERIALS AMAT 0020-03321 8300 BEARING
bruce135 Used - $149.00 0 May/23/16 Jun/22/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
outback6stk Scrap, for parts - $500.00 0 May/23/16 May/30/16
Description: Z127650 Applied Materials AMAT 0010-02450 Single Wafer Loadlock Linear Actuator
outback6stk Scrap, for parts - $250.00 0 May/23/16 May/30/16
Description: Z127651 Applied Materials AMAT 0010-02450 Single Wafer Loadlock - Parts Unit
svcstore Used - $99.99 0 May/23/16 Jun/22/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $599.99 0 May/23/16 Jun/22/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
onlinesmt Refurbished - $45.00 0 May/25/16 Jun/09/16
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT) – Lot of Three 3
onlinesmt Refurbished - $24.99 0 May/25/16 Jun/09/16
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT) – Lot of 2
onlinesmt Refurbished - $45.00 0 May/25/16 Jun/09/16
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP RIGID COIL SUPPORT for VECTRA (Lot of 4)
onlinesmt Refurbished - $45.00 0 May/25/16 Jun/24/16
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
albelectric NEW - $49.99 0 May/26/16 Jun/25/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
pete-sigep Used - $475.00 0 May/27/16 Jun/26/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
outback6stk NEW - $150.00 0 May/27/16 Jun/03/16
Description: Z127897 Applied Materials AMAT 0240-37536 Fujikin 316L-P (0.34~0.49) w/Filter
outback6stk NEW - $200.00 0 May/27/16 Jun/03/16
Description: Z127898 Applied Materials AMAT 3800-01084 Veriflo SQ60302PFSMF Manual Regulator
outback6stk Scrap, for parts - $150.00 0 May/27/16 Jun/03/16
Description: Z127894 Applied Materials AMAT 0090-77126 6" Wrist Motor Assy. Robot - Spare
outback6stk Scrap, for parts - $150.00 0 May/27/16 Jun/03/16
Description: Z127896 Applied Materials AMAT 0090-77126 6" Wrist Motor Assy. Robot - Spare
outback6stk Scrap, for parts - $150.00 0 May/27/16 Jun/03/16
Description: Z127895 Applied Materials AMAT 0090-77124 Z-Axis Motor Assy. Robot - Spares Lot
bruce135 Used - $329.99 0 May/27/16 Jun/26/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
d-dog0818 Used - $59.95 0 May/27/16 Jun/03/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
svcstore Used - $199.99 0 May/27/16 Jun/26/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
semiexpert Used - $7,000.00 2 May/28/16 Jun/02/16
Description: Applied Materials AMAT 0090-76133 Synergy V452 VME SBC Board
d-dog0818 Used - $39.95 0 May/31/16 Jun/07/16
Description: Applied Materials AMAT P5000 TEOS Status Board 0100-09129
outback6stk Scrap, for parts - $200.00 1 May/31/16 Apr/27/17
Description: Z127651 Applied Materials AMAT 0010-02450 Single Wafer Loadlock - Parts Unit
outback6stk Scrap, for parts - $1,000.00 0 May/31/16 Dec/19/18
Description: Z127650 Applied Materials AMAT 0010-02450 Single Wafer Loadlock Linear Actuator
electronicswest Used - $50.00 0 Jun/01/16 Jul/01/16
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
electronicswest Used - $1,500.00 0 Jun/01/16 Jun/11/16
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
svcstore Used - $139.99 0 Jun/02/16 Jul/02/16
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
svcstore Used - $299.99 0 Jun/02/16 Jul/02/16
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
prism_electronics2 Used - $382.50 0 Jun/02/16 Jun/20/22
Description: APPLIED MATERIALS AMAT DIGITAL I/O CARD BOARD ASSY 0100-11002 Rev C
outback6stk NEW - $105.00 1 Jun/03/16 Nov/14/16
Description: Z127897 Applied Materials AMAT 0240-37536 Fujikin 316L-P (0.34~0.49) w/Filter
outback6stk NEW - $100.00 1 Jun/03/16 Dec/25/16
Description: Z127898 Applied Materials AMAT 3800-01084 Veriflo SQ60302PFSMF Manual Regulator
outback6stk Scrap, for parts - $87.50 1 Jun/03/16 Dec/25/16
Description: Z127894 Applied Materials AMAT 0090-77126 6" Wrist Motor Assy. Robot - Spare
outback6stk Scrap, for parts - $175.00 1 Jun/03/16 Jul/17/16
Description: Z127896 Applied Materials AMAT 0090-77126 6" Wrist Motor Assy. Robot - Spare
outback6stk Scrap, for parts - $175.00 1 Jun/03/16 Jul/17/16
Description: Z127895 Applied Materials AMAT 0090-77124 Z-Axis Motor Assy. Robot - Spares Lot
d-dog0818 Used - $39.95 0 Jun/03/16 Jun/10/16
Description: Applied Materials AMAT Ozonator Distribution Bd Assy 0100-09235
svcstore Used - $112.99 0 Jun/03/16 Jul/03/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $97.99 0 Jun/03/16 Jul/03/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore NEW - $95.99 0 Jun/04/16 Jul/04/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
megawavz Used - $495.00 0 Jun/06/16 Jul/06/16
Description: Applied Materials AMAT 0120-90809 Beam Line Interface 0100-90224
semiexpert Used - $7,000.00 0 Jun/07/16 Jul/06/16
Description: Applied Materials AMAT 0090-76133 Synergy V452 VME SBC Board
megawavz Used - $395.00 0 Jun/07/16 Jul/07/16
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
megawavz Used - $395.00 0 Jun/07/16 Jul/07/16
Description: Applied Materials AMAT 0120-91103 Arc Switchmode Board 0100-90092
sdifabsurplus_usa Used - $5,000.00 0 Jun/07/16 Jul/25/17
Description: Applied Materials AMAT 0290-09018 Rev F Heat Exchanger 0
gigabitpartsolutions NEW - $152.90 0 Jun/09/16 May/23/17
Description: OEM Part Applied Materials (AMAT) 0200-09999 Ceramic RING, LIFT 6"
megawavz Used - $395.00 0 Jun/09/16 Jul/09/16
Description: Applied Materials AMAT 0100-90228 0120-90826 4-Phase Stepper Controller Board
onlinesmt Refurbished - $8.40 0 Jun/09/16 Jun/16/16
Description: APPLIED MATERIALS (AMAT) 0020-25920 PA LOWER SHIELD
visionsemi Used - $1,475.00 0 Jun/09/16 Jul/28/16
Description: APPLIED MATERIALS AMAT RF MATCH 0010-02372 ENDURA PVD MATCH
bobsgoodies Used - $34.00 0 Jun/09/16 Jun/15/16
Description: Applied Materials AMAT 0150-76156 Cable Assy, Insertion Flow Sensor
onlinesmt Refurbished - $45.00 0 Jun/09/16 Jun/16/16
Description: APPLIED MATERIALS (AMAT) 0200-20439 INSULATOR COIL, SUPPORT RIGID for ELECTRA I
onlinesmt Refurbished - $20.00 0 Jun/09/16 Jun/16/16
Description: APPLIED MATERIALS (AMAT) 0021-04252 OUTER CUP (COIL SUPPORT) – Lot of Three 3
onlinesmt Refurbished - $9.99 0 Jun/09/16 Jun/16/16
Description: APPLIED MATERIALS (AMAT) 0021-04919 OUTER CUP (FEEDTHRU SUPPORT) – Lot of 2
onlinesmt Refurbished - $99.00 0 Jun/09/16 Jun/16/16
Description: APPLIED MATERIALS (AMAT) 0020-21463 SHIELD AL/TI 6"
onlinesmt Refurbished - $20.00 0 Jun/09/16 Jun/16/16
Description: APPLIED MATERIALS (AMAT) 0021-20170 CAP RIGID COIL SUPPORT for VECTRA (Lot of 4)
gigabitpartsolutions NEW - $27.50 0 Jun/10/16 Jun/05/17
Description: Cable Appliede Materials (AMAT) 0150-15153 ASSY, DVR CH1 TO FI FDP MF1 CAMERA, A
surplusssam NEW - $74.99 0 Jun/10/16 Jun/17/16
Description: NEW SQUARE D APPLIED MATERIALS AMAT 0660-01516 MOTHERBOARD 9901 APC
visionsemi NEW - $75.00 0 Jun/10/16 May/26/17
Description: APPLIED MATERIALS AMAT 0150-04852 NMB-MAT 4710KL-05W-B50 MINEBEA 24VDC .38 2EA
visionsemi NEW - $40.00 0 Jun/10/16 May/26/17
Description: Applied Materials (AMAT) 0020-20114 ISOLATOR, DC BIAS Lot of 8
visionsemi NEW - $85.00 0 Jun/10/16 May/26/17
Description: APPLIED MATERIALS AMAT 0090-02868 ASSY RESISTOR ELECTRICAL TRAY NEW
visionsemi NEW - $595.00 0 Jun/10/16 May/26/17
Description: APPLIED MATERIALS AMAT 0150-09797 CABLE CHAMBER HEATER ASSY 28-LINE GAS PANEL
visionsemi NEW - $80.00 0 Jun/10/16 May/26/17
Description: APPLIED MATERIALS AMAT G-12 CHAMBER LID TOGGLE CLAMP KIT 0242-75716
visionsemi NEW - $600.00 0 Jun/10/16 May/26/17
Description: APPLIED MATERIALS AMAT ENDURA CRYO PUMP LIFT ALUM-A-LIFT 300MM 0190-14995
visionsemi NEW - $70.00 0 Jun/10/16 May/26/17
Description: APPLIED MATERIALS AMAT PARKER ELBOW 1/2T 1/2 FNPT SST 3300-50015 Lot of 8
surplusfreight555 NEW - $100.00 0 Jun/12/16 Jul/12/16
Description: APPLIED MATERIALS AMAT 0040-75904 BELLOWS
f2d-express Used - $109.00 1 Jun/13/16 Jul/15/21
Description: Applied Materials AMAT 0010-09022 Slit Valve Assy
allbusindustrial Used - $250.00 0 Jun/13/16 Aug/12/16
Description: APPLIED MATERIALS AMAT 0010-00548 RF MATCH-1
allbusindustrial NEW - $500.00 0 Jun/13/16 Aug/12/16
Description: APPLIED MATERIALS (AMAT) 0010-09297 MODULE MFG ASSY 15 VOLT POWER SUPPLY
allbusindustrial Scrap, for parts - $175.00 0 Jun/13/16 Aug/12/16
Description: ADVANCED HIVOLT APPLIED MATERIALS (AMAT) OL1000/103/04 0090-93024
intek22 Used - $399.99 1 Jun/14/16 Dec/03/16
Description: Applied Materials AMAT Digital I/O Board Assy 0100-76124 Rev B #2
intek22 Used - $399.99 1 Jun/14/16 Dec/03/16
Description: Applied Materials AMAT Digital I/O Board Assy 0100-76124 Rev B
electronicswest Used - $1,250.00 0 Jun/14/16 Jul/14/16
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
intek22 Used - $999.99 0 Jun/14/16 Jun/15/16
Description: Lot of 3 - Applied Materials AMAT Pentium Interface Boards 0100-77042 Rev P3
intek22 Used - $499.99 1 Jun/14/16 Dec/03/16
Description: Applied Materials AMAT Analog I/O Board Assy 0100-20100 / Rev C
intek22 Used - $499.99 3 Jun/14/16 Dec/03/16
Description: Applied Materials AMAT Analog I/O Board Assy 0100-20100 Rev C - Quantity!!!!
intek22 Used - $499.99 1 Jun/14/16 Jun/15/16
Description: Applied Materials AMAT System Electronics Interface Board Assy 0100-20001 Rev F
intek22 Used - $299.99 1 Jun/14/16 Jun/14/16
Description: Applied Materials AMAT Lower Pneumatic Assy 0100-77017 Rev A / Sch 0130-77017
outback6stk Scrap, for parts - $100.00 0 Jun/14/16 Jun/21/16
Description: Z128470 (Lot of 5) Applied Materials AMAT 1220-01016 XMTR 2-Wire PH 4-20ma-out
svcstore Used - $59.99 0 Jun/14/16 Jul/14/16
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Input Output Block
bobsgoodies NEW - $44.00 0 Jun/15/16 Oct/14/16
Description: Applied Materials AMAT 0150-76156 Cable Assy, Insertion Flow Sensor
gigabitpartsolutions Used - $2,035.00 0 Jun/15/16 Apr/25/17
Description: OEM Part Applied Materials (AMAT) 0010-70074 COVER ASSY LLC BOLT DOWN P-5000 Loa
gigabitpartsolutions Refurbished - $495.00 0 Jun/15/16 Apr/25/17
Description: OEM Part Applied Materials (AMAT) 0020-23400 CLAMP RING 8"TIN SNNF MOTOROLA
gigabitpartsolutions Used - $8,250.00 0 Jun/15/16 Apr/25/17
Description: OEM Part Applied Materials (AMAT) 0010-70403 ASSY, G-12 AFS LID PVD
visionsemi Used - $1,475.00 0 Jun/15/16 Jul/28/16
Description: APPLIED MATERIALS AMAT RF MATCH 0010-02372 ENDURA PVD HIGH EFFICIENCY VECTRA IMP
svcstore Used - $79.99 0 Jun/15/16 Jul/15/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
bornalliancecom NEW - $500.00 0 Jun/16/16 Jul/16/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
bornalliancecom NEW - $500.00 0 Jun/16/16 Jul/16/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
surplusssam NEW - $79.99 0 Jun/17/16 Jun/12/19
Description: NEW SQUARE D APPLIED MATERIALS AMAT 0660-01516 MOTHERBOARD 9901 APC
visionsemi Used - $785.00 0 Jun/17/16 Mar/09/17
Description: 0100-35058 APPLIED MATERIALS AMAT ASSY PCB,LOADLOCK INTERLOCKS
csog Used - $129.95 1 Jun/18/16 Jan/30/17
Description: APPLIED MATERIALS AMAT DIGITAL I/O CARD BOARD ASSY 0100-11002 Rev F
ciarasheltie NEW - $19.99 0 Jun/19/16 Jun/26/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
outback6stk Scrap, for parts - $62.50 1 Jun/21/16 Jan/23/17
Description: Z128470 (Lot of 5) Applied Materials AMAT 1220-01016 XMTR 2-Wire PH 4-20ma-out
semiconductorsolution NEW - $4,500.00 0 Jun/22/16 Jul/22/16
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
gti-semi Used - $1,000.00 1 Jun/22/16 Dec/12/17
Description: Applied Materials AMAT, 0660-01088, TEAC FC-1, E900823-00A
pete-sigep Scrap, for parts - $750.00 0 Jun/22/16 Jul/22/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
bruce135 Used - $149.00 0 Jun/22/16 Jul/22/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
visionsemi Refurbished - $150.00 0 Jun/23/16 Jan/19/17
Description: UE PRECISION Pressure Switch 0090-01357 EA100D-28 APPLIED MATERIALS AMAT
visionsemi NEW - $19.00 0 Jun/23/16 Jan/19/17
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
visionsemi NEW - $20.00 1 Jun/23/16 Dec/28/16
Description: APPLIED MATERIALS AMAT 0021-35065 BRACKET FUJIKIN VALVE, SLD
visionsemi NEW - $125.00 0 Jun/23/16 Jan/19/17
Description: APPLIED MATERIALS AMAT 0150-09880 CABLE ESC CONTROLLER CH. D
visionsemi NEW - $100.00 0 Jun/23/16 Jan/19/17
Description: APPLIED MATERIALS AMAT 1270-00485 WASCO PRESSURE SWITCH P400V-25W3B-X/9671
visionsemi NEW - $20.00 0 Jun/23/16 Jan/19/17
Description: APPLIED MATERIALS AMAT 0020-09446 GASKET MAG-AC
visionsemi NEW - $3,500.00 2 Jun/23/16 Dec/14/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
visionsemi NEW - $45.00 0 Jun/23/16 Jan/19/17
Description: Applied Materials AMAT 3710-01095 Shaft HSG Roller Assy
visionsemi NEW - $17.00 0 Jun/23/16 Jan/19/17
Description: 0020-34316 APPLIED MATERIALS AMAT 0020-34316 CATHODE INSERT SHEET SOFT INTERFACE
ptb-sales Used - $500.00 0 Jun/23/16 Jun/30/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $500.00 0 Jun/23/16 Jun/30/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
d-dog0818 Used - $49.95 0 Jun/23/16 Jun/30/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
svcstore Used - $88.99 0 Jun/23/16 Jul/23/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $509.99 0 Jun/23/16 Jul/23/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
outback6stk Scrap, for parts - $100.00 1 Jun/24/16 Jul/01/16
Description: Z128935 Applied Materials AMAT RF Match Manual Controller 0270-09062
visionsemi Used - $235.00 0 Jun/24/16 Jan/20/17
Description: 0010-70162 APPLIED MATERIALS AMAT SLIT VALVE ACTUATOR P5000
albelectric NEW - $49.99 0 Jun/25/16 Jul/25/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
visionsemi NEW - $9,000.00 0 Jun/27/16 Nov/28/16
Description: APPLIED MATERIALS AMAT ENDURA 5500 0010-01388 LTESC CONTROLLER NIB
visionsemi NEW - $285.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0140-04845 PLATEN 3 DVR CONTROL BULKHEAD
visionsemi NEW - $165.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0090-77082 CTE CHEM TEC EQUIPMENT 125-T-BP NC (32929-12)
visionsemi NEW - $95.02 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0140-12525 HARNESS ASSY SMIF I/F LOADLOCK DOOR OPEN
visionsemi NEW - $285.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0150-36306 ASSY CABLE HEATER MODULE DCSxZ
visionsemi NEW - $62.50 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0150-24167 CABLE LID COVER SWITCH CV ENDURA2
visionsemi NEW - $285.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0150-14707 CABLE ASSY, W316 CH-X CONT TO GP PCB EP
visionsemi NEW - $62.50 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0150-24167 CABLE LID COVER SWITCH ENDURA2
visionsemi NEW - $198.02 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0140-36174 PUMP INTERFACE POWER 50 FT
visionsemi NEW - $285.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0150-35539 CABLE ASSY GAS PANEL POWER 55FT
visionsemi NEW - $349.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0140-24767 LOWER LAMP MODULE HARNESS ASSY
visionsemi NEW - $173.02 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0150-22289 CABLE ASSY Y-AXIS STEPPER OEM
visionsemi NEW - $70.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT RTP LAMP BULB 0190-14083
visionsemi NEW - $285.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0150-03054 CABLE ASSY MDL TO GP PCB MAIN PLATTER POWER
visionsemi NEW - $35.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT SPACER THROTTLE VALVE 0020-31531
visionsemi NEW - $40.00 0 Jun/27/16 Jan/23/17
Description: APPLIED MATERIALS AMAT 0620-01144 CABLE ASSY KEY BOARD
bruce135 Used - $309.99 0 Jun/27/16 Jul/27/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
pete-sigep Used - $475.00 0 Jun/28/16 Jul/28/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
svcstore Used - $178.99 0 Jun/28/16 Jul/28/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
smartelektronikgmbh NEW - $22.00 0 Jun/29/16 Sep/05/17
Description: Applied Materials (AMAT) 3700-01669 O-Ring, 4.100 CSD, .210 CZ SC513 80 DURO WHI
smartelektronikgmbh NEW - $298.00 0 Jun/29/16 Dec/21/17
Description: Applied Materials (AMAT) 3700-01707 O-Ring,ID 12.623 CSD:.139 CZ SC513 80 DURO W
visionsemi NEW - $125.00 0 Jun/29/16 Jan/25/17
Description: APPLIED MATERIALS AMAT 0270-20285 ROBOT CALIBRATION TOOL HT-ESC
visionsemi Used - $725.00 1 Jun/30/16 Jul/27/16
Description: 0140-20835 APPLIED MATERIALS AMAT VHP CHAMBER TRANSFER XFER HARNESS ASSEMBLY
visionsemi Used - $301.00 1 Jun/30/16 Jun/30/16
Description: APPLIED MATERIALS AMAT VHP ROBOT DRIVE COVER 0040-01831
visionsemi Used - $301.00 1 Jun/30/16 Jun/30/16
Description: APPLIED MATERIALS AMAT VHP ROBOT DRIVE COVER 0040-21294
psi_repair-surplus Used - $1,000.00 5 Jun/30/16 Jul/12/16
Description: Applied Materials AMAT RF Match 0010-30686
visionsemi Used - $85.00 0 Jun/30/16 Jan/26/17
Description: 0150-20187 APPLIED MATERIALS AMAT REMOTE VIDEO CABLE PVD
electronicswest Used - $45.00 0 Jul/01/16 Jul/31/16
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
svcstore Used - $100.99 0 Jul/05/16 Aug/04/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $85.99 0 Jul/05/16 Aug/04/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $87.99 0 Jul/05/16 Aug/04/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $299.99 0 Jul/06/16 Aug/05/16
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $139.99 0 Jul/06/16 Aug/05/16
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
ptb-sales Used - $500.00 0 Jul/06/16 Jul/13/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $500.00 0 Jul/06/16 Jul/13/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
megawavz Used - $395.00 0 Jul/07/16 Aug/06/16
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
capitolareatech NEW - $300.00 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
capitolareatech NEW - $28.00 0 Jul/08/16 Sep/15/16
Description: APPLIED MATERIALS (AMAT 0680-00145 Allen Bradley 1492-CB1F050 Circuit Breaker MA
capitolareatech NEW - $99.00 0 Jul/10/16 Sep/15/16
Description: Applied Materials (AMAT) 0190-24845 AMAT LAMP [PACKS OF 10]
capitolareatech NEW - $500.00 0 Jul/10/16 Jul/13/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
capitolareatech NEW - $975.00 0 Jul/10/16 Sep/15/16
Description: Applied Materials (AMAT) 0020-42286 PLATE LEVELING, HEATER, TI-XZ/HT TIN
capitolareatech NEW - $975.00 0 Jul/10/16 Jul/13/16
Description: Applied Materials (AMAT) 0020-42286 PLATE,PERF 6" EC WXZ
capitolareatech NEW - $395.00 0 Jul/10/16 Jul/13/16
Description: Applied Materials (AMAT) 0040-07937 GUARD, UPPER CRYOSIDE, MOTORIZED LIFT
capitolareatech NEW - $395.00 0 Jul/10/16 Jul/13/16
Description: Applied Materials (AMAT) 0040-07936 GUARD, UPPER SLIT VALVE SIDE MOTOIZED
capitolareatech NEW - $100.00 0 Jul/10/16 Sep/15/16
Description: Applied Materials (AMAT) 0242-08033 KIT, SUPPORT TUBE AND ADAPTER OTB FCW
capitolareatech NEW - $100.00 0 Jul/10/16 Sep/15/16
Description: Applied Materials (AMAT) 0020-41635 COVER PLATE CONNECTOR PRECLEAN
capitolareatech NEW - $100.00 0 Jul/10/16 Jul/13/16
Description: Applied Materials (AMAT) 0040-07938 GUARD,LOWER,CLEAR,FLAG ACCESS,MOTORIZED LIFT
outback6stk Scrap, for parts - $400.00 0 Jul/11/16 Jul/18/16
Description: Z129449 Motech CD52/A Voltage Clamp Applied Materials AMAT 0090-91598 XR80 Ion
outback6stk Scrap, for parts - $2,000.00 0 Jul/11/16 Jul/18/16
Description: Z129447 Applied Materials AMAT 0090-91494 Spin Scan Control Ion XR80, XR120
outback6stk Scrap, for parts - $750.00 0 Jul/11/16 Jul/18/16
Description: Z129448 Applied Materials AMAT 0090-90580 Spin Scan Power Supply Ion XR80, XR120
visionsemi NEW - $100.00 0 Jul/12/16 Jan/19/21
Description: APPLIED MATERIALS AMAT 0020-48317 ENCORE MAGNET SHIM ASSEMBLY AMAT
visionsemi NEW - $2,100.00 0 Jul/12/16 Jul/19/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT PEDESTAL DRPII ENDURA 2 SWLL 0010-28090
visionsemi NEW - $2,100.00 0 Jul/12/16 Jul/19/16
Description: APPLIED MATERIALS AMAT ADVANCED ENERGY 0190-13068 AC INPUT HTESC ENDURA 5500
visionsemi NEW - $4,300.00 0 Jul/12/16 Jul/19/16
Description: APPLIED MATERIALS AMAT ADVANCED ENERGY 0190-13321 POWER SUPPLY 1.5kV 5500 ENDURA
visionsemi Used - $45.00 0 Jul/12/16 Jan/26/21
Description: 0020-38074 APPLIED MATERIALS AMAT HV FEEDTHROUGH INSULATOR
ciarasheltie NEW - $19.99 0 Jul/14/16 Jul/21/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
randmgoods NEW - $49.99 1 Jul/15/16 Nov/23/20
Description: Applied Materials AMAT 0200-05829 Reflector, Primary Center, Blasted 300 mm
svcstore Used - $52.99 0 Jul/15/16 Aug/14/16
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Input Output Block
outback6stk Scrap, for parts - $650.00 1 Jul/19/16 Mar/14/17
Description: Z129449 Motech CD52/A Voltage Clamp Applied Materials AMAT 0090-91598 XR80 Ion
outback6stk Scrap, for parts - $1,200.00 1 Jul/19/16 Dec/06/16
Description: Z129447 Applied Materials AMAT 0090-91494 Spin Scan Control Ion XR80, XR120
outback6stk Scrap, for parts - $600.00 1 Jul/19/16 Dec/06/16
Description: Z129448 Applied Materials AMAT 0090-90580 Spin Scan Power Supply Ion XR80, XR120
capitolareatech NEW - $500.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
capitolareatech NEW - $975.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0020-42286 PLATE,PERF 6" EC WXZ
capitolareatech NEW - $500.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
capitolareatech NEW - $975.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0020-42286 PLATE,PERF 6" EC WXZ
capitolareatech NEW - $975.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0020-42286 PLATE,PERF 6" EC WXZ
visionsemi NEW - $50.00 0 Jul/19/16 Jan/24/22
Description: 3090-01104 APPLIED MATERIALS AMAT SILVER PLATE HEX BOLT SET OF 4 Hex 5/16-18x 5
capitolareatech NEW - $500.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
bornalliancecom NEW - $500.00 0 Jul/19/16 Aug/18/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
bornalliancecom NEW - $500.00 0 Jul/19/16 Aug/18/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
outback6stk Scrap, for parts - $250.00 0 Jul/19/16 Jul/26/16
Description: Z129739 Applied Materials AMAT 0190-09459 20' Fiber Optic Cable Assembly P5000
capitolareatech NEW - $500.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
visionsemi NEW - $2,000.00 0 Jul/19/16 Dec/27/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT PEDESTAL DRPII ENDURA 2 SWLL 0010-28090
visionsemi NEW - $1,565.00 0 Jul/19/16 Jul/19/16
Description: APPLIED MATERIALS AMAT ADVANCED ENERGY 0190-13321 POWER SUPPLY 1.5kV 5500 ENDURA
capitolareatech NEW - $975.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0020-42286 PLATE,PERF 6" EC WXZ
capitolareatech NEW - $500.00 0 Jul/19/16 Sep/15/16
Description: Applied Materials (AMAT) 0021-23509 MANIFOLD, LID, 200MM, TAN-ALD
capitolareatech NEW - $975.00 0 Jul/19/16 Jul/19/16
Description: Applied Materials (AMAT) 0020-42286 PLATE,PERF 6" EC WXZ
capitolareatech NEW - $100.00 0 Jul/20/16 Jul/20/16
Description: Applied Materials (AMAT) 0040-07938 GUARD,LOWER,CLEAR,FLAG ACCESS,MOTORIZED LIFT
capitolareatech NEW - $100.00 0 Jul/20/16 Jul/20/16
Description: Applied Materials (AMAT) 0040-07938 GUARD,LOWER,CLEAR,FLAG ACCESS,MOTORIZED LIFT
capitolareatech NEW - $100.00 0 Jul/20/16 Jul/20/16
Description: Applied Materials (AMAT) 0040-07938 GUARD,LOWER,CLEAR,FLAG ACCESS,MOTORIZED LIFT
capitolareatech NEW - $975.00 0 Jul/20/16 Jul/20/16
Description: Applied Materials (AMAT) 0020-42286 PLATE,PERF 6" EC WXZ
capitolareatech NEW - $100.00 0 Jul/20/16 Jul/20/16
Description: Applied Materials (AMAT) 0040-07938 GUARD,LOWER,CLEAR,FLAG ACCESS,MOTORIZED LIFT
capitolareatech NEW - $975.00 0 Jul/20/16 Jul/20/16
Description: Applied Materials (AMAT) 0020-42286 PLATE,PERF 6" EC WXZ
capitolareatech NEW - $100.00 0 Jul/20/16 Jul/20/16
Description: Applied Materials (AMAT) 0040-07938 GUARD,LOWER,CLEAR,FLAG ACCESS,MOTORIZED LIFT
capitolareatech NEW - $975.00 0 Jul/20/16 Sep/15/16
Description: Applied Materials (AMAT) 0020-42286 PLATE,PERF 6" EC WXZ
visionsemi Used - $250.00 1 Jul/20/16 Oct/10/17
Description: 0190-75047 APPLIED MATERIALS AMAT HARD DRIVE 3.5"
electronicswest Used - $1,250.00 0 Jul/20/16 Aug/19/16
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
electronics-abq Used - $849.95 1 Jul/22/16 May/11/23
Description: KollMorgen Maguedyne 0190-36315 4 Channel Magnet Driver Applied Materials AMAT
semiconductorsolution NEW - $4,500.00 0 Jul/22/16 Aug/21/16
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
testeqe NEW - $2,999.99 0 Jul/22/16 Jul/17/17
Description: NEW Applied Materials AMAT 0010-00813 Flatfinder Assembly 150 MM Stretch Endura
athomemarket Scrap, for parts - $299.99 0 Jul/22/16 Jul/29/16
Description: Comet 10008781 RF Match Applied Materials AMAT 0190-30303 5kW for PARTS
athomemarket Scrap, for parts - $49.99 0 Jul/22/16 Jul/29/16
Description: Applied Materials AMAT 0190-26769 Universal Vacuum Gauge / Sensor for PARTS
svcstore Used - $79.99 0 Jul/23/16 Aug/22/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
pete-sigep Scrap, for parts - $750.00 0 Jul/25/16 Aug/24/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
outback6stk Scrap, for parts - $750.00 0 Jul/25/16 Aug/01/16
Description: Z130050 Motech PL21 Power Supply Unit Applied Materials AMAT 0090-91122 XR80 Ion
outback6stk Scrap, for parts - $500.00 0 Jul/25/16 Aug/01/16
Description: Z130051 Motech PL21 Power Supply Unit Applied Materials AMAT 0090-91122 XR80 Ion
outback6stk Scrap, for parts - $500.00 0 Jul/25/16 Aug/01/16
Description: Z130052 Motech MH22/A Motor Drive Unit Applied Materials AMAT 0090-91597 XR80
bruce135 Used - $149.00 0 Jul/25/16 Aug/24/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
albelectric NEW - $49.99 0 Jul/25/16 Aug/24/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
outback6stk Scrap, for parts - $300.00 1 Jul/26/16 Jul/27/16
Description: Z129739 Applied Materials AMAT 0190-09459 20' Fiber Optic Cable Assembly P5000
ptb-sales Used - $300.00 0 Jul/26/16 Jul/29/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ptb-sales Used - $500.00 0 Jul/26/16 Aug/02/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
electronics-abq Used - $399.20 1 Jul/27/16 Jun/15/17
Description: Applied Materials AMAT 0100-35511 Centura Chamber PID Controller Kit #0242-35718
jabedow Used - $499.00 1 Jul/28/16 Jul/29/16
Description: Applied Materials AMAT System Electronics Interface Board Assy 0100-20001 Rev F
visionsemi NEW - $2,800.00 1 Jul/28/16 Jul/28/16
Description: APPLIED MATERIALS AMAT ADVANCED ENERGY 0190-13068 AC INPUT HTESC ENDURA 5500
testeqe NEW - $299.99 0 Jul/28/16 Jul/23/17
Description: NEW Applied Materials AMAT PN: 0041-07373 Cup, Bellows, Assy, Chamber Assembly
bbs_express Used - $645.00 0 Jul/29/16 Aug/29/22
Description: Applied Materials AMAT 0100-90533 Rev C Beamline Vacuum Control panel
bbs_express Used - $385.00 0 Jul/29/16 Aug/29/22
Description: Applied Materials AMAT 0100-90650 Rev B Wheel Current Board
bruce135 Used - $309.99 0 Jul/29/16 Aug/28/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
testeqe NEW - $249.99 0 Jul/29/16 Jul/24/17
Description: NEW Applied Materials AMAT PN: 0242-31692 Kit, Water Hose Assys, Producer SE
athomemarket Scrap, for parts - $299.99 1 Jul/29/16 Sep/29/16
Description: Comet 10008781 RF Match Applied Materials AMAT 0190-30303 5kW for PARTS
athomemarket Scrap, for parts - $49.99 6 Jul/29/16 Mar/09/18
Description: Applied Materials AMAT 0190-26769 Universal Vacuum Gauge / Sensor for PARTS
testeqe NEW - $199.99 0 Jul/29/16 Jul/24/17
Description: NEW Applied Materials AMAT PN: 0040-41325 Retainer Spring Side RF Source 300
svcstore Used - $160.99 0 Jul/29/16 Aug/28/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
svcstore Used - $79.99 0 Jul/29/16 Aug/28/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $599.99 0 Jul/29/16 Aug/28/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
pete-sigep Used - $475.00 0 Jul/30/16 Aug/29/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
outback6stk Scrap, for parts - $300.00 1 Aug/01/16 Jun/13/17
Description: Z130052 Motech MH22/A Motor Drive Unit Applied Materials AMAT 0090-91597 XR80
outback6stk Scrap, for parts - $600.00 1 Aug/01/16 Sep/19/17
Description: Z130051 Motech PL21 Power Supply Unit Applied Materials AMAT 0090-91122 XR80 Ion
outback6stk Scrap, for parts - $500.00 1 Aug/01/16 Jun/13/17
Description: Z130050 Motech PL21 Power Supply Unit Applied Materials AMAT 0090-91122 XR80 Ion
electronicswest NEW - $20.00 0 Aug/01/16 Aug/31/16
Description: Applied Materials AMAT 0200-05093, Nozzle, ALN, 2.55Lx.020 DIA, 9
ptb-sales Used - $500.00 0 Aug/02/16 Aug/09/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $300.00 0 Aug/02/16 Aug/05/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
orapma12012 Used - $799.00 0 Aug/03/16 Aug/10/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $6,500.00 0 Aug/03/16 Aug/10/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
ciarasheltie NEW - $19.99 0 Aug/03/16 Aug/10/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
jabedow NEW - $200.00 1 Aug/03/16 Jul/05/17
Description: APPLIED MATERIALS, AMAT, 0100-00397, rev e15d, DIGITAL I/O PCB, D/IO
ami1617182 NEW - $2,199.00 0 Aug/03/16 Aug/10/16
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
esolutions1 NEW - $299.00 0 Aug/03/16 Sep/02/16
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
esolutions1 NEW - $299.00 0 Aug/03/16 Sep/02/16
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
benta09 NEW - $185.00 0 Aug/03/16 Sep/02/16
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $35.00 0 Aug/04/16 Sep/03/16
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
benta09 NEW - $120.00 0 Aug/05/16 Sep/04/16
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $275.00 0 Aug/05/16 Sep/04/16
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
svcstore Used - $78.99 0 Aug/05/16 Sep/04/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $89.99 0 Aug/05/16 Sep/04/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $76.99 0 Aug/05/16 Sep/04/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $124.99 0 Aug/06/16 Sep/05/16
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
svcstore Used - $299.99 0 Aug/06/16 Sep/05/16
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
esolutions1 NEW - $399.00 0 Aug/07/16 Sep/06/16
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
visionsemi Used - $6,950.00 0 Aug/09/16 Sep/02/16
Description: 0090-91596 APPLIED MATERIALS AMAT 9500XR MOTECH POWER SUPPLY MP80/B MOTOR DRIVE
orapma12012 Used - $799.00 0 Aug/10/16 Aug/17/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $6,500.00 0 Aug/10/16 Aug/17/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
ciarasheltie NEW - $19.99 0 Aug/11/16 Aug/18/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
atxdeals4u NEW - $250.00 0 Aug/15/16 Feb/20/17
Description: Applied Materials AMAT 0015-03120 Temperature Controller PCB Assembly
svcstore Used - $46.99 0 Aug/15/16 Sep/14/16
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Input Output Block
gti-semi Used - $125.00 2 Aug/17/16 Jun/20/18
Description: Applied Materials, AMAT, Foreline T for Ultima, p/n 0050-47365
gti-semi Used - $125.00 0 Aug/17/16 Nov/26/19
Description: Applied Materials, AMAT, Power Supply Cable, p/n 0140-35655
gti-semi Used - $1,500.00 1 Aug/17/16 Sep/19/17
Description: Applied Materials, AMAT, Stepper Controller PC Board, p/n 0100-20173
gti-semi Used - $1,800.00 0 Aug/17/16 Nov/26/19
Description: Applied Materials, AMAT, PC Board, Chamber B & D, p/n 0100-76037
gti-semi Used - $900.00 0 Aug/17/16 Aug/18/16
Description: Applied Materials, AMAT, Assy, Seagate Hard Disk Drive, p/n 0010-75224
orapma12012 NEW - $6,500.00 0 Aug/17/16 Aug/24/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $799.00 0 Aug/17/16 Aug/24/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
gti-semi Used - $2,300.00 0 Aug/18/16 Nov/26/19
Description: Applied Materials, AMAT, PCB 4-INPUT 5-OUTPUT PID TEMP CONTROL, p/n 0660-01722
gti-semi Used - $150.00 0 Aug/18/16 Nov/26/19
Description: Applied Materials, AMAT, ETO RF GENERATOR CONTROL CABLE, p/n 0150-18047
gti-semi Used - $270.00 0 Aug/18/16 Nov/26/19
Description: Applied Materials, AMAT, CABLE, ETO MICROWAVE CONTROL CH B, p/n 0150-18050
gti-semi Used - $170.00 0 Aug/18/16 Nov/26/19
Description: Applied Materials, AMAT, CABLE, SIDE, ETO RF GEN CONTROL, CH B, p/n 0150-18048
gti-semi Used - $90.00 0 Aug/18/16 Nov/26/19
Description: Applied Materials, AMAT, Harness Assy, p/n 0140-18118
gti-semi Used - $750.00 0 Aug/18/16 Nov/26/19
Description: Applied Materials, AMAT, CABLE ASSY, EMC Comp Chamber Umbilical, p/n 0150-75204
gti-semi Used - $600.00 0 Aug/18/16 Nov/26/19
Description: Applied Materials, AMAT, CABLE ASSY, PNEUMATICS UMBILICAL, EMC p/n 0226-97034
gti-semi Used - $140.00 0 Aug/18/16 Nov/26/19
Description: Applied Materials, AMAT, HOSE ASSY, p/n 0150-18327
gti-semi Used - $200.00 0 Aug/19/16 Nov/26/19
Description: Applied Materials, AMAT, HOSE ASSY, CH A APPLICATOR SUPPLY, p/n 0190-18396
gti-semi Used - $700.00 1 Aug/19/16 Aug/13/18
Description: Applied Materials, AMAT, HARNESS ASSY I/O EXPANSION, CHAMBER C&D, p/n 0140-18136
gti-semi Used - $150.00 0 Aug/19/16 Nov/26/19
Description: Applied Materials, AMAT, STRAP RF IN MATCH SIDE LOW K, p/n 0020-18482
gti-semi Used - $60.00 0 Aug/19/16 Nov/26/19
Description: Applied Materials, AMAT, STUD BLOCK CLEAN GAS FEED, Set of 2, p/n 0020-12753
gti-semi Used - $70.00 0 Aug/19/16 Nov/26/19
Description: Applied Materials, AMAT, CABLE ASSY, SIDE TC, ULTIMA HDP-CVD, p/n 0150-18060
ptb-sales Used - $500.00 0 Aug/19/16 Aug/26/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
ptb-sales Used - $300.00 0 Aug/19/16 Aug/22/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
christyhtx Used - $2,600.00 1 Aug/21/16 Aug/03/17
Description: Applied Materials AMAT 0010-76061 Centura HP ENP Magnetic Robot Driver Set
christyhtx Used - $2,600.00 1 Aug/21/16 Aug/02/17
Description: Applied Materials AMAT 0010-70264 Centura HP Magnetic Robot Driver Set
christyhtx Used - $5,500.00 2 Aug/21/16 Dec/05/17
Description: Applied Materials AMAT 0010-01711 ASSY, HP, UPGRADE ROBOT, ENDURA HP+
gti-semi Used - $75.00 0 Aug/22/16 Nov/26/19
Description: Applied Materials, AMAT, BAND GROUND,TOP COIL HDPCVD, p/n 0020-18498
gti-semi Used - $60.00 0 Aug/22/16 Nov/26/19
Description: Qty 2, Applied Materials, AMAT, CLAMP TOP COIL, p/n 0020-18569
gti-semi Used - $100.00 0 Aug/22/16 Nov/26/19
Description: Applied Materials, AMAT, CLAMP IV SENSOR / TOP COIL IN HDP, p/n 0020-18499
gti-semi Used - $42.00 0 Aug/22/16 Nov/26/19
Description: Qty 14, Applied Materials, AMAT, SCREW SKT BUTTON HD SST M4 X 12, p/n 3690-90585
semiconductorsolution NEW - $4,500.00 0 Aug/22/16 Sep/21/16
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
gti-semi Used - $150.00 0 Aug/22/16 Nov/26/19
Description: Applied Materials, AMAT, PANEL, TOP, SOURCE CONDITIONING, p/n 0020-18465
electronicswest Used - $1,250.00 0 Aug/23/16 Sep/22/16
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
gti-semi Used - $5,900.00 1 Aug/23/16 Aug/03/18
Description: Applied Materials, AMAT, 8 Inch 200mm Preclean RF Match, p/n 0010-20524
pete-sigep Scrap, for parts - $750.00 0 Aug/24/16 Sep/23/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
bruce135 Used - $149.00 0 Aug/24/16 Sep/23/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
orapma12012 NEW - $6,500.00 0 Aug/24/16 Aug/31/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $799.00 0 Aug/24/16 Aug/31/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
albelectric NEW - $49.99 0 Aug/24/16 Sep/23/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
svcstore Used - $70.99 0 Aug/25/16 Sep/24/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
bornalliancecom NEW - $500.00 0 Aug/25/16 Sep/24/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
bornalliancecom NEW - $500.00 0 Aug/25/16 Sep/24/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
ciarasheltie NEW - $19.99 0 Aug/26/16 Sep/02/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ptb-sales Used - $300.00 0 Aug/26/16 Aug/29/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
tjtechseller Used - $3,500.00 0 Aug/28/16 Mar/28/22
Description: 0090-75015 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
tjtechseller Used - $3,500.00 0 Aug/28/16 Mar/28/22
Description: 0090-76109 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
ami1617182 NEW - $2,199.00 0 Aug/28/16 Sep/04/16
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
orapma12012 NEW - $6,500.00 0 Aug/31/16 Sep/07/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
tjtechseller Used - $100,000.00 1 Aug/31/16 Jun/17/19
Description: 0100-35217 Applied Materials AMAT Endura Centura PCB ASSY,TC AMP/INTERLOCK
ptb-sales Used - $800.00 0 Aug/30/16 Sep/29/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
pete-sigep Used - $475.00 0 Aug/30/16 Sep/29/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
megawavz Used - $395.00 0 Aug/30/16 Sep/29/16
Description: Applied Materials AMAT 0100-90025 24V 1A Power Supply Board
svcstore Used - $599.99 0 Aug/29/16 Sep/28/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
svcstore Used - $70.99 0 Aug/29/16 Sep/28/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $143.99 0 Aug/29/16 Sep/28/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
orapma12012 Used - $799.00 0 Aug/31/16 Sep/07/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
visionsemi NEW - $3,300.00 1 Sep/01/16 Sep/01/16
Description: APPLIED MATERIALS AMAT CENTURA ENDURA SERIPLEX ADIO PCB 0010-40288 0190-35652
visionsemi NEW - $3,300.00 1 Sep/01/16 Sep/01/16
Description: APPLIED MATERIALS AMAT CENTURA ENDURA SERIPLEX MUX ADIO 0010-40286 0190-35762
ciarasheltie NEW - $19.99 0 Sep/02/16 Sep/09/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
athomemarket NEW - $99.99 0 Sep/02/16 Sep/09/16
Description: NEW Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box BES-9321-02C
benta09 NEW - $35.00 0 Sep/03/16 Oct/03/16
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
benta09 NEW - $185.00 0 Sep/03/16 Oct/03/16
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $120.00 0 Sep/04/16 Oct/04/16
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $275.00 0 Sep/04/16 Oct/04/16
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
svcstore Used - $70.99 0 Sep/07/16 Oct/07/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore NEW - $68.99 0 Sep/07/16 Oct/07/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $79.99 0 Sep/07/16 Oct/07/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
orapma12012 Used - $799.00 0 Sep/07/16 Sep/14/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
gti-semi Used - $4,500.00 0 Sep/08/16 Nov/26/19
Description: Applied Materials AMAT, STD PVD DEGAS QUARTZ VIEWPOINT LID, p/n 0010-19026
esolutions1 NEW - $399.00 0 Sep/08/16 Oct/08/16
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $299.00 0 Sep/08/16 Oct/08/16
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
esolutions1 NEW - $299.00 0 Sep/08/16 Oct/08/16
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
d-dog0818 Used - $49.95 0 Sep/11/16 Sep/18/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
athomemarket NEW - $84.99 0 Sep/12/16 Jul/01/18
Description: NEW Applied Materials AMAT 0190-16372 DeviceNet Passive Drop Box BES-9321-02C
ptb-sales Used - $750.00 0 Sep/12/16 Oct/12/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
lwltech NEW - $449.00 1 Sep/13/16 Sep/20/16
Description: Applied Materials AMAT, 0040-03349, TXZ 200 MM COOLING WATER BOX
ciarasheltie NEW - $19.99 0 Sep/14/16 Sep/21/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
svcstore Used - $41.99 0 Sep/15/16 Oct/15/16
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Input Output Block
svcstore Used - $299.99 0 Sep/15/16 Oct/15/16
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $99.99 0 Sep/15/16 Oct/15/16
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
jericotagl Used - $250.00 0 Sep/16/16 May/12/17
Description: APPLIED MATERIALS AMAT 0010-09297 ASSY 15 VOLT POWER SUPPLY PRECISION 5000
orapma12012 Used - $499.00 0 Sep/17/16 Sep/24/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,899.00 0 Sep/18/16 Sep/25/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
semiconductorsolution NEW - $4,500.00 0 Sep/21/16 Oct/21/16
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
visionsemi Used - $3,250.00 0 Sep/21/16 Jan/19/17
Description: APPLIED MATERIALS AMAT IMPLANTER 9500 XR80 0090-91698 XR30H POWER SUPPLY
ciarasheltie NEW - $19.99 0 Sep/22/16 Sep/29/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
albelectric NEW - $49.99 0 Sep/24/16 Oct/24/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
d-dog0818 Used - $44.95 0 Sep/25/16 Oct/02/16
Description: Applied Materials AMAT P5000 TEOS Gas Interface Board 0100-09174 Rev. D
orapma12012 NEW - $3,595.00 0 Sep/26/16 Oct/03/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
orapma12012 Used - $499.00 0 Sep/26/16 Oct/03/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
bruce135 Used - $149.00 0 Sep/26/16 Oct/26/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
svcstore Used - $143.99 0 Sep/29/16 Oct/29/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
bruce135 Used - $299.99 0 Sep/30/16 Oct/30/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $70.99 0 Sep/30/16 Oct/30/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
svcstore Used - $70.99 0 Sep/29/16 Oct/29/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $599.99 0 Sep/29/16 Oct/29/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
ciarasheltie NEW - $19.99 0 Oct/01/16 Oct/08/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
bornalliancecom NEW - $500.00 0 Oct/01/16 Oct/31/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
bornalliancecom NEW - $500.00 0 Oct/01/16 Oct/31/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
ptb-sales Used - $800.00 0 Oct/03/16 Nov/02/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
pete-sigep Scrap, for parts - $750.00 0 Oct/03/16 Nov/02/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Oct/03/16 Nov/02/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
electronicswest Used - $1,000.00 0 Oct/04/16 Nov/03/16
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
ami1617182 NEW - $1,750.00 0 Oct/05/16 Oct/27/16
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
benta09 NEW - $185.00 0 Oct/06/16 Nov/05/16
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $120.00 0 Oct/06/16 Nov/05/16
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $35.00 0 Oct/06/16 Nov/05/16
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
benta09 NEW - $275.00 0 Oct/06/16 Nov/05/16
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
orapma12012 Used - $795.00 0 Oct/09/16 Oct/16/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $4,500.00 0 Oct/09/16 Oct/16/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
svcstore Used - $70.99 0 Oct/10/16 Nov/09/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $62.99 0 Oct/10/16 Nov/09/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore NEW - $61.99 0 Oct/10/16 Nov/09/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
electronicswest Used - $45.00 0 Oct/11/16 Nov/10/16
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
visionsemi Used - $125.00 2 Oct/11/16 Oct/10/17
Description: APPLIED MATERIALS AMAT ENDURA PRECLEAN LIFT HOOP 0020-22838
visionsemi Used - $1,200.00 0 Oct/11/16 Aug/13/19
Description: APPLIED MATERIALS AMAT ENDURA PRECLEAN BASE 0020-24230
visionsemi Used - $650.00 0 Oct/11/16 Aug/13/19
Description: APPLIED MATERIALS AMAT ENDURA PRECLEAN COVER 0020-20676
visionsemi NEW - $650.00 0 Oct/11/16 Feb/20/19
Description: APPLIED MATERIALS AMAT 0270-76118 ARM LEVELING TOOL DBR
visionsemi Used - $2,000.00 0 Oct/12/16 Dec/11/19
Description: APPLIED MATERIALS AMAT GLASSMAN HIGH VOLTAGE DECEL PSC 0240-96561 0090-91434
visionsemi Used - $300.00 0 Oct/13/16 Mar/16/23
Description: Applied Materials AMAT 0020-23400 CLAMP RING 8"TIN SNNF
ciarasheltie NEW - $14.99 0 Oct/14/16 Oct/21/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
orapma12012 Used - $795.00 0 Oct/16/16 Oct/23/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $4,500.00 0 Oct/16/16 Oct/23/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
svcstore Used - $299.99 0 Oct/19/16 Nov/17/16
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $88.99 0 Oct/19/16 Nov/17/16
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
svcstore Used - $36.99 0 Oct/19/16 Nov/17/16
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Input Output Block
gti-semi NEW - $2,300.00 1 Oct/19/16 Oct/20/17
Description: Applied Materials AMAT, CUP, HCLU, 200MM Mirra, p/n 0040-54386, New
tjtechseller NEW - $95.00 2 Oct/21/16 Mar/21/24
Description: 0150-35851 Applied Materials AMAT Harness Assembly EMO Extension, Rear to Front
electronicswest Used - $100.00 0 Oct/21/16 Oct/28/16
Description: Applied Materials AMAT 0190-13274 Rev. 001, 15"x 1-3/8" Opening, Aluminum
electronicswest Used - $100.00 0 Oct/21/16 Oct/28/16
Description: Applied Materials AMAT 0040-81165, Door, Slit Valve
ciarasheltie NEW - $14.99 0 Oct/21/16 Oct/28/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
orapma12012 Used - $795.00 0 Oct/23/16 Oct/30/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $4,500.00 0 Oct/23/16 Oct/30/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
tjtechseller Used - $3,300.00 1 Oct/24/16 Jan/24/24
Description: 0010-09340 Applied Materials AMAT P-5000 LIFT ASS'Y
tjtechseller NEW - $500.00 1 Oct/24/16 Mar/23/23
Description: 0020-27103 Applied Materials AMAT Lift Hoop & Pin
tjtechseller Used - $580.00 0 Oct/24/16 Jul/09/21
Description: 0100-20051 Applied Materials AMAT Endura Centura PCB ASSY, TURBO INTERCONNECT
albelectric NEW - $49.99 0 Oct/24/16 Nov/23/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
ptb-sales Used - $750.00 0 Oct/24/16 Nov/23/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
esolutions1 NEW - $269.10 0 Oct/25/16 Nov/24/16
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
esolutions1 NEW - $359.10 0 Oct/25/16 Nov/24/16
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $269.10 0 Oct/25/16 Nov/24/16
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
f2d-express NEW - $150.00 1 Oct/25/16 Feb/07/21
Description: Applied Materials AMAT Tube, Gas Feed, OD 6.35mm BWCVD -- 0200-09450 -- New
f2d-express NEW - $50.00 1 Oct/25/16 Jan/27/17
Description: Applied Materials AMAT Quartz Tube Housing -- 0020-31016 -- New
txazrecycle512 Used - $150.00 0 Oct/26/16 Oct/31/16
Description: APPLIED MATERIALS AMAT 0190-19919 Rev 001 DeviceNet MKS-CIT P/N AS00107-04 USED
bruce135 Used - $149.00 0 Oct/26/16 Nov/25/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
semiconductorsolution NEW - $4,500.00 0 Oct/27/16 Nov/26/16
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
ciarasheltie NEW - $9.99 0 Oct/28/16 Nov/04/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
svcstore Used - $70.99 0 Oct/30/16 Nov/29/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
bruce135 Used - $299.99 0 Oct/31/16 Nov/30/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $128.99 0 Oct/31/16 Nov/30/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
svcstore Used - $62.99 0 Oct/31/16 Nov/30/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $599.99 0 Oct/31/16 Nov/30/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
bornalliancecom NEW - $500.00 0 Nov/01/16 Dec/01/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
bornalliancecom NEW - $500.00 0 Nov/01/16 Dec/01/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
electronicswest Used - $500.00 0 Nov/02/16 Dec/02/16
Description: Applied Materials AMAT 0190-13274 Rev. 001, 15"x 1-3/8" Opening, Aluminum
electronicswest Used - $555.00 0 Nov/02/16 Dec/02/16
Description: Applied Materials AMAT 0040-81165, Door, Slit Valve
ptb-sales Used - $800.00 0 Nov/02/16 Dec/02/16
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
allforsale555 Used - $199.00 1 Nov/03/16 Jan/03/19
Description: APPLIED MATERIALS AMAT DIGITAL I/O CARD BOARD ASSY 0100-11002 Rev F
pete-sigep Used - $475.00 0 Nov/03/16 Dec/03/16
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Scrap, for parts - $750.00 0 Nov/03/16 Dec/03/16
Description: Applied Materials AMAT 0010-76001 Storage Elevator
ciarasheltie NEW - $9.99 0 Nov/05/16 Nov/12/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
skus092 NEW - $1,000.00 2 Nov/09/16 Dec/05/16
Description: 0090-09165 APPLIED MATERIALS AMAT CENTURA ETCH DPS RF PEAK VOLTAGE CATHODE
electronicswest Used - $1,000.00 0 Nov/09/16 Dec/09/16
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
benta09 NEW - $120.00 0 Nov/09/16 Dec/09/16
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $35.00 0 Nov/09/16 Dec/09/16
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
benta09 NEW - $185.00 0 Nov/09/16 Dec/09/16
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $275.00 0 Nov/09/16 Dec/09/16
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
electronicswest Used - $45.00 0 Nov/10/16 Dec/10/16
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
svcstore NEW - $54.99 0 Nov/10/16 Dec/10/16
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $62.99 0 Nov/10/16 Dec/10/16
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $55.99 0 Nov/10/16 Dec/10/16
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
ciarasheltie NEW - $9.99 0 Nov/12/16 Nov/19/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
txazrecycle512 Used - $150.00 0 Nov/14/16 Dec/14/16
Description: APPLIED MATERIALS AMAT 0190-19919 Rev 001 DeviceNet MKS-CIT P/N AS00107-04 USED
usedparts-pk Used - $199.99 2 Nov/15/16 Feb/06/18
Description: APPLIED MATERIALS AMAT CDG/Pi 2.2 0190-11452 CAPACITANCE / PIRANI GAUGE
mayraytan NEW - $625.00 1 Nov/15/16 Feb/21/17
Description: Applied Materials AMAT GRANVILLE-PHLLIPS Convectron Gauge, 275998, 3310-01187
visionsemi Used - $210.00 1 Nov/15/16 Jun/01/17
Description: APPLIED MATERIALS AMAT INFICON CAPACITANCE GAUGE PIRANI 3310-00282 LI9496 ENDURA
nwtechsurplus Refurbished - $2,995.95 1 Nov/16/16 Nov/19/17
Description: 0010-76000 P5000 APPLIED MATERIALS AMAT ROBOT ASSY + WARRANTY + ISO 9001
txazrecycle512 Used - $400.00 0 Nov/16/16 Nov/21/16
Description: Applied Materials AMAT 0190-36511 DIP294 DeviceNet I/O Block, USED
txazrecycle512 Used - $299.99 0 Nov/22/16 Dec/22/16
Description: Applied Materials AMAT 0190-36511 DIP294 DeviceNet I/O Block, USED
ciarasheltie NEW - $9.99 0 Nov/20/16 Nov/27/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ab-international NEW - $595.00 0 Nov/19/16 Nov/29/16
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
albelectric NEW - $49.99 3 Nov/23/16 Dec/08/16
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
esolutions1 NEW - $299.00 0 Nov/24/16 Dec/24/16
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
esolutions1 NEW - $299.00 0 Nov/24/16 Dec/24/16
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
esolutions1 NEW - $399.00 0 Nov/24/16 Dec/24/16
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
allforsale555 Used - $1,999.00 1 Nov/25/16 Dec/06/16
Description: APPLIED MATERIALS AMAT 0190-35651 SERIPLEX SPX-MUXADIO-110 W/ 0150-18070 PCB
arizindo Used - $450.00 1 Nov/27/16 Nov/29/18
Description: Applied Materials AMAT 0010-00685 Pneumatic Assy
ptb-sales Used - $750.00 0 Nov/28/16 Dec/28/16
Description: Applied Materials AMAT 0270-02726 Blankoff Chamber Lid 300mm HDPCVD U
bruce135 Used - $149.00 0 Nov/28/16 Dec/28/16
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
semiconductorsolution NEW - $4,500.00 0 Nov/28/16 Dec/28/16
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
visionsemi NEW - $1,499.00 0 Nov/28/16 Dec/05/16
Description: APPLIED MATERIALS AMAT ENDURA 5500 0010-01388 LTESC CONTROLLER NIB
ciarasheltie NEW - $9.99 0 Nov/28/16 Dec/05/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ami1617182 NEW - $1,550.00 1 Nov/28/16 Jan/20/17
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
orapma12012 Used - $795.00 0 Nov/28/16 Dec/05/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $4,500.00 0 Nov/28/16 Dec/05/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
gigabitpartsolutions NEW - $26.40 0 Nov/30/16 Oct/03/18
Description: MFC APPLIED MATERIALS (AMAT) 3700-01511 ORING ID 14.975 CSD .275 VITON 80DURO WH
gigabitpartsolutions NEW - $9.90 0 Nov/30/16 Apr/22/20
Description: Hose APPLIED MATERIALS (AMAT) 3400-01011 HOSE GAS/LIQ 2501D X 500OD350
svcstore Used - $62.99 0 Nov/30/16 Dec/30/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
allforsale555 Used - $649.00 0 Dec/01/16 Dec/18/16
Description: 0100-20234 Applied Materials AMAT Endura Centua PCB ASSY,SERIAL/VIDEO INTERCONNE
bruce135 Used - $299.99 0 Dec/01/16 Dec/31/16
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $55.99 0 Dec/01/16 Dec/31/16
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $599.99 0 Dec/01/16 Dec/31/16
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
svcstore Used - $115.99 2 Dec/01/16 Dec/14/16
Description: Applied Materials AMAT 0100-20003 Analog Input/Output AIO I/O Card Module Board
allforsale555 Used - $499.00 1 Dec/02/16 Oct/27/17
Description: Applied Materials AMAT CENTURA APC MATRIX BD ASSY. 0100-09287
gigabitpartsolutions NEW - $11.00 0 Dec/02/16 Feb/14/19
Description: OEM Part MKS 0020-20114 APPLIED MATERIALS (AMAT) 0020-20114 ISOLATOR, DC BIAS
bornalliancecom NEW - $500.00 1 Dec/02/16 Dec/20/16
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3389-01002 5-142-03-008-059
bornalliancecom NEW - $500.00 0 Dec/02/16 Jan/01/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
electronicswest Used - $555.00 0 Dec/05/16 Jan/04/17
Description: Applied Materials AMAT 0040-81165, Door, Slit Valve
electronicswest Used - $500.00 0 Dec/05/16 Jan/04/17
Description: Applied Materials AMAT 0190-13274 Rev. 001, 15"x 1-3/8" Opening, Aluminum
pete-sigep Scrap, for parts - $750.00 0 Dec/05/16 Jan/04/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Dec/05/16 Jan/04/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
orapma12012 Used - $695.00 0 Dec/05/16 Dec/12/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Dec/05/16 Dec/12/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
visionsemi NEW - $525.00 4 Dec/06/16 Jul/02/24
Description: APPLIED MATERIALS AMAT 0140-18033 PIN LIFT HEATER DRIVER CHAMBER HARNESS
ciarasheltie NEW - $9.99 0 Dec/07/16 Dec/14/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
allforsale555 Used - $1,999.00 1 Dec/07/16 Jan/29/17
Description: APPLIED MATERIALS AMAT 0100-09251 SERIPLEX SENSORbus W/ 0100-18043 PCB
visionsemi NEW - $325.00 1 Dec/08/16 Sep/08/21
Description: APPLIED MATERIALS AMAT VERIFLO REGULATOR 3800-01171 SQ MICRO 0-30PSI 2 PORT
ptb-sales Used - $800.00 0 Dec/09/16 Jan/08/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
ab-international NEW - $595.00 0 Dec/10/16 Dec/20/16
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
albelectric NEW - $59.99 0 Dec/12/16 Jan/11/17
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
orapma12012 Used - $695.00 0 Dec/12/16 Dec/19/16
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Dec/12/16 Dec/19/16
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
electronicswest Used - $1,000.00 0 Dec/13/16 Jan/12/17
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
gigabitpartsolutions NEW - $11.00 0 Dec/13/16 Jul/22/21
Description: O-Ring APPLIED MATERIALS (AMAT) 3700-01173
svcstore NEW - $48.99 0 Dec/13/16 Jan/12/17
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $55.99 0 Dec/13/16 Jan/12/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $49.99 0 Dec/13/16 Jan/12/17
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
athomemarket Used - $500.00 1 Dec/14/16 Jun/27/17
Description: Applied Materials AMAT 0010-39432 RF Match for Centura MXP+/eMXP+ Etch Chamber
visionsemi NEW - $30.00 1 Dec/14/16 Jan/31/18
Description: 0015-20022 APPLIED MATERIALS AMAT SPANNER WRENCH
visionsemi Used - $400.00 1 Dec/14/16 Jul/17/17
Description: 0190-01401 APPLIED MATERIALS AMAT SMC PNEUMATIC VALVE BLOCK D-276-990020B
ciarasheltie NEW - $9.99 0 Dec/14/16 Dec/21/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
visionsemi NEW - $3,500.00 1 Dec/15/16 Dec/27/16
Description: APPLIED MATERIALS AMAT 0242-45499 KIT, PEDESTAL DRPII, ENDURA 2 SWLL
gigabitpartsolutions Used - $1,980.00 3 Dec/15/16 Apr/06/18
Description: OEM Part Applied Materials (AMAT) 0090-91516 ARC MODULE WIRED (EXTND RANGE)
gigabitpartsolutions NEW - $220.00 2 Dec/15/16 Aug/30/17
Description: OEM Part Applied Materials (AMAT) 0020-22498 SHIELD, COLLIMATOR UPPER 8"
gigabitpartsolutions NEW - $330.00 1 Dec/15/16 Feb/08/17
Description: OEM Part APPLIED MATERIALS (AMAT) 0020-23041 CLAMP, SHIELD 8" WAFER
electronicswest Used - $45.00 0 Dec/16/16 Jan/15/17
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
bobsgoodies NEW - $44.00 0 Dec/16/16 Jan/05/17
Description: Applied Materials AMAT 0150-76156 Cable Assy, Insertion Flow Sensor
allforsale555 Used - $649.00 1 Dec/18/16 Feb/19/17
Description: 0100-20234 Applied Materials AMAT Endura Centua PCB ASSY,SERIAL/VIDEO INTERCONNE
svcstore Used - $239.99 0 Dec/19/16 Jan/18/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $79.99 0 Dec/19/16 Jan/18/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
svcstore Used - $32.99 0 Dec/19/16 Jan/18/17
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Input Output Block
nevadasurplus-com NEW - $187.49 6 Dec/20/16 Jan/12/17
Description: New Applied Materials AMAT 0190-09488 Cable, Assy. Nude Ion Gauge, 50' Locking
capitolareatech Used - $50.00 5 Dec/20/16 Jul/06/18
Description: APPLIED MATERIALS (AMAT) 0200-10111 PIN, WAFER LIFT, METAL HOOP, 200MM PLASM
capitolareatech Refurbished - $7,750.00 0 Dec/20/16 Jun/20/20
Description: APPLIED MATERIALS (AMAT) 0040-32543 RING, MAGNET LOWER
capitolareatech NEW - $35.00 0 Dec/20/16 Jun/20/20
Description: APPLIED MATERIALS (AMAT) 0760-90008 Flexiable Coupling SS
cubit001 Used - $1,200.00 0 Dec/21/16 Jan/20/17
Description: APPLIED MATERIALS AMAT CHAMBER 0020-70147 HOUSING
visionsemi NEW - $1,500.00 0 Dec/21/16 Jan/20/17
Description: APPLIED MATERIALS AMAT ENDURA 5500 0010-01388 LTESC CONTROLLER NIB
txazrecycle512 Used - $195.00 0 Dec/23/16 Jan/22/17
Description: Applied Materials AMAT 0190-36511 DIP294 DeviceNet I/O Block, USED
bhlee2079 NEW - $120.00 1 Dec/23/16 Dec/24/16
Description: Applied Materials AMAT 0010-02171 Pivot Arm Bearing RH Assy.
bhlee2079 NEW - $120.00 1 Dec/23/16 Dec/24/16
Description: Applied Materials AMAT 0010-02170 Pivot Arm Bearing LH Assy.
ciarasheltie NEW - $9.99 0 Dec/23/16 Dec/30/16
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
lwltech NEW - $74.99 0 Dec/27/16 Jan/03/17
Description: Applied Materials AMAT Ball Bearing, 3060-01068, Kaydon Reali Slim KA020XP4
esolutions1 NEW - $269.10 0 Dec/27/16 Jan/26/17
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
esolutions1 NEW - $359.10 0 Dec/27/16 Jan/26/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $269.10 0 Dec/27/16 Jan/26/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
gigabitpartsolutions NEW - $126.50 1 Dec/28/16 Nov/21/19
Description: MFC UNIT CELERITY UFC-1662 WF6 200SCCM Applied Materials (AMAT) 3030-02663
gigabitpartsolutions Used - $1,485.00 2 Dec/28/16 Aug/14/17
Description: Controller Applied Materials (AMAT) 0050-01083 3 channel Heater/Susceptor Leveli
benta09 NEW - $35.00 0 Dec/28/16 Jan/27/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
benta09 NEW - $185.00 0 Dec/28/16 Jan/27/17
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $120.00 0 Dec/28/16 Jan/27/17
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $275.00 0 Dec/28/16 Jan/27/17
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
bruce135 Used - $149.00 0 Dec/28/16 Jan/27/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
visionsemi NEW - $20.00 0 Dec/28/16 Jan/27/17
Description: APPLIED MATERIALS AMAT 0021-35065 BRACKET FUJIKIN VALVE, SLD
orapma12012 Used - $695.00 0 Jan/01/17 Jan/08/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Jan/01/17 Jan/08/17
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
dr.dantom NEW - $39.00 0 Jan/03/17 Mar/03/20
Description: Applied Materials AMAT 3020-01083 Cylinder AIR BASE FULL HUSKY
dr.dantom NEW - $30.00 1 Jan/03/17 Jun/09/19
Description: APPLIED MATERIALS AMAT 3700-02743 ORING ID 13.984 CSD .139 VITON 75DURO BRN UHP
zuse81 Used - $30.00 0 Jan/03/17 Oct/23/21
Description: APPLIED MATERIALS AMAT 3700-01173 O RING LL DOOR
lwltech NEW - $74.99 0 Jan/03/17 Jan/10/17
Description: Applied Materials AMAT Ball Bearing, 3060-01068, Kaydon Reali Slim KA020XP4
bruce135 Used - $299.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $55.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
svcstore Used - $599.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
svcstore Used - $49.99 0 Jan/03/17 Feb/02/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
ab-international NEW - $558.88 0 Jan/03/17 Jan/13/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
semiconductorsolution NEW - $4,500.00 0 Jan/03/17 Feb/02/17
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
alvin1462 NEW - $999.00 1 Jan/04/17 May/21/18
Description: APPLIED MATERIALS AMAT 0040-08494 REV 006 NEW
alvin1462 NEW - $888.00 2 Jan/04/17 Mar/30/21
Description: APPLIED MATERIALS AMAT 0200-04654 Pumping Liner Top SIH4 Producer SE NEW
alvin1462 NEW - $722.00 2 Jan/04/17 Jan/22/20
Description: APPLIED MATERIALS AMAT 0200-02396 LINER, CERAMIC MIDDLE, PRODUCER SE NEW
alvin1462 NEW - $888.00 1 Jan/04/17 Nov/14/18
Description: APPLIED MATERIALS AMAT 0200-02408 PUMPING RING, CERAMIC C-CHANNEL, SIDE 2 NEW
alvin1462 NEW - $888.00 0 Jan/04/17 Jul/02/20
Description: APPLIED MATERIALS AMAT 0200-02407 Pumping Ring Ceramic C-Channel Side 1 NEW
alvin1462 NEW - $688.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24184 LINER BOTTOM SIDE 2 300MM SE NEW
alvin1462 NEW - $688.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24183 LINER BOTTOM SIDE 1 300MM SE NEW
alvin1462 NEW - $488.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24181 SPACER, CHAMBER 1, , PRODUCER SE NEW
alvin1462 NEW - $488.00 1 Jan/04/17 Jan/11/18
Description: APPLIED MATERIALS AMAT 0021-24182 SPACER, CHAMBER 2, , PRODUCER SE NEW
alvin1462 NEW - $888.00 2 Jan/04/17 Jul/10/17
Description: APPLIED MATERIALS AMAT 0190-28951 KVM SWITCH, 4 PC INPUT, 3 USER LOCATION NEW
alvin1462 NEW - $555.00 1 Jan/04/17 Jan/18/19
Description: APPLIED MATERIALS AMAT 0200-03313 LIFT RING 120 FIXED FLOATING 300MM PRODU NEW
pete-sigep Used - $475.00 0 Jan/05/17 Feb/04/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Scrap, for parts - $750.00 0 Jan/05/17 Feb/04/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
gigabitpartsolutions NEW - $11.00 0 Jan/05/17 Mar/13/18
Description: OEM Part Applied Materials (AMAT) 0020-09927 STUD SUSCEPTOR
gigabitpartsolutions NEW - $159.50 1 Jan/05/17 Jul/18/17
Description: OEM Part Applied Materials (AMAT) 0020-30335 HOUSING,QTZ TUBE SACVD
gigabitpartsolutions NEW - $55.00 1 Jan/05/17 Mar/19/17
Description: OEM Part Applied Materials (AMAT) 0200-35525 RESISTOR, CERAMIC, NEAR-NET SHAPE
gigabitpartsolutions NEW - $11.00 0 Jan/05/17 Jun/01/17
Description: OEM Part Applied Materials (AMAT) 0020-76086 PIN WRIST ROBOT DRIVE
gigabitpartsolutions Used - $126.50 7 Jan/05/17 Apr/15/20
Description: OEM Part Applied Materials (AMAT) 0100-00011 PWB, CHOPPER DRIVE untested no retu
gigabitpartsolutions Used - $137.50 0 Jan/05/17 Nov/18/19
Description: PCB Applied Materials (AMAT) 0100-09008 PCB ASSY, PNEUMATIC CONTROL untested no
gigabitpartsolutions NEW - $137.50 0 Jan/05/17 Apr/22/20
Description: Cable Applied Materials (AMAT) 0150-20470 CABLE ASY,COAXIAL 400KHZ
visionsemi Used - $175.00 0 Jan/05/17 Jan/21/21
Description: 0021-09922 APPLIED MATERIALS AMAT SLIT VALVE LINER CENTURA ANNODIZED
visionsemi NEW - $1,285.00 1 Jan/06/17 Oct/06/20
Description: APPLIED MATERIALS AMAT 0020-23093 WASHER INSULATOR ENDURA PVD LIFTER LOT of 3
visionsemi NEW - $1,285.00 0 Jan/06/17 Feb/26/17
Description: 0040-76308 APPLIED MATERIALS AMAT ENDURA 5500 PVD W/B CHAMBER HOOP WELDMENT
visionsemi NEW - $140.00 0 Jan/06/17 Jun/06/23
Description: APPLIED MATERIALS AMAT 0140-75111 HARNESS SHIELD TREATMENT TURBO
orapma12012 Used - $695.00 0 Jan/08/17 Jan/15/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Jan/08/17 Jan/15/17
Description: 0040-18229, Applied Materials, AMAT, ESC, TESTED, 200MM JMF BLUE, HDP-CVD
jericotagl Used - $2,250.00 0 Jan/09/17 May/12/17
Description: APPLIED MATERIALS AMAT 0190-76005 PCBA STEPPER DRIVER
ciarasheltie NEW - $9.99 0 Jan/09/17 Jan/16/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ptb-sales Used - $800.00 0 Jan/09/17 Feb/08/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
visionsemi NEW - $5,100.00 0 Jan/09/17 Feb/08/17
Description: APPLIED MATERIALS AMAT CENTURA ULTIMA 0010-35633 HDPCVD DOME TEMP CONTROLLER
lwltech NEW - $95.00 1 Jan/10/17 Jun/12/20
Description: Applied Materials AMAT Ball Bearing, 3060-01068, Kaydon Reali Slim KA020XP4
gigabitpartsolutions NEW - $550.00 1 Jan/11/17 Mar/08/17
Description: OEM Part Applied Materials (AMAT) 0190-13041 VALVE, TWO STAGE INLINE NW40 316L
gigabitpartsolutions NEW - $269.50 2 Jan/11/17 May/30/17
Description: OEM Part Applied Materials (AMAT) 0020-31737 INSULATING FLANGE, TAPERED, ESC, TS
gigabitpartsolutions Used - $1,650.00 0 Jan/11/17 Feb/06/17
Description: OEM Part Applied Materials (AMAT) 0010-00745 ASSY, MONOCHROMATOR MODULE P5000 Et
gigabitpartsolutions Refurbished - $797.50 3 Jan/11/17 Jan/02/19
Description: RF Systems ADVANCED ENERGY 3155050-003A Applied Materials (AMAT) 0500-01111 MDL
gigabitpartsolutions Refurbished - $550.00 1 Jan/11/17 Aug/07/17
Description: OEM Part Applied Materials (AMAT) 0100-20177 PCB ASSY WATER/SMOKE DET CENT PVD
gigabitpartsolutions NEW - $8.80 0 Jan/11/17 Feb/27/18
Description: OEM Part Applied Materials (AMAT) 0020-21366 SCREW SHOULDER DC BIAS TIW
gigabitpartsolutions NEW - $11.00 0 Jan/11/17 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0020-29409 CONDUCTOR, FLEX DC BIAS
gigabitpartsolutions NEW - $110.00 2 Jan/11/17 Aug/08/19
Description: OEM Part Applied Materials (AMAT) 0020-30482 COUPLING,FLEX,8",OXIDE
gigabitpartsolutions NEW - $11.00 0 Jan/11/17 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0020-20114 ISOLATOR, DC BIAS
gigabitpartsolutions NEW - $38.50 2 Jan/11/17 Mar/31/18
Description: OEM Part Applied Materials (AMAT) 0150-40197 CABLE ASSY FIBER PLASMA DETECT REP
gigabitpartsolutions NEW - $5.50 11 Jan/11/17 Feb/23/17
Description: OEM Part Applied Materials (AMAT) 0015-20035 SCREW, INSULATOR
gigabitpartsolutions NEW - $8.80 0 Jan/11/17 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0020-20113 HUB DC BIAS, SHIELD ASSY
gigabitpartsolutions NEW - $165.00 0 Jan/11/17 Jul/23/20
Description: OEM Part SIEMENS CLM Applied Materials (AMAT) 1200-01137 heater contactor AMAT 1
gigabitpartsolutions NEW - $27.50 2 Jan/11/17 May/02/18
Description: OEM Part Applied Materials (AMAT) 0020-09069 LIFTING PIN, VITON TIP
gigabitpartsolutions NEW - $22.00 0 Jan/11/17 Jan/04/19
Description: OEM Part Applied Materials (AMAT) 0020-31659 COVER, VESPEL
gigabitpartsolutions NEW - $8.80 0 Jan/11/17 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0020-20119 PAD DC BIAS
gigabitpartsolutions NEW - $220.00 0 Jan/11/17 Jan/17/18
Description: OEM Part Applied Materials (AMAT) 0020-31632 CARRIER, ESC
gigabitpartsolutions NEW - $82.50 20 Jan/11/17 Jun/08/17
Description: O-Ring GREEN TWEED 927B9-SS513 Applied Materials (AMAT) 3700-01707 ORING ID 12.
gigabitpartsolutions NEW - $82.50 0 Jan/11/17 Mar/15/19
Description: O-Ring GREEN TWEED 5641-0107-SC512 Applied Materials (AMAT) 0030-09052 SEAL, LA
gigabitpartsolutions Used - $71.50 2 Jan/11/17 Jul/19/17
Description: Cable Applied Materials (AMAT) 0140-09719 HARNESS ASSY, HTR POWER, WXZ P5000 ONL
gigabitpartsolutions NEW - $49.50 1 Jan/11/17 Jul/06/18
Description: OEM Part Applied Materials (AMAT) 0200-10111 PIN, WAFER LIFT, METAL HOOP, 200MM
albelectric NEW - $59.99 0 Jan/11/17 Feb/10/17
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
gigabitpartsolutions NEW - $12.10 1 Jan/11/17 Dec/06/17
Description: OEM Part Applied Materials (AMAT) 0020-31599 BRACKET, TEMP SENSE, UNIBODY COVER
gigabitpartsolutions NEW - $13.20 1 Jan/11/17 Dec/06/17
Description: Seal Applied Materials (AMAT) 3700-06217 Seal dynamic Radial 1.216IDx3/16C/s 304
gigabitpartsolutions NEW - $71.50 2 Jan/11/17 Jan/11/17
Description: OEM Part SMC NCDQ2WB63D Applied Materials (AMAT) 3020-01053 CYL AIR 2.5BORE 1.0
gigabitpartsolutions NEW - $71.50 1 Jan/11/17 Oct/05/17
Description: Flowmeter Brooks 1350EPC9ADD1A Applied Materials (AMAT) 1040-01015 METER FLOW GA
gigabitpartsolutions NEW - $220.00 0 Jan/11/17 Oct/05/22
Description: OEM Part Applied Materials (AMAT) 0020-10467 HOUSING, QUARTZ TUBE
gigabitpartsolutions NEW - $38.50 0 Jan/11/17 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0020-30196 STRIP, CONTACT
gigabitpartsolutions NEW - $4.40 3 Jan/11/17 Aug/01/17
Description: OEM Part Applied Materials (AMAT) 0690-01102 CLIP SPR .625 DIA .832H .385WBERYLL
gigabitpartsolutions NEW - $27.50 0 Jan/11/17 Feb/14/19
Description: Cable Applied Materials (AMAT) 0150-76016 CABLE ASSY, END POINT VIDEO, VGA
gigabitpartsolutions NEW - $108.90 0 Jan/11/17 Feb/14/19
Description: Cable Applied Materials (AMAT) 0140-36039 HARNESS ASSY DC POWER SUPPLY TO INTERC
gigabitpartsolutions NEW - $19.80 0 Jan/11/17 Feb/14/19
Description: Fitting Swagelok NY-600-1-4 Applied Materials (AMAT) 3300-03099 FTG TBG CONN 3/8
gigabitpartsolutions NEW - $6.60 5 Jan/11/17 Feb/26/18
Description: O-Ring Applied Materials (AMAT) 3700-01659 ORING ID 1.299 CSD .103 CHEMRAZ SC51
gigabitpartsolutions NEW - $3,300.00 0 Jan/11/17 Jan/09/18
Description: OEM Part Applied Materials (AMAT) 0090-75015 PCBA ASSY,SBC SYNERGY 68040 CONTROL
gigabitpartsolutions NEW - $605.00 0 Jan/11/17 Mar/28/17
Description: OEM Part Applied Materials (AMAT) 0020-30086 PLATE PERFORATED 150MM NITRIDE GIAN
visionsemi Used - $2,000.00 0 Jan/11/17 Nov/22/19
Description: APPLIED MATERIALS AMAT GLASSMAN HIGH VOLTAGE DECEL PSC 0240-96154 0090-91434
avisahar2304 NEW - $145.00 0 Jan/12/17 Feb/11/17
Description: LOT OF 5 UNITS Applied Materials AMAT Turck D-Net Cable Assembly, 0620-02498
electronicswest Used - $555.00 0 Jan/12/17 Feb/11/17
Description: Applied Materials AMAT 0040-81165, Door, Slit Valve
electronicswest Used - $500.00 0 Jan/12/17 Feb/11/17
Description: Applied Materials AMAT 0190-13274 Rev. 001, 15"x 1-3/8" Opening, Aluminum
visionsemi Used - $650.00 0 Jan/12/17 Dec/16/19
Description: 0100-91015 APPLIED MATERIALS AMAT XR80 QUANTUM MONITOR INTERFACE PCB
visionsemi Used - $1,650.00 1 Jan/12/17 Jan/02/18
Description: APPLIED MATERIALS AMAT IMPLANT GLASSMAN POWER SUPPLY ER15N20.0Y75 15k 0090-93027
visionsemi Used - $600.00 1 Jan/12/17 Oct/10/17
Description: 0100-90934 APPLIED MATERIALS AMAT XR80 DECEL FOCUS POWER SUPPLY INTERFACE
visionsemi Used - $800.00 0 Jan/13/17 Jan/27/22
Description: APPLIED MATERIALS AMAT 0020-08677 SHIELD LOWER ARC SPRAY SST
visionsemi Used - $475.00 1 Jan/13/17 Aug/13/19
Description: APPLIED MATERIALS AMAT 0020-08673 SHIELD INNER ARC SPRAY SIP
bornalliancecom NEW - $500.00 0 Jan/13/17 Feb/12/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
svcstore Used - $43.99 0 Jan/13/17 Feb/12/17
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $49.99 0 Jan/13/17 Feb/12/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $43.99 0 Jan/13/17 Feb/12/17
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
allforsale555 NEW - $449.00 1 Jan/15/17 Aug/03/17
Description: APPLIED MATERIALS AMAT 3620-02332 KYPD REMOTE W/CABLE FOR ONBOARD CTI CRYO PUMP
orapma12012 Used - $695.00 0 Jan/15/17 Jan/22/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
orapma12012 NEW - $3,559.00 0 Jan/15/17 Jan/22/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
allforsale555 Used - $89.00 0 Jan/16/17 Jul/29/21
Description: APPLIED MATERIALS, AMAT 0050-00930 WELDMENT,APPLICATOR PURGEUL TIME
gigabitpartsolutions NEW - $165.00 0 Jan/17/17 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0021-70282 COVER,BACK,CHMBR COOLING,DPS POLY
gigabitpartsolutions Used - $988.90 1 Jan/17/17 Sep/10/18
Description: OEM Part Applied Materials (AMAT) 0010-20664 ASSY, SLIT VALVE UNTESTED NO RETURN
gigabitpartsolutions Used - $258.50 1 Jan/17/17 Apr/12/17
Description: OEM Part Applied Materials (AMAT) 0010-30583 ASSEMBLY BEARING UNTESTED NO RETURN
gigabitpartsolutions NEW - $82.50 2 Jan/17/17 Jan/18/17
Description: Cylinder SMC NCDQ1B63-01-70338 Applied Materials (AMAT) 3020-01056 CYL PNEU 2.5L
gigabitpartsolutions Used - $1,100.00 0 Jan/17/17 Mar/23/17
Description: PCB Applied Materials (AMAT) 0010-10901 HV MODULE ASS'Y, RF BOXGECO UNTESTED NO-
gigabitpartsolutions NEW - $687.50 0 Jan/17/17 Oct/26/18
Description: PCB Applied Materials (AMAT) 0240-70639 KIT, 5500 ROBOT BEARING PM
gigabitpartsolutions Used - $495.00 1 Jan/17/17 Feb/21/17
Description: PCB Applied Materials (AMAT) 0100-09299 ASSY, SERIAL SIDE BOARD UNTESTED NO-RETU
gigabitpartsolutions Used - $396.00 2 Jan/17/17 Sep/27/17
Description: PCB Applied Materials (AMAT) 0100-09196 ASSY,E CHUCK CONTROLLER UNTESTED NO-RETU
gigabitpartsolutions Used - $385.00 1 Jan/17/17 Jul/26/17
Description: PCB Applied Materials (AMAT) 0100-20100 ANALOG INPUT/OUTPUT UNTESTED NO-RETURN C
gigabitpartsolutions Used - $522.50 0 Jan/17/17 Jun/20/18
Description: PCB Applied Materials (AMAT) 0100-00165 SERIAL SIDEBOARD, ASSY UNTESTED NO-RETUR
gigabitpartsolutions Used - $275.00 1 Jan/17/17 Mar/14/17
Description: PCB Applied Materials (AMAT) 0100-00396 ASSY PCB ANALOG I/O AIO BD UNTESTED NO-R
gigabitpartsolutions Used - $550.00 0 Jan/17/17 Jan/26/18
Description: PCB Applied Materials (AMAT) 0100-09054 PCB ASSY, ANALOG INPUT UNTESTED NO-RETUR
allforsale555 Used - $349.00 1 Jan/17/17 May/10/18
Description: APPLIED MATERIALS AMAT 0270-76118 ARM LEVELING TOOL
athomemarket Scrap, for parts - $2,599.99 0 Jan/17/17 Jan/20/17
Description: Applied Materials AMAT 0010-37784 Rev. P3 TixZ 200mm/8" Ceramic ALN Heater
gigabitpartsolutions NEW - $26.40 1 Jan/18/17 May/04/17
Description: Tubing Applied Materials (AMAT) 3300-02322 FTG TBG FLEX 1/4VCR-MF 100PSI .006WLX
gigabitpartsolutions NEW - $8.80 5 Jan/18/17 Aug/21/18
Description: OEM Part Applied Materials (AMAT) 0200-10263 Washer, Insulating, Ceramic
gigabitpartsolutions NEW - $49.50 1 Jan/18/17 Apr/04/18
Description: Electrical SQUARE D Q0B3155237 Applied Materials (AMAT) 0680-01209 CB MAG THERM
gigabitpartsolutions NEW - $16.50 1 Jan/18/17 Jan/19/17
Description: OEM Part Applied Materials (AMAT) 0090-35002 ASSY, MAGNETIC SWITCH
gigabitpartsolutions NEW - $247.50 0 Jan/18/17 Mar/30/17
Description: OEM Part Applied Materials (AMAT) 0010-09672 PLASMA SENSOR ASSY,PRSP
gigabitpartsolutions NEW - $5.50 1 Jan/18/17 Oct/29/20
Description: Spring Applied Materials (AMAT) 3780-01215 SPR CPRSN .310FL X .12OD X .014W-DIA
gigabitpartsolutions NEW - $1,045.00 0 Jan/18/17 May/11/17
Description: OEM Part Applied Materials (AMAT) 0020-70284 TUBE, ROBOT
gigabitpartsolutions NEW - $170.50 0 Jan/18/17 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0020-75738 CAM, RIGHT INBOARD CORROSION RESIST
svcstore Used - $79.99 0 Jan/19/17 Feb/18/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
svcstore Used - $32.99 0 Jan/19/17 Feb/18/17
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Input Output Block
svcstore Used - $239.99 0 Jan/19/17 Feb/18/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
allforsale555 NEW - $399.00 0 Jan/19/17 May/20/17
Description: Applied Materials AMAT In-Line Gas Filter, 4020-01061
atxdeals4u Used - $599.99 0 Jan/19/17 Sep/21/17
Description: Applied Materials AMAT 0500-01083 3-Channel Heater Susceptor Leveling System
levmucciacciar0 Used - $300.00 10 Jan/20/17 Jul/05/17
Description: Applied Materials AMAT, PCB - I/O BOARD, p/n 0100-76124 Rev B
levmucciacciar0 Used - $695.00 1 Jan/20/17 Dec/22/18
Description: 0100-35036, Applied Materials, AMAT, PCB, DUAL GAS LEAK DETECTOR
ami1617182 NEW - $1,550.00 0 Jan/21/17 Mar/22/17
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
levmucciacciar0 Used - $6,000.00 1 Jan/21/17 Jan/22/17
Description: 0090-76133 0090-03467 Applied Materials AMAT Endura SYNERGY V452 SBC 68040 CPU
allforsale555 Used - $149.00 0 Jan/22/17 Jul/29/21
Description: Applied Materials AMAT 0270-76865 Cover
allforsale555 Used - $249.00 0 Jan/22/17 Jul/29/21
Description: Applied Materials amat 0020-24913 PEDESTAL SST 8" 101 COVERAGE
ciarasheltie NEW - $9.99 0 Jan/22/17 Jan/29/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
blev852 NEW - $150.00 0 Jan/24/17 Dec/09/21
Description: 0140-21836 APPLIED MATERIALS AMAT XZ-CVD BSP ENDURA
blev852 NEW - $150.00 2 Jan/24/17 Jul/31/19
Description: 0140-21804 APPLIED MATERIALS AMAT HARNESS WATER FLOW ASSY
blev852 NEW - $175.00 2 Jan/24/17 Aug/23/17
Description: 0150-20252 APPLIED MATERIALS AMAT HARNESS CHAMBER ORIENTER RS-232
blev852 NEW - $225.00 1 Jan/24/17 Dec/09/21
Description: 0150-76963 APPLIED MATERIALS AMAT HARNESS CHAMBER ORIENTER E 25ft EMC CABLE
blev852 NEW - $150.00 5 Jan/24/17 Nov/09/20
Description: 0140-76362 APPLIED MATERIALS AMAT HARNESS ASSY CHAMBER ORIENTERE LIFT INTERFACE
allforsale555 Used - $399.00 1 Jan/25/17 Oct/02/17
Description: APPLIED MATERIALS (AMAT) 0040-39554 GIMBAL ASSY,ORIENTER LIFTER ASSY
allforsale555 NEW - $199.00 0 Jan/25/17 May/24/19
Description: APPLIED MATERIALS (AMAT) 0200-09970 WINDOW, SAPPHIRE, PUMPING PLATE
electronicswest Used - $1,000.00 0 Jan/25/17 Feb/24/17
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
electronicswest Used - $45.00 0 Jan/25/17 Feb/24/17
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
gigabitpartsolutions Refurbished - $137.50 1 Jan/25/17 Sep/25/17
Description: Power Supply POWER-ONE HBB 15-1.-A Applied Materials (AMAT) 0010-30708 ASSY, D
orapma12012 NEW - $3,559.00 0 Jan/25/17 Feb/01/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $695.00 0 Jan/25/17 Feb/01/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
levmucciacciar0 Used - $335.00 3 Jan/27/17 Jul/13/18
Description: 0100-20234 Applied Materials AMAT Serial/Video Interconnect
esolutions1 NEW - $299.00 0 Jan/30/17 Mar/01/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
esolutions1 NEW - $399.00 0 Jan/30/17 Mar/01/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $299.00 0 Jan/30/17 Mar/01/17
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
bruce135 Used - $149.00 0 Jan/30/17 Mar/01/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
csog Used - $129.95 1 Jan/31/17 May/02/23
Description: Board- APPLIED MATERIALS AMAT DIGITAL I/O CARD BOARD ASSY 0100-11002
gigabitpartsolutions NEW - $159.50 4 Jan/31/17 Nov/13/17
Description: OEM Part Applied Materials (AMAT) 0020-23349 HUB HEATER INSULATOR
gigabitpartsolutions NEW - $49.50 0 Jan/31/17 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0040-09761 SLIDE, FRONT SHIELD, UNIVERSAL
gigabitpartsolutions Used - $715.00 2 Jan/31/17 Oct/16/17
Description: OEM Part Applied Materials (AMAT) 0010-10054 5000 WxCVD Chamber UNTESTED NO RETU
gigabitpartsolutions NEW - $269.50 1 Jan/31/17 Feb/22/17
Description: OEM Part Applied Materials (AMAT) 0020-30347 CYLINDER, EXTERNAL
visionsemi NEW - $62.50 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-24167 CABLE LID COVER SWITCH CV ENDURA2
visionsemi NEW - $20.00 0 Feb/01/17 Feb/21/19
Description: APPLIED MATERIALS AMAT 0021-35065 BRACKET FUJIKIN VALVE, SLD
visionsemi NEW - $285.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-14707 CABLE ASSY, W316 CH-X CONT TO GP PCB EP
visionsemi Used - $300.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0190-71353 PCA SPX24D24D200MA FWD 24IN/24OUT DIG
visionsemi Used - $225.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0100-09177 ASSY PCB EXT VME AI/O DI/O G.P.
visionsemi Used - $875.00 0 Feb/01/17 Mar/09/17
Description: APPLIED MATERIALS AMAT 0100-35049 SYS RESET LINK SEL PCB CENTURA
visionsemi NEW - $500.00 0 Feb/01/17 Feb/07/17
Description: APPLIED MATERIALS AMAT 3620-02332 KYPD REMOTE W/CABLE FOR ONBOARD CTI CRYO PUMP
visionsemi NEW - $50.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-76190 CABLE VIDEO ASSY
visionsemi NEW - $285.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-36306 ASSY CABLE HEATER MODULE DCSxZ
visionsemi NEW - $950.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT TC ASSEMBLY THERMOCOUPLE 1310-50000 NEW LOT OF 4
visionsemi NEW - $95.02 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0140-12525 HARNESS ASSY SMIF I/F LOADLOCK DOOR OPEN
visionsemi NEW - $62.50 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-24167 CABLE LID COVER SWITCH ENDURA2
visionsemi Used - $85.00 0 Feb/01/17 Feb/21/19
Description: 0150-20187 APPLIED MATERIALS AMAT REMOTE VIDEO CABLE PVD
visionsemi NEW - $550.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT ENDURA SUBZERO LIFT PINCH GUARD KIT 0240-05828 0040-07937
visionsemi NEW - $198.02 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0140-36174 PUMP INTERFACE POWER 50 FT
visionsemi NEW - $95.00 0 Feb/01/17 May/26/17
Description: MDC VALVE AV-150M AV150M-P-10 NW40 UHV APPLIED MATERIALS AMAT 3870-03520
visionsemi Used - $355.00 0 Feb/01/17 Feb/21/19
Description: APPLIED MATERIALS AMAT 0021-70280 COVER, CHAMBER A, B W/O RGA PORT
visionsemi NEW - $125.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0270-20285 ROBOT CALIBRATION TOOL HT-ESC
visionsemi NEW - $90.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 300MM ENDURA CLAMP LIFT PIN 0020-85684
allforsale555 Used - $269.00 1 Feb/01/17 Feb/28/19
Description: Applied Materials AMAT INTELLIGENT INTERFACE 0100-09006
visionsemi NEW - $19.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0020-25917 ISOLATOR DC BIAS SHUTTER COMP REV A
visionsemi NEW - $45.00 0 Feb/01/17 May/26/17
Description: Applied Materials AMAT 3710-01095 Shaft HSG Roller Assy
visionsemi NEW - $125.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-09880 CABLE ESC CONTROLLER CH. D
visionsemi NEW - $200.00 1 Feb/01/17 Nov/01/21
Description: APPLIED MATERIALS AMAT 0150-16842 INTERFACE CABLE NEW
visionsemi NEW - $20.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0020-09446 GASKET MAG-AC
visionsemi NEW - $100.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 1270-00485 WASCO PRESSURE SWITCH P400V-25W3B-X/9671
visionsemi NEW - $550.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0140-09295 BARATRON HARNESS ASSEMBLY C
visionsemi NEW - $349.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0140-24767 LOWER LAMP MODULE HARNESS ASSY
visionsemi NEW - $173.02 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-22289 CABLE ASSY Y-AXIS STEPPER OEM
visionsemi NEW - $70.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT RTP LAMP BULB 0190-14083
visionsemi NEW - $285.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-03054 CABLE ASSY MDL TO GP PCB MAIN PLATTER POWER
visionsemi Used - $50.00 0 Feb/01/17 May/26/17
Description: Applied Materials AMAT 0020-97794 Spacer Blade 100-150
visionsemi Used - $3,250.00 0 Feb/01/17 Dec/11/19
Description: APPLIED MATERIALS AMAT IMPLANTER 9500 XR80 0090-91698 XR30H POWER SUPPLY
visionsemi NEW - $165.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0090-77082 CTE CHEM TEC EQUIPMENT 125-T-BP NC (32929-12)
visionsemi NEW - $285.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0140-04845 PLATEN 3 DVR CONTROL BULKHEAD
visionsemi Refurbished - $150.00 0 Feb/01/17 May/26/17
Description: UE PRECISION Pressure Switch 0090-01357 EA100D-28 APPLIED MATERIALS AMAT
visionsemi NEW - $285.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0150-35539 CABLE ASSY GAS PANEL POWER 55FT
visionsemi Used - $250.00 1 Feb/01/17 Feb/22/17
Description: APPLIED MATERIALS AMAT SLIT VALVE ACTUATOR 0010-70162 6" P5000
visionsemi NEW - $17.00 1 Feb/01/17 Oct/16/17
Description: 0020-34316 APPLIED MATERIALS AMAT 0020-34316 CATHODE INSERT SHEET SOFT INTERFACE
visionsemi NEW - $40.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0620-01144 CABLE ASSY KEY BOARD
visionsemi NEW - $19.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT 0200-20376 LABYRINTH FEEDTHRU COIL SUPPORT ELECTRA REV 1
visionsemi Used - $1,675.00 0 Feb/01/17 May/26/17
Description: APPLIED MATERIALS AMAT P5000 0010-09297 TUNGSTEN POWER SUPPLY 6"
visionsemi NEW - $35.00 7 Feb/01/17 Mar/06/17
Description: APPLIED MATERIALS AMAT SPACER THROTTLE VALVE 0020-31531
orapma12012 NEW - $3,559.00 0 Feb/01/17 Feb/08/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
intek22 Used - $50.00 0 Feb/01/17 Oct/19/18
Description: Applied Materials * AMAT 0100-01040 Rev EW Monitor Switch Board Assembly
orapma12012 Used - $695.00 0 Feb/01/17 Feb/08/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
visionsemi Used - $150.00 1 Feb/02/17 Mar/19/18
Description: APPLIED MATERIALS AMAT 0100-00060 CHOPPER DRIVER PCB
visionsemi Used - $320.00 1 Feb/02/17 Apr/02/21
Description: APPLIED MATERIALS AMAT ENDURA 0090-04210 rev. 001 PED-BIAS PVD CHBR I/L
ciarasheltie NEW - $9.99 0 Feb/03/17 Feb/10/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
gigabitpartsolutions NEW - $247.50 0 Feb/03/17 Feb/14/19
Description: OEM Part Applied Materials (AMAT) 0021-70281 COVER,FRONT,CHMBR COOLING,DPS POLY
semiconductorsolution NEW - $4,500.00 0 Feb/03/17 Mar/05/17
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
svcstore Used - $43.99 0 Feb/03/17 Mar/05/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $49.99 0 Feb/03/17 Mar/05/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
pete-sigep Used - $475.00 0 Feb/05/17 Mar/07/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
ab-international NEW - $558.88 0 Feb/05/17 Feb/15/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
mishimaco Used - $2,000.00 0 Feb/05/17 Feb/10/17
Description: Applied Materials AMAT Synergy V452 VME SBC 0300-76027, 0090-76133
pete-sigep Scrap, for parts - $750.00 0 Feb/05/17 Mar/07/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
bruce135 Used - $251.99 0 Feb/06/17 Mar/08/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
mayraytan NEW - $155.00 2 Feb/07/17 Sep/15/17
Description: Applied Materials AMAT Hoke SS Plug Valve,7387001S, 0190-13448
visionsemi NEW - $220.00 0 Feb/07/17 Feb/10/17
Description: APPLIED MATERIALS AMAT 3620-02332 KYPD REMOTE CABLE FOR ONBOARD CTI CRYO PUMP
visionsemi NEW - $220.00 0 Feb/07/17 Feb/10/17
Description: APPLIED MATERIALS AMAT 3620-02332 KYPD REMOTE W/CABLE FOR ONBOARD CTI CRYO PUMP
visionsemi Used - $599.00 0 Feb/07/17 Feb/14/17
Description: APPLIED MATERIALS AMAT IMPLANT GLASSMAN POWER SUPPL ER15N20.0Y75 15k 0090-93027
visionsemi Used - $599.00 0 Feb/07/17 Feb/10/17
Description: APPLIED MATERIALS AMAT IMPLANT GLASSMAN POWER SUPPLY ER15N20.0Y75 15k 0090-93027
visionsemi NEW - $799.00 0 Feb/07/17 Feb/08/17
Description: AMAT APPLIED MATERIALS AMAT TXZ HEATER LEVELING PLATE KIT 0021-36689 0242-38486
gigabitpartsolutions NEW - $55.00 2 Feb/08/17 Jun/07/18
Description: OEM Part Applied Materials (AMAT) 0020-33166 SHEET, THERMAL TRANSFER-STD CATHODE
gigabitpartsolutions NEW - $550.00 1 Feb/08/17 Oct/04/19
Description: OEM Part Applied Materials (AMAT) 0020-31723 PEDESTAL, 200MM, POLY, NOTCH, 218MM
orapma12012 NEW - $3,559.00 0 Feb/08/17 Feb/15/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $695.00 0 Feb/08/17 Feb/15/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
benta09 NEW - $185.00 0 Feb/08/17 Mar/10/17
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $35.00 0 Feb/08/17 Mar/10/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
benta09 NEW - $120.00 0 Feb/08/17 Mar/10/17
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $275.00 0 Feb/08/17 Mar/10/17
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
gigabitpartsolutions NEW - $330.00 0 Feb/09/17 Feb/09/17
Description: OEM Part APPLIED MATERIALS (AMAT) 0020-23041 CLAMP, SHIELD 8" WAFER
ptb-sales Used - $800.00 0 Feb/09/17 Mar/11/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
albelectric NEW - $59.99 2 Feb/10/17 Feb/27/17
Description: APPLIED MATERIALS (AMAT) 3300-05240 PARKER FS-372-6FP Fitting Coupling QDIS
visionsemi Used - $599.00 0 Feb/10/17 Feb/17/17
Description: APPLIED MATERIALS AMAT IMPLANT GLASSMAN POWER SUPPLY ER15N20.0Y75 15k 0090-93027
levmucciacciar0 Used - $3,000.00 1 Feb/10/17 Feb/12/17
Description: 0190-35765 Applied Materials AMAT
ciarasheltie NEW - $9.99 0 Feb/11/17 Feb/18/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
bornalliancecom NEW - $500.00 0 Feb/12/17 Mar/14/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
avisahar2304 NEW - $145.00 0 Feb/13/17 Mar/15/17
Description: LOT OF 5 UNITS Applied Materials AMAT Turck D-Net Cable Assembly, 0620-02498
visionsemi Used - $220.00 1 Feb/13/17 Feb/24/22
Description: APPLIED MATERIALS AMAT 0100-09103 PCB ASSY A/C INTERCONNECT A/C INTERCONNECT
gigabitpartsolutions NEW - $49.50 1 Feb/14/17 Feb/15/17
Description: Electrical SIEMENS 3TF3300-0a Applied Materials (AMAT) 1270-01339 Contactor
svcstore Used - $599.99 0 Feb/14/17 Mar/16/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
svcstore Used - $38.99 0 Feb/14/17 Mar/16/17
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $43.99 0 Feb/14/17 Mar/16/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore NEW - $38.99 0 Feb/14/17 Mar/16/17
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
electronicswest Used - $500.00 0 Feb/15/17 Mar/17/17
Description: Applied Materials AMAT 0190-13274 Rev. 001, 15"x 1-3/8" Opening, Aluminum
electronicswest Used - $555.00 0 Feb/15/17 Mar/17/17
Description: Applied Materials AMAT 0040-81165, Door, Slit Valve
gigabitpartsolutions NEW - $110.00 1 Feb/15/17 Feb/17/17
Description: Regulator VERIFLO 3800-01173 Applied Materials (AMAT) 3800-01173 RGLTR PRESS SQ-
gigabitpartsolutions NEW - $27.50 1 Feb/15/17 Sep/10/20
Description: Heater Applied Materials (AMAT) 0090-09237 ASSY, PAD, HEATER, LID, TRENCH-NARROW
johbedo_0 NEW - $250.00 1 Feb/16/17 Mar/07/17
Description: applied materials, amat, shaft, collar, htesc, htr isolator, 0020-75980, new
orapma12012 Used - $495.00 0 Feb/19/17 Feb/26/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
dr.dantom NEW - $120.00 2 Feb/20/17 Jun/09/17
Description: Applied Materials AMAT 0040-75904 Bellows wafer orienter
dr.dantom NEW - $70.00 0 Feb/20/17 Mar/03/20
Description: Applied Materials AMAT 3690-01892 SCR CAP SKT HD 5/16-18X 2.OL HEX SKT SST SLVR
dr.dantom NEW - $20.00 1 Feb/20/17 May/07/18
Description: Applied Materials AMAT 5090-01020 AFRZ GLUTARALDEHYDE 15% 1 OZ BOTTLE
dr.dantom NEW - $40.00 0 Feb/20/17 Mar/03/20
Description: Applied Materials AMAT 3300-02248 FTG ELBOW 1.0OD-TBG X 2.04L X 1.57OD-FLG NW25S
ciarasheltie NEW - $9.99 0 Feb/20/17 Feb/27/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
dbay480 NEW - $500.00 0 Feb/21/17 Mar/23/17
Description: NEW Applied Materials AMAT 0020-23275 PEDESTAL, TI, 8" 101% COVERAGE
gigabitpartsolutions NEW - $82.50 4 Feb/21/17 Sep/01/19
Description: Electrical CUTLER-HAMMER BAB2015HT Applied Materials (AMAT) 0680-01537 CB 2P 240
gigabitpartsolutions NEW - $99.00 2 Feb/21/17 Dec/13/17
Description: Electrical CUTLER-HAMMER CE15FN3Y1 Applied Materials (AMAT) 1200-01325 RLYCNTOR
gigabitpartsolutions Used - $82.50 1 Feb/22/17 Dec/19/17
Description: Flowmeter Proteus 9100SS24P4 Applied Materials (AMAT) 0140-09664 rotary flow met
allforsale555 Used - $3,999.00 0 Feb/23/17 Feb/23/17
Description: Applied Materials AMAT Synergy V452 VME SBC 0090-03467
johbedo_0 Used - $450.00 0 Feb/23/17 Jul/23/17
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly
johbedo_0 Used - $450.00 0 Feb/23/17 Jul/23/17
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly
svcstore Used - $239.99 0 Feb/24/17 Mar/26/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $79.99 0 Feb/24/17 Mar/26/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
svcstore Used - $32.99 0 Feb/24/17 Mar/22/17
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Input Output Block
levmucciacciar0 Used - $5,000.00 1 Feb/26/17 Feb/27/17
Description: 0090-76133 SYNERGY Applied Materials AMAT
electronicswest Used - $45.00 0 Feb/27/17 Mar/29/17
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
electronicswest Used - $1,000.00 0 Feb/27/17 Mar/08/17
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
dbay480 NEW - $115.00 0 Feb/27/17 Mar/29/17
Description: *NEW* Applied Materials AMAT 200mm Top Plate Ceramic Liner 0200-04092 Producer
visionsemi Used - $599.00 0 Feb/27/17 Mar/06/17
Description: APPLIED MATERIALS AMAT IMPLANT GLASSMAN POWER SUPPLY ER15N20.0Y75 15k 0090-93027
visionsemi Used - $599.00 0 Feb/27/17 Mar/06/17
Description: APPLIED MATERIALS AMAT IMPLANT GLASSMAN POWER SUPPL ER15N20.0Y75 15k 0090-93027
lwltech Used - $487.00 1 Feb/28/17 Mar/06/17
Description: Applied Materials AMAT P-5000 CVD, SUSCEPTOR LIFT 0010-09340
lwltech Used - $647.00 1 Feb/28/17 Mar/01/17
Description: Applied Materials AMAT P-5000 CVD 100, 125, 150 MM, THROTTLE VALVE, 0010-09035
xl-t_com Refurbished - $550.00 2 Feb/28/17 Feb/28/20
Description: APPLIED MATERIALS AMAT 0010-00201 D.I.SENSOR ASSY, BRASS --- 90-Day Warranty!
visionsemi Used - $250.00 0 Feb/28/17 Feb/11/19
Description: 0020-05384 APPLIED MATERIALS AMAT BESC SHUTTER DISK
gigabitpartsolutions NEW - $16.50 0 Mar/01/17 Apr/22/20
Description: OEM Part Applied Materials (AMAT) 0910-01277 PKG 12 FUSE QCK-ACTING 3A 125V MELF
gigabitpartsolutions NEW - $4.40 0 Mar/01/17 Apr/22/20
Description: OEM Part Applied Materials (AMAT) 3690-01367 SCR CAP SKT HD 8-32 X 3/4L VENT HEX
gigabitpartsolutions NEW - $49.50 1 Mar/01/17 Feb/24/18
Description: OEM Part Applied Materials (AMAT) 0020-31629 INSULATING, TOP, DC CONTACT,ESC
gigabitpartsolutions NEW - $71.50 0 Mar/01/17 Jan/03/19
Description: OEM Part Applied Materials (AMAT) 0140-10007 HARNESS, TEMP INTERLOCK, USG CH. C
gigabitpartsolutions NEW - $5.50 0 Mar/01/17 Jun/01/17
Description: OEM Part Applied Materials (AMAT) 0020-09545 FILTER, SLIT-WINDOW, CVD
gigabitpartsolutions NEW - $5.50 0 Mar/01/17 Feb/14/19
Description: O-Ring Applied Materials (AMAT) 3300-01895 FTGPIPE ADPTR RTANG 1/8MNPT X .078ID
gigabitpartsolutions NEW - $16.50 0 Mar/01/17 Apr/22/20
Description: O-Ring Applied Materials (AMAT) 3700-01370 ORING ID 5.359 CSD .139 VITON 75DURO
orapma12012 NEW - $2,995.00 0 Mar/03/17 Mar/10/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $395.00 0 Mar/03/17 Mar/10/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
bruce135 Used - $149.00 0 Mar/03/17 Apr/02/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
esolutions1 NEW - $269.10 0 Mar/05/17 Mar/29/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
esolutions1 NEW - $269.10 0 Mar/05/17 Mar/29/17
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
esolutions1 NEW - $359.10 0 Mar/05/17 Mar/29/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
ab-international NEW - $558.88 0 Mar/05/17 Mar/15/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
lwltech Used - $399.60 1 Mar/06/17 Oct/08/17
Description: Applied Materials AMAT P-5000 CVD, WAFER LIFT BWCVD, 0010-10188
svcstore Used - $43.99 0 Mar/06/17 Apr/06/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
svcstore Used - $38.99 0 Mar/06/17 Apr/05/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
semiconductorsolution NEW - $4,500.00 0 Mar/07/17 Apr/06/17
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
pete-sigep Used - $475.00 0 Mar/07/17 Apr/06/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Scrap, for parts - $750.00 0 Mar/07/17 Apr/06/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
cubit001 Used - $1,899.00 0 Mar/08/17 Apr/07/17
Description: Applied Materials AMAT 0500-01083, 3-Channel Heater/Susceptor Leveling System
electronicswest Scrap, for parts - $60.00 0 Mar/08/17 Mar/15/17
Description: Applied Materials AMAT 0040-81156 TETRA, Liner, Upper
bruce135 Used - $209.99 0 Mar/08/17 Apr/07/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
tjtechseller Used - $400.00 1 Mar/08/17 Oct/04/17
Description: 0870-01003 Applied Materials AMAT 2-Phase Stepper Motor Driver
tjtechseller Scrap, for parts - $5,500.00 0 Mar/08/17 Aug/18/17
Description: 0010-38438 Applied Materials AMAT ASSY, C-CHUCK, 200MM, CIP 99
tjtechseller Used - $250.00 6 Mar/08/17 Mar/24/20
Description: 0100-09162 Applied Materials AMAT Endura Centua PCB ASSEMBLY MANOMETER SELEC
tjtechseller Used - $7,500.00 1 Mar/08/17 Jun/27/19
Description: 0090-75017 Applied Materials AMAT ASSY. PCB, DUAL GAS LEAK DETECTOR
tjtechseller Used - $550.00 0 Mar/08/17 Feb/18/18
Description: 0100-35054 Applied Materials AMAT PCBA RS232VIDEO INTERC ASSY 0190-35208
tjtechseller Used - $550.00 0 Mar/08/17 Jan/08/23
Description: 0100-09145 Applied Materials AMAT PCBA RS232VIDEO INTERC
tjtechseller Used - $1,300.00 0 Mar/08/17 Jan/11/18
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
tjtechseller Used - $850.00 1 Mar/08/17 Jan/02/18
Description: 0100-35124 Applied Materials AMAT ASSEMBLY, PCB, SERIPLEX I/O DISTRIBUTION
tjtechseller Used - $2,000.00 1 Mar/08/17 Dec/03/17
Description: 0190-75084 Applied Materials AMAT ASSY. PCB, VGA VIDEO CONTROLLER, MODIFIED
wecansellthat NEW - $179.99 3 Mar/09/17 Dec/05/17
Description: New Applied Materials AMAT Chemraz O-Ring, 3700-02323 9269-SS513 Ships FREE
orapma12012 NEW - $2,995.00 0 Mar/10/17 Mar/17/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $395.00 0 Mar/10/17 Mar/17/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
benta09 NEW - $275.00 0 Mar/11/17 Apr/10/17
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
benta09 NEW - $185.00 0 Mar/11/17 Apr/10/17
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $120.00 0 Mar/11/17 Apr/10/17
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $35.00 0 Mar/11/17 Apr/10/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
mayraytan NEW - $625.00 1 Mar/13/17 May/26/17
Description: Applied Materials AMAT GRANVILLE-PHLLIPS Convectron Gauge, 275998, 3310-01187
tjtechseller Used - $250.00 0 Mar/14/17 Aug/14/17
Description: 0100-09019 Applied Materials AMAT ASSY, PCB ASSY, 5+6" BLADE SEN
tjtechseller Used - $8,500.00 3 Mar/14/17 Sep/14/19
Description: 0010-20079 Applied Materials AMAT ASSY, PVD CHAMBER DRIVER
tjtechseller NEW - $400.00 0 Mar/14/17 Jul/13/18
Description: 0040-20548 Applied Materials AMAT MANIFOLD BUFFER CHMBR CENTURA AND ENDURA
outback6stk Scrap, for parts - $300.00 0 Mar/14/17 Mar/21/17
Description: D137040 Applied Materials AMAT Storage Elevator 0010-09049
bornalliancecom NEW - $500.00 0 Mar/15/17 Apr/14/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
ptb-sales Used - $800.00 0 Mar/15/17 Apr/14/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
avisahar2304 NEW - $145.00 0 Mar/16/17 Apr/15/17
Description: LOT OF 5 UNITS Applied Materials AMAT Turck D-Net Cable Assembly, 0620-02498
orapma12012 NEW - $2,995.00 0 Mar/17/17 Mar/24/17
Description: 0040-18229, Applied Materials, AMAT, ESC, 200MM HDP-CVD
orapma12012 Used - $395.00 0 Mar/17/17 Mar/24/17
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0020-39087 for 200mm
svcstore NEW - $38.99 0 Mar/17/17 Apr/16/17
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $38.99 0 Mar/17/17 Mar/22/17
Description: Applied Materials AMAT Stand Alone VGA Video Monitor Base Unit 0010-70386
svcstore Used - $43.99 0 Mar/17/17 Apr/16/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
ciarasheltie NEW - $9.99 0 Mar/19/17 Mar/26/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
levmucciacciar0 Used - $345.00 1 Mar/20/17 Oct/05/17
Description: 0100-20051 Applied Materials AMAT Endura Centura PCB ASSY, TURBO INTERCONNECT
levmucciacciar0 Used - $790.00 1 Mar/20/17 Nov/08/19
Description: Applied Materials AMAT 0110-01995 (0100-01995) Analog I/O Board Rev 005
techshop7777 Used - $99.00 0 Mar/21/17 Apr/20/17
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
electronicswest Used - $499.99 0 Mar/22/17 Apr/21/17
Description: Applied Materials AMAT 0040-81165, Door, Slit Valve
electronicswest Used - $450.00 0 Mar/22/17 Apr/21/17
Description: Applied Materials AMAT 0190-13274 Rev. 001, 15"x 1-3/8" Opening, Aluminum
outback6stk Scrap, for parts - $750.00 0 Mar/24/17 Dec/19/18
Description: D137040 Applied Materials AMAT Storage Elevator 0010-09049
svcstore Used - $239.99 0 Mar/27/17 Apr/26/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
intek22 Used - $89.99 0 Mar/27/17 Oct/10/18
Description: Applied Materials * AMAT 0140-18946 * Harness Assembly * FIS-KVM w/ EVC INTER
svcstore Used - $79.99 0 Mar/27/17 Apr/26/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
gti-semi Used - $950.00 1 Mar/28/17 Jun/07/17
Description: Applied Materials, AMAT, PC Board, RF Generator Backplane, p/n 0100-20039
visionsemi Used - $125.00 1 Mar/28/17 Jun/06/18
Description: APPLIED MATERIALS AMAT GRANVILLE PHILLIPS CONVECTION CABLE 0620-01044 332116-9
electronicswest Used - $45.00 0 Mar/29/17 Apr/28/17
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
katiil3 Used - $49.00 0 Mar/29/17 Oct/23/21
Description: Applied Materials AMAT 0270-03677 SPACER ESC REMOVAL 200MM
esolutions1 NEW - $269.10 0 Apr/01/17 May/01/17
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
esolutions1 NEW - $359.10 0 Apr/01/17 May/01/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $269.10 0 Apr/01/17 May/01/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
levmucciacciar0 Used - $5,000.00 1 Apr/01/17 Apr/03/17
Description: 0090-76133 SYNERGY Applied Materials AMAT
ciarasheltie NEW - $9.99 0 Apr/02/17 Apr/09/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
katiil3 NEW - $299.00 0 Apr/03/17 Nov/27/18
Description: APPLIED MATERIALS (AMAT) 3400-01074 HOSE PUSH-LOK 5/8ID 91OD 200 PSI
bruce135 Used - $149.00 0 Apr/03/17 May/03/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
katiil3 Used - $300.00 1 Apr/04/17 Jun/07/17
Description: 2xApplied Materials AMAT 0090-02346 HEATER RF FILTER 200MM, PCB 0100-00698
yericomfg NEW - $250.00 0 Apr/04/17 Aug/08/18
Description: Applied Materials AMAT 0015-03120 Temperature Controller PCB Assembly
cubit001 Used - $1,200.00 0 Apr/05/17 May/05/17
Description: APPLIED MATERIALS AMAT CHAMBER 0020-70147 HOUSING
intek22 Used - $899.99 1 Apr/05/17 Apr/13/17
Description: Applied Materials * AMAT 0040-00939 Rev A * Ceramic Heater Assembly - 235mm
capitolareatech Refurbished - $99.95 0 Apr/06/17 Aug/13/20
Description: Applied Materials (AMAT) 0021-23429 SLIT LINER; TOSH C40-020-AT-91
capitolareatech NEW - $495.00 0 Apr/06/17 Jun/06/19
Description: Applied Materials (AMAT) 0020-21707 8 Inch wafer hoop, Lifter Endure, PVD sputte
capitolareatech NEW - $99.95 0 Apr/06/17 Aug/13/20
Description: Applied Materials (AMAT) 0140-09326 Harness Assembly, Digital Control, Temperatu
capitolareatech NEW - $79.95 0 Apr/06/17 Aug/13/20
Description: Applied Materials (AMAT) 0040-75377 BRACKET
capitolareatech NEW - $19.95 0 Apr/06/17 Aug/13/20
Description: Applied Materials (AMAT) 0020-26743 CLAMP
capitolareatech Refurbished - $1,395.00 1 Apr/06/17 Feb/28/19
Description: Applied Materials (AMAT) 0090-35723 PCB ASSY CENTERFINDER SBC FOR MC-ROBOT
capitolareatech NEW - $149.95 0 Apr/06/17 Nov/01/18
Description: Applied Materials (AMAT) 0200-00679 CLAMP HTR PURGE RING WXZ
svcstore Used - $34.99 0 Apr/06/17 May/06/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $38.99 0 Apr/06/17 May/06/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
capitolareatech NEW - $5.95 0 Apr/07/17 Aug/24/18
Description: Applied Materials (AMAT) 3390-00081 HINGE, IN-LINE LIFT-OFF, M6
capitolareatech NEW - $795.00 0 Apr/07/17 Aug/13/20
Description: Applied Materials (AMAT) 0100-20239 PCB ASSY ASYST SMIF INTERLOCK W/TESTING
pete-sigep Scrap, for parts - $750.00 0 Apr/07/17 May/07/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Apr/07/17 May/07/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
capitolareatech NEW - $19.95 0 Apr/07/17 Sep/27/19
Description: Applied Materials (AMAT) 0020-76482 PLATE, INSULATING GEN RACK INTERFACE
capitolareatech NEW - $19.95 0 Apr/07/17 Aug/13/20
Description: Applied Materials (AMAT) 0020-18659 BRKT 3 FINAL VALVE FUJIKIN ULTIMA HDP-CV
capitolareatech NEW - $1,095.00 0 Apr/07/17 Jun/06/19
Description: Applied Materials (AMAT) 0040-13378 Wing, RT, Enhanced HP
bruce135 Used - $195.99 0 Apr/10/17 May/10/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
levmucciacciar0 Used - $5,000.00 0 Apr/12/17 Apr/18/17
Description: 0090-76133 SYNERGY Applied Materials AMAT
bornalliancecom NEW - $500.00 0 Apr/14/17 May/14/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
visionsemi Scrap, for parts - $499.00 0 Apr/14/17 Sep/21/18
Description: APPLIED MATERIALS AMAT HIGH FREQUENCY 60MHZ PRECLEAN 1 RF MATCH 0100-20132
avisahar2304 NEW - $145.00 0 Apr/15/17 May/15/17
Description: LOT OF 5 UNITS Applied Materials AMAT Turck D-Net Cable Assembly, 0620-02498
dbay480 NEW - $350.00 2 Apr/17/17 May/16/17
Description: NEW Applied Materials AMAT 0020-23275 PEDESTAL, TI, 8" 101% COVERAGE
dbay480 NEW - $79.99 1 Apr/17/17 May/16/17
Description: *NEW* Applied Materials AMAT 200mm Top Plate Ceramic Liner 0200-04092 Producer
ptb-sales Used - $800.00 0 Apr/17/17 May/17/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
intek22 Used - $250.00 0 Apr/17/17 Feb/16/18
Description: Applied Materials AMAT 0020-77214 Motor Mount * Rev A SHP01
gigabitpartsolutions Refurbished - $385.00 0 Apr/18/17 Apr/22/20
Description: Applied Materials (AMAT) 0020-23400 CLAMP RING 8"TIN SNNF MOTOROLA
gigabitpartsolutions NEW - $71.50 0 Apr/18/17 Dec/20/17
Description: Applied Materials (AMAT) 0010-21497 DRIVE ASSY,GEM 3.5 FLOPPY
svcstore NEW - $34.99 0 Apr/17/17 May/10/17
Description: NEW Applied Materials AMAT 0150-10311 H.V. PRSP Device Power Supply Cable Kit
svcstore Used - $38.99 0 Apr/17/17 May/17/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
techshop7777 Used - $99.00 0 Apr/25/17 May/25/17
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
semiconductorsolution NEW - $4,500.00 0 Apr/25/17 May/25/17
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
katiil3 Used - $499.00 1 Apr/25/17 Jan/15/19
Description: Applied Materials AMAT 0110-01758 Analog I/O Board Rev 002
johbedo_0 Used - $300.00 0 Apr/27/17 Jul/26/17
Description: APPLIED MATERIALS, AMAT, CARRIER RING, 0020-79088, USED
electronicswest Used - $45.00 0 Apr/28/17 May/28/17
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
electronicswest Used - $424.99 0 Apr/28/17 May/28/17
Description: Applied Materials AMAT 0040-81165, Door, Slit Valve
electronicswest Used - $224.99 0 Apr/28/17 May/28/17
Description: Applied Materials AMAT 0190-13274 Rev. 001, 15"x 1-3/8" Opening, Aluminum
benta09 NEW - $120.00 0 Apr/29/17 May/29/17
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $275.00 0 Apr/29/17 May/29/17
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
benta09 NEW - $35.00 0 Apr/29/17 May/29/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
benta09 NEW - $185.00 0 Apr/29/17 May/29/17
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
ciarasheltie NEW - $9.99 0 May/01/17 May/08/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
ab-international NEW - $558.88 0 Apr/30/17 May/10/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
svcstore Used - $62.99 0 May/01/17 May/31/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
svcstore Used - $192.99 0 May/01/17 May/31/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
sapph.advan NEW - $75.00 0 May/02/17 May/09/17
Description: Applied materials AMAT 0200-02591 sapphire endpoint new
cubit001 Used - $1,799.00 0 May/02/17 Jun/01/17
Description: Applied Materials AMAT 0500-01083, 3-Channel Heater/Susceptor Leveling System
bruce135 Used - $149.00 0 May/04/17 Jun/03/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
esolutions1 NEW - $399.00 0 May/04/17 Jun/03/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $299.00 0 May/04/17 Jun/03/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
esolutions1 NEW - $299.00 0 May/04/17 Jun/03/17
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
socotek1 NEW - $180.00 1 May/04/17 May/05/17
Description: Applied Materials AMAT 0090-00966, SMC ISE6B-A2-67L Elect Assy Pressure Sensor
techshop7777 Used - $449.99 0 May/08/17 Jun/07/17
Description: Applied Materials AMAT 0100-20004 Chamber Interconnect BD PCB Board
techshop7777 Used - $1,100.00 1 May/08/17 May/11/17
Description: Applied Materials AMAT 0100-20069 Wafer Orienter BD PCB Board/ Barely Used
techshop7777 Used - $579.99 0 May/08/17 Jun/07/17
Description: Applied Materials AMAT 0100-20321 PCB DC BIAS Interface Board
pete-sigep Used - $475.00 0 May/08/17 Jun/07/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Scrap, for parts - $750.00 0 May/08/17 Jun/07/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
svcstore Used - $30.99 0 May/08/17 May/10/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 16-Port Dist Board
svcstore Used - $34.99 0 May/08/17 May/10/17
Description: Applied Materials AMAT 0100-02129 Serial Network Server TS16 Dist Board
bruce135 Used - $279.99 0 May/10/17 Jun/09/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
bornalliancecom NEW - $500.00 0 May/15/17 Jun/14/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
avisahar2304 NEW - $145.00 0 May/16/17 Jun/15/17
Description: LOT OF 5 UNITS Applied Materials AMAT Turck D-Net Cable Assembly, 0620-02498
ptb-sales Used - $800.00 0 May/17/17 Jun/16/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
svcstore Used - $38.99 0 May/19/17 Jun/18/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
industry-outlet NEW - $39.99 0 May/21/17 Jun/20/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
dr.dantom Scrap, for parts - $900.00 1 May/22/17 Sep/25/17
Description: Applied Materials AMAT 0010-09750 CVD RF Match Precision 5000 Module
gorilla-store Refurbished - $3,050.00 0 May/22/17 Jun/08/17
Description: Applied Materials AMAT CMP 0010-04514 ASSY, INT, ISRM MODULE, FULL SCAN
industry-outlet NEW - $699.99 0 May/24/17 Jun/23/17
Description: Applied Materials AMAT Entegris Flowmeter, HPR3, DI Water, MIRRA CMP, 0010-06801
semiconductorsolution NEW - $4,500.00 0 May/25/17 Jun/24/17
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
orcom2011 Scrap, for parts - $7,000.00 0 May/26/17 Jul/02/17
Description: Applied Materials AMAT Turbo A/C power supply PWR 0100-20051 Turbo Interconnect
visionsemi NEW - $600.00 0 May/26/17 Sep/18/18
Description: APPLIED MATERIALS AMAT ENDURA CRYO PUMP LIFT ALUM-A-LIFT 300MM 0190-14995
visionsemi Used - $399.99 1 May/26/17 Jul/18/18
Description: APPLIED MATERIALS AMAT CHAMBER UPPER 29 POSITION STORAGE ELEV 0020-70147 HOUSING
visionsemi Used - $575.00 0 May/26/17 Sep/18/18
Description: APPLIED MATERIALS AMAT ENDPOINT DETECTOR 0190-10025 VERITY INSTR. 483NM 390NM
visionsemi Used - $355.00 0 May/26/17 Sep/18/18
Description: APPLIED MATERIALS AMAT GAS BOX 0010-09761 0010-10055
orcom2011 Used - $100.00 0 May/27/17 Jun/15/23
Description: APPLIED MATERIALS (AMAT) 0140-03265 HARNESS ASSY SERIAL DIST 16CH 5.X CABLE
srctech Used - $1,000.00 0 May/28/17 Aug/27/18
Description: APPLIED MATERIALS AMAT 0190-09454 DETECTOR
srctech Used - $150.00 0 May/28/17 Jun/19/17
Description: Applied Materials AMAT 0150-76116 REV A KTC
svcstore Used - $192.99 0 Jun/01/17 Jul/01/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $62.99 0 Jun/01/17 Jul/01/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
capitolareatech NEW - $19.95 0 Jun/03/17 Aug/09/19
Description: Applied Materials (AMAT) 0020-26743 CLAMP
ciarasheltie NEW - $9.99 0 Jun/03/17 Jun/10/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
bruce135 Used - $149.00 0 Jun/05/17 Jul/05/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
gorilla-store NEW - $980.00 0 Jun/06/17 Aug/05/17
Description: Applied Materials AMAT CMP 0190-77395 MANIFOLD, BLEED VALVE, MEG LDM
athomemarket Used - $700.00 0 Jun/06/17 Sep/17/18
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly + Certs
catalystparts Used - $2,450.00 1 Jun/07/17 Dec/27/18
Description: Applied Materials AMAT 0200-35956 DPS Poly Ceramic Dome
catalystparts NEW - $973.00 0 Jun/07/17 Mar/22/18
Description: Applied Materials AMAT Pedestal 4" 0020-03433 Rev E **NEW**
techshop7777 Used - $449.99 0 Jun/07/17 Jul/07/17
Description: Applied Materials AMAT 0100-20004 Chamber Interconnect BD PCB Board
techshop7777 Used - $579.99 0 Jun/07/17 Jul/07/17
Description: Applied Materials AMAT 0100-20321 PCB DC BIAS Interface Board
athomemarket Used - $630.00 0 Jun/07/17 Sep/17/18
Description: Applied Materials AMAT 0010-03530 Diamond C Head Carrier Plate Assembly
benta09 NEW - $120.00 0 Jun/08/17 Jul/08/17
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $185.00 0 Jun/08/17 Jul/08/17
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $275.00 0 Jun/08/17 Jul/08/17
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
benta09 NEW - $35.00 0 Jun/08/17 Jul/08/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
lwltech NEW - $220.00 1 Jun/08/17 Jul/18/17
Description: Applied Materials AMAT 0010-00201 D. I. Sensor Assembly, NOS
lwltech NEW - $175.00 1 Jun/08/17 Jul/11/17
Description: Applied Materials AMAT 0100-01792, 5 Phase Stepper Motor Driver Interface, NOS
lwltech Used - $475.00 1 Jun/08/17 Oct/12/17
Description: Applied Materials AMAT 0010-76431, 5 Phase Stepper Motor Assembly
lwltech Used - $280.00 1 Jun/09/17 Sep/27/22
Description: Applied Materials AMAT 0200-35427 RIB INSERT 5" COOLDOWN, LOT OF 8, NOS
lwltech NEW - $260.00 1 Jun/09/17 Nov/16/18
Description: Applied Materials AMAT 0200-35428 RIB INSERT 3" COOLDOWN, LOT OF 5, NOS
lwltech NEW - $775.00 1 Jun/09/17 Jun/10/17
Description: Applied Materials AMAT 0010-76764, OTF CENTERFINDER RECEIVER ASSEMBLY, NOS
lwltech NEW - $465.00 0 Jun/09/17 Aug/03/18
Description: Applied Materials AMAT 0020-70271, BLADE SHAFT ASSY LEFT, NOS
pete-sigep Scrap, for parts - $750.00 0 Jun/09/17 Jul/09/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Jun/09/17 Jul/09/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
athomemarket Used - $49.99 1 Jun/10/17 Jun/22/17
Description: Applied Materials AMAT 0010-36114 DPS RF Match Assembly
athomemarket NEW - $34.99 1 Jun/10/17 Sep/17/18
Description: NEW Applied Materials AMAT 0010-36758 JMF Flat ESC Chuck 200mm/8" Pedestal Assy.
katiil3 Used - $199.00 0 Jun/13/17 Jan/17/18
Description: Applied Materials (AMAT) 0010-09672 PLASMA SENSOR ASSY,PRSP
katiil3 Used - $199.00 0 Jun/13/17 Jan/17/18
Description: Applied Materials (AMAT) 0010-09672 PLASMA SENSOR ASSY,PRSP
athomemarket NEW - $700.00 0 Jun/12/17 Sep/17/18
Description: NEW Applied Materials AMAT 0010-36475 TxZ Heater 200mm / 8" Assembly JMF
athomemarket Scrap, for parts - $1,990.09 0 Jun/12/17 Oct/04/18
Description: Applied Materials AMAT 0010-30419 Heater WxZ 200mm/8" Assembly NLT .060 (AS/IS)
bruce135 Used - $195.99 0 Jun/12/17 Jul/12/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
lwltech NEW - $200.00 1 Jun/11/17 Apr/27/18
Description: Applied Materials AMAT 0020-13740 SCR MACH SHLDR, LOT OF 10, NOS
lwltech NEW - $280.00 1 Jun/11/17 Jul/11/17
Description: Applied Materials AMAT 3060-01232, BALL BEARING, LOT OF 20, NOS
lwltech NEW - $175.00 1 Jun/11/17 Nov/08/17
Description: Applied Materials AMAT 3060-01182, BALL BEARING, LOT OF 10, NOS
lwltech NEW - $75.00 1 Jun/11/17 Jun/21/17
Description: Applied Materials AMAT 0200-35525, CERAMIC RESISTOR, NOS
athomemarket NEW - $525.00 0 Jun/13/17 Oct/05/18
Description: NEW Applied Materials AMAT 0010-16957 Assembly Liner Top Source 200mm/8" HART
athomemarket NEW - $630.00 0 Jun/13/17 Oct/05/18
Description: NEW Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
athomemarket Used - $560.00 0 Jun/13/17 Oct/05/18
Description: Applied Materials AMAT 0010-30690 Heater AxZ 200mm/8" Assembly SR
esolutions1 NEW - $359.10 0 Jun/14/17 Jul/14/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $269.10 0 Jun/14/17 Jul/14/17
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
esolutions1 NEW - $269.10 0 Jun/14/17 Jul/14/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
athomemarket NEW - $105.00 0 Jun/14/17 Oct/06/18
Description: NEW Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Used - $52.50 0 Jun/14/17 Oct/06/18
Description: Applied Materials AMAT 0010-20098 Shield Treatment Controller Chassis Assembly
athomemarket NEW - $150.00 1 Jun/14/17 Jun/22/17
Description: Applied Materials AMAT 0010-13755 WxZ Exhaust Port Kit w/ Viton Seals
athomemarket Scrap, for parts - $20.00 6 Jun/14/17 Aug/27/17
Description: Applied Materials AMAT 0010-35814 WxZ Chamber Hinge Assembly (AS/IS)
athomemarket NEW - $150.00 0 Jun/14/17 Oct/06/17
Description: NEW Applied Materials AMAT 0010-36159 Throttle Valve Shipping Plate Assy. DPS
athomemarket Refurbished - $52.50 0 Jun/14/17 Oct/06/18
Description: Applied Materials AMAT 0010-36476 Edge Ring 200mm/8" TxZ Assembly JMF (Refurb)
athomemarket Used - $400.00 1 Jun/14/17 Jun/28/17
Description: Applied Materials AMAT 0010-36114 Rev. P3 DPS RF Match Assembly R0
bornalliancecom NEW - $500.00 0 Jun/15/17 Jul/15/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
sapph.advan NEW - $75.00 0 Jun/15/17 Jun/22/17
Description: Applied materials AMAT 0200-02591 sapphire endpoint new
orapma12012 Used - $1,599.00 0 Jun/16/17 Jun/23/17
Description: 0090-76109 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
orapma12012 Used - $799.00 0 Jun/16/17 Jun/23/17
Description: Applied Materials, AMAT, Stepper Controller PC Board, p/n 0100-20173
christyhtx NEW - $65.00 40 Jun/16/17 Jul/09/17
Description: Centura EPI Lamp Holder APPLIED Materials AMAT 0190-35030
ssplasma NEW - $500.00 1 Jun/16/17 Nov/12/18
Description: Applied Materials AMAT 0190-76003 Linear Rotary Bearing Quantity 9 New/Old Stock
athomemarket Used - $75.00 1 Jun/17/17 Oct/30/17
Description: Applied Materials AMAT 0010-00024 Subzero BESC & BLT Panel N2 Purge Assembly
athomemarket Used - $400.00 1 Jun/17/17 Jun/27/17
Description: Applied Materials AMAT 0010-39432 Etch Chamber RF Match for Centura MXP+/eMXP+
avisahar2304 NEW - $145.00 0 Jun/18/17 Jul/18/17
Description: LOT OF 5 UNITS Applied Materials AMAT Turck D-Net Cable Assembly, 0620-02498
ciarasheltie NEW - $9.99 0 Jun/18/17 Jun/25/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
athomemarket NEW - $88.89 0 Jun/18/17 Oct/10/18
Description: NEW Applied Materials AMAT 0050-37248 Chamber Exhaust Line NW40/NW50 S-Curve
athomemarket Used - $420.00 0 Jun/19/17 Oct/11/18
Description: Applied Materials AMAT 0010-22476 Side PVD Magnet 300mm Encore w/ Cert.
industry-outlet NEW - $29.99 0 Jun/20/17 Jul/20/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
athomemarket NEW - $34.99 12 Jun/21/17 Sep/10/18
Description: NEW Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
athomemarket Refurbished - $14.00 29 Jun/21/17 Sep/10/18
Description: REFURB Applied Materials AMAT 0021-03061 Inner TXZ Shield (Rev. P2)
svcstore Used - $34.99 0 Jun/21/17 Jul/21/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
lwltech NEW - $75.00 1 Jun/22/17 Jul/11/17
Description: Applied Materials AMAT 0200-35525, CERAMIC RESISTOR, NOS
sapph.advan NEW - $49.99 0 Jun/22/17 Jun/29/17
Description: Applied materials AMAT 0200-02591 sapphire endpoint new
athomemarket Refurbished - $129.49 0 Jun/22/17 Oct/14/18
Description: Applied Materials AMAT 0200-10445 Quartz Shadow Ring 200mm MxP+ Etch (Copper CD)
athomemarket Refurbished - $139.99 0 Jun/22/17 Oct/14/18
Description: Applied Materials AMAT 0020-10246 Quartz Lift Assy Mount Plate MxP+ Oxide Etch
orapma12012 Used - $1,599.00 0 Jun/23/17 Jun/30/17
Description: 0090-76109 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
orapma12012 Used - $799.00 0 Jun/23/17 Jun/30/17
Description: Applied Materials, AMAT, Stepper Controller PC Board, p/n 0100-20173
industry-outlet NEW - $499.99 0 Jun/23/17 Jul/23/17
Description: Applied Materials AMAT Entegris Flowmeter, HPR3, DI Water, MIRRA CMP, 0010-06801
athomemarket Used - $41.99 0 Jun/27/17 Oct/19/18
Description: Applied Materials AMAT 0150-20013 Chamber 25ft. Cable w/ 46-Pin AMP Connectors
riverstar777 Used - $1,000.00 0 Jun/26/17 Jul/06/17
Description: Applied Materials AMAT 0010-17814 ASSY Endpoint Detector
athomemarket Used - $1,000.00 1 Jun/26/17 Jul/21/17
Description: Applied Materials AMAT 0010-38250 Clean 5000/5200 CVD Delta C2F6 Gas Box Assy.
zuse81 NEW - $65.00 0 Jun/25/17 Oct/23/21
Description: Applied Materials AMAT 3690-02680 ScrCap SKT HD 10-32X1.0L HEX SKT SST SLVR-PLT
athomemarket NEW - $19.99 1 Jun/24/17 Dec/07/17
Description: NEW Applied Materials AMAT 0020-22478 Xycarb Ceramic RTP 8" Susceptor MAC Plate
athomemarket NEW - $14.00 0 Jun/24/17 Oct/16/18
Description: NEW Applied Materials AMAT 0020-13429 Bracket Bezel Mounting MTG TTW
athomemarket Refurbished - $20.00 3 Jun/24/17 May/08/18
Description: Applied Materials AMAT 0020-10518 Thick 200mm/8" Pedestal Etch Graphite/Quartz
athomemarket Used - $24.50 0 Jun/24/17 Oct/16/18
Description: Applied Materials AMAT 0020-04257 Rev. E Wafer Pedestal 150mm/6"
athomemarket Used - $17.49 0 Jun/24/17 Oct/16/18
Description: Applied Materials AMAT 0020-22510 Clamp Ring Al/Ti SNNP Reduced Edge 8"/200mm
athomemarket NEW - $17.49 0 Jun/24/17 Oct/16/18
Description: NEW Applied Materials AMAT 0020-21759 Upper Shield 6" / 150mm Top Cover
johbedo_0 Used - $300.00 0 Jun/24/17 Sep/06/17
Description: APPLIED MATERIALS, AMAT, SLURRY ARM, 0041-33725, REV05, USED
techshop7777 Used - $99.00 0 Jun/28/17 Jul/28/17
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
testeqe NEW - $199.99 0 Jun/28/17 Aug/27/17
Description: NEW Applied Materials AMAT PN: 0040-41325 Retainer Spring Side RF Source 300
testeqe NEW - $249.99 0 Jun/28/17 Aug/27/17
Description: NEW Applied Materials AMAT PN: 0242-31692 Kit, Water Hose Assys, Producer SE
testeqe Used - $4,499.99 0 Jun/28/17 Aug/27/17
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
testeqe NEW - $2,999.99 0 Jun/28/17 Aug/27/17
Description: NEW Applied Materials AMAT 0010-00813 Flatfinder Assembly 150 MM Stretch Endura
testeqe NEW - $299.99 0 Jun/28/17 Aug/27/17
Description: NEW Applied Materials AMAT PN: 0041-07373 Cup, Bellows, Assy, Chamber Assembly
athomemarket Refurbished - $15.00 1 Jun/28/17 Jun/19/18
Description: Applied Materials AMAT 0021-03061 Inner TxZ Shield 8" / 200mm (Refurbished)
athomemarket Used - $254.99 0 Jun/28/17 Oct/20/18
Description: Quality Tranformer 9208 Applied Materials AMAT 0190-38695 8KVA 3ph 19"Rack Mount
athomemarket NEW - $700.00 0 Jun/28/17 Sep/17/18
Description: NEW Applied Materials AMAT 0010-03412 WxZ Heater Assembly
athomemarket Used - $720.00 0 Jun/28/17 Jan/10/18
Description: Applied Materials AMAT 0010-09257 Rev. C 5000 Etch CVD Nitride 4-6" Gas Box
lwltech NEW - $120.00 1 Jun/29/17 Nov/30/17
Description: Applied Materials AMAT TIMING PULLEY LEAD SCREW, 0015-09343 REV A, NOS
lwltech NEW - $90.00 2 Jun/29/17 Apr/28/20
Description: Applied Materials AMAT THROTTLE VALVE SEAL, 0020-30338, NOS
orapma12012 Used - $1,599.00 0 Jun/30/17 Jul/07/17
Description: 0090-76109 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
orapma12012 Used - $799.00 0 Jun/30/17 Jul/07/17
Description: Applied Materials, AMAT, Stepper Controller PC Board, p/n 0100-20173
ptb-sales Used - $800.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
gophersales NEW - $250.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
gophersales NEW - $250.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0270-20320 Tool, Bellow Align, HT BESC, 1.574 Dia Shaft
gophersales NEW - $75.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0150-76116 Cable Assy, Robot Calibration
gophersales NEW - $1,000.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 3620-02332 CTI KYPD Remote w/Cable for Onboard Cryo Pump
gophersales NEW - $1,500.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
gophersales NEW - $150.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0020-20499 Window, Protective
gophersales NEW - $395.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
gophersales NEW - $1,550.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0200-39283 Adapter Ring 8" SRCMP WXZ
gophersales NEW - $225.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
gophersales NEW - $300.00 0 Jun/30/17 Jul/30/17
Description: Applied Materials AMAT 0020-21672 REV 003 Stylus Mount BKT Centura AP White
athomemarket NEW - $64.99 1 Jun/30/17 Oct/11/17
Description: NEW Applied Materials AMAT 0020-26693 Wafer Clamp Ring 200mm/8" SNNF
athomemarket NEW - $99.99 2 Jun/30/17 Jul/06/17
Description: Applied Materials AMAT 0090-02619 300mm Gas Interlock Plugin Card Module
athomemarket NEW - $100.00 0 Jul/03/17 Oct/25/18
Description: NEW Applied Materials AMAT 0020-06272 S-IMP Clamp Shield SST
athomemarket NEW - $78.99 0 Jul/03/17 Oct/25/18
Description: NEW Lot of 8 Applied Materials (AMAT) 3300-50015 FTG TBG 1/2" T X 1/2" FNPT SST
athomemarket NEW - $74.99 1 Jul/03/17 Dec/06/17
Description: NEW Applied Materials AMAT 0100-00583 Gas Panel Controller Board
athomemarket NEW - $60.00 0 Jul/03/17 Jan/10/18
Description: NEW Applied Materials AMAT 0020-05051 Perforated 150mm/6" Plate Perf Performance
athomemarket Used - $37.49 1 Jul/03/17 Jun/22/18
Description: Applied Materials AMAT 0010-60017 Susceptor ENH Giant Gap 5" Ceramic Assy. NIT
svcstore Used - $172.99 0 Jul/03/17 Aug/02/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $55.99 0 Jul/03/17 Aug/02/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
athomemarket Used - $750.00 1 Jul/04/17 Nov/03/17
Description: Applied Materials AMAT 0010-09257 Precision 5000 CVD Nitride 4-6" Gas Box P5000
athomemarket NEW - $49.99 0 Jul/04/17 Oct/26/18
Description: NEW Applied Materials AMAT 0010-00216 Remote RS232 Keyboard Power Supply PM534
athomemarket NEW - $20.00 1 Jul/04/17 Jul/07/18
Description: Lot of 4 NEW Applied Materials AMAT 0010-07343 Hose Assy. 1/2" Parker/Swagelok
testeqe Used - $3,999.99 0 Jul/05/17 Sep/03/17
Description: Applied Materials AMAT PN: 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
semiconductorsolution NEW - $4,500.00 0 Jul/05/17 Aug/04/17
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
bruce135 Used - $149.00 0 Jul/05/17 Aug/04/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
orapma12012 Used - $499.00 0 Jul/10/17 Jul/17/17
Description: Applied Materials, AMAT, Stepper Controller PC Board, p/n 0100-20173
orapma12012 Used - $799.00 1 Jul/10/17 Jul/17/17
Description: 0090-76109 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
pete-sigep Used - $475.00 0 Jul/10/17 Aug/09/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
pete-sigep Scrap, for parts - $750.00 0 Jul/10/17 Aug/09/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
riverstar777 Used - $1,000.00 0 Jul/10/17 Jul/20/17
Description: Applied Materials AMAT 0010-17814 ASSY Endpoint Detector
sapph.advan NEW - $39.99 0 Jul/07/17 Jul/14/17
Description: Applied materials AMAT 0200-02591 sapphire endpoint new
electronicswest Used - $20.00 0 Jul/07/17 Jul/14/17
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
bruce135 Used - $195.99 0 Jul/12/17 Aug/11/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
svcstore Used - $499.99 0 Jul/12/17 Aug/11/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
ciarasheltie NEW - $9.99 0 Jul/13/17 Jul/20/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
esolutions1 NEW - $299.00 0 Jul/14/17 Aug/13/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
esolutions1 NEW - $399.00 0 Jul/14/17 Aug/13/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $299.00 0 Jul/14/17 Aug/13/17
Description: NEW APPLIED MATERIALS (AMAT) 0100-09045 PCB ASSY, GATE DRIVER
levmucciacciar0 Used - $295.00 0 Jul/14/17 Jul/23/18
Description: 0190-02077, Applied Materials, AMAT, CCM INTERLOCK DEBUG MODULE BOARD
exper-tech Used - $200.00 0 Jul/17/17 Mar/01/19
Description: Applied Materials AMAT 0020-23045 Lower Shield, 101% Tin Coverage, Used
bornalliancecom NEW - $500.00 0 Jul/17/17 Aug/16/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
techshop7777 Used - $449.99 0 Jul/17/17 Aug/16/17
Description: Applied Materials AMAT 0100-20004 Chamber Interconnect BD PCB Board
athomemarket Refurbished - $416.99 0 Jul/17/17 Nov/08/18
Description: Applied Materials AMAT 0020-17153 Clamp Ring ECL 200mm/8" JMF TIN 11405A(Refurb)
athomemarket NEW - $678.99 0 Jul/17/17 Nov/08/18
Description: NEW Applied Materials AMAT 0020-21479 Clamping Ring 150mm/6" TIW Semi-Major Flat
orapma12012 Used - $499.00 0 Jul/17/17 Jul/24/17
Description: Applied Materials, AMAT, Stepper Controller PC Board, p/n 0100-20173
avisahar2304 NEW - $145.00 0 Jul/18/17 Aug/17/17
Description: LOT OF 5 UNITS Applied Materials AMAT Turck D-Net Cable Assembly, 0620-02498
visionsemi NEW - $75.00 0 Jul/18/17 Aug/17/21
Description: APPLIED MATERIALS AMAT COAX CABLE 750 OHM 10 METER 0620-04327 BNC MALE
lwltech Used - $249.00 1 Jul/18/17 Apr/18/23
Description: Applied Materials AMAT SUPPORT 0020-23764 REV A
visionsemi Used - $1,000.00 1 Jul/18/17 Jul/19/17
Description: APPLIED MATERIALS AMAT 0040-38937 PRODUCER ROBOT LEFT ARM ASSY
exper-tech NEW - $125.00 0 Jul/19/17 Oct/25/18
Description: Applied Materials AMAT Gas Line 0227-29296, 1/4" SS Tube, Elbow, FVCR Fittings
industry-outlet NEW - $29.99 0 Jul/20/17 Aug/19/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
gorilla-store Refurbished - $3,050.00 0 Jul/23/17 Aug/22/17
Description: Applied Materials AMAT CMP 0010-04514 ASSY, INT, ISRM MODULE, FULL SCAN
industry-outlet NEW - $499.99 0 Jul/23/17 Aug/22/17
Description: Applied Materials AMAT Entegris Flowmeter, HPR3, DI Water, MIRRA CMP, 0010-06801
techshop7777 Used - $579.99 0 Jul/24/17 Aug/23/17
Description: Applied Materials AMAT 0100-20321 PCB DC BIAS Interface Board
svcstore Used - $30.99 0 Jul/24/17 Aug/23/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
orapma12012 Used - $499.00 0 Jul/24/17 Jul/31/17
Description: Applied Materials, AMAT, Stepper Controller PC Board, p/n 0100-20173
benta09 NEW - $185.00 0 Jul/26/17 Aug/25/17
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $35.00 0 Jul/26/17 Aug/25/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
benta09 NEW - $120.00 0 Jul/26/17 Aug/25/17
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $275.00 0 Jul/26/17 Aug/25/17
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
gorilla-store NEW - $7,678.18 0 Jul/26/17 Aug/25/17
Description: Applied Materials AMAT CMP 0190-77344 TRANSDUCER PLATE NO DRAIN OPTION 200MM MEG
gorilla-store NEW - $11,516.80 0 Jul/26/17 Aug/25/17
Description: Applied Materials AMAT CMP 0190-25073 Transducer Plate, 300mm
techshop7777 Used - $99.00 0 Jul/28/17 Aug/27/17
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
sammy_etek NEW - $17,700.00 0 Jul/28/17 Oct/29/18
Description: 0040-80217 Applied Materials AMAT Shield, Heat, Lower 300MM EPI
gophersales NEW - $75.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0150-76116 Cable Assy, Robot Calibration
gophersales NEW - $250.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
gophersales NEW - $250.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0270-20320 Tool, Bellow Align, HT BESC, 1.574 Dia Shaft
gophersales NEW - $1,550.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0200-39283 Adapter Ring 8" SRCMP WXZ
gophersales NEW - $225.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
gophersales NEW - $1,500.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
gophersales NEW - $300.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0020-21672 REV 003 Stylus Mount BKT Centura AP White
gophersales NEW - $1,000.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 3620-02332 CTI KYPD Remote w/Cable for Onboard Cryo Pump
gophersales NEW - $395.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
gophersales NEW - $150.00 0 Jul/30/17 Aug/29/17
Description: Applied Materials AMAT 0020-20499 Window, Protective
ptb-sales Used - $800.00 0 Jul/31/17 Aug/30/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
visionsemi NEW - $110.00 0 Aug/01/17 Sep/13/22
Description: APPLIED MATERIALS AMAT HARNESS ASSY GAS BOX FILTER SIGNAL PRODUCER 0140-18285
visionsemi NEW - $110.00 0 Aug/01/17 Sep/13/22
Description: 0150-01196 APPLIED MATERIALS AMAT HARNESS CONTROL RPS2 CAPACITANCE
ultra_clean_warehouse NEW - $160.00 0 Aug/01/17 Jul/20/18
Description: APPLIED MATERIALS AMAT 0190-17687, 600 TORR, PRESSURE SW, 28VDC, UE E36WF14
orapma12012 Used - $799.00 0 Aug/03/17 Aug/10/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
svcstore Used - $154.99 0 Aug/03/17 Sep/02/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $49.99 0 Aug/03/17 Sep/02/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
electronicswest Used - $34.99 0 Aug/04/17 Nov/03/17
Description: Applied Materials AMAT 0022-03198 Heat Shield, Chamber Body, TETRA
electronicswest Used - $39.99 0 Aug/04/17 Nov/03/17
Description: Applied Materials AMAT 0190-13274 Rev. 001, 15"x 1-3/8" Opening, Aluminum
orapma12012 Used - $299.00 1 Aug/04/17 Aug/11/17
Description: Applied Materials, AMAT, Stepper Controller PC Board, p/n 0100-20173
randmgoods NEW - $149.99 1 Aug/04/17 Aug/28/20
Description: Applied Materials AMAT 0021-42136 FACEPLATE XTRA ROW EXTENDING FLO 300mm
semiconductorsolution NEW - $4,500.00 0 Aug/04/17 Sep/03/17
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
electronicswest Used - $382.49 0 Aug/07/17 Sep/06/17
Description: Applied Materials AMAT 0040-81165, Door, Slit Valve
intek22 Used - $299.99 0 Aug/07/17 Feb/15/18
Description: Applied Materials AMAT 0242-46557 17” Touch Screen LCD Monitor
bruce135 Used - $149.00 0 Aug/08/17 Sep/07/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
hscelectronicsupply NEW - $2,250.00 0 Aug/08/17 Feb/08/23
Description: Applied Materials AMAT Susceptor BWCVD 5000 T1 8" P/N 0010-09962 - Sealed
hscelectronicsupply NEW - $1,500.00 0 Aug/08/17 Feb/08/23
Description: Applied Materials AMAT MCVD Susceptor 0010-10277 6" T1 - Sealed, with Documents
svcstore Used - $249.99 0 Aug/09/17 Sep/08/17
Description: Applied Materials AMAT 0100-90237 Wafer Arm Interlock Plug-In Module 0120-91463
pete-sigep Scrap, for parts - $750.00 0 Aug/10/17 Sep/09/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Aug/10/17 Sep/09/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
skus092 Refurbished - $7,500.00 0 Aug/10/17 Sep/09/17
Description: Applied Materials AMAT Synergy V452 VME SBC 0090-76133
tjtechseller NEW - $1,950.00 1 Aug/10/17 Jan/10/24
Description: 3870-04788 Applied Materials AMAT (VLV,LPV1-50AKKL8085)
tjtechseller Used - $3,000.00 0 Aug/10/17 Feb/14/18
Description: 0190-14208 Applied Materials AMAT DCG-200Z OPTIMA (DC PWR SUPPLY, 20KW, 480VAC
tjtechseller Used - $3,000.00 0 Aug/10/17 Feb/14/18
Description: 0190-10114 Applied Materials AMAT DC PWR SUPPLY, 10KW, 480VAC, ENI OPTIMA
tjtechseller Used - $5,800.00 0 Aug/10/17 Oct/10/20
Description: PDX 900-2V Applied Materials AMAT RF Generator 0190-08677
tjtechseller Used - $3,500.00 0 Aug/10/17 Feb/14/18
Description: 0190-19022 Applied Materials AMAT RF Generator(APEX 1513)
tjtechseller Used - $3,500.00 0 Aug/10/17 Feb/14/18
Description: 0190-19021 Applied Materials AMAT RF Generator(APEX 3013)
tjtechseller Used - $950.00 0 Aug/10/17 Feb/14/18
Description: 0190-13041 Applied Materials AMAT VALVE, TWO STAGE INLINE NW40 316L L2-40-SP1-3
tjtechseller NEW - $180.00 0 Aug/10/17 Feb/14/18
Description: 0030-70084 Applied Materials AMAT HOLDOWN RING,VIEWPORT
tjtechseller Used - $930.00 0 Aug/10/17 May/02/19
Description: 0100-35054 Applied Materials AMAT PCB ASSY, CHMBR INTERFACE
tjtechseller Used - $1,500.00 1 Aug/10/17 Aug/26/18
Description: 0100-11001 Applied Materials AMAT PWB ANALOG OUTPUT
tjtechseller Used - $8,500.00 0 Aug/10/17 Aug/26/18
Description: 0090-76133 Applied Materials AMAT SBC BOARD V452
orapma12012 Used - $799.00 0 Aug/10/17 Aug/17/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
esolutions1 NEW - $359.10 0 Aug/13/17 Sep/12/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $269.10 0 Aug/13/17 Sep/12/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
tjtechseller Used - $3,000.00 0 Aug/14/17 Feb/14/18
Description: 0190-14207 Applied Materials AMAT DCG-600Z Optima ENI SPR S03-108 RF Generator
bruce135 Used - $195.99 0 Aug/14/17 Sep/13/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
techshop7777 Used - $449.99 0 Aug/17/17 Sep/16/17
Description: Applied Materials AMAT 0100-20004 Chamber Interconnect BD PCB Board
orapma12012 Used - $799.00 0 Aug/17/17 Aug/24/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
avisahar2304 NEW - $145.00 0 Aug/17/17 Sep/16/17
Description: LOT OF 5 UNITS Applied Materials AMAT Turck D-Net Cable Assembly, 0620-02498
bornalliancecom NEW - $500.00 0 Aug/17/17 Sep/16/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
intek22 NEW - $39.99 0 Aug/18/17 Oct/18/18
Description: Applied Materials AMAT 3700-02226 Leybold Turbo Pump Seals
industry-outlet NEW - $29.99 0 Aug/22/17 Sep/21/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
sapph.advan NEW - $49.00 1 Aug/23/17 Aug/30/17
Description: Applied Materials AMAT sapphire window 0200-36461 polished 2.26'' X .24"
industry-outlet NEW - $499.99 0 Aug/23/17 Sep/22/17
Description: Applied Materials AMAT Entegris Flowmeter, HPR3, DI Water, MIRRA CMP, 0010-06801
techshop7777 Used - $579.99 0 Aug/24/17 Sep/23/17
Description: Applied Materials AMAT 0100-20321 PCB DC BIAS Interface Board
svcstore Used - $30.99 0 Aug/24/17 Sep/23/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $499.99 1 Aug/24/17 Sep/21/17
Description: Applied Materials AMAT 0100-01652 HDPCVD 300MM AP Gas Panel Distribution Board
xsysengineering NEW - $500.00 3 Aug/28/17 Jan/08/19
Description: Applied Materials (AMAT) PN: 0010-76859 Detector assy, left fast wafer mapping
xsysengineering Used - $750.00 0 Aug/28/17 May/16/21
Description: Applied Materials (AMAT) 0020-32909 ESC. 200mm
xsysengineering Used - $5,000.00 0 Aug/28/17 Oct/29/19
Description: 0020-23559 Magnet Assembly, Applied Materials (AMAT)
xsysengineering Used - $50.00 0 Aug/28/17 May/16/21
Description: Applied Materials (AMAT) PN: 0150-09100 Liquid Source Junction Box Raychem
xsysengineering Used - $1,200.00 0 Aug/28/17 May/16/21
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0021-09989 for 200mm
xsysengineering Used - $120.00 0 Aug/28/17 Jun/12/21
Description: Applied Materials (AMAT) Electronic Box 0190-23662
xsysengineering Used - $900.00 0 Aug/28/17 May/16/21
Description: Applied Materials (AMAT) ESC (Electro Static Chuck) P/N: 0010-10327 for 200mm
xsysengineering Refurbished - $3,500.00 0 Aug/28/17 Jun/12/21
Description: Applied Materials (AMAT) Synergy Microsystems V440 SBC PCB Card 0190-76043
gophersales NEW - $250.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0270-20320 Tool, Bellow Align, HT BESC, 1.574 Dia Shaft
gophersales NEW - $1,500.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
gophersales NEW - $1,550.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0200-39283 Adapter Ring 8" SRCMP WXZ
gophersales NEW - $250.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
gophersales NEW - $225.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
gophersales NEW - $1,000.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 3620-02332 CTI KYPD Remote w/Cable for Onboard Cryo Pump
gophersales NEW - $300.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0020-21672 REV 003 Stylus Mount BKT Centura AP White
gophersales NEW - $75.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0150-76116 Cable Assy, Robot Calibration
gophersales NEW - $150.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0020-20499 Window, Protective
gophersales NEW - $395.00 0 Aug/29/17 Sep/28/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
testeqe NEW - $199.99 1 Aug/29/17 Jun/03/22
Description: NEW Applied Materials AMAT PN: 0242-31692 Kit, Water Hose Assys, Producer SE
testeqe Used - $4,499.99 0 Aug/29/17 Jan/29/18
Description: Applied Materials AMAT 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
testeqe Used - $3,749.99 1 Aug/29/17 Nov/29/22
Description: Applied Materials AMAT PN: 0010-18024 300mm Radiance Pyro Pyrometer RTP Probe
ciarasheltie NEW - $9.99 0 Sep/01/17 Sep/08/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
visionsemi Used - $175.00 0 Sep/01/17 Jan/24/20
Description: APPLIED MATERIALS AMAT MKS 872B12PMD2MT1 Baratron Pressure Transducer 1350-00032
orapma12012 Used - $695.00 0 Sep/01/17 Sep/08/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
lwltech NEW - $519.20 1 Sep/03/17 Dec/07/17
Description: Applied Materials AMAT 0200-35327, FOCUS RING, 195 MM, NOTCH
svcstore Used - $154.99 0 Sep/05/17 Oct/05/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $49.99 0 Sep/05/17 Oct/05/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
allforsale555 Used - $111.00 0 Sep/06/17 Jul/29/21
Description: Applied Materials AMAT 0100-20040 Remote Supply Distribution Board
ptb-sales Used - $800.00 0 Sep/06/17 Oct/06/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
allforsale555 Used - $199.00 0 Sep/07/17 Jul/29/21
Description: Applied Materials AMAT 0100-09136 REV E
bruce135 Used - $149.00 0 Sep/07/17 Oct/07/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
benta09 NEW - $185.00 0 Sep/08/17 Oct/08/17
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
benta09 NEW - $275.00 0 Sep/08/17 Oct/08/17
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
benta09 NEW - $120.00 0 Sep/08/17 Oct/08/17
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $35.00 0 Sep/08/17 Oct/08/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
randmgoods NEW - $199.99 1 Sep/11/17 Mar/05/19
Description: Applied Materials AMAT 0200-03397 001 302-174-003 Pumping Ring Side 2
randmgoods Used - $199.99 1 Sep/11/17 Mar/05/19
Description: Applied Materials AMAT 0200-03407 Adapter Ring
randmgoods Used - $199.99 1 Sep/11/17 Mar/05/19
Description: Applied Materials AMAT 0041-59933 FACEPLATE FLAT TEOS LRG ELECTRODE
orapma12012 Used - $695.00 0 Sep/11/17 Sep/18/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
pete-sigep Scrap, for parts - $750.00 0 Sep/12/17 Oct/12/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Sep/12/17 Oct/12/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
techshop7777 Used - $99.00 0 Sep/12/17 Oct/12/17
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
svcstore Used - $249.99 0 Sep/13/17 Oct/13/17
Description: Applied Materials AMAT 0100-90237 Wafer Arm Interlock Plug-In Module 0120-91463
bruce135 Used - $249.99 0 Sep/13/17 Oct/13/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
athomemarket Used - $599.99 0 Sep/13/17 Sep/20/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator w/ Vexta 2-Phase Step Motor
allforsale555 NEW - $549.00 0 Sep/14/17 Mar/13/18
Description: APPLIED MATERIALS AMAT 3620-02332 KYPD REMOTE W/CABLE FOR ONBOARD CTI CRYO PUMP
bornalliancecom NEW - $500.00 0 Sep/16/17 Oct/16/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
avisahar2304 NEW - $145.00 2 Sep/17/17 Sep/20/17
Description: LOT OF 5 UNITS Applied Materials AMAT Turck D-Net Cable Assembly, 0620-02498
semiconductorsolution NEW - $4,500.00 0 Sep/19/17 Oct/19/17
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
industry-outlet NEW - $29.99 0 Sep/21/17 Oct/21/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
athomemarket Used - $599.99 0 Sep/21/17 Jan/10/18
Description: Applied Materials AMAT 0010-76001 Storage Elevator w/ Vexta 2-Phase Step Motor
atxdeals4u Used - $699.99 0 Sep/21/17 Oct/26/17
Description: Applied Materials AMAT 0500-01083 3-Channel Heater Susceptor Leveling System
industry-outlet NEW - $499.99 0 Sep/22/17 Oct/22/17
Description: Applied Materials AMAT Entegris Flowmeter, HPR3, DI Water, MIRRA CMP, 0010-06801
lwltech NEW - $425.00 1 Sep/23/17 Sep/24/17
Description: Applied Materials AMAT CENTURA DPS SOURCE RF CABLE, 0150-76317 REV 1
svcstore Used - $30.99 0 Sep/24/17 Oct/24/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
techshop7777 Used - $449.99 0 Sep/26/17 Oct/26/17
Description: Applied Materials AMAT 0100-20004 Chamber Interconnect BD PCB Board
visionsemi Used - $1,475.00 1 Sep/25/17 Oct/06/17
Description: APPLIED MATERIALS AMAT 0190-35650 SERIPLEX MUX I/O PCB
esolutions1 NEW - $269.10 0 Sep/25/17 Oct/25/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
esolutions1 NEW - $359.10 0 Sep/25/17 Oct/25/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
s*** Used - $29.99 0 Sep/27/17 Oct/27/17
Description: Applied Materials AMAT 0190-36511 DIP294 Device Net I/O Block
techshop7777 Used - $579.99 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0100-20321 PCB DC BIAS Interface Board
gophersales NEW - $1,500.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
gophersales NEW - $1,550.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0200-39283 Adapter Ring 8" SRCMP WXZ
gophersales NEW - $250.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0270-20320 Tool, Bellow Align, HT BESC, 1.574 Dia Shaft
gophersales NEW - $225.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
gophersales NEW - $250.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
gophersales NEW - $300.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0020-21672 REV 003 Stylus Mount BKT Centura AP White
gophersales NEW - $1,000.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 3620-02332 CTI KYPD Remote w/Cable for Onboard Cryo Pump
gophersales NEW - $150.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0020-20499 Window, Protective
gophersales NEW - $75.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0150-76116 Cable Assy, Robot Calibration
gophersales NEW - $395.00 0 Sep/28/17 Oct/28/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
orapma12012 Used - $695.00 0 Oct/02/17 Oct/09/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
dcdirecttech Used - $549.95 0 Oct/04/17 Oct/11/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
dcdirecttech Used - $549.95 0 Oct/04/17 Oct/11/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
ciarasheltie NEW - $9.99 0 Oct/05/17 Oct/12/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
svcstore Used - $43.99 0 Oct/06/17 Nov/05/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
svcstore Used - $138.99 0 Oct/06/17 Nov/05/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
visionsemi Used - $4,500.00 2 Oct/07/17 Oct/09/17
Description: APPLIED MATERIALS AMAT PRODUCER 200 300MM ROBOT ARM SET 0040-61519
techshop7777 Used - $139.99 0 Oct/09/17 Nov/08/17
Description: APPLED MATERIALS AMAT 0190-07750 DIP CDN391-20 48 DIGITAL I/O Board DIP-432-391
alvin1462 Used - $888.00 0 Oct/11/17 Jan/18/23
Description: Applied Materials AMAT 0020-84596 Faceplate
bruce135 Used - $149.00 0 Oct/11/17 Nov/10/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
techshop7777 Used - $99.00 0 Oct/13/17 Nov/12/17
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
mayraytan Used - $295.00 4 Oct/13/17 Oct/18/22
Description: Applied Materials AMAT 30 SLM Gas Filter, 4020-00084
sdifabsurplus_usa Used - $500.00 0 Oct/13/17 Jan/17/18
Description: 0100-90934 APPLIED MATERIALS AMAT XR80 DECEL FOCUS POWER SUPPLY INTERFACE
dcdirecttech Used - $524.95 0 Oct/13/17 Oct/20/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
dcdirecttech Used - $524.95 0 Oct/13/17 Oct/20/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
svcstore Used - $249.99 0 Oct/14/17 Nov/12/17
Description: Applied Materials AMAT 0100-90237 Wafer Arm Interlock Plug-In Module 0120-91463
dcdirecttech Used - $1,749.95 0 Oct/14/17 Oct/21/17
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
semiconusa Used - $6,999.00 1 Oct/15/17 Nov/30/17
Description: Applied Materials AMAT 0010-20000, ASSY PWR SUPPLY 15V
rubeorda0 NEW - $750.00 1 Oct/15/17 Nov/06/17
Description: 0020-31247, Applied Materials, AMAT, RING WAFER LIFT 100-150 MM UNIV. CHAMBER
orapma12012 Used - $599.00 0 Oct/16/17 Oct/23/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
orapma12012 Used - $1,899.00 0 Oct/16/17 Oct/23/17
Description: 0190-76261 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
bornalliancecom NEW - $500.00 0 Oct/17/17 Nov/16/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
lwltech Used - $380.00 1 Oct/17/17 Jan/26/18
Description: Applied Materials AMAT 0010-76431, 5 Phase Stepper Motor Assembly
bruce135 Used - $189.99 0 Oct/17/17 Nov/16/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
pete-sigep Scrap, for parts - $750.00 0 Oct/18/17 Nov/17/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Oct/18/17 Nov/17/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
ptb-sales Used - $800.00 0 Oct/18/17 Nov/17/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
dcdirecttech Used - $499.95 0 Oct/20/17 Oct/27/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
industry-outlet NEW - $29.99 0 Oct/21/17 Nov/19/17
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
dnd_surplus Used - $500.00 0 Oct/20/17 Nov/19/17
Description: APPLIED MATERIALS AMAT 0010-09340 SUSCEPTOR LIFT P5000 REFURBISHED
dcdirecttech Used - $499.95 0 Oct/21/17 Oct/28/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $1,699.95 0 Oct/21/17 Oct/28/17
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
industry-outlet NEW - $399.99 0 Oct/22/17 Nov/21/17
Description: Applied Materials AMAT Entegris Flowmeter, HPR3, DI Water, MIRRA CMP, 0010-06801
orapma12012 Used - $1,899.00 0 Oct/24/17 Oct/31/17
Description: 0190-76261 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
orapma12012 Used - $599.00 0 Oct/24/17 Oct/31/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
svcstore Used - $30.99 0 Oct/24/17 Nov/23/17
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
ciarasheltie NEW - $9.99 0 Oct/25/17 Nov/01/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
atxdeals4u Used - $699.99 0 Oct/26/17 Nov/14/17
Description: Applied Materials AMAT 0500-01083 3-Channel Heater Susceptor Leveling System
semiconductorsolution NEW - $4,500.00 0 Oct/30/17 Nov/29/17
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
esolutions1 NEW - $319.20 0 Oct/30/17 Nov/29/17
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
esolutions1 NEW - $239.20 0 Oct/30/17 Nov/29/17
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
ciarasheltie NEW - $9.99 0 Nov/01/17 Nov/08/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
techshop7777 Used - $449.99 0 Nov/04/17 Dec/04/17
Description: Applied Materials AMAT 0100-20004 Chamber Interconnect BD PCB Board
dcdirecttech Used - $474.95 0 Nov/05/17 Nov/12/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
orapma12012 Used - $599.00 0 Nov/05/17 Nov/12/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
orapma12012 Used - $1,586.00 0 Nov/05/17 Nov/12/17
Description: 0190-76261 Applied Materials AMAT Endura Centura V440 SBC SYNERGY 68040
dcdirecttech Used - $474.95 0 Nov/05/17 Nov/12/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $1,624.95 0 Nov/05/17 Nov/12/17
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
atxdeals4u Used - $4,000.00 0 Nov/05/17 Nov/08/17
Description: Applied Materials AMAT Producer 200mm/300mm Robot Arm Set 0040-61519
benta09 NEW - $120.00 0 Nov/06/17 Dec/06/17
Description: Applied Materials AMAT Weldment N2 POST CON, 0050-33303 REV A
benta09 NEW - $275.00 0 Nov/06/17 Nov/06/17
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
benta09 NEW - $275.00 0 Nov/06/17 Dec/06/17
Description: Applied Materials Amat 0020-30815 Gas Distribution Plate
benta09 NEW - $35.00 0 Nov/06/17 Dec/06/17
Description: APPLIED MATERIALS AMAT 0050-39339 UPPER EXHAUST WXP
visionsemi Used - $3,500.00 0 Nov/06/17 Nov/07/17
Description: APPLIED MATERIALS AMAT PRODUCER 200 300MM ROBOT ARM SET 0040-61519
svcstore Used - $43.99 0 Nov/06/17 Dec/06/17
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
svcstore Used - $138.99 0 Nov/06/17 Dec/06/17
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
benta09 NEW - $185.00 0 Nov/06/17 Dec/06/17
Description: APPLIED MATERIALS (AMAT 0022-77519 Fixture, Pad Centering
gophersales NEW - $250.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0270-20320 Tool, Bellow Align, HT BESC, 1.574 Dia Shaft
gophersales NEW - $1,000.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 3620-02332 CTI KYPD Remote w/Cable for Onboard Cryo Pump
gophersales NEW - $225.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
gophersales NEW - $75.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0150-76116 Cable Assy, Robot Calibration
gophersales NEW - $150.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0020-20499 Window, Protective
gophersales NEW - $1,550.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0200-39283 Adapter Ring 8" SRCMP WXZ
gophersales NEW - $300.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0020-21672 REV 003 Stylus Mount BKT Centura AP White
gophersales NEW - $395.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
gophersales NEW - $250.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
gophersales NEW - $1,500.00 0 Nov/09/17 Dec/09/17
Description: Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
allforsale555 NEW - $75.00 1 Nov/10/17 Jun/13/20
Description: APPLIED MATERIALS, AMAT 0050-00930 WELDMENT,APPLICATOR PURGEUL TIME
techshop7777 Used - $579.99 0 Nov/11/17 Dec/11/17
Description: Applied Materials AMAT 0100-20321 PCB DC BIAS Interface Board
ciarasheltie NEW - $7.99 0 Nov/11/17 Nov/18/17
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
dcdirecttech Used - $449.95 0 Nov/12/17 Nov/19/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $449.95 0 Nov/12/17 Nov/19/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
techshop7777 Used - $99.00 0 Nov/13/17 Dec/13/17
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
techshop7777 Used - $139.99 0 Nov/13/17 Dec/13/17
Description: APPLED MATERIALS AMAT 0190-07750 DIP CDN391-20 48 DIGITAL I/O Board DIP-432-391
bruce135 Used - $149.00 0 Nov/13/17 Dec/13/17
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
dcdirecttech Used - $1,574.95 0 Nov/13/17 Nov/20/17
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
svcstore Used - $223.99 0 Nov/16/17 Dec/16/17
Description: Applied Materials AMAT 0100-90237 Wafer Arm Interlock Plug-In Module 0120-91463
atxdeals4u Used - $700.00 1 Nov/14/17 Nov/21/17
Description: Applied Materials AMAT 0500-01083 3-Channel Heater Susceptor Leveling System
surplusssam NEW - $149.99 1 Nov/17/17 Jul/24/19
Description: NEW APPLIED MATERIALS AMAT COVER RING 6" PN 0020-23181
bruce135 Used - $189.99 0 Nov/17/17 Dec/17/17
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
bornalliancecom NEW - $500.00 0 Nov/19/17 Dec/19/17
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
ab-international NEW - $595.00 0 Nov/19/17 Nov/29/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
pete-sigep Scrap, for parts - $750.00 0 Nov/19/17 Dec/19/17
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Nov/19/17 Dec/19/17
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
dnd_surplus Used - $500.00 0 Nov/20/17 Dec/20/17
Description: APPLIED MATERIALS AMAT 0010-09340 SUSCEPTOR LIFT P5000 REFURBISHED
dcdirecttech Used - $424.95 0 Nov/22/17 Nov/29/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
ptb-sales Used - $800.00 0 Nov/22/17 Dec/22/17
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
dcdirecttech Used - $424.95 0 Nov/22/17 Nov/29/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $1,524.95 0 Nov/22/17 Nov/29/17
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
orapma12012 Used - $599.00 0 Nov/23/17 Nov/30/17
Description: 0100-09251 Applied Materials AMAT PCB ASSY APC CARD
svcstore Used - $125.99 0 Dec/07/17 Jan/06/18
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $39.99 0 Dec/07/17 Jan/06/18
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
sales_eparts NEW - $395.00 1 Dec/05/17 Nov/16/19
Description: 0100-20013 Applied Materials AMAT Pemstar Main AC PCB Board OEM
sales_eparts NEW - $995.00 1 Dec/05/17 Feb/01/18
Description: 0100-20000 Applied Materials AMAT Pemstar PCB Assy 64 Channel MUX Board OEM
ab-international NEW - $595.00 0 Dec/05/17 Dec/15/17
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
gophersales NEW - $1,500.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
gophersales NEW - $75.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0150-76116 Cable Assy, Robot Calibration
gophersales NEW - $250.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
gophersales NEW - $250.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0270-20320 Tool, Bellow Align, HT BESC, 1.574 Dia Shaft
gophersales NEW - $395.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
gophersales NEW - $1,000.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 3620-02332 CTI KYPD Remote w/Cable for Onboard Cryo Pump
gophersales NEW - $225.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
gophersales NEW - $300.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0020-21672 REV 003 Stylus Mount BKT Centura AP White
gophersales NEW - $150.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0020-20499 Window, Protective
gophersales NEW - $1,550.00 0 Dec/09/17 Jan/08/18
Description: Applied Materials AMAT 0200-39283 Adapter Ring 8" SRCMP WXZ
dcdirecttech Used - $374.95 0 Dec/09/17 Dec/16/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $374.95 0 Dec/09/17 Dec/16/17
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
dcdirecttech Used - $1,424.95 0 Dec/10/17 Dec/17/17
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
outback6stk Scrap, for parts - $300.00 0 Dec/11/17 Dec/18/17
Description: S145855 Applied Materials AMAT 8300N RF Micro-Match P/N 0010-00298
visionsemi Used - $250.00 2 Dec/11/17 Jun/06/19
Description: APPLIED MATERIALS AMAT IV-2410 HORIBA STEC INJECTION VALVE 3030-10059
techshop7777 Used - $579.99 0 Dec/14/17 Jan/13/18
Description: Applied Materials AMAT 0100-20321 PCB DC BIAS Interface Board
techshop7777 Used - $99.00 0 Dec/14/17 Jan/13/18
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
bruce135 Used - $99.00 0 Dec/14/17 Jan/13/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
techshop7777 Used - $139.99 0 Dec/14/17 Jan/13/18
Description: APPLED MATERIALS AMAT 0190-07750 DIP CDN391-20 48 DIGITAL I/O Board DIP-432-391
bruce135 Used - $149.99 0 Dec/18/17 Jan/17/18
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
outback6stk Scrap, for parts - $300.00 1 Dec/19/17 May/22/18
Description: S145855 Applied Materials AMAT 8300N RF Micro-Match P/N 0010-00298
bornalliancecom NEW - $500.00 0 Dec/21/17 Jan/20/18
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
dnd_surplus Used - $500.00 0 Dec/20/17 Jan/19/18
Description: APPLIED MATERIALS AMAT 0010-09340 SUSCEPTOR LIFT P5000 REFURBISHED
ptb-sales Used - $800.00 0 Dec/26/17 Jan/25/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
dcdirecttech Used - $349.95 0 Dec/26/17 Jan/02/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
dcdirecttech Used - $359.95 0 Dec/26/17 Jan/02/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $1,374.95 0 Dec/26/17 Jan/02/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
industry-outlet NEW - $29.99 0 Dec/27/17 Jan/26/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
industry-outlet NEW - $249.99 0 Dec/27/17 Jan/26/18
Description: Applied Materials AMAT Entegris Flowmeter, HPR3, DI Water, MIRRA CMP, 0010-06801
svcstore Used - $74.99 0 Dec/29/17 Jan/28/18
Description: Applied Materials AMAT 0050-54417 Weldment Flange Modular Manifold Block Assy
svcstore Used - $74.99 0 Dec/29/17 Jan/28/18
Description: Applied Materials AMAT 0050-52718 Weldment Flange Modular Manifold Block Assy
svcstore Used - $99.99 0 Dec/29/17 Jan/28/18
Description: Applied Materials AMAT 0050-62208 Weldment Flange Modular Manifold Block Assy
svcstore Used - $99.99 0 Dec/29/17 Jan/28/18
Description: Applied Materials AMAT 0050-62325 Rev 002 1/4" VCR Weldment THD Flange Assembly
svcstore Used - $99.99 0 Dec/29/17 Jan/28/18
Description: Applied Materials AMAT 0050-52717 Rev 005 1/4" VCR Weldment THD Flange Assembly
svcstore Used - $99.99 0 Dec/29/17 Jan/28/18
Description: Applied Materials AMAT 0050-52720 Rev 003 1/4" VCR Weldment THD Flange Assembly
svcstore Used - $199.99 0 Dec/29/17 Jan/28/18
Description: Applied Materials AMAT 0100-01714 Heater Control Controller Spill Sensor Board
ciarasheltie NEW - $7.99 0 Dec/30/17 Jan/06/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
svcstore Used - $27.99 0 Dec/30/17 Jan/29/18
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $223.99 0 Dec/30/17 Jan/29/18
Description: Applied Materials AMAT 0100-90237 Wafer Arm Interlock Plug-In Module 0120-91463
semiconductorsolution NEW - $4,500.00 0 Jan/02/18 Feb/01/18
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
pete-sigep Scrap, for parts - $750.00 0 Jan/03/18 Feb/02/18
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Jan/03/18 Feb/02/18
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
dcdirecttech Used - $349.95 0 Jan/03/18 Jan/10/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
techshop7777 Used - $449.99 0 Jan/04/18 Feb/03/18
Description: Applied Materials AMAT 0100-20004 Chamber Interconnect BD PCB Board
dcdirecttech Used - $324.95 0 Jan/04/18 Jan/11/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
dcdirecttech Used - $1,324.95 0 Jan/04/18 Jan/11/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
gophersales NEW - $150.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0020-20499 Window, Protective
gophersales NEW - $75.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0150-76116 Cable Assy, Robot Calibration
gophersales NEW - $250.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0270-20320 Tool, Bellow Align, HT BESC, 1.574 Dia Shaft
gophersales NEW - $395.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
gophersales NEW - $250.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
gophersales NEW - $300.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0020-21672 REV 003 Stylus Mount BKT Centura AP White
gophersales NEW - $225.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
gophersales NEW - $1,550.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0200-39283 Adapter Ring 8" SRCMP WXZ
gophersales NEW - $1,500.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
gophersales NEW - $1,000.00 0 Jan/08/18 Feb/07/18
Description: Applied Materials AMAT 3620-02332 CTI KYPD Remote w/Cable for Onboard Cryo Pump
svcstore Used - $125.99 0 Jan/09/18 Feb/08/18
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
svcstore Used - $39.99 1 Jan/09/18 Feb/05/18
Description: Applied Materials AMAT 0100-01013 Versacontroller Slave CPU Board Module Card
sales_eparts NEW - $695.00 1 Jan/12/18 Apr/18/18
Description: 0100-20038 Applied Materials AMAT Pemstar Relay Control PCB Board OEM
vizvik16 Used - $1,150.00 0 Jan/12/18 Oct/28/19
Description: Applied Materials Amat 0010-21751 Resonator 0040-23784
capitolareatech NEW - $27.50 0 Jan/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31537 COVER, SCREW, VESPEL-ETCH
capitolareatech NEW - $495.00 8 Jan/12/18 Sep/28/18
Description: Applied Materials (AMAT) 0190-36349 ASIA PACIFIC LAMP (PACK OF 10)--LAMP ASSY CY
sales_eparts NEW - $165.00 0 Jan/12/18 Mar/03/22
Description: 0100-20040 Applied Materials AMAT Pemstar Remote PCB Board OEM
sales_eparts NEW - $445.00 1 Jan/12/18 Nov/07/18
Description: 0100-20037 Applied Materials AMAT Pemstar Interlock PCB Board OEM
levmucciacciar0 Used - $195.00 1 Jan/13/18 Jun/10/18
Description: Applied Materials AMAT, PCB - I/O BOARD, p/n 0100-76124 Rev B
dcdirecttech Used - $299.95 0 Jan/13/18 Jan/20/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
dcdirecttech Used - $324.95 0 Jan/13/18 Jan/20/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
dcdirecttech Used - $1,274.95 0 Jan/13/18 Jan/20/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
esolutions1 NEW - $224.25 0 Jan/13/18 Feb/12/18
Description: NEW Applied Materials AMAT 0100-77034 ASSY, PCB HEAD PNEUMATIC
esolutions1 NEW - $299.25 0 Jan/13/18 Feb/12/18
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
techshop7777 Used - $139.99 0 Jan/14/18 Feb/13/18
Description: APPLED MATERIALS AMAT 0190-07750 DIP CDN391-20 48 DIGITAL I/O Board DIP-432-391
techshop7777 Used - $579.99 0 Jan/14/18 Feb/13/18
Description: Applied Materials AMAT 0100-20321 PCB DC BIAS Interface Board
techshop7777 Used - $99.00 0 Jan/14/18 Feb/13/18
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
capitolareatech NEW - $17.95 0 Jan/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-09349 PIN WRIST
capitolareatech NEW - $18.95 0 Jan/15/18 Mar/09/19
Description: Applied Materials (AMAT) 3300-03883 FTG CND CONN HOOD APTR RDCR PG29-TO-16
capitolareatech NEW - $17.95 0 Jan/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0224-44055 HOOD- ADAPTER HARTING PG16/PG29
capitolareatech NEW - $39.00 0 Jan/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-42312 MTG PLASMA DETECTOR HOUSING MCVD
bruce135 Used - $99.00 0 Jan/15/18 Feb/14/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
capitolareatech NEW - $85.00 21 Jan/15/18 Mar/27/18
Description: Applied Materials (AMAT) 1410-01031 HTR CARTRIDGE 750W 240V
capitolareatech NEW - $895.00 0 Jan/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-36078 ASSY, TC, HEATER 200MM TI-XZ
capitolareatech NEW - $12.00 0 Jan/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30088 TEOS BLANK-OFF COVER PLATE
capitolareatech NEW - $4,395.00 0 Jan/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-09521 PLATE ASSY,PLASMA CHUCK,200/150MM,N-D"
capitolareatech NEW - $28.95 0 Jan/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31740 SCREW VESPEL 8-32 X .5 LONG
texassellbuy Used - $8,999.00 1 Jan/15/18 Oct/01/20
Description: 0090-76133 Applied Materials AMAT SBC BOARD V452
bruce135 Used - $149.99 0 Jan/17/18 Feb/16/18
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
dnd_surplus Used - $500.00 0 Jan/19/18 Feb/18/18
Description: APPLIED MATERIALS AMAT 0010-09340 SUSCEPTOR LIFT P5000 REFURBISHED
capitolareatech NEW - $65.00 14 Jan/19/18 Aug/04/18
Description: Applied Materials (AMAT) 0030-70084 HOLDOWN RING, VIEWPORT
capitolareatech NEW - $425.00 0 Jan/19/18 Nov/01/18
Description: Applied Materials (AMAT) 0050-76114 GAS LINE, TOP VENT, 3/8 SUPP.
capitolareatech NEW - $95.00 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0021-77546 BASE CLAMP, BRUSH ASSY, MESA
capitolareatech NEW - $695.00 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0021-36205 EDGE ISOLATOR,TXZ 150MM JMF
capitolareatech NEW - $595.00 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0015-09094 GEAR DRIVE
capitolareatech NEW - $65.00 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0021-37657 Shaft Threaded 316 SSTS/O #: 010791
capitolareatech NEW - $995.00 0 Jan/19/18 Jan/10/20
Description: Applied Materials (AMAT) 0040-76419 BLOCK, SENSOR
capitolareatech NEW - $995.00 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0021-77133 BASE PLATE
capitolareatech NEW - $1,495.00 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0200-01238 SHADOW RING, 200MM FLAT, TG, SUPER-e
sales_eparts NEW - $495.00 1 Jan/19/18 May/23/18
Description: 0100-20217 Applied Materials AMAT Pemstar DI/O PCB Board OEM
sales_eparts NEW - $495.00 0 Jan/19/18 Mar/03/22
Description: 0100-20191 Applied Materials AMAT Pemstar 486PC P2 PCB Board OEM
sales_eparts NEW - $1,495.00 1 Jan/19/18 Sep/04/18
Description: 0100-20083 Applied Materials AMAT Pemstar CRYO PCB Board OEM
sales_eparts NEW - $245.00 1 Jan/19/18 Jan/25/18
Description: 0100-20056 Applied Materials AMAT Pemstar Disk PWR PCB Board OEM
sales_eparts NEW - $595.00 0 Jan/19/18 Mar/03/22
Description: 0100-20048 Applied Materials AMAT Pemstar CRYO PCB Board OEM
sales_eparts NEW - $195.00 0 Jan/19/18 Mar/03/22
Description: 0100-20047 Applied Materials AMAT Pemstar Remote SPLY ASSY PCB Board OEM
sales_eparts NEW - $495.00 1 Jan/19/18 Jun/29/18
Description: 0100-20039 Applied Materials AMAT Pemstar RF Generator Backplane PCB Board OEM
capitolareatech NEW - $395.00 0 Jan/19/18 Sep/20/18
Description: Applied Materials (AMAT) 0040-01239 BASE, HEATER, GIGA-FILL SACVD
capitolareatech NEW - $1,094.95 0 Jan/19/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-10144 ISOLATOR, PUMPING PLATE, DXZ
capitolareatech NEW - $1,795.95 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0200-10143 INSERT, RING, CHAMBER, CERAMIC
capitolareatech NEW - $1,495.00 0 Jan/19/18 Mar/09/19
Description: Applied Materials (AMAT) 0200-36686 RING SINGLE LOW PROFILE 200MM, JMF, CER
capitolareatech NEW - $375.00 0 Jan/19/18 Jun/19/20
Description: Applied Materials (AMAT) 0200-09567 SHIELD 200 MM DELTA NITRIDE
capitolareatech NEW - $875.00 0 Jan/19/18 Aug/09/19
Description: Applied Materials (AMAT) 0200-36415 PUMPING RING, CERAMIC C-SHAPE, DXZ SACVD
capitolareatech NEW - $175.00 0 Jan/19/18 Jul/01/19
Description: Applied Materials (AMAT) 0200-35022 RING PREHEAT, GRAPHITE, ALPHA COATING, RS-12
capitolareatech NEW - $1,095.00 0 Jan/19/18 Sep/19/19
Description: Applied Materials (AMAT) 0200-10054 RING INNER ALN, SML, 8", 3 mm, 20 1/194, NOT
allforsale555 Used - $149.00 0 Jan/19/18 Jul/29/21
Description: APPLIED MATERIALS (AMAT) 0110-09244
allforsale555 Used - $299.00 0 Jan/19/18 Jul/29/21
Description: APPLIED MATERIALS (AMAT) 0100-01957 ASSEMBLY, PCB, PED-BIAS PVD PERSONALITY
dcdirecttech Used - $299.95 1 Jan/20/18 Jan/27/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working #2
bornalliancecom NEW - $500.00 0 Jan/20/18 Feb/19/18
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
dcdirecttech Used - $274.95 1 Jan/20/18 Jan/27/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H - Working
dcdirecttech Used - $1,224.95 0 Jan/21/18 Jan/28/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
atxdeals4u Used - $499.00 0 Jan/21/18 Oct/04/18
Description: Applied Materials AMAT 0040-81933 Rev. 002
capitolareatech NEW - $1,095.00 0 Jan/22/18 Jun/22/20
Description: Applied Materials (AMAT) 0020-29436 CLAMP RING 8 INCH - JMF HTHU REFLOW SAMSUNG
capitolareatech NEW - $165.00 0 Jan/22/18 Jan/22/18
Description: Applied Materials (AMAT) 3870-01439 SWAGELOK/NUPRO SS-BNV51-DU-O---HIGH PURITY B
capitolareatech NEW - $165.00 0 Jan/22/18 Aug/09/19
Description: Applied Materials (AMAT) 3870-01439 SWAGELOK/NUPRO SS-BNV51-DU-O---HIGH PURITY B
capitolareatech NEW - $49.95 0 Jan/22/18 Jun/22/20
Description: Applied Materials (AMAT) 0020-38079 SPECIAL SCREW, HEX 1/4-20, (10 PACKS)
capitolareatech NEW - $14.95 141 Jan/22/18 Nov/07/18
Description: Applied Materials (AMAT) 0020-82135 TUNGSTEN FILAMENT--FIL., ARC CHAMBER, DIA. 2
joseph3kd4 NEW - $3,500.00 0 Jan/22/18 Jan/27/18
Description: Applied Materials AMAT Heater 0010-27433 NEW, looking for swift sale.
capitolareatech NEW - $395.00 0 Jan/22/18 Jun/13/18
Description: Applied Materials (AMAT) 3870-01811 PARKER/VERIFLO--45200266--VALVE BLOCK DIAPH.
capitolareatech NEW - $395.00 0 Jan/22/18 Jun/22/20
Description: Applied Materials (AMAT) 0050-10823 WLDMT, DUAL VALVE, FEM/ FEM, MAN/MAN
capitolareatech NEW - $495.00 0 Jan/22/18 Jun/22/20
Description: Applied Materials (AMAT) 0050-32432 WELDMENT,MANIFOLD,3 VALVE,APTECH-17393201
capitolareatech NEW - $345.00 0 Jan/23/18 Mar/10/19
Description: Applied Materials (AMAT) 3620-01444 EXHAUST ADAPTER VALVE KIT ON BOARD 8F
capitolareatech NEW - $55.00 0 Jan/23/18 Jun/23/20
Description: Applied Materials (AMAT) 0040-93264 JACKING SCREW
capitolareatech NEW - $395.00 0 Jan/23/18 Aug/16/19
Description: Applied Materials (AMAT) 0050-38526 PARKER/VERIFLO--45300258--AIR PRESSURE DIAPP
capitolareatech NEW - $325.00 0 Jan/23/18 Jun/23/20
Description: Applied Materials (AMAT) 0240-93221 KIT, R/FIT, 1XINSERT, 200/0
capitolareatech NEW - $295.00 0 Jan/23/18 Nov/25/19
Description: Applied Materials (AMAT) 3870-01767 Fujikin Incorporated--Diaphragm Valve O.P. 3
allforsale555 Used - $1,999.00 1 Jan/24/18 Jan/29/18
Description: APPLIED MATERIALS AMAT 0100-00372 EXPANSION I/O PCB
capitolareatech NEW - $575.95 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0021-09756 COVER, VESPEL, INNER, 8" STEPPED, NOTCH
capitolareatech NEW - $850.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-30072 VESPEL RIM,200MM OX/MLR
capitolareatech NEW - $1,395.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0021-09957 FOCUS RING BASE (VESPEL) NOTCH
capitolareatech NEW - $595.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-32988 CLAMP, TAPERED FINGERS, VESPEL, ONO , 20
capitolareatech NEW - $695.00 2 Jan/24/18 Jul/03/19
Description: Applied Materials (AMAT) 0020-30427 RING, CLAMP, 8", EXT CATH, DC,4.4MM, .22
capitolareatech NEW - $1,495.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-30317 RING,CLAMPING,REMOVABLE FINGERS,5"
capitolareatech NEW - $1,495.00 3 Jan/24/18 Feb/06/19
Description: Applied Materials (AMAT) 0020-30316 RING,CLAMPING,REMOVABLE FINGERS,4"
capitolareatech NEW - $525.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-30483 CLAMP RING XTAL NOTCH EXT 8 QER
capitolareatech NEW - $1,125.00 0 Jan/24/18 Sep/26/19
Description: Applied Materials (AMAT) 0020-30710 RING CLAMP VESPEL REMOVABLE FINGERS ALUM
capitolareatech NEW - $1,095.00 0 Jan/24/18 Nov/30/19
Description: Applied Materials (AMAT) 0020-30628 Ring, Clamp, Vespal Poly/WSI 200 MM AMAT Etc
iqualine Used - $100.00 0 Jan/24/18 Apr/04/19
Description: Applied Materials AMAT Transducer 0040-56140
capitolareatech NEW - $595.95 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-03438 CLAMP RING, 6, EXT, XTAL
capitolareatech NEW - $1,795.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-31708 Clamp Vespel Ox/mlr/nit 150mm
capitolareatech NEW - $1,095.00 3 Jan/24/18 Nov/04/18
Description: Applied Materials (AMAT) 0020-03696 CLAMP RING, 6, DF
capitolareatech NEW - $495.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-30709 RING CLAMP VESPEL REMOVE, FINGERS AL 200M
capitolareatech NEW - $1,495.00 0 Jan/24/18 Sep/24/19
Description: Applied Materials (AMAT) 0020-34118 CLAMP,VESPEL OX/MLR/NIT,SCORED FINGERS,1
capitolareatech NEW - $345.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-31722 RING,CLAMPING,VESPEL, 150MM,POLY,162MM I
capitolareatech NEW - $1,295.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-00969 CLAMP, RING, VESPEL
capitolareatech NEW - $1,195.00 0 Jan/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0020-33739 CLAMP, TAPERED FINGERS, VESPEL, DIELECTR
capitolareatech NEW - $395.00 0 Jan/24/18 Aug/13/20
Description: Applied Materials (AMAT) 0022-77177 CLAMP, MEMBRANE
capitolareatech NEW - $1,395.00 0 Jan/24/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-09958 FOCUS RING BASE (VESPEL) FLAT
capitolareatech NEW - $1,395.00 0 Jan/24/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30318 RING,CLAMPING,REMOVABLE FINGERS,6"
capitolareatech NEW - $1,195.00 0 Jan/24/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-03692 CLAMP RING, 5, DF
capitolareatech NEW - $135.00 0 Jan/25/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-01545 HARNESS ASSY, GAS PANEL EXH/DOOR SW INTE
allforsale555 NEW - $799.00 1 Jan/26/18 Jan/30/19
Description: APPLIED MATERIALS (AMAT) 0020-61315 ROT ASSY ( LOT OF 4 )
capitolareatech NEW - $1,295.00 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30854 PLATE GAS DIST OX_MLR_NIT
capitolareatech Used - $52.95 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21771 SHIM, .50MM(.019")SST 300 MM PVD
capitolareatech Used - $89.50 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21769 SHIM, 1MM(.039")SST 300 MM PVD
capitolareatech NEW - $97.50 1 Jan/26/18 Aug/28/18
Description: Applied Materials (AMAT) 0020-40779 BLOCK CYL MT LLC
capitolareatech NEW - $27.25 0 Jan/26/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-39344 CLAMP, TOP, SINGLE GAS LINE & PALLET
capitolareatech NEW - $37.25 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-37193 ADAPTER PLATE TRANSFORMER 50VA
ptb-sales Used - $800.00 0 Jan/26/18 Feb/25/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $125.00 0 Jan/26/18 Mar/09/19
Description: Applied Materials (AMAT) 0270-20016 LIFT BELLOWS WRENCH
capitolareatech Used - $29.95 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0015-20022 CDS LEOPOLD, TOOL SPANNER WRENCH MOD FOR SMC
capitolareatech NEW - $22.50 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-06974 Plate Retainer
capitolareatech NEW - $744.95 0 Jan/26/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-35916 Insert, QTZ, Inject, 3 Zone
capitolareatech NEW - $13.25 10 Jan/26/18 Jun/06/19
Description: Applied Materials (AMAT) 0200-10648 TUBING, ALUMINA, CERAMIC, HEATER,GIGA-FILL
capitolareatech NEW - $95.00 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-02762 THREADED NOZZLE, ALL CERAMIC 2.28L, 1.5%--30
capitolareatech NEW - $65.00 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-02763 NOZZLE SLEEVE, ULTIMA X HDP CVD 300MM
capitolareatech NEW - $225.00 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-05586 RING CLAMP, SHIELD INTERNAL, S-IMP
capitolareatech NEW - $475.00 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-10822 PEDESTAL,100MM,EB
capitolareatech NEW - $29.95 0 Jan/26/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-38503 BUS BAR, GROUND 5 POS, AC BOX DPS CENTURA
dcdirecttech Used - $1,174.95 0 Jan/28/18 Feb/04/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
athomemarket NEW - $37.49 2 Jan/28/18 Jul/30/18
Description: NEW Applied Materials AMAT 0040-20548 Manifold Chamber for Buffer Centura/Endura
joseph3kd4 NEW - $3,500.00 1 Jan/28/18 Jan/29/18
Description: Applied Materials AMAT Heater 0010-27433 NEW, looking for swift sale.
capitolareatech NEW - $42.00 0 Jan/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-06687 VIEW PORT WINDOW
capitolareatech NEW - $33.00 0 Jan/29/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-00927 Viewport Load Chamber Assembly
capitolareatech NEW - $395.00 0 Jan/30/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-34671 MANIFOLD, TTF SUPPLY COMMON M/F
capitolareatech NEW - $715.00 0 Jan/30/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-76994 MXP DOOR, SLIT VALVE SHO
capitolareatech NEW - $575.00 0 Jan/30/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-36563 ASSY,SLIT VALVE DOOR & MOUNT,SST/VITON
capitolareatech NEW - $495.00 1 Jan/30/18 Mar/26/18
Description: Applied Materials (AMAT) 0010-76429 ASSY, PVD SLIT VALVE DOOR & MOUNT
capitolareatech NEW - $495.00 0 Jan/30/18 Feb/14/19
Description: Applied Materials (AMAT) 0010-20249 ASSY,PVD SLIT VALVE DOOR W/'FAT'PARKER O
capitolareatech NEW - $895.00 0 Jan/30/18 Sep/10/19
Description: Applied Materials (AMAT) 0010-21566 ASSY, SLIT VALVE VAT DOOR & MOUNT
capitolareatech NEW - $995.00 0 Jan/30/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-75174 DOOR, SLIT VALVE ANODIZED
sales_eparts NEW - $245.00 1 Jan/30/18 Aug/24/18
Description: 0100-20056 Applied Materials AMAT Pemstar Disk PWR PCB Board OEM
capitolareatech NEW - $2,995.00 0 Jan/30/18 Aug/13/20
Description: Applied Materials (AMAT) 0090-09125 A.C WINDOW ASSY QUARTZ CENTERED OPTICS
capitolareatech NEW - $355.00 0 Jan/30/18 Sep/10/19
Description: Applied Materials (AMAT) 3700-02507 SEAL ID8.628 X1.378CSD.468 SS513 NO HOLE
industry-outlet NEW - $29.99 0 Jan/30/18 Mar/01/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
industry-outlet NEW - $249.99 0 Jan/30/18 Mar/01/18
Description: Applied Materials AMAT Entegris Flowmeter, HPR3, DI Water, MIRRA CMP, 0010-06801
capitolareatech NEW - $475.00 0 Jan/31/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-27103 LIFT HOOP 8" HEATED 101
capitolareatech NEW - $695.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-35087 PLATE,BLOCKER,N2/HE CARRIER GAS,DXZ/UNIV
capitolareatech NEW - $495.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-78955 MEMBRANE SUPPORT
capitolareatech NEW - $495.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21354 SHUTTER DISK, 8" SNNF CLAMPED ELECTRA IM
capitolareatech NEW - $695.00 1 Jan/31/18 Mar/16/18
Description: Applied Materials (AMAT) 0010-35791 ASSY. ,SWITCH TEMP. CONTROLLER
capitolareatech NEW - $1,295.00 0 Jan/31/18 Mar/09/19
Description: Applied Materials (AMAT) 0200-09072 SHIELD, 200MM
capitolareatech NEW - $1,295.00 0 Jan/31/18 Jan/31/18
Description: Applied Materials (AMAT) 0200-09072 SHIELD, 200MM
capitolareatech NEW - $1,095.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-18077 COVER, PROCESS, ULTIMA
capitolareatech NEW - $1,995.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-35760 RING,FOCUS,195MM,NOTCH,ZERO HT,SIMCAT
capitolareatech NEW - $1,495.00 0 Jan/31/18 Nov/14/19
Description: Applied Materials (AMAT) 0020-10119 PLATE PERF 100-150M
capitolareatech NEW - $195.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-41145 HE Fitting
capitolareatech NEW - $495.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-11297 Outer Clamp Cover
capitolareatech NEW - $495.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-03421 PEDESTAL, 125MM OX REG
capitolareatech NEW - $895.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-06427 HOOP, LIFT, OPEN, 8" MCA E-CHUCK
capitolareatech NEW - $495.00 0 Jan/31/18 Nov/12/19
Description: Applied Materials (AMAT) 0200-09572 COLLAR, SI, OX/MLR/NIT 200MM, NOTCH
capitolareatech NEW - $995.00 1 Jan/31/18 Nov/13/19
Description: Applied Materials (AMAT) 0200-35113 SUSC. R3 ROTATION 200MM EPI
capitolareatech NEW - $1,595.00 4 Jan/31/18 Sep/03/19
Description: Applied Materials (AMAT) 0200-35352 200 MM EPI 5200-SUSCEPTOR, TEMP CAL.,R3
capitolareatech NEW - $1,695.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-35112 5200 6" EPI R3--SUSC, Rotation 150 mm
capitolareatech NEW - $1,295.95 1 Jan/31/18 Dec/13/19
Description: Applied Materials (AMAT) 0200-00195 RING 8" PEDESTAL. B101 HEATER, CERAMIC REV.
capitolareatech NEW - $795.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-79204 SUPPORT MEMBRANE, TUNGSTEN TITAN HE LOT SERI
capitolareatech NEW - $825.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10231 RING, CLAMP CERAMIC, 150/147MM
capitolareatech NEW - $3,995.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0090-09298 ESC ASSY 200MM. NOTCH(2)
capitolareatech NEW - $345.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32263 GAS DIST PLATE. 245 HOLES .156 THICK
capitolareatech NEW - $395.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09221 RING QTZ 8" EXT CATH, METAL FLAT
capitolareatech NEW - $1,295.00 2 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-39519 LIFT HOOP CHUCK, 200mm ASP
capitolareatech NEW - $225.00 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-06824 RETAINER 8 INCH ENHANCED O/D KEYED SOCKETS
capitolareatech NEW - $89.95 0 Jan/31/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-10192 FTG,BLKHD,H2O,SST 1.25MNPT/1.2
capitolareatech Used - $265.00 0 Jan/31/18 Jun/26/18
Description: Applied Materials (AMAT) 3870-01811 PARKER/VERIFLO--45200266--3-way Diaphragm Va
capitolareatech NEW - $495.00 0 Jan/31/18 Mar/07/18
Description: Applied Materials (AMAT) 0020-78793 WFR HOLDR 6JAWS TITAN LC
svcstore Used - $27.99 0 Jan/31/18 Mar/02/18
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $223.99 0 Jan/31/18 Mar/02/18
Description: Applied Materials AMAT 0100-90237 Wafer Arm Interlock Plug-In Module 0120-91463
svcstore Used - $74.99 0 Feb/01/18 Mar/03/18
Description: Applied Materials AMAT 0050-54417 Weldment Flange Modular Manifold Block Assy
svcstore Used - $74.99 0 Feb/01/18 Mar/03/18
Description: Applied Materials AMAT 0050-52718 Weldment Flange Modular Manifold Block Assy
svcstore Used - $99.99 0 Feb/01/18 Mar/03/18
Description: Applied Materials AMAT 0050-62208 Weldment Flange Modular Manifold Block Assy
svcstore Used - $99.99 0 Feb/01/18 Mar/03/18
Description: Applied Materials AMAT 0050-62325 Rev 002 1/4" VCR Weldment THD Flange Assembly
svcstore Used - $99.99 0 Feb/01/18 Mar/03/18
Description: Applied Materials AMAT 0050-52717 Rev 005 1/4" VCR Weldment THD Flange Assembly
svcstore Used - $99.99 0 Feb/01/18 Mar/03/18
Description: Applied Materials AMAT 0050-52720 Rev 003 1/4" VCR Weldment THD Flange Assembly
svcstore Used - $199.99 0 Feb/01/18 Mar/03/18
Description: Applied Materials AMAT 0100-01714 Heater Control Controller Spill Sensor Board
capitolareatech Used - $745.95 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-00958 BRG,4PT CONT,8.625OD X 8ID X .3125W W/CA
capitolareatech NEW - $795.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-13221 BRG,SIN BALL 8.62 ODX8 ID X .3125 W 4-PT
capitolareatech NEW - $395.00 0 Feb/01/18 Jul/11/18
Description: Applied Materials (AMAT) 0021-00571 INSERT, CHAMBER EXHAUST, EPI 200MM SST
capitolareatech NEW - $695.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09626 COLLARSIOX/MLR/NIT 150MM FLAT
capitolareatech NEW - $375.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-00339 Pedestal, Assy. 150 MM Oxide
capitolareatech NEW - $245.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 3060-01718 BRG,BALL 2.5IDX3.0ODX.25W
capitolareatech NEW - $89.50 0 Feb/01/18 Mar/09/19
Description: Applied Materials (AMAT) 3700-01510 ORING ID 14.475 CSD .275 VITON 80DURO WH
capitolareatech NEW - $995.00 0 Feb/01/18 Nov/01/19
Description: Applied Materials (AMAT) 0020-27659 CLAMP RING 8" JMF HAT STYLE 3.378MM E/E
capitolareatech NEW - $75.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-29739 REST POINT, FLOATING, 101, AL, STD BODY,E-PO
capitolareatech NEW - $14.95 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0250-01109 PARKER HANNIFIN/VALIN CORPORATION/COLE PARME
capitolareatech NEW - $995.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-77105 DECHUCK BLADDER CLAMP 8
capitolareatech NEW - $495.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-03586 TOP RING SKIRT VERSION
capitolareatech NEW - $250.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-21034 BRACKET SOURCE WATER LINE
capitolareatech NEW - $299.95 0 Feb/01/18 Mar/09/19
Description: Applied Materials (AMAT) 0040-35485 ELBOW,2VCR,SS316L,METCH R2
capitolareatech NEW - $255.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0227-07402 Syncro Vac--17393200-Air Pressure SS 1/4" Di
capitolareatech NEW - $395.00 0 Feb/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-06847 WELDMENT, TEPO LFM TO INJ VALVE . PARALLEL E
capitolareatech NEW - $65.00 0 Feb/01/18 Nov/01/19
Description: Applied Materials (AMAT) 0020-76084 NUT, SHAFT, ROBOT DRIVE
semiconductorsolution NEW - $4,500.00 0 Feb/01/18 Mar/03/18
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
ciarasheltie NEW - $7.99 0 Feb/02/18 Feb/09/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
capitolareatech NEW - $50.00 0 Feb/02/18 Aug/13/20
Description: Applied Materials (AMAT) 3300-02658 FITTING, PLG QDISC 1/2BODY X 1/2-14
capitolareatech NEW - $849.95 0 Feb/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32129 SPACER N15 SHUNT 200MM ESC
capitolareatech NEW - $345.00 0 Feb/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-82747 RING, DRIVE
capitolareatech NEW - $1,295.00 0 Feb/02/18 Mar/10/19
Description: Applied Materials (AMAT) 0020-34048 Bellows Simple Cathode MXP+ Oxide
capitolareatech NEW - $99.00 0 Feb/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-35512 HOSE ADPTR, ISO VALVE CONN, H/E OR NESLAB, S
capitolareatech NEW - $47.50 1 Feb/02/18 Mar/25/18
Description: Applied Materials (AMAT) 3400-01151 HOSE ASSY LIQ 3/8T-ADPTR X 2 18.5"LG
capitolareatech NEW - $35.95 0 Feb/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-04830 PNEU HARNESS, MAINFRAME TO MANIFOLD, DPS--BE
capitolareatech NEW - $95.00 0 Feb/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-24684 VACUUM LINE LLA UPPER
allforsale555 Used - $149.00 1 Feb/02/18 Sep/05/18
Description: APPLIED MATERIALS (AMAT) 0190-38192 / MKS AS00497-AB
allforsale555 Used - $499.00 0 Feb/03/18 Jul/29/21
Description: APPLIED MATERIALS (AMAT) 0110-09244 ( lot of 4 )
dcdirecttech Used - $1,124.95 0 Feb/04/18 Feb/11/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
ab-international NEW - $488.81 1 Feb/04/18 Oct/27/20
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
techshop7777 Used - $449.99 0 Feb/05/18 Mar/07/18
Description: Applied Materials AMAT 0100-20004 Chamber Interconnect BD PCB Board
capitolareatech NEW - $3,750.00 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-03656 ION SHIELD, LIFT,NOTCH,194MM ID, VESPEL
capitolareatech NEW - $495.00 0 Feb/05/18 Mar/12/19
Description: Applied Materials (AMAT) 0200-01239 INSERT RING, SILICON, 200MM NOTCH (2), T
capitolareatech NEW - $1,295.00 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-21285 CLAMPING RING 6" TIN SMRMF
capitolareatech NEW - $249.00 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-23764 SUPPORT REV A
capitolareatech NEW - $9.95 0 Feb/05/18 Feb/26/18
Description: Applied Materials (AMAT) 0020-34454 MANIFOLD BRACKET--Rev. a
sales_eparts NEW - $995.00 1 Feb/05/18 Feb/24/18
Description: 0100-20000 Applied Materials AMAT Pemstar PCB Assy 64 Channel MUX Board OEM
capitolareatech NEW - $895.00 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09556 RING,FOCUSING,QZ, 150MM POLY,EXT CATH 15
capitolareatech NEW - $325.00 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-40194 RING, QUARTZ COVER
capitolareatech NEW - $694.95 0 Feb/05/18 Sep/30/18
Description: Applied Materials (AMAT) 0200-10073 Insulator Quartz, 200MM, Simple Cathode Appl
capitolareatech NEW - $295.00 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-34740 Plate Cover CVD New, Sealed
capitolareatech NEW - $59.95 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0045-09014 GASKET,GRAFOIL,IPS ROOF
capitolareatech NEW - $795.00 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-09517 Heating Element
capitolareatech NEW - $1,995.00 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30002 COLLIMATOR 100MM BWCVD
capitolareatech NEW - $325.00 1 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-09263 PLATE PERF 200MM WSI
visionsemi NEW - $7,500.00 0 Feb/05/18 Jan/25/22
Description: APPLIED MATERIALS AMAT ENDURA CUBS CLEAN AMPOULE 0010-32021 HEATER VESSEL
capitolareatech NEW - $1,995.00 0 Feb/05/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-78549 CLAMP, FLEXURE
pete-sigep Scrap, for parts - $750.00 0 Feb/05/18 Mar/07/18
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Feb/05/18 Mar/07/18
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
orcom2011 Used - $90.00 2 Feb/06/18 Jul/12/18
Description: APPLIED MATERIALS AMAT DIP CDN496 0190-04736 DIP 240-020
capitolareatech NEW - $325.00 1 Feb/06/18 Nov/13/19
Description: Applied Materials (AMAT) 0020-03379 GAS DISTRIBUTION PLATE ETCH REPLACES 0020-09
capitolareatech NEW - $695.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31019 Perf. Plate, 200 MM AMAT CVD--233-4137-22
capitolareatech NEW - $1,995.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-10901 CLAMP RING, VESPEL--12 holes
capitolareatech NEW - $795.00 0 Feb/06/18 Aug/21/18
Description: Applied Materials (AMAT) 0020-30407 Perf. Plate, 200mm, BW, Showerhead
capitolareatech NEW - $3,995.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-31813 SHELL ASSY, 200MM NOTCH
capitolareatech NEW - $2,295.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-00366 COLLAR,200MM JMF,HDPCVD ULTIMA
capitolareatech NEW - $895.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-00968 CERAMIQUE ISOLATOR, FSG-TEOS, PRODUCER 200MM
capitolareatech NEW - $795.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-34935 PLATE, GAS DISTRIBUTION,101 HOLES
capitolareatech NEW - $495.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0240-37303 KIT,PUMP I'FACE,EDWARDS QDP80,QMB250
capitolareatech NEW - $79.95 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-33361 BLOCK,ROUND PIN RETAINER,R2 CHAMBER--REV. B
capitolareatech NEW - $195.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0022-77176 EDGE CONTROL RING--SST, 10.125 OD X 9.50 ID
capitolareatech NEW - $1,195.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-36376 RING FOCUS ESC 150MM SMF W WEB
capitolareatech NEW - $295.00 0 Feb/06/18 Mar/09/19
Description: Applied Materials (AMAT) 3920-01671 TOOL BRUSH 2.75"OD X 1.25"ID X8.5"L PVA SPON
capitolareatech NEW - $595.00 0 Feb/06/18 Feb/06/18
Description: Applied Materials (AMAT) 0020-38733 Pedestal, 195MM, Flat Simple Cathode R2
capitolareatech NEW - $595.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-38733 Pedestal, 195MM, Flat Simple Cathode R2
capitolareatech NEW - $85.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-00713 INSULATOR CLAMP RING
capitolareatech NEW - $695.00 0 Feb/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-10162 DIFFUSER 200MM
capitolareatech NEW - $845.00 0 Feb/07/18 Nov/13/19
Description: Applied Materials (AMAT) 0020-30608 Pedestal, Sealless 150 mm HEWEB
capitolareatech NEW - $395.00 0 Feb/07/18 Sep/10/19
Description: Applied Materials (AMAT) 0020-31804 Gas Distribution Plate 80 hole, .156 THICK,
gophersales NEW - $150.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0020-20499 Window, Protective
gophersales NEW - $395.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
gophersales NEW - $225.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
gophersales NEW - $250.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
gophersales NEW - $75.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0150-76116 Cable Assy, Robot Calibration
gophersales NEW - $300.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0020-21672 REV 003 Stylus Mount BKT Centura AP White
gophersales NEW - $250.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0270-20320 Tool, Bellow Align, HT BESC, 1.574 Dia Shaft
gophersales NEW - $1,550.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0200-39283 Adapter Ring 8" SRCMP WXZ
gophersales NEW - $1,500.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
gophersales NEW - $1,000.00 0 Feb/07/18 Mar/09/18
Description: Applied Materials AMAT 3620-02332 CTI KYPD Remote w/Cable for Onboard Cryo Pump
tfstech NEW - $65.00 0 Feb/07/18 Mar/01/18
Description: Applied Materials AMAT 0045-00030 Pedestal Ring 150mm Oxide
tfstech NEW - $275.00 0 Feb/08/18 Mar/01/18
Description: Applied Materials AMAT 0100-00046 Rev F AC Current Sense Board
tfstech NEW - $220.00 0 Feb/08/18 Mar/01/18
Description: Applied Materials AMAT 0020-04466 REV A Hexode Bottom Plate
capitolareatech NEW - $19.95 0 Feb/09/18 Mar/10/19
Description: Applied Materials (AMAT) 3420-01070 INSUL.1/2 IO ELBOW 90EG SILCONE RUBBER
capitolareatech NEW - $19.95 0 Feb/09/18 Apr/01/19
Description: Applied Materials (AMAT) 3420-01065 Insulator, 1/4 VCR Union Silicone rubber foa
capitolareatech NEW - $795.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31614 Pedestal, 6", Flat Ceramic AL
capitolareatech NEW - $795.00 0 Feb/09/18 Feb/09/18
Description: Applied Materials (AMAT) 0020-31614 Pedestal, 6", Flat Ceramic AL
capitolareatech NEW - $1,395.00 0 Feb/09/18 Apr/13/18
Description: Applied Materials (AMAT) 0040-32073 Gas Box, Universal Lid w/ Alignment Holes
capitolareatech NEW - $525.00 0 Feb/09/18 Mar/11/18
Description: Applied Materials (AMAT) 0200-09158 WINDOW, HEATER, CVD CHAMBER REPLCS
capitolareatech NEW - $375.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 3030-04238 MFC 7340MC 10SLM N2 1/4VCR MTL 9P-D NC SST31
capitolareatech NEW - $425.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 3030-05096 SEC-7330MC-UC, GAS: N2, FLOW RATE: 100SCCM
capitolareatech NEW - $395.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 3030-09182 SEC-7340M-N2-10SLM
capitolareatech NEW - $425.00 0 Feb/09/18 Sep/24/19
Description: Applied Materials (AMAT) 3030-05745 LF-310A-EVD
capitolareatech NEW - $425.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 3030-05848 SEC-7330MC-UC
capitolareatech NEW - $425.00 0 Feb/09/18 Feb/20/20
Description: Applied Materials (AMAT) 0224-42723 MFC STEC 4400MC 500SCCM HE 5-221
capitolareatech NEW - $495.00 1 Feb/09/18 Jun/06/19
Description: Applied Materials (AMAT) 3030-06482 SEC-4400MC-RUC-G2
capitolareatech NEW - $425.00 1 Feb/09/18 Feb/26/19
Description: Applied Materials (AMAT) 3030-04811 SEC-4400MC-He-3SLM, STEC INC
capitolareatech NEW - $495.00 0 Feb/09/18 Feb/09/18
Description: Applied Materials (AMAT) 3030-06075 SEC-4400MC-SIH4-20SCCM
capitolareatech NEW - $495.00 0 Feb/09/18 Apr/02/19
Description: Applied Materials (AMAT) 3030-06075 SEC-4400MC-SIH4-20SCCM
capitolareatech NEW - $299.95 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 3030-06074 SEC-4400MC-RUC, VALVE: C, GAS: SIF4, FLOW RA
capitolareatech NEW - $249.95 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 3030-08011 SEC-4400MC-SIH4-50SCCM, STEC INC
capitolareatech NEW - $375.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 3030-05868 SEC-7340MC-HE-10SLPM, STEC INC
capitolareatech NEW - $995.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-06796 BASE, 6" HEATER, WxZ, PLUS JMF
capitolareatech NEW - $695.00 0 Feb/09/18 Nov/20/19
Description: Applied Materials (AMAT) 0200-09343 Collar, SI OX/MLR/NIT 125 MM Flat
capitolareatech NEW - $595.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30203 Pedestal Sxtal 200mm 8" Notch
capitolareatech NEW - $1,495.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-42320 HEATER
capitolareatech NEW - $1,350.00 2 Feb/09/18 Mar/10/19
Description: Applied Materials (AMAT) 0200-10377 RING SINGLE 195MM SEMI NT CER DPS CHMB
capitolareatech NEW - $545.00 0 Feb/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09022 SHIELD, 125MM
capitolareatech NEW - $395.95 0 Feb/09/18 Nov/13/19
Description: Applied Materials (AMAT) 0200-10036 RING,MIDDLE,4.00" /1.50" ,DBL ANNULUS,SGD
tfstech NEW - $1,250.00 0 Feb/10/18 Mar/01/18
Description: Applied Materials AMAT 0020-30710 Ring Clamp Vespel
tfstech NEW - $175.00 0 Feb/10/18 Mar/01/18
Description: Applied Materials AMAT 0240-33950 PIK, Magnet Coil Overtemp Switch Kit
tfstech NEW - $995.00 0 Feb/10/18 Mar/01/18
Description: Applied Materials AMAT 0020-24719 Cover Ring Al
tfstech Used - $175.00 0 Feb/10/18 Mar/01/18
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board
ciarasheltie NEW - $7.99 0 Feb/11/18 Feb/18/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
capitolareatech NEW - $695.00 0 Feb/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32909 ESC., CHUCK, PED. 200MM NOTCH
capitolareatech NEW - $395.00 0 Feb/12/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30784 SUS 200MM SHADOW RING .231 THK,
dcdirecttech Used - $1,074.95 0 Feb/12/18 Feb/19/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
esolutions1 NEW - $399.00 0 Feb/12/18 Mar/14/18
Description: NEW Applied Materials AMAT 0200-35972 PLATE FINGER LIFT,QUARTZ HT TIN 200MM
capitolareatech NEW - $395.00 0 Feb/13/18 Aug/21/18
Description: Applied Materials (AMAT) 0020-30111 PLATE,BLOCKER 200MM BW
capitolareatech NEW - $2,495.00 0 Feb/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30186 COVER TOP CENTER PRSP CHAMBER
capitolareatech NEW - $995.00 0 Feb/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31521 PLATE,PUMPING,NITRIDE,DELTA (0020-10120)
capitolareatech NEW - $995.00 0 Feb/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31940 Pedestal, AL 200 MM
svcstore Used - $125.99 0 Feb/15/18 Mar/17/18
Description: Applied Materials AMAT 0100-01008 Versacontroller Card Power Fail Board II
capitolareatech Used - $5,995.00 0 Feb/15/18 Mar/10/19
Description: Applied Materials (AMAT) 0040-18053 PEDESTAL, HOLES 200mm BLUE SNNF
capitolareatech NEW - $395.00 0 Feb/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10677 SUPPORT, SUSCEPTOR, 200MM
capitolareatech NEW - $6,995.00 0 Feb/15/18 Feb/20/18
Description: Applied Materials (AMAT) 0010-37345 ASSY MAG COUPLED ROTATION R3.5 , CENTURA EPI
capitolareatech NEW - $894.75 0 Feb/15/18 Apr/30/19
Description: Applied Materials (AMAT) 0200-10144 ISOLATOR, PUMPING PLATE, DXZ
capitolareatech Used - $75.00 0 Feb/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-79091 8" WAFER RING, SENSOR HEAD
capitolareatech NEW - $225.00 0 Feb/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-78709 GIMBAL ROD
capitolareatech NEW - $795.00 0 Feb/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-01813 FACEPLATE, TEOS, PRODUCER, 200MM
capitolareatech NEW - $495.00 0 Feb/15/18 Apr/23/18
Description: Applied Materials (AMAT) 0020-32036 PLATE BLOCKER .100SX UNIVERSAL CHMBR,MES
capitolareatech NEW - $1,595.00 0 Feb/15/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-10993 FACEPLATE, DXZ, SACVD
levmucciacciar0 Used - $575.00 1 Feb/15/18 Oct/22/18
Description: 0100-20313 P3 Applied Materials AMAT Endura PCB CHAMBER INTERCONNECT BOARD
techshop7777 Used - $99.00 2 Feb/15/18 Mar/05/18
Description: Applied Materials AMAT 0100-20003 Digital I/O Board/ appear new
techshop7777 Used - $579.99 0 Feb/15/18 Mar/17/18
Description: Applied Materials AMAT 0100-20321 PCB DC BIAS Interface Board
capitolareatech NEW - $995.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32936 EDGE RING,TXZ,200MM,JMF
capitolareatech NEW - $695.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-70278 FRONT PLATE 150MM RHS CASSETTE HANDLER
capitolareatech NEW - $595.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31998 PEDESTAL, 200MM, AL, NOTCH, FINGER
capitolareatech Used - $4,500.00 0 Feb/14/18 Jun/20/19
Description: Applied Materials (AMAT) 0040-18219 LASED, PEDESTAL, 200MM
capitolareatech NEW - $895.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30279 RING CLAMPING 1 FLAT QUARTZ WINDOW PRSP
capitolareatech NEW - $595.00 1 Feb/14/18 Sep/10/18
Description: Applied Materials (AMAT) 0190-20142 TARGET TITANIUM DIFFUSION BONDED
capitolareatech NEW - $95.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-29142 CUP INNER, COIL SUPPORT, KNURLED
capitolareatech NEW - $1,495.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-77897 SLURRY DISPENSE ARM
capitolareatech NEW - $1,495.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-79806 CONE CENTERING LOAD
capitolareatech NEW - $249.95 0 Feb/14/18 Nov/14/19
Description: Applied Materials (AMAT) 0200-00025 GAS RING
bruce135 Used - $89.00 0 Feb/14/18 Mar/16/18
Description: Lot of 3 Applied Materials AMAT 0190-16372 Device Net Drop Box Terminators MPIS
capitolareatech NEW - $245.00 0 Feb/14/18 Aug/09/19
Description: Applied Materials (AMAT) 0240-23499 PUMP KIT HARDWARE
capitolareatech NEW - $545.95 0 Feb/14/18 Nov/13/19
Description: Applied Materials (AMAT) 0020-10117 PLATE PERF OXIDE 200MM
capitolareatech NEW - $44.95 5 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 1010-01058 LAMP QUARTZ 4600W 400V
capitolareatech NEW - $525.00 0 Feb/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-00079 COVER, FOCUS, Quartz., 6 Inch
techshop7777 Used - $139.99 0 Feb/15/18 Mar/17/18
Description: APPLED MATERIALS AMAT 0190-07750 DIP CDN391-20 48 DIGITAL I/O Board DIP-432-391
capitolareatech NEW - $595.00 0 Feb/16/18 Aug/09/19
Description: Applied Materials (AMAT) 0200-09121 SHIELD 4,5,6 BW
capitolareatech NEW - $75.00 0 Feb/16/18 Mar/09/19
Description: Applied Materials (AMAT) 0690-01585 CLAMP ROTATABLE BOLT RING ASSY NW63 ALUM
capitolareatech NEW - $29.95 0 Feb/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-22996 ACTIVATOR PIN ,6"AL
capitolareatech NEW - $25.95 0 Feb/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-76079 SHAFT SPREADER LWR LFT ARM ROBOT DRIVE
capitolareatech NEW - $395.00 0 Feb/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-38341 WELDMENT, 6.20 , TBG APPLICATOR, MRP,
capitolareatech NEW - $995.00 0 Feb/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-31709 150MM, 6" PEDESTAL WITH LIP SEALS
capitolareatech NEW - $695.00 0 Feb/16/18 Nov/20/19
Description: Applied Materials (AMAT) 0020-10204 SUSCEPTOR 200MM TEOS
capitolareatech NEW - $1,095.00 0 Feb/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-03927 CLAMP,VESPEL OX/MLR/NIT-200MM
capitolareatech NEW - $1,295.00 0 Feb/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10390 GDP, CHAMBER, RPS
capitolareatech NEW - $995.00 0 Feb/16/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-36685 RING, SINGLE, LOW PROFILE 200MM SNNF
capitolareatech NEW - $895.00 2 Feb/16/18 Mar/09/19
Description: Applied Materials (AMAT) 0200-09974 SHIELD, L-SUPPORT,PUMPING INSERT
capitolareatech NEW - $145.00 0 Feb/17/18 Feb/17/18
Description: Applied Materials (AMAT) 0242-76321 KIT, EXHAUST ADAPTER VALVE
capitolareatech NEW - $145.00 1 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0242-76321 KIT, EXHAUST ADAPTER VALVE
capitolareatech Refurbished - $139.95 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-29348 CUP, OUTER, FEEDTHRU SUPPORT, SST
capitolareatech NEW - $9.95 0 Feb/17/18 Aug/24/18
Description: Applied Materials (AMAT) 0021-78830 SPRING, LEAF
capitolareatech NEW - $45.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0242-38116 KIT GAS FLOW LABEL
capitolareatech NEW - $495.25 0 Feb/17/18 Nov/18/19
Description: Applied Materials (AMAT) 0010-21476 ASSY, CLEAR LID PCII
capitolareatech NEW - $395.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-82131 CLAMP, 2.4 DIA LH FILAMENT
capitolareatech NEW - $7.95 0 Feb/17/18 Aug/24/18
Description: Applied Materials (AMAT) 0020-09302 PLUG
capitolareatech NEW - $8.95 0 Feb/17/18 Aug/24/18
Description: Applied Materials (AMAT) 0020-09608 PLUG LEAK CHECK PORT
capitolareatech NEW - $219.95 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-22840 MOUNTING PLATE ,RF MATCH
capitolareatech Used - $295.00 2 Feb/17/18 Aug/06/19
Description: Applied Materials (AMAT) 0010-40155 ASSY, WAFER SENSOR LOW PROFILE TC
capitolareatech NEW - $14.95 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-92309 PIN,WAFER GRIP
capitolareatech NEW - $19.95 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-62576 Plate Cap
capitolareatech NEW - $99.95 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-23765 Plate
capitolareatech NEW - $9.95 0 Feb/17/18 Aug/24/18
Description: Applied Materials (AMAT) 0020-97257 SHIM TILT ARM
capitolareatech Used - $995.00 0 Feb/17/18 Sep/10/19
Description: Applied Materials (AMAT) 0010-10327 ESC SHELL ASSY,200MM,NOTCH(2),5MIC,0.8"THK,S
capitolareatech Used - $99.95 0 Feb/17/18 Apr/08/19
Description: Applied Materials (AMAT) 0100-90689 VOLTAGE CONTROL PCB
capitolareatech Refurbished - $1,195.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-09957 SHELL ASSY, 200MM NOTCH, IS , NI, LIFT, HVCE
capitolareatech NEW - $295.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09534 CLAMP RING 200/197 NO FLT
capitolareatech NEW - $395.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10448 INSERT RING, SILICONE, 200MM, FLAT(1S)
capitolareatech NEW - $1,095.00 0 Feb/17/18 Oct/06/19
Description: Applied Materials (AMAT) 0200-00957 CYLINDER,WAFER SUPPORT,SI COATED,200MM R
capitolareatech NEW - $395.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09422 Quartz Focus Ring 200mm
capitolareatech NEW - $1,395.00 0 Feb/17/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-00171 Gate Valve Actuator Assembly
dnd_surplus Used - $500.00 0 Feb/18/18 Mar/20/18
Description: APPLIED MATERIALS AMAT 0010-09340 SUSCEPTOR LIFT P5000 REFURBISHED
ciarasheltie NEW - $7.99 0 Feb/18/18 Feb/25/18
Description: APPLIED MATERIALS AMAT 0200-13806 CE WAFER LIFT PIN NEW UNUSED SEALED IN BOX
dcdirecttech Used - $1,024.95 0 Feb/19/18 Feb/26/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
bruce135 Used - $149.99 0 Feb/20/18 Mar/22/18
Description: Applied Materials AMAT 0200-02421 Isolator Lid Ceramic TxZ 300mm 103-2083841 I4
capitolareatech NEW - $39.95 0 Feb/20/18 Aug/07/18
Description: Applied Materials (AMAT) 0200-09252 RING, OUTER, 125MM (CERAMIC)
capitolareatech NEW - $425.00 0 Feb/20/18 Mar/09/19
Description: Applied Materials (AMAT) 0200-09827 RING PEDESTAL ESC 200MM NOTCH WEB
capitolareatech NEW - $295.00 0 Feb/20/18 Nov/13/19
Description: Applied Materials (AMAT) 0200-09086 QUARTZ RING 200MM
capitolareatech NEW - $295.00 0 Feb/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0200-15000 SHIELD 150MM 147MM
capitolareatech Used - $1,195.00 0 Feb/20/18 Feb/20/18
Description: Applied Materials (AMAT) 0010-09495 P5000 Etch Chamber Lid, Cover Assy
capitolareatech Used - $1,195.00 0 Feb/20/18 Sep/19/19
Description: Applied Materials (AMAT) 0010-09495 P5000 Etch Chamber Lid, Cover Assy
capitolareatech NEW - $565.00 0 Feb/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0200-09349 CLAMP QTZ OX/MLR/NIT 150M
capitolareatech NEW - $495.00 0 Feb/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0200-09212 WINDOW.QUARTZ,9 1/2" PRSP CHAMBER
capitolareatech NEW - $395.00 0 Feb/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0200-09473 CLAMP RING 200MM OXIDE
capitolareatech NEW - $795.00 0 Feb/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0200-50052 PLATE GAS DIST LOWER ASP
capitolareatech NEW - $795.00 0 Feb/20/18 Jun/20/20
Description: Applied Materials (AMAT) 0200-50047 COVER RING ASP LAMP WINDOW
capitolareatech NEW - $295.00 9 Feb/20/18 Nov/20/18
Description: Applied Materials (AMAT) 3870-01398 VERIFLO 944AOP-LP-NOSFSFF--VALVE AIR-ACTUATE
capitolareatech NEW - $125.00 24 Feb/20/18 Jun/28/18
Description: Applied Materials (AMAT) 3310-01055 GRANVILLE PHILLIPS 275262--GAUGE CONVECTRON
capitolareatech NEW - $95.00 0 Feb/21/18 Sep/19/19
Description: Applied Materials (AMAT) 0020-26900 HEAT SHIELD HTHU HEATER RIGHT
capitolareatech NEW - $3,995.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-00857 DOME, OM-100,UPPER ATM
capitolareatech NEW - $129.95 0 Feb/21/18 Dec/01/19
Description: Applied Materials (AMAT) 0200-09087 QTZ RING 150MM SPUTTER
capitolareatech NEW - $145.00 0 Feb/21/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-76407 ADAPTER, OTB NW50 FORELINE, COMMON MAINFRAME
capitolareatech NEW - $895.00 0 Feb/21/18 Mar/09/19
Description: Applied Materials (AMAT) 0200-00316 ISOLATOR PUMPING RING MIDDLE
capitolareatech NEW - $895.00 1 Feb/21/18 Oct/08/18
Description: Applied Materials (AMAT) 0200-00403 ISOLATOR PUMPING RING, MIDDLE, PRODUCER
capitolareatech NEW - $95.00 1 Feb/21/18 Jul/18/18
Description: Applied Materials (AMAT) 0020-30320 Ring, Focusing, 4"
capitolareatech NEW - $1,995.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0090-35694 ESC ASSY, 300MM, TOPOG, NOTCH(2), EMXP+
capitolareatech NEW - $125.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-09676 RING,FOCUSING,.70,QTZ,AL ,125MM,FLAT
capitolareatech NEW - $795.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-76042 VENDOR ID# 771-409-0003
capitolareatech NEW - $395.00 1 Feb/21/18 Jan/30/19
Description: Applied Materials (AMAT) 0020-03435 PEDESTAL, 6, EXT
capitolareatech NEW - $395.00 0 Feb/21/18 Feb/21/18
Description: Applied Materials (AMAT) 0020-03435 PEDESTAL, 6, EXT
capitolareatech NEW - $1,995.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-00330 RING, SINGLE, SERAMIC, ESC, 200MM JMF
capitolareatech Used - $395.00 0 Feb/21/18 Nov/14/19
Description: Applied Materials (AMAT) 0020-10518 PEDESTAL THK 200MM
capitolareatech NEW - $2,195.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-01954 DEEP RING, 200MM TA/TAN
capitolareatech NEW - $1,695.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-20064 INSULATOR QTZ 8
capitolareatech NEW - $395.00 1 Feb/21/18 Nov/14/18
Description: Applied Materials (AMAT) 0020-30314 PEDESTRAL, ALUM
capitolareatech NEW - $295.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-09666 CLAMP RING
capitolareatech NEW - $825.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0020-22508 CLAMP RING 8" TiW JMF REDUCED EDGE
capitolareatech NEW - $385.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0020-35944 OBS SHIELD,OUTER,CHB,TXZ
capitolareatech NEW - $325.00 0 Feb/21/18 Mar/09/19
Description: Applied Materials (AMAT) 0040-20292 Short Feed, Lamp
capitolareatech NEW - $1,125.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-35359 SUSCEPTOR, XYC R3 ROTATION, 200MM, EPI
capitolareatech NEW - $595.00 0 Feb/21/18 Apr/11/18
Description: Applied Materials (AMAT) 0200-10246 UNI-INSERT,GDP,LINER,88 HOLD, QTZ
capitolareatech NEW - $795.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-09200 Plate, Gas Distribution, Upper, Quartz, 8"
capitolareatech NEW - $695.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-09558 COLLAR, QTZ, 150MM POLY, EXT CATHODE
capitolareatech NEW - $995.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0190-06120 ASSEMBLY, 1/2" VALVE W/FLOW SWITCH 2GPM
capitolareatech NEW - $525.00 0 Feb/21/18 Mar/10/19
Description: Applied Materials (AMAT) 0020-29343 Shleld, Clamp Darkspace .727" TALL VECTR
capitolareatech Used - $245.00 0 Feb/21/18 Aug/09/19
Description: APPLIED MATERIALS (AMAT) 0020-34606 PLATE,BLOCKER, .100 EH,UNIVERSAL CHAMBER
capitolareatech NEW - $135.00 0 Feb/21/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-30195 Cover, Top
capitolareatech NEW - $295.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-40172 COLLAR 150MM SMF
capitolareatech NEW - $1,495.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-10001 WAFER, 8" ALN, NOTCH WxZ
capitolareatech NEW - $2,995.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0021-13767 BASE, OUTER, ROLLER ASSEMBLY, BRUSH MODULE
capitolareatech NEW - $39.95 0 Feb/21/18 Nov/12/19
Description: Applied Materials (AMAT) 3870-01259 Clippard Minimatic Miniature Pneumatic 3-Way
capitolareatech NEW - $1,195.00 0 Feb/21/18 Mar/23/18
Description: Applied Materials (AMAT) 0040-32200 FEED,CATHODE SUPPLY,DPS
capitolareatech NEW - $495.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0040-00537 HELIUM CONTROL MANIFOLD IHC CH. A,B, AND
capitolareatech NEW - $195.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0050-00924 Weldment, Inlet, Adapter, Ozonator
capitolareatech NEW - $195.00 0 Feb/21/18 Mar/23/18
Description: Applied Materials (AMAT) 0021-77085 Cylinder Mount
capitolareatech NEW - $925.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0190-36184 SCRW, DRIVE, 5-PH THROTTLE, VES SP21 NUT
capitolareatech NEW - $595.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0020-30595 PEDESTAL SEALLESS 200MM
capitolareatech NEW - $2,395.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0200-35048 CHAMBER LINER,LOWER
capitolareatech NEW - $795.00 4 Feb/21/18 Jul/30/18
Description: Applied Materials (AMAT) 0021-03637 FACEPLATE, SILANE PRODUCER 200MM
capitolareatech NEW - $195.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0020-79322 MEMBRANE SUPPORT 8.0 TITAN Head
capitolareatech NEW - $895.00 0 Feb/21/18 May/31/18
Description: Applied Materials (AMAT) 0021-38119 FACEPLATE, DXZ DCVD CENTER HOLE
waste-not-recycling NEW - $99.99 0 Feb/21/18 Feb/26/18
Description: Applied Materials AMAT 0021-78668 Carrier Film 150mm Titan Head 431-034N, New
waste-not-recycling NEW - $120.99 0 Feb/21/18 Feb/26/18
Description: Applied Materials AMAT 0021-77956 Membrane Flexure 160mm Titan Head, New
capitolareatech NEW - $245.00 1 Feb/21/18 Sep/26/18
Description: Applied Materials (AMAT) 0240-37198 DPS, METAL, UPPER-CHAMBER ORING, KALREZ, SUP
capitolareatech NEW - $1,695.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0021-37094 TOP RING, SPRING COIL, DTCU, DPS
capitolareatech Used - $1,995.00 1 Feb/21/18 Nov/19/18
Description: Applied Materials (AMAT) 0010-10612 ASSEMBLY,LID, KALREZ 2037
capitolareatech NEW - $995.00 0 Feb/21/18 Mar/08/18
Description: Applied Materials (AMAT) 0660-01819 OnTrak 22-0075-015, PCB, CPU, GESPAC
randmgoods Used - $89.99 0 Feb/21/18 Mar/03/22
Description: Applied Materials AMAT 0051-09894, REDUCER NW100 TO NW80 NEW Producer GT
capitolareatech NEW - $475.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0010-39558 KIL, HTR AMAT
capitolareatech NEW - $155.00 0 Feb/21/18 Mar/09/19
Description: Applied Materials (AMAT) 0140-20563 HARNESS ASSY OVERTEMP DEGAS
capitolareatech NEW - $175.00 0 Feb/21/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-27492 Weldment, Adapter TTF FCW Supply
capitolareatech NEW - $195.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0010-02051 Applicator Flow Switch Assembly HDP SMC PFW7
capitolareatech NEW - $7,995.00 0 Feb/21/18 Mar/08/18
Description: Applied Materials (AMAT) 0660-01815 OnTrak 22-0075-017, PCB, CPU, GESPAC
capitolareatech NEW - $125.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0050-20782 GAS LINE VENT WIDEBODY CHAM B
capitolareatech NEW - $795.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0050-04414 RF INNER COIL 0050-OR315_E1
capitolareatech NEW - $135.00 0 Feb/21/18 Jun/21/20
Description: Applied Materials (AMAT) 0090-20459 ASSY VALUE 3 WAY N.C. 24VDC
capitolareatech NEW - $19.95 0 Feb/22/18 Mar/22/20
Description: Applied Materials (AMAT) 3300-00078 Parker 3JC82-8-6C--FTG HOSEBARB 1/2H X 3/8-1
atxdeals4u Used - $10,000.00 0 Feb/22/18 Sep/20/18
Description: Applied Materials AMAT 0020-06615 LID HPM DUAL GAS FLAT DPS
tjtechseller Refurbished - $2,600.00 0 Feb/22/18 Sep/23/20
Description: 0190-25581 Applied Materials AMAT (253B-21977) ,T/T VALVE
tjtechseller NEW - $26,000.00 0 Feb/22/18 Mar/03/22
Description: 0041-01890 Applied Materials AMAT ELECTRODE DUAL GAS OEM NEW
capitolareatech NEW - $29.95 5 Feb/23/18 Dec/05/19
Description: Applied Materials (AMAT) 0200-20217 LAYBRINTH, FEEDTHRU COIL SUPPORT, VECTRA
capitolareatech NEW - $9.95 0 Feb/23/18 Aug/24/18
Description: Applied Materials (AMAT) 3300-01223 PARKER 30182-8-6B, FTG HOSE CONNN 3/8H 1/2MP
bornalliancecom NEW - $500.00 0 Feb/24/18 Mar/26/18
Description: APPLIED MATERIALS AMAT ITT Heat Exchanger 3380-01014 A03829-01
capitolareatech Used - $995.00 0 Feb/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0200-00873 Clamp, Upper, Gas Inlet, 300mm. Needs Cleani
capitolareatech NEW - $1,795.00 0 Feb/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0200-00367 COLLAR,200MM JMF SML FLT,HDPCVD ULTIMA
capitolareatech NEW - $295.00 0 Feb/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0021-06772 HEATER SHAFT, WxZ
capitolareatech NEW - $425.00 0 Feb/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0200-10209 RING, OUTER, 1.90" SSGD
capitolareatech NEW - $99.95 0 Feb/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0190-03156 520 Watt Lamp 10 pack
capitolareatech NEW - $295.00 0 Feb/24/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-43572 LINE, EXHAUST KF40-KF50 200MM RADIANCE
sales_eparts NEW - $995.00 1 Feb/24/18 Jun/01/18
Description: 0100-20000 Applied Materials AMAT Pemstar PCB Assy 64 Channel MUX Board OEM
capitolareatech NEW - $195.00 1 Feb/24/18 Jul/09/19
Description: Applied Materials (AMAT) 0270-20059 8588 JIG 8IN PVD HEATER TO LIFT ALIGNMENT
capitolareatech NEW - $29.95 0 Feb/24/18 Jun/24/20
Description: Applied Materials (AMAT) 0050-09107 TUBE CHILLED WATER OUT
capitolareatech NEW - $395.00 4 Feb/24/18 Sep/25/18
Description: Applied Materials (AMAT) 3870-01791 VALVE PNEU DIAPH DUAL AIR-ACT 1/4VCR W/S
capitolareatech NEW - $475.00 1 Feb/24/18 Mar/23/18
Description: Applied Materials (AMAT) 1140-01192 XP POWER F7B5J5J5J6-22 POWER SUPPLY DC 15V/1
capitolareatech NEW - $395.00 1 Feb/24/18 Mar/04/18
Description: Applied Materials (AMAT) 0021-02098 FEEDTHRU, HELIUM, HOUSING AP
capitolareatech NEW - $345.00 0 Feb/24/18 Jun/26/18
Description: Applied Materials (AMAT) 0021-39718 CYLINDER, LIFTER, 300MM ETCH CHAMBER
capitolareatech NEW - $12.95 0 Feb/24/18 Jun/24/20
Description: Applied Materials (AMAT) 3300-06064 FTG HOSE BARB 1/2H X 11/16-16 FEM SWVL
capitolareatech NEW - $795.00 0 Feb/24/18 Aug/09/19
Description: Applied Materials (AMAT) 0050-41614 WELDMT,LINE 2,BASIC PANEL VERIFLO
capitolareatech NEW - $795.00 0 Feb/24/18 Feb/24/18
Description: Applied Materials (AMAT) 0050-41614 WELDMT,LINE 2,BASIC PANEL VERIFLO
capitolareatech NEW - $119.95 0 Feb/24/18 Aug/09/19
Description: Applied Materials (AMAT) 0020-10573 COLLIMATOR CENTER
capitolareatech NEW - $95.00 0 Feb/24/18 Feb/24/18
Description: Applied Materials (AMAT) 0020-10573 COLLIMATOR CENTER
capitolareatech NEW - $595.00 0 Feb/24/18 Sep/19/19
Description: Applied Materials (AMAT) 0190-40181 BLOCK BELLOWS ASSY,CGF
capitolareatech NEW - $595.00 0 Feb/24/18 Feb/24/18
Description: Applied Materials (AMAT) 0190-40181 BLOCK BELLOWS ASSY,CGF
capitolareatech NEW - $175.00 0 Feb/24/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-33054 BTM FEED ODD VLV UPPER CONN
capitolareatech NEW - $250.00 4 Feb/25/18 Jul/19/19
Description: Applied Materials (AMAT) 0190-75080 BRG BALL 8.62 ODX8 ID X .3125 W 4-PT W/C P52
doomsday512 Used - $4,050.00 1 Feb/25/18 Mar/02/18
Description: 0090-76133 Applied Materials AMAT SBC BOARD V452
jabedow Used - $200.00 1 Feb/25/18 Mar/07/18
Description: Applied Materials AMAT Analog Input Board PCB 0100-09054 Rev. H
capitolareatech NEW - $9.95 0 Feb/26/18 Aug/24/18
Description: Applied Materials (AMAT) 0020-34454 MANIFOLD BRACKET--Rev. a
capitolareatech NEW - $59.95 0 Feb/26/18 Mar/27/19
Description: Applied Materials (AMAT) 0015-20035 SCREW, INSULATOR
capitolareatech NEW - $39.95 0 Feb/26/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-20699 CLAMP AR FLEX 8" GATE VALVE
capitolareatech Refurbished - $275.00 0 Feb/26/18 Jun/08/18
Description: Applied Materials (AMAT) 0020-22510 PRECISION RING, AI CLAMP (HOLZ)
waste-not-recycling NEW - $99.90 0 Feb/27/18 Mar/06/18
Description: Applied Materials AMAT 0021-77956 Membrane Flexure 160mm Titan Head, New
waste-not-recycling NEW - $74.99 0 Feb/27/18 Mar/06/18
Description: Applied Materials AMAT 0021-78668 Carrier Film 150mm Titan Head 431-034N, New
capitolareatech NEW - $29.95 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-77728 HARNESS, SERVO DRIVE OUTPUT
capitolareatech NEW - $195.00 0 Feb/27/18 Oct/27/19
Description: Applied Materials (AMAT) 0020-42330 Cover Heater Element
capitolareatech NEW - $195.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-42331 Cover Vacuum, WxZ Heater
capitolareatech NEW - $1,795.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-06795 Base, 6" Heater WxZ
capitolareatech NEW - $395.00 0 Feb/27/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-28711 VIEWPORT SHIELD, 10", CH A OR B LID
capitolareatech NEW - $995.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0240-10290 KIT SPARES ARM ASSY BASIC TOP MOUNT SUSCEPTO
capitolareatech NEW - $425.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0240-26518 KIT REST BUTTONS CERAMIC B101
capitolareatech NEW - $29.95 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0022-72961 A-1 MACHINE MFG, REV-2B
capitolareatech NEW - $425.00 2 Feb/27/18 Dec/22/18
Description: Applied Materials (AMAT) 0021-09794 COVER,LID,TRENCH-NARROW GAP
capitolareatech Used - $895.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-23168 COVER RING. 5" 101% TIW, TITANIUM
capitolareatech NEW - $165.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-78538 FLEXURE, GIMBAL
capitolareatech NEW - $495.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-38175 WELDMENT CHAMBER D MAIN PURGE D3(MXP/MXP)
capitolareatech Used - $265.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-77370 LEFT RAIL ASSY, CONTROL UNIT ENCLOSURE 7
capitolareatech NEW - $3.95 2 Feb/27/18 Aug/24/18
Description: Applied Materials (AMAT) 3700-01088 Varian 800-0350 Centering Ring Assembly, NW
capitolareatech NEW - $79.95 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-01582 H/A NEUTRAL BAR TO LEFT SIDE PANELS,VDI
capitolareatech NEW - $69.95 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-78828 Roller, Idler
capitolareatech NEW - $29.95 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-41046 STANDOFF, FLOW SWITCH SUPPORT, 300MM HDP
capitolareatech Used - $395.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-37029 Manifold
capitolareatech NEW - $59.95 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-21791 INSERT, GROUND HEATER LIFT 300MM, VECTRA
capitolareatech NEW - $99.95 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-42130 Gas Tube Chamber, Heater WxZ
capitolareatech NEW - $195.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-42137 Cap, Heater Cover WxZ
capitolareatech NEW - $225.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-79081 Shoulder Flag
capitolareatech NEW - $425.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-35829 PLATE,ADAPTOR,TC MTG,ASP
capitolareatech NEW - $105.00 0 Feb/27/18 Mar/09/19
Description: Applied Materials (AMAT) 3310-01056 FITTING APART MF63 TO KS50
capitolareatech NEW - $29.95 2 Feb/27/18 Mar/11/19
Description: Applied Materials (AMAT) 0021-08113 VDPLATE, COVER, ADAPTOR SIP-CU
capitolareatech NEW - $365.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-15807 SHAFT, ELBOW, XP ROBOT, 300MM
capitolareatech NEW - $110.00 0 Feb/27/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-35224 WINDOW, VIEWPORT
capitolareatech NEW - $49.95 1 Feb/27/18 May/12/18
Description: Applied Materials (AMAT) 0090-09027 Vexta PH264-01B-C11 MOTOR ASSY CVD LIFTS, 2-
capitolareatech Used - $775.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-40181 Block Bellows Assy, CGF
capitolareatech Refurbished - $215.00 0 Feb/27/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-22660 CLAMP RING 8" SNNF, TI, R/E EXCLUSION
capitolareatech NEW - $7.95 0 Feb/27/18 Aug/24/18
Description: Applied Materials (AMAT) 0690-01710 CLAMP SPLIT HUB 1/4SFT .313ID SST W/6-32
capitolareatech NEW - $79.95 8 Feb/27/18 Mar/29/18
Description: Applied Materials (AMAT) 3870-02981 Swagelok SS-810-3 VALVE PLUG 3/8" TO 3/8" T-
capitolareatech NEW - $195.00 0 Feb/27/18 Feb/28/18
Description: Applied Materials (AMAT) 0190-13448 HOKE 19013448 VALVE, MANIFOLD PLUG, 0.297 OR
capitolareatech NEW - $195.00 49 Feb/27/18 Mar/29/18
Description: Applied Materials (AMAT) 3870-02389 VALVE MANIFOLD PLUG .297DIA ORIFICE RETURN
capitolareatech Refurbished - $295.00 0 Feb/27/18 Nov/12/18
Description: Applied Materials (AMAT) 3870-01306 PARKER VERIFLO MODEL: 944AOPLPHNCSFSFF / PN:
capitolareatech NEW - $59.95 0 Feb/27/18 Aug/10/18
Description: Applied Materials (AMAT) 0020-36760 Flag, Rotation Sensor
capitolareatech NEW - $1,095.00 0 Feb/28/18 May/23/18
Description: Applied Materials (AMAT) 0020-30678 RING CLAMPING VESPEL POLY 150MM
capitolareatech NEW - $75.00 0 Feb/28/18 Mar/09/19
Description: Applied Materials (AMAT) 0040-13274 TOP COVER, CHAMBER TRAY AC, CENTURA
capitolareatech NEW - $225.00 0 Feb/28/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-04470 ISOLATOR, CERAMIC, LOW K
capitolareatech NEW - $695.00 0 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0140-20416 KAYDON CORPORATION-ARM ASSY, RT, 200300MM GR
capitolareatech NEW - $25.00 0 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-02188 BLOCK PILLOW SLIDE--REV P2,NDM-069
capitolareatech NEW - $795.00 0 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-02416 KAYDON #15901000; ARM ASSY, RT, 200300MM GR
capitolareatech NEW - $29.95 1 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-70181 STOP DOOR SIDE DLL *** 3 PACK ***
dcdirecttech Used - $974.95 0 Feb/28/18 Mar/07/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
capitolareatech NEW - $195.00 0 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-28882 VACUUM FITTING-APPROXIMATELY 17" LONG FLANGE
capitolareatech NEW - $275.00 0 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-41050 REV 00 VACUUM FITTING
capitolareatech NEW - $295.00 0 Feb/28/18 Apr/02/18
Description: Applied Materials (AMAT) 3870-01810 USE 3870-01307 VALVE MNL DIAPH 3500PSI 1/4VC
capitolareatech Used - $1,995.00 0 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-03811 INPUT MANIFOLD TXZ 200MM CIP
capitolareatech NEW - $75.95 0 Feb/28/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-77652 Cushion, ELR
capitolareatech NEW - $16.95 8 Feb/28/18 Nov/13/19
Description: Applied Materials (AMAT) 0020-09963 Screw, Vespel
capitolareatech NEW - $795.00 0 Mar/01/18 Mar/09/19
Description: Applied Materials (AMAT) 0090-77132 Omron E3X-NV21 Amplifier Assy
capitolareatech NEW - $95.00 0 Mar/01/18 Mar/09/19
Description: Applied Materials (AMAT) 3700-01426 ORING ID 28.0 CSD .210 VITON 75DURO BRN
capitolareatech NEW - $99.95 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0015-77040 CLAMP, SPINDLE
capitolareatech NEW - $19.95 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 3300-04708 FTG TBG ADPTR 3/8-24TNDX
capitolareatech NEW - $54.95 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 3060-01503 BRG ROD END FEM.250BORE 5/16-24X .812LG
capitolareatech NEW - $125.95 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-78432 Pulley
capitolareatech Used - $745.00 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-89140 CLAMP RING DOME NECK
capitolareatech NEW - $169.95 0 Mar/01/18 Apr/05/18
Description: Applied Materials (AMAT) 0040-03485 BLOCK, INSUL, WATER MANIFOLD, ULTIMA, HD
capitolareatech NEW - $49.95 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-09340 SCREEN, TURBO, ANODIZED
capitolareatech NEW - $275.00 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-80385 Flange, Shaft w/ 2 Bore Seal
capitolareatech NEW - $19.95 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-00186 CDO, TRI-COVER CLAMP
capitolareatech NEW - $19.95 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-34300 BRACKET, NUPRO VALVE, R2 , 5000, A-D
capitolareatech NEW - $49.95 0 Mar/01/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-21901 BRACKET
capitolareatech NEW - $145.00 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-01952 Bracket, Rotation Motor
capitolareatech NEW - $19.95 0 Mar/01/18 Mar/09/19
Description: Applied Materials (AMAT) 3300-04100 Quick Connect FTG NIP QDISC 1/2NPT-F SST
capitolareatech NEW - $42.95 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-01419 REFLECTOR, LAMP, .708,.512 TAPER
capitolareatech NEW - $595.00 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-37962 BAR, MTG PALLET 5200 CENTURA
capitolareatech NEW - $45.00 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-11860 Bracket
capitolareatech NEW - $425.00 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 0242-85430 BASIC FINISH KIT, PER POSITION, OIDS, V2
capitolareatech NEW - $495.00 0 Mar/01/18 Aug/13/20
Description: Applied Materials (AMAT) 3870-90227 VALVE U/C PNEU OPERATED
sales_eparts NEW - $495.00 1 Mar/02/18 Jul/05/18
Description: 0100-20059 Applied Materials AMAT Pemstar PCB PUMP FRAME Board OEM
capitolareatech NEW - $19.95 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-00291 Nut Pi/O Sensor
capitolareatech NEW - $1,995.00 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-34894 COVER, SHOWERHD, 200MM DOUBLE NOTCH,
capitolareatech NEW - $49.95 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0015-77088 PULLEY,DRIVE
capitolareatech NEW - $215.00 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-30866 BRKT, DOOR INTERLOCK SWITCH, L.S. ENCL.
capitolareatech NEW - $225.00 0 Mar/02/18 Mar/10/19
Description: Applied Materials (AMAT) 3870-01244 NORCAL ILVP-1502-NWB VALVE PNEU NW40 FLANGE
capitolareatech NEW - $3.95 40 Mar/02/18 Aug/24/18
Description: Applied Materials (AMAT) 3790-01424 STANDOFF M/F 7/16HEX 1/4-20 X 3/4L X 5/8THD
capitolareatech NEW - $895.00 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-34985 PULLEY,1/5" PITCH,15 GROVE, .37 WIDE TIMING
capitolareatech NEW - $2,675.00 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-09463 SUSCEPTOR ASSY TEOS 200MM
capitolareatech NEW - $5,376.25 0 Mar/02/18 Nov/30/18
Description: Applied Materials (AMAT) 0010-38438 ASSY, C-CHUCK, 200MM, CIP 99
capitolareatech NEW - $1,595.00 0 Mar/02/18 Jun/06/19
Description: Applied Materials (AMAT) 0010-60004 6 IN SILANE SUSCEPTOR (PLATE STOCK)
capitolareatech NEW - $895.00 0 Mar/02/18 Sep/15/19
Description: Applied Materials (AMAT) 0010-03171 ASSY, SUSC, BB TEOS, 200MM SCREWLESS
capitolareatech NEW - $2,395.00 0 Mar/02/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-10036 ASSY SUSCEPTOR BB Teos 200mm Screwless
capitolareatech NEW - $2,395.00 0 Mar/02/18 Mar/02/18
Description: Applied Materials (AMAT) 0010-10036 ASSY SUSCEPTOR BB Teos 200mm Screwless
capitolareatech NEW - $750.00 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-90348 GUIDE TUBE TOP
capitolareatech NEW - $295.00 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 3070-01049 COVER LINEAR GUIDE
capitolareatech NEW - $24.95 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 3300-50714 FTG PANEL UNION 1/2-1/2FNPT BRS
capitolareatech NEW - $95.00 0 Mar/03/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-33055 BOTTOM FEED ODD VALVE LOWER CONNECTOR
capitolareatech NEW - $95.00 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-71724 Gas Line
capitolareatech NEW - $199.95 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0240-75106 Kit, Centura Chamber Through Floor Vacuum Co
capitolareatech NEW - $495.00 1 Mar/03/18 Mar/26/18
Description: Applied Materials (AMAT) 0200-09555 RING,FOCUSING,QZ,150MM POLY,EXT CATHODE
capitolareatech NEW - $1,795.00 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09762 RING,CLAMPING,NOTCH,AL 200MM, 1.38 HT,FI
capitolareatech NEW - $7.95 0 Mar/03/18 Aug/24/18
Description: Applied Materials (AMAT) 3300-01994 FTGTBG CONN 3/8T 3/8MP SST
capitolareatech NEW - $19.95 0 Mar/03/18 Mar/09/19
Description: Applied Materials (AMAT) 3300-03956 FTG, TBG ADPTR EL 3/8T X 1/4 MNPT PFA
capitolareatech NEW - $29.95 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-09578 SPRING TRSN RT, SLIT VALVE
capitolareatech NEW - $49.95 0 Mar/03/18 Sep/13/19
Description: Applied Materials (AMAT) 0020-09578 SPRING TRSN RT, SLIT VALVE
capitolareatech NEW - $49.95 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-08327 Ring Clamp, Shield, Preclean
capitolareatech NEW - $29.95 0 Mar/03/18 Mar/09/19
Description: Applied Materials (AMAT) 3420-01085 INSULATOR 3/4" ID TEE SILICONE RUBBER FOAM
capitolareatech Used - $195.00 0 Mar/03/18 Jun/24/19
Description: Applied Materials (AMAT) 0200-09273 RING INNER 100MM 94MM 2 FLT 90 SHADOW RI
capitolareatech NEW - $175.00 1 Mar/03/18 Jun/08/19
Description: Applied Materials (AMAT) 0040-10754 ADAPTER,VCR,VALVE, MANOMETER PORT
capitolareatech NEW - $185.00 0 Mar/03/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-06894 GAS FITTING INLET MANIFOLD
capitolareatech NEW - $39.95 1 Mar/03/18 Nov/14/19
Description: Applied Materials (AMAT) 0200-09005 WINDOW, QUARTZ
capitolareatech NEW - $19.95 0 Mar/03/18 Oct/31/18
Description: Applied Materials (AMAT) 3700-01983 EDWARDS VACUUM C105-16-490 O-Ring, Centering
svcstore Used - $27.99 0 Mar/04/18 Apr/03/18
Description: Applied Materials AMAT 0010-09103 Stand Alone Console VGA Monitor Base Station
svcstore Used - $223.99 0 Mar/04/18 Apr/04/18
Description: Applied Materials AMAT 0100-90237 Wafer Arm Interlock Plug-In Module 0120-91463
svcstore Used - $199.99 0 Mar/05/18 Apr/04/18
Description: Applied Materials AMAT 0100-01714 Heater Control Controller Spill Sensor Board
svcstore Used - $74.99 0 Mar/05/18 Apr/04/18
Description: Applied Materials AMAT 0050-54417 Weldment Flange Modular Manifold Block Assy
svcstore Used - $74.99 0 Mar/05/18 Apr/04/18
Description: Applied Materials AMAT 0050-52718 Weldment Flange Modular Manifold Block Assy
svcstore Used - $99.99 0 Mar/05/18 Apr/04/18
Description: Applied Materials AMAT 0050-62208 Weldment Flange Modular Manifold Block Assy
svcstore Used - $99.99 0 Mar/05/18 Apr/04/18
Description: Applied Materials AMAT 0050-62325 Rev 002 1/4" VCR Weldment THD Flange Assembly
svcstore Used - $99.99 0 Mar/05/18 Apr/04/18
Description: Applied Materials AMAT 0050-52717 Rev 005 1/4" VCR Weldment THD Flange Assembly
svcstore Used - $99.99 0 Mar/05/18 Apr/04/18
Description: Applied Materials AMAT 0050-52720 Rev 003 1/4" VCR Weldment THD Flange Assembly
capitolareatech NEW - $475.00 0 Mar/05/18 May/17/18
Description: Applied Materials (AMAT) 0020-32036 PLATE BLOCKER .100SX UNIVERSAL CHMBR,MES
capitolareatech NEW - $32.95 0 Mar/05/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-34110 CLAMP,INDICATOR
semiconductorsolution NEW - $4,500.00 0 Mar/06/18 Apr/05/18
Description: Applied Materials, AMAT, PVD, Endura 0010-76136 ASSY, WAFER LIFT HTHU HEATER
waste-not-recycling NEW - $125.99 0 Mar/06/18 Jun/12/18
Description: Applied Materials AMAT 0021-78668 Carrier Film 150mm Titan Head 431-034N, New
waste-not-recycling NEW - $149.99 0 Mar/06/18 Jun/12/18
Description: Applied Materials AMAT 0021-77956 Membrane Flexure 160mm Titan Head, New
capitolareatech NEW - $875.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-79274 SHAFT GEAR BASE ASSEMBLY PAD CONDITIONER
capitolareatech NEW - $195.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-79840 Plate
capitolareatech NEW - $49.95 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-32424 BLOCK,PARTER,.229X.325 INDUCTOR
capitolareatech Used - $255.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0100-09046 PCB ASSY OZONATOR VOLT REGULATOR
capitolareatech NEW - $38.95 0 Mar/06/18 Mar/14/18
Description: Applied Materials (AMAT) 0021-37921 BRACKET, TEOS SUPPORT CH, C/D, SACVD CEN
capitolareatech NEW - $24.95 0 Mar/06/18 May/14/18
Description: Applied Materials (AMAT) 0200-40156 LIFT PIN, CERAMIC, LONG
capitolareatech NEW - $45.95 0 Mar/06/18 Apr/01/19
Description: Applied Materials (AMAT) 0020-20521 SPACER, INSULATOR LIFTER
capitolareatech NEW - $195.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0242-75377 Kit,Common Centura Per System OTB Connection
capitolareatech NEW - $695.00 1 Mar/06/18 May/17/18
Description: Applied Materials (AMAT) 0020-31288 ADAPTER, TOP LID, 25MM PRSP3
capitolareatech NEW - $85.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-01475 STAINLESS STEEL BAR
capitolareatech NEW - $895.00 0 Mar/06/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-33782 HOOP,8 INCH,WAFER LIFT,DPS
capitolareatech NEW - $295.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-03587 GASLINE, LMF TO INJ, 1/8 VCR, 2195 DLI
capitolareatech Used - $115.00 0 Mar/06/18 Aug/02/19
Description: Applied Materials (AMAT) 0200-10286 RING, FINGER LIFT PLATE 6" & 8", DxZ
capitolareatech Used - $1,699.95 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 853-025103-003 Lower Match Box Assembly-AS-IS
capitolareatech NEW - $145.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-07102 WELDMENT FEED THRU GAS
capitolareatech NEW - $29.95 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-23914 BUSHING CABLE COVER LIFT
capitolareatech NEW - $1,325.00 0 Mar/06/18 Aug/06/18
Description: Applied Materials (AMAT) 0200-39324 INSERT, RING, CHAMBER, CERAMIC, DXZ
capitolareatech NEW - $265.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-24418 PASTING DISK 6" SMF TITANIUM
capitolareatech NEW - $575.00 0 Mar/06/18 Aug/09/19
Description: Applied Materials (AMAT) 0021-20718 PEDESTAL COVER LOWER 8" B101 REV 2.1 SST
capitolareatech NEW - $895.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32994 SUPPORT PLATE BLADE P5000 MC ROBOT
capitolareatech NEW - $365.00 1 Mar/06/18 Aug/13/18
Description: Applied Materials (AMAT) 0020-39841 LIFTER, WXZ ORIENTOR,150MM P5000/CENTURA
capitolareatech NEW - $425.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0090-77055 SENSORTECHNICS PRESS XDCR
capitolareatech NEW - $1,695.00 0 Mar/06/18 Mar/06/18
Description: Applied Materials (AMAT) 0040-03641 BLADE, RIGHT 300MM FI ECP
capitolareatech NEW - $1,695.00 0 Mar/06/18 Sep/18/19
Description: Applied Materials (AMAT) 0040-03641 BLADE, RIGHT 300MM FI ECP
capitolareatech NEW - $425.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-13872 ARM,RIGHT,300MM ROBOT REV 3
capitolareatech NEW - $695.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-92981 ASSY SHAFT Hg SLIPRING HOT WHL
capitolareatech Used - $105.95 0 Mar/06/18 Dec/13/19
Description: Applied Materials (AMAT) 0270-20016 LIFT BELLOWS WRENCH
capitolareatech NEW - $995.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-36181 VALVE ASSY,W/FLOW MONITOR FAST COOL DOWN
capitolareatech NEW - $695.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-43384 SHAFT, RINSE ARM, 300MM HVM
capitolareatech NEW - $175.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-08627 TUBE, PURGE, HEATER SHAFT, SHOULDER SCREW
capitolareatech NEW - $175.00 0 Mar/06/18 Aug/09/19
Description: Applied Materials (AMAT) 0021-08627 TUBE, PURGE, HEATER SHAFT
capitolareatech NEW - $595.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0015-09424 GEAR, SPUR, PIN HUB, THROTTLE VALVE
capitolareatech NEW - $29.95 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 3700-01757 GREENE TWEED ORING ID1.112CSD.103FFKM (CHEMR
capitolareatech NEW - $395.95 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0015-01000 Sprocket Drive
capitolareatech NEW - $9.95 0 Mar/06/18 Aug/24/18
Description: Applied Materials (AMAT) 0020-20930 BRACKET MAG SWITCH
capitolareatech NEW - $49.95 0 Mar/06/18 Mar/06/18
Description: Applied Materials (AMAT) 3550-00015 5/16 QUICK-RELEASE PIN
capitolareatech NEW - $49.95 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 3550-00015 5/16 QUICK-RELEASE PIN
capitolareatech NEW - $595.00 0 Mar/06/18 Aug/09/19
Description: Applied Materials (AMAT) 0200-09083 SHIELD 150 MM SPUTTER ETCH
capitolareatech NEW - $1,295.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-34386 CLAMP, VESPEL OX/MLR/NIT, ...
capitolareatech NEW - $3,995.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-08436 RING, CLAMP, TAPERED FINGER, VESPEL, 200
capitolareatech NEW - $1,495.00 1 Mar/06/18 Mar/26/18
Description: Applied Materials (AMAT) 0020-03673 Clamp Ring Vespel 4" Poly 3.0
capitolareatech NEW - $1,495.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-02070 ION SHIELD, LIFT , NOTCH, 198MM, VESPEL
capitolareatech NEW - $225.00 0 Mar/06/18 Apr/11/18
Description: Applied Materials (AMAT) 0200-10243 SHADOW RING 150MM 5.694 DIA FLAT
capitolareatech NEW - $1,095.00 0 Mar/06/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-40188 COLLAR 200MM SNNF, WIDE
ptb-sales Used - $800.00 0 Mar/07/18 Apr/06/18
Description: Applied Materials AMAT 0010-07563, 0020-19999 Block 300mm Magnet Holder & Magnet
capitolareatech NEW - $37.95 0 Mar/07/18 Aug/09/19
Description: Applied Materials (AMAT) 3300-03586 HPS MKS 100991793 FTG FLANGE BLANK KF 100 NW
capitolareatech NEW - $37.95 0 Mar/07/18 Sep/13/19
Description: Applied Materials (AMAT) 3300-03586 HPS MKS 100991793 FTG FLANGE BLANK MF100 4"B
capitolareatech NEW - $29.95 0 Mar/07/18 Sep/13/19
Description: Applied Materials (AMAT) 3300-03586 HPS 100991793 FTG FLANGE BLANK MF100 4"BOREX
capitolareatech NEW - $3,795.00 0 Mar/07/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-32266 Rev. A , 0010-10202,0020-32161,0040-32265
capitolareatech NEW - $995.00 0 Mar/07/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-09346 Cover Wrap Around Quartz Oxide
capitolareatech NEW - $695.00 0 Mar/07/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-10447 SHADOW RING, QUARTZ 200MM, FLAT
capitolareatech NEW - $3,195.00 0 Mar/07/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-35084 AMAT Epi Upper Dome ATM
capitolareatech NEW - $695.00 0 Mar/07/18 Mar/09/19
Description: Applied Materials (AMAT) 0021-36744 Shield ground 150mm
capitolareatech NEW - $6,995.00 0 Mar/07/18 Aug/13/20
Description: Applied Materials (AMAT) EPI 0040-35140 CLAMP RING UPPER, ATM
capitolareatech NEW - $9,995.00 0 Mar/07/18 Mar/10/19
Description: Applied Materials (AMAT) EPI 0040-06477 CLAMP RING UPPER, RP AMAT EPI
capitolareatech Used - $995.00 0 Mar/07/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-35255 RING, PREHEAT, BETA COATING
capitolareatech NEW - $8.95 0 Mar/07/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $1,425.00 0 Mar/07/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-72508 REV.B
capitolareatech NEW - $1,495.00 0 Mar/07/18 Oct/07/19
Description: Applied Materials (AMAT) 0050-72508 REV.B
capitolareatech Used - $3,495.00 0 Mar/07/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-35210 RING UPPER CLAMP RP MACHINING
capitolareatech NEW - $2,995.00 0 Mar/07/18 Aug/13/20
Description: Applied Materials (AMAT) EPI 0040-35135 RING, UPPER CLAMP, RP
capitolareatech NEW - $8.95 0 Mar/07/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/07/18 Mar/27/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/08/18 Mar/08/18
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
techshop7777 Used - $449.99 0 Mar/08/18 Apr/07/18
Description: Applied Materials AMAT 0100-20004 Chamber Interconnect BD PCB Board
capitolareatech NEW - $8.95 0 Mar/08/18 Mar/27/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/07/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 2 Mar/08/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/08/18 Aug/09/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $8.95 0 Mar/07/18 Mar/27/19
Description: Applied Materials (AMAT) 0690-01037 Clamp, Hinged NW50 Wing-Nut & SCR-Closure AL
capitolareatech NEW - $395.00 0 Mar/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-00149 QUARTZ TUBE,APPLICATOR ASP+
capitolareatech NEW - $129.95 9 Mar/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0015-09092 RF SHIELD 200MM
capitolareatech NEW - $1,295.00 1 Mar/08/18 Jan/17/19
Description: Applied Materials (AMAT) 0020-39087 PEDESTAL, ESC 195MM, NOTCH, DPS CHAMBER
capitolareatech NEW - $725.00 0 Mar/08/18 Mar/09/19
Description: Applied Materials (AMAT) 0190-35525 Thermocouple T/C CxZ, ASSEMBLY, THERMOCOUPL
capitolareatech NEW - $7,995.00 0 Mar/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-32680 0010-70792, 0021-10221 EMXP+/MXP+ OX, Chamb
capitolareatech NEW - $775.00 0 Mar/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-36541 THERMOCOUPLE ASSY, DLK CVD
capitolareatech NEW - $895.00 0 Mar/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-36331 ASSY, THERMOCOUPLE, PRODUCER
capitolareatech NEW - $1,395.00 0 Mar/08/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-32151 ESC Chuck Pedestal 200mm Notch HVIB 0040-097
dcdirecttech Used - $924.95 0 Mar/08/18 Mar/15/18
Description: Applied Materials AMAT P5000 0100-20001 Rev. E System Electronics Interface Bd
gophersales NEW - $300.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0020-21672 REV 003 Stylus Mount BKT Centura AP White
gophersales NEW - $150.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0020-20499 Window, Protective
gophersales NEW - $395.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0270-04934 Fixture Cup Guide Feedthru, 200mm SIP Encore
gophersales NEW - $225.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0240-10128 Kit, Process Start Up Per Chamber, WCVD
gophersales NEW - $75.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0150-76116 Cable Assy, Robot Calibration
gophersales NEW - $1,550.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0200-39283 Adapter Ring 8" SRCMP WXZ
gophersales NEW - $250.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0270-20044 Tool Calibration Orienter 8"
gophersales NEW - $250.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0270-20320 Tool, Bellow Align, HT BESC, 1.574 Dia Shaft
gophersales NEW - $1,500.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0200-39285 Ring Inner 194/200 Notch SRCMP WXZ
gophersales NEW - $1,000.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 3620-02332 CTI KYPD Remote w/Cable for Onboard Cryo Pump
tfstech NEW - $1,250.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0020-30710 Ring Clamp Vespel
tfstech NEW - $175.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0240-33950 PIK, Magnet Coil Overtemp Switch Kit
capitolareatech NEW - $59.95 0 Mar/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-78297 SUPPORT, PAD
tfstech NEW - $220.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0020-04466 REV A Hexode Bottom Plate
tfstech NEW - $275.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0100-00046 Rev F AC Current Sense Board
tfstech NEW - $65.00 0 Mar/09/18 Apr/08/18
Description: Applied Materials AMAT 0045-00030 Pedestal Ring 150mm Oxide
capitolareatech NEW - $395.00 0 Mar/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-27999 Supply & Return Manifold 1/2" Tube Swagelok
capitolareatech NEW - $495.00 0 Mar/09/18 Aug/13/20
Description: Applied Materials (AMAT) 0021-77807 EDGE CONTROL RING
capitolareatech NEW - $12.95 0 Mar/09/18 Mar/09/19
Description: Applied Materials (AMAT) 3420-01063 INSUL 3/4"ID ELBOW 90DEG SILICONE RUBB
ab-international NEW - $300.00 0 Mar/10/18 Mar/20/18
Description: Lot of 17 New Applied Materials AMAT 0021-24349 Gasket SEALED BOX
industry-outlet NEW - $249.99 0 Mar/11/18 Apr/10/18
Description: Applied Materials AMAT Entegris Flowmeter, HPR3, DI Water, MIRRA CMP, 0010-06801
industry-outlet NEW - $29.99 0 Mar/11/18 Apr/10/18
Description: Applied Materials (AMAT) 0020-54150, Pass Through, Head Wash, 300mm Reflexion
tfstech Used - $175.00 0 Mar/11/18 Apr/10/18
Description: Applied Materials AMAT 0100-09107 Rev B TEOS Gas Interface Board
tfstech NEW - $995.00 0 Mar/11/18 Apr/10/18
Description: Applied Materials AMAT 0020-24719 Cover Ring Al
pete-sigep Scrap, for parts - $750.00 0 Mar/12/18 Apr/11/18
Description: Applied Materials AMAT 0010-76001 Storage Elevator
pete-sigep Used - $475.00 0 Mar/12/18 Apr/11/18
Description: Applied Materials AMAT 0110-11002 REV.F Digital I/O PCB
capitolareatech NEW - $79.95 0 Mar/12/18 Nov/01/18
Description: Applied Materials (AMAT) 0020-20500 Shield Cryo, Round
capitolareatech NEW - $285.00 0 Mar/13/18 Sep/30/18
Description: Applied Materials (AMAT) 0040-76367 MANIFOLD TALL
capitolareatech NEW - $95.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-20620 Weldment Gas Line Assy
capitolareatech NEW - $39.95 20 Mar/13/18 May/23/19
Description: Applied Materials (AMAT) 0020-76426 CDS Leopold
capitolareatech NEW - $445.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-30399 WELDMENT 3/8 FEMALE MICROWAVE REMOTE PLASM
capitolareatech NEW - $395.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-35487 Reducer Line, SS316L, Metch R2 Seiko 301
capitolareatech NEW - $395.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-09901 REDUCER LINE,ETCH MXP CENTURA
capitolareatech NEW - $79.95 0 Mar/13/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-20484 HUB END LAMP COVER
capitolareatech NEW - $195.00 0 Mar/13/18 Nov/01/18
Description: Applied Materials (AMAT) 0020-20340 DOOR TRANSFER SLIT VALVE
capitolareatech NEW - $185.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-21043 POTENTIOMETER ASSEMBLY
capitolareatech NEW - $425.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0226-97904 Throttle Valve Kit
capitolareatech NEW - $69.95 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-25198 GAS LINE, VENT CH D W/B UPPER W/ OD CH
capitolareatech NEW - $9.95 0 Mar/13/18 Aug/24/18
Description: Applied Materials (AMAT) 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE
capitolareatech NEW - $9.95 0 Mar/13/18 Mar/13/18
Description: Applied Materials (AMAT) 0690-01036 CLAMP HINGED NW40 WING-NUT & SCR-CLOSURE
capitolareatech Used - $595.00 0 Mar/13/18 Mar/10/19
Description: Applied Materials (AMAT) 0010-21336 BAR ASSY, LINKAGE SHUTTER-Bearing might need
capitolareatech NEW - $319.95 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0270-20070 DC BIAS POST ALIGN JIG-STD
capitolareatech NEW - $345.00 0 Mar/13/18 Oct/13/19
Description: Applied Materials (AMAT) 0021-71301 Interface Side Plate
capitolareatech NEW - $129.95 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-36419 CLAMP TRANSFER CHAMBER WITH SPRING
capitolareatech NEW - $525.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-27870 DISK, 5" SMF SHUTTER, TITANIUM
capitolareatech NEW - $59.95 0 Mar/13/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-44902 LINE, 1/4 EXTENSION, 200MM RADIANCE
capitolareatech NEW - $29.95 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-23928 Shim Motor Cover Lift
capitolareatech NEW - $39.95 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0225-05624 Ring, Pedestal Bottom, 150MM Clipless 0220-0
capitolareatech NEW - $395.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-30400 WELDMENT 1/4 FEMALE MICROWAVE REMOTE PLASM
capitolareatech NEW - $55.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-35861 ASSY, FLANGE QDC CLAMP RING
capitolareatech NEW - $650.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-40180 GAS FEED,IMPROVED,CGF
capitolareatech NEW - $9.95 2 Mar/13/18 Aug/20/18
Description: Applied Materials (AMAT) 3300-01990 FTG HOSE BARB 1/4H X 7/16-20SAE 37DEG
capitolareatech NEW - $195.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-78476 LOWER FLANGE
capitolareatech NEW - $395.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-00080 WELDMENT 3/4 CPV X 1/2 Comp Be Chiller 40933
capitolareatech NEW - $125.00 0 Mar/13/18 Aug/13/20
Description: Applied Materials (AMAT) 0050-06064 WELDMENT, CHAMBER SILANE SIDE, ULTIMA HD
capitolareatech NEW - $39.95 0 Mar/13/18 Sep/10/19
Description: Applied Materials (AMAT) 3330-01040 Gear Bevel Pin Hub 30T .625 PD
capitolareatech NEW - $250.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 3300-91061 FITTING, LEADTHRU .2x40A, KF 40, ELEC F/T 15
capitolareatech NEW - $825.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-30415 ASSEMBLY, H.O.T. ANALYZER CARTRIDGE 471N
capitolareatech NEW - $395.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-36271 Manifold, Barb Fitting
capitolareatech Used - $1,795.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-22303 ASSEMBLY GAS MODULE 200MM TXZ
capitolareatech NEW - $195.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 3870-01668 AP1910SM-2PW-MV4-MV4 High Purity regulator S
capitolareatech NEW - $195.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 3020-01156 Cylinder Rodless 25MM Bore 425MM STRK with C
capitolareatech Used - $255.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0020-14005 PLATE OPTO SENSOR ROTATION DRIVE
capitolareatech NEW - $195.00 0 Mar/14/18 Aug/09/19
Description: Applied Materials (AMAT) 0020-06315 Quartz, 3.6", 8330, View Port
capitolareatech NEW - $84.95 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0200-35624 TUBE,CERAMIC,HEATER,DCSXZ
capitolareatech NEW - $195.00 0 Mar/14/18 Mar/09/19
Description: Applied Materials (AMAT) 3870-01515 VALVE FINE MTR 1/4 VCR VERNIER HDL
capitolareatech NEW - $39.95 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 3300-01405 VARIAN 800-1950 FTGPIPE NIP RDCR CONICAL NW5
capitolareatech NEW - $79.95 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0040-90742 Insulator Long
outback6 Scrap, for parts - $300.00 0 Mar/14/18 Mar/19/18
Description: A147939 Applied Materials AMAT 8300N RF Micro-Match P/N 0010-00298
capitolareatech NEW - $235.00 0 Mar/14/18 Sep/30/18
Description: Applied Materials (AMAT) 0040-76368 MANIFOLD SHORT
capitolareatech NEW - $995.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-02987 Semi Heater Assy
capitolareatech NEW - $1,995.00 0 Mar/14/18 Sep/19/19
Description: Applied Materials (AMAT) 0010-04301 ASSY, MANIFOLD, 300MM, EMAX
capitolareatech NEW - $1,995.00 0 Mar/14/18 Mar/14/18
Description: Applied Materials (AMAT) 0010-04301 ASSY, MANIFOLD, 300MM, EMAX
capitolareatech NEW - $39.95 0 Mar/14/18 Mar/09/19
Description: Applied Materials (AMAT) 0020-39345 CLAMP,BOTTOM,SINGLE GAS LINE & PALLET
capitolareatech NEW - $39.95 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0690-00117 CLAMP TUBE 2-1/2DIA 304SS
capitolareatech NEW - $39.95 0 Mar/14/18 Mar/14/18
Description: Applied Materials (AMAT) 0690-00117 CLAMP TUBE 2-1/2DIA 304SS
capitolareatech NEW - $895.00 0 Mar/14/18 Jun/27/18
Description: Applied Materials (AMAT) 0200-09602 Pipe, Insulating, Quartz w/ Flange, Tube MXP
capitolareatech NEW - $422.95 0 Mar/14/18 Aug/09/19
Description: Applied Materials (AMAT) 0020-09638 FRONT PANEL FILLER 2.40"
capitolareatech NEW - $1,695.00 0 Mar/14/18 Aug/09/19
Description: Applied Materials (AMAT) 0200-40101 COVER 150MM HDP, MARK IV CHAMBER
capitolareatech NEW - $525.00 0 Mar/14/18 Aug/09/19
Description: Applied Materials (AMAT) 0200-89000 BAFFLE, INJECT, THICK
capitolareatech NEW - $95.00 0 Mar/14/18 Aug/09/19
Description: Applied Materials (AMAT) 0200-09093 RING GRAPHITE 100MM
capitolareatech NEW - $49.95 0 Mar/14/18 Aug/09/19
Description: Applied Materials (AMAT) 0030-60027 OVLAY 150 ENLG LEXAN 101
capitolareatech NEW - $995.00 0 Mar/14/18 Aug/13/20
Description: Applied Materials (AMAT) 0010-10615 ASSY,PEDESTAL,200MM MXP, DIELECTRIC,NOTCH
capitolareatech NEW - $49.95 0 Mar/16/18 Aug/13/20
Description: Applied Materials (AMAT) 0190-77111 BEARING,DOUBLE SEAL
capitolareatech NEW - $275.00 0 Mar/16/18 Mar/09/19
Description: Applied Materials (AMAT) 0040-76435 UDDER, OTB, SHORT MANIFOLD, T1
capitolareatech NEW - $144.95 0 Mar/16/18 Nov/01/18
Description: Applied Materials (AMAT) 0200-10284 PIN LIFT DXZ,HEATER
capitolareatech Used - $495.00 0 Mar/16/18 Aug/13/20
Description: Applied Materials (AMAT) EPI 0020-18050 Quartz Hanger, Split 7800 Style
capitolareatech NEW - $195.00 0 Mar/16/18 Mar/09/19
Description: Applied Materials (AMAT) 0050-34697 OUTER COIL
jojoequip Used - $50.00 1 Dec/16/12 Feb/27/13
Description: NOVELLUS 02-030005-00 ASSY LIGHT TOWER POWER SUPPLY - DLCM-S